bcm27xx: import latest patches from the RPi foundation
authorÁlvaro Fernández Rojas <noltari@gmail.com>
Thu, 18 Feb 2021 17:04:33 +0000 (18:04 +0100)
committerÁlvaro Fernández Rojas <noltari@gmail.com>
Thu, 18 Feb 2021 22:42:32 +0000 (23:42 +0100)
bcm2708: boot tested on RPi B+ v1.2
bcm2709: boot tested on RPi 3B v1.2 and RPi 4B v1.1 4G
bcm2710: boot tested on RPi 3B v1.2
bcm2711: boot tested on RPi 4B v1.1 4G

Signed-off-by: Álvaro Fernández Rojas <noltari@gmail.com>
1543 files changed:
target/linux/bcm27xx/bcm2708/config-5.4
target/linux/bcm27xx/bcm2709/config-5.4
target/linux/bcm27xx/bcm2710/config-5.4
target/linux/bcm27xx/bcm2711/config-5.4
target/linux/bcm27xx/modules/sound.mk
target/linux/bcm27xx/patches-5.4/950-0134-spi-spi-bcm2835-Disable-forced-software-CS.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0134-spi-spi-bcm2835-Re-enable-HW-CS.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0135-Added-driver-for-the-HiFiBerry-DAC-ADC-2694.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0135-spi-spi-bcm2835-Disable-forced-software-CS.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0136-Added-driver-for-the-HiFiBerry-DAC-ADC-2694.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0136-configs-Enable-the-AD193x-codecs.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0137-configs-Enable-the-AD193x-codecs.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0137-lan78xx-EEE-support-is-now-a-PHY-property.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0138-Revert-staging-vchiq-delete-vchiq_killable.h.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0138-lan78xx-EEE-support-is-now-a-PHY-property.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0139-Revert-staging-bcm2835-audio-Drop-DT-dependency.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0139-Revert-staging-vchiq-delete-vchiq_killable.h.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0140-Revert-staging-bcm2835-audio-Drop-DT-dependency.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0140-gpu-vc4_firmware_kms-Fix-up-64-bit-compile-warnings.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0141-bcm2835-dma-Add-support-for-per-channel-flags.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0141-gpu-vc4_firmware_kms-Fix-up-64-bit-compile-warnings.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0142-bcm2835-dma-Add-support-for-per-channel-flags.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0142-drm-vc4-Programming-the-CTM-is-conditional-on-runnin.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0143-drm-vc4-Programming-the-CTM-is-conditional-on-runnin.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0143-rtc-rv3028-Add-backup-switchover-mode-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0144-Audiophonics-I-Sabre-9038Q2M-DAC-driver.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0144-rtc-rv3028-Add-backup-switchover-mode-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0145-Audiophonics-I-Sabre-9038Q2M-DAC-driver.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0145-lan78xx-use-default-alignment-for-rx-buffers.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0146-Added-IQaudIO-Pi-Codec-board-support-2969.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0146-lan78xx-use-default-alignment-for-rx-buffers.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0147-Added-IQaudIO-Pi-Codec-board-support-2969.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0147-sound-pcm512x-codec-Adding-352.8kHz-samplerate-suppo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0148-media-ov5647-Add-set_fmt-and-get_fmt-calls.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0148-sound-pcm512x-codec-Adding-352.8kHz-samplerate-suppo.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0149-media-Documentation-DT-add-device-tree-for-PWDN-cont.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0149-media-ov5647-Add-set_fmt-and-get_fmt-calls.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0150-media-Documentation-DT-add-device-tree-for-PWDN-cont.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0150-media-ov5647-Add-support-for-PWDN-GPIO.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0151-media-ov5647-Add-support-for-PWDN-GPIO.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0151-media-ov5647-Add-support-for-non-continuous-clock-mo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0152-media-ov5647-Add-support-for-non-continuous-clock-mo.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0152-media-tc358743-Increase-FIFO-level-to-374.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0153-media-tc358743-Increase-FIFO-level-to-374.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0153-media-tc358743-fix-connected-active-CSI-2-lane-repor.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0154-media-tc358743-Add-support-for-972Mbit-s-link-freq.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0154-media-tc358743-fix-connected-active-CSI-2-lane-repor.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0155-media-tc358743-Add-support-for-972Mbit-s-link-freq.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0155-media-tc358743-Check-I2C-succeeded-during-probe.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0156-media-adv7180-Default-to-the-first-valid-input.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0156-media-tc358743-Check-I2C-succeeded-during-probe.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0157-media-adv7180-Add-YPrPb-support-for-ADV7282M.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0157-media-adv7180-Default-to-the-first-valid-input.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0158-media-adv7180-Add-YPrPb-support-for-ADV7282M.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0158-media-videodev2-Add-helper-defines-for-printing-FOUR.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0159-dt-bindings-Document-BCM283x-CSI2-CCP2-receiver.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0159-media-videodev2-Add-helper-defines-for-printing-FOUR.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0160-MAINTAINERS-Add-entry-for-BCM2835-Unicam-driver.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0160-dt-bindings-Document-BCM283x-CSI2-CCP2-receiver.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0161-MAINTAINERS-Add-entry-for-BCM2835-Unicam-driver.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0161-media-tc358743-Return-an-appropriate-colorspace-from.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0162-media-tc358743-Return-an-appropriate-colorspace-from.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0162-staging-bcm2835-camera-Fix-logical-continuation-spli.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0163-staging-bcm2835-camera-Ensure-timestamps-never-go-ba.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0164-staging-bcm2835-camera-Fix-logical-continuation-spli.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0164-staging-vc04_services-Split-vchiq-mmal-into-a-module.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0165-staging-bcm2835-camera-Ensure-timestamps-never-go-ba.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0165-staging-mmal-vchiq-Allocate-and-free-components-as-r.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0166-staging-mmal-vchiq-Avoid-use-of-bool-in-structures.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0166-staging-vc04_services-Split-vchiq-mmal-into-a-module.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0167-staging-mmal-vchiq-Allocate-and-free-components-as-r.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0167-staging-mmal-vchiq-Make-timeout-a-defined-parameter.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0168-staging-mmal-vchiq-Avoid-use-of-bool-in-structures.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0168-staging-mmal-vchiq-Make-a-mmal_buf-struct-for-passin.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0169-staging-mmal-vchiq-Add-support-for-event-callbacks.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0169-staging-mmal-vchiq-Make-timeout-a-defined-parameter.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0170-staging-mmal-vchiq-Make-a-mmal_buf-struct-for-passin.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0170-staging-vc04_services-Support-sending-data-to-MMAL-p.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0171-staging-mmal-vchiq-Add-support-for-event-callbacks.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0171-staging-vc04_services-Fixup-vchiq-mmal-include-order.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0172-staging-vc04_services-Add-new-vc-sm-cma-driver.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0172-staging-vc04_services-Support-sending-data-to-MMAL-p.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0173-staging-vc04_services-Fixup-vchiq-mmal-include-order.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0173-staging-vc04_services-Use-vc-sm-cma-to-support-zero-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0174-media-videobuf2-Allow-exporting-of-a-struct-dmabuf.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0174-staging-vc04_services-Add-new-vc-sm-cma-driver.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0175-staging-vc04_services-Add-a-V4L2-M2M-codec-driver.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0175-staging-vc04_services-Use-vc-sm-cma-to-support-zero-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0176-media-videobuf2-Allow-exporting-of-a-struct-dmabuf.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0176-staging-mmal-vchiq-Fix-client_component-for-64-bit-k.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0177-clk-clk-bcm2835-Use-zd-when-printing-size_t.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0177-staging-vc04_services-Add-a-V4L2-M2M-codec-driver.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0178-media-ov5647-Use-gpiod_set_value_cansleep.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0178-staging-mmal-vchiq-Fix-client_component-for-64-bit-k.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0179-clk-clk-bcm2835-Use-zd-when-printing-size_t.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0179-staging-bcm2835-codec-variable-vb2-may-be-used-unini.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0180-media-ov5647-Use-gpiod_set_value_cansleep.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0180-staging-bcm2835-codec-Fix-potentially-uninitialised-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0181-staging-bcm2835-codec-variable-vb2-may-be-used-unini.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0181-staging-mmal_vchiq-Add-in-the-Bayer-encoding-formats.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0182-staging-bcm2835-codec-Fix-potentially-uninitialised-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0182-staging-mmal-vchiq-Always-return-the-param-size-from.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0183-staging-mmal-vchiq-If-the-VPU-returns-an-error-don-t.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0183-staging-mmal_vchiq-Add-in-the-Bayer-encoding-formats.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0184-staging-bcm2835_codec-Query-supported-formats-from-t.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0184-staging-mmal-vchiq-Always-return-the-param-size-from.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0185-staging-bcm2835_codec-Add-support-for-the-ISP-as-an-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0185-staging-mmal-vchiq-If-the-VPU-returns-an-error-don-t.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0186-staging-bcm2835_codec-Add-an-option-for-ignoring-Bay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0186-staging-bcm2835_codec-Query-supported-formats-from-t.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0187-staging-bcm2835_codec-Add-support-for-the-ISP-as-an-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0187-staging-bcm2835_codec-Fix-handling-of-VB2_MEMORY_DMA.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0188-staging-bcm2835_codec-Add-an-option-for-ignoring-Bay.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0188-staging-mmal-vchiq-Update-mmal_parameters.h-with-rec.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0189-staging-bcm2835_codec-Fix-handling-of-VB2_MEMORY_DMA.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0189-staging-bcm2835_codec-Include-timing-info-in-SPS-hea.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0190-staging-bcm2835-codec-NULL-component-handle-on-queue.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0190-staging-mmal-vchiq-Update-mmal_parameters.h-with-rec.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0191-staging-bcm2835_codec-Clean-up-logging-on-unloading-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0191-staging-bcm2835_codec-Include-timing-info-in-SPS-hea.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0192-staging-bcm2835-codec-NULL-component-handle-on-queue.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0192-staging-bcm2835-codec-Refactor-default-resolution-co.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0193-staging-bcm2835-codec-Correct-port-width-calc-for-tr.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0193-staging-bcm2835_codec-Clean-up-logging-on-unloading-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0194-staging-bcm2835-codec-Refactor-default-resolution-co.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0194-staging-bcm2835-codec-Remove-height-padding-for-ISP-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0195-staging-bcm2835-codec-Correct-port-width-calc-for-tr.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0195-staging-mmal-vchiq-Free-the-event-context-for-contro.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0196-staging-bcm2835-codec-Remove-height-padding-for-ISP-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0196-staging-mmal-vchiq-Fix-memory-leak-in-error-path.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0197-staging-bcm2835-codec-Convert-V4L2-nsec-timestamps-t.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0197-staging-mmal-vchiq-Free-the-event-context-for-contro.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0198-staging-bcm2835-codec-Add-support-for-setting-S_PARM.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0198-staging-mmal-vchiq-Fix-memory-leak-in-error-path.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0199-Bluetooth-Check-key-sizes-only-when-Secure-Simple-Pa.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0199-w1-w1-gpio-Make-GPIO-an-output-for-strong-pullup.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0200-arm-bcm2835-Fix-FIQ-early-ioremap.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0200-staging-bcm2835-codec-Convert-V4L2-nsec-timestamps-t.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0201-Fix-copy_from_user-if-BCM2835_FAST_MEMCPY-n.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0201-staging-bcm2835-codec-Add-support-for-setting-S_PARM.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0202-dt-bindings-pci-Add-DT-docs-for-Brcmstb-PCIe-device.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0202-w1-w1-gpio-Make-GPIO-an-output-for-strong-pullup.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0203-arm-bcm2835-DMA-can-only-address-1GB.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0203-arm-bcm2835-Fix-FIQ-early-ioremap.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0204-Fix-copy_from_user-if-BCM2835_FAST_MEMCPY-n.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0204-hwrng-iproc-rng200-Add-BCM2838-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0205-dt-bindings-pci-Add-DT-docs-for-Brcmstb-PCIe-device.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0205-vchiq-Add-36-bit-address-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0206-arm-bcm2835-DMA-can-only-address-1GB.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0206-bcm2835-pcm.c-Support-multichannel-audio.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0207-bcmgenet-constrain-max-DMA-burst-length.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0207-hwrng-iproc-rng200-Add-BCM2838-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0208-bcmgenet-Better-coalescing-parameter-defaults.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0208-vchiq-Add-36-bit-address-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0209-bcm2835-pcm.c-Support-multichannel-audio.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0209-net-genet-enable-link-energy-detect-powerdown-for-ex.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0210-bcmgenet-constrain-max-DMA-burst-length.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0210-usb-xhci-Disable-the-XHCI-5-second-timeout.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0211-bcmgenet-Better-coalescing-parameter-defaults.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0211-usb-xhci-Show-that-the-VIA-VL805-supports-LPM.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0212-net-genet-enable-link-energy-detect-powerdown-for-ex.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0212-spi-bcm2835-enable-shared-interrupt-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0213-clk-bcm2835-Don-t-wait-for-pllh-lock.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0213-usb-xhci-Disable-the-XHCI-5-second-timeout.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0214-soc-bcm-bcm2835-pm-Add-support-for-2711.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0214-usb-xhci-Show-that-the-VIA-VL805-supports-LPM.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0215-config-Permit-LPAE-and-PCIE_BRCMSTB-on-BCM2835.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0215-spi-bcm2835-enable-shared-interrupt-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0216-clk-bcm2835-Add-support-for-setting-leaf-clock-rates.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0216-clk-bcm2835-Don-t-wait-for-pllh-lock.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0217-clk-bcm2835-Allow-reparenting-leaf-clocks-while-they.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0217-soc-bcm-bcm2835-pm-Add-support-for-2711.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0218-config-Permit-LPAE-and-PCIE_BRCMSTB-on-BCM2835.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0218-usb-add-plumbing-for-updating-interrupt-endpoint-int.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0219-clk-bcm2835-Add-support-for-setting-leaf-clock-rates.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0219-xhci-implement-xhci_fixup_endpoint-for-interval-adju.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0220-clk-bcm2835-Allow-reparenting-leaf-clocks-while-they.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0220-usbhid-call-usb_fixup_endpoint-after-mangling-interv.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0221-arm-bcm2835-Add-bcm2838-compatible-string.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0221-usb-add-plumbing-for-updating-interrupt-endpoint-int.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0222-drm-vc4-Fix-oops-at-boot-with-firmwarekms-on-4.19.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0222-xhci-implement-xhci_fixup_endpoint-for-interval-adju.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0223-drm-v3d-Add-support-for-2711.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0223-usbhid-call-usb_fixup_endpoint-after-mangling-interv.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0224-arm-bcm2835-Add-bcm2838-compatible-string.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0224-drm-v3d-Skip-MMU-flush-if-the-device-is-currently-of.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0225-drm-v3d-Hook-up-the-runtime-PM-ops.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0225-drm-vc4-Fix-oops-at-boot-with-firmwarekms-on-4.19.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0226-drm-v3d-Add-support-for-2711.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0226-drm-vc4-Fix-synchronization-firmwarekms-against-GL-r.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0227-drm-v3d-Skip-MMU-flush-if-the-device-is-currently-of.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0227-drm-vc4-Expose-the-format-modifiers-for-firmware-kms.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0228-drm-v3d-Hook-up-the-runtime-PM-ops.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0228-drm-vc4-Fix-vblank-timestamping-for-firmwarekms.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0229-drm-vc4-Fix-synchronization-firmwarekms-against-GL-r.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0229-gpu-vc4-fkms-Switch-to-the-newer-mailbox-frame-buffe.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0230-drm-vc4-Add-an-overlay-plane-to-vc4-firmware-kms.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0230-drm-vc4-Expose-the-format-modifiers-for-firmware-kms.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0231-drm-vc4-Fix-vblank-timestamping-for-firmwarekms.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0231-drm-vc4-Increase-max-screen-size-to-4096x4096.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0232-drm-vc4-Add-support-for-multiple-displays-to-fkms.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0232-gpu-vc4-fkms-Switch-to-the-newer-mailbox-frame-buffe.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0233-drm-vc4-Add-an-overlay-plane-to-vc4-firmware-kms.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0233-drm-vc4-Fix-build-warning.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0234-drm-vc4-Increase-max-screen-size-to-4096x4096.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0234-drm-vc4-Select-display-to-blank-during-initialisatio.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0235-drm-vc4-Add-support-for-multiple-displays-to-fkms.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0235-drm-vc4-Remove-now-unused-structure.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0236-drm-vc4-Fix-build-warning.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0236-drm-vc4-Query-the-display-ID-for-each-display-in-FKM.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0237-drm-vc4-Select-display-to-blank-during-initialisatio.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0237-drm-vc4-Set-the-display-number-when-querying-the-dis.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0238-drm-vc4-Need-to-call-drm_crtc_vblank_-on-off-from-vc.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0238-drm-vc4-Remove-now-unused-structure.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0239-drm-vc4-Add-support-for-H-V-flips-on-each-plane-for-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0239-drm-vc4-Query-the-display-ID-for-each-display-in-FKM.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0240-drm-vc4-Remove-unused-vc4_fkms_cancel_page_flip-func.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0240-drm-vc4-Set-the-display-number-when-querying-the-dis.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0241-drm-vc4-Iterate-over-all-planes-in-vc4_crtc_-dis-en-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0241-drm-vc4-Need-to-call-drm_crtc_vblank_-on-off-from-vc.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0242-drm-vc4-Add-support-for-H-V-flips-on-each-plane-for-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0242-drm-vc4-Bring-fkms-into-line-with-kms-in-blocking-do.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0243-drm-vc4-Increase-max_width-height-to-7680.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0243-drm-vc4-Remove-unused-vc4_fkms_cancel_page_flip-func.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0244-drm-vc4-FKMS-reads-the-EDID-from-fw-and-supports-mod.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0244-drm-vc4-Iterate-over-all-planes-in-vc4_crtc_-dis-en-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0245-drm-vc4-Bring-fkms-into-line-with-kms-in-blocking-do.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0245-drm-vc4-firmware-kms-Remove-incorrect-overscan-suppo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0246-drm-vc4-Increase-max_width-height-to-7680.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0246-drm-vc4-Log-flags-in-fkms-mode-set.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0247-drm-vc4-FKMS-reads-the-EDID-from-fw-and-supports-mod.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0247-drm-vc4-firmware-kms-Fix-DSI-display-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0248-drm-vc4-Probe-DPI-DSI-timings-from-the-firmware.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0248-drm-vc4-firmware-kms-Remove-incorrect-overscan-suppo.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0249-drm-vc4-Log-flags-in-fkms-mode-set.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0249-drm-vc4-handle-the-case-where-there-are-no-available.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0250-drm-vc4-Support-the-VEC-in-FKMS.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0250-drm-vc4-firmware-kms-Fix-DSI-display-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0251-drm-vc4-Fixup-typo-when-setting-HDMI-aspect-ratio.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0251-drm-vc4-Probe-DPI-DSI-timings-from-the-firmware.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0252-drm-vc4-Correct-SAND-support-for-FKMS.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0252-drm-vc4-handle-the-case-where-there-are-no-available.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0253-drm-vc4-Support-the-VEC-in-FKMS.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0253-drm-vc4-fkms-to-query-the-VPU-for-HDMI-clock-limits.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0254-drm-vc4-Fixup-typo-when-setting-HDMI-aspect-ratio.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0254-drm-vc4-Max-resolution-of-7680-is-conditional-on-bei.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0255-drm-vc4-Correct-SAND-support-for-FKMS.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0255-drm-vc4-Fix-T-format-modifiers-in-FKMS.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0256-drm-vc4-Remove-340MHz-clock-limit-from-FKMS-now-scra.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0256-drm-vc4-fkms-to-query-the-VPU-for-HDMI-clock-limits.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0257-drm-vc4-Add-status-of-which-display-is-updated-throu.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0257-drm-vc4-Max-resolution-of-7680-is-conditional-on-bei.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0258-drm-vc4-Fix-T-format-modifiers-in-FKMS.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0258-drm-vc4-In-FKMS-look-at-the-modifiers-correctly-for-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0259-drm-vc4-Limit-fkms-to-modes-85Hz.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0259-drm-vc4-Remove-340MHz-clock-limit-from-FKMS-now-scra.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0260-drm-vc4-Add-status-of-which-display-is-updated-throu.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0260-drm-vc4-Ignore-HVS-unless-initialised.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0261-drm-vc4-In-FKMS-look-at-the-modifiers-correctly-for-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0261-drm-vc4_dsi-Fix-DMA-channel-and-memory-leak-in-vc4-3.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0262-drm-vc4-Add-support-for-color-encoding-on-YUV-planes.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0262-drm-vc4-Limit-fkms-to-modes-85Hz.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0263-drm-vc4-Ignore-HVS-unless-initialised.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0263-tty-amba-pl011-Make-TX-optimisation-conditional.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0264-drm-vc4_dsi-Fix-DMA-channel-and-memory-leak-in-vc4-3.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0264-xhci-add-quirk-for-host-controllers-that-don-t-updat.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0265-drm-vc4-Add-support-for-color-encoding-on-YUV-planes.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0265-i2c-bcm2835-Set-clock-stretch-timeout-to-35ms.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0266-staging-vc04_services-fix-compiling-in-separate-dire.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0266-tty-amba-pl011-Make-TX-optimisation-conditional.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0267-clk-bcm2835-Avoid-null-pointer-exception.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0267-xhci-add-quirk-for-host-controllers-that-don-t-updat.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0268-drm-vc4-Prevent-load-tracking-from-breaking-FKMS.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0268-i2c-bcm2835-Set-clock-stretch-timeout-to-35ms.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0269-drm-v3d-HACK-gut-runtime-pm-for-now.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0269-staging-vc04_services-fix-compiling-in-separate-dire.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0270-clk-bcm2835-Avoid-null-pointer-exception.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0270-drm-v3d-Clock-V3D-down-when-not-in-use.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0271-According-to-5713-pdf-doc-CLOCK_CTRL-is-a-readonly-s.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0271-drm-vc4-Prevent-load-tracking-from-breaking-FKMS.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0272-drm-v3d-HACK-gut-runtime-pm-for-now.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0272-drm-vc4-Query-firmware-for-custom-HDMI-mode.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0273-drm-v3d-Clock-V3D-down-when-not-in-use.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0273-drm-vc4-Pass-the-drm-vrefresh-to-the-firmware-on-mod.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0274-According-to-5713-pdf-doc-CLOCK_CTRL-is-a-readonly-s.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0274-drm-vc4-Add-support-for-margins-to-fkms.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0275-drm-vc4-Ensure-zpos-is-always-initialised.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0275-drm-vc4-Query-firmware-for-custom-HDMI-mode.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0276-adds-the-Hifiberry-DAC-ADC-PRO-version.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0276-drm-vc4-Pass-the-drm-vrefresh-to-the-firmware-on-mod.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0277-drm-vc4-A-present-but-empty-dmas-disables-audio.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0277-drm-vc4-Add-support-for-margins-to-fkms.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0278-Fixup-FKMS-interrupt-handing-for-non-existent-displa.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0278-drm-vc4-Ensure-zpos-is-always-initialised.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0279-adds-the-Hifiberry-DAC-ADC-PRO-version.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0279-drivers-char-add-chardev-for-mmap-ing-the-RPiVid-con.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0280-drm-vc4-A-present-but-empty-dmas-disables-audio.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0280-hid-usb-Add-device-quirks-for-Freeway-Airmouse-T3-an.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0281-Fixup-FKMS-interrupt-handing-for-non-existent-displa.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0281-drm-vc4-Add-Broadcast-RGB-connector-property.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0282-drivers-char-add-chardev-for-mmap-ing-the-RPiVid-con.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0282-drm-vc4-fkms-Set-default-state-margin-at-reset.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0283-hid-usb-Add-device-quirks-for-Freeway-Airmouse-T3-an.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0283-staging-bcm2835-codec-switch-to-multi-planar-API.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0284-drm-vc4-Add-Broadcast-RGB-connector-property.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0284-staging-bcm2835-codec-implement-V4L2_CID_MIN_BUFFERS.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0285-drm-vc4-fkms-Set-default-state-margin-at-reset.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0285-staging-bcm2835-codec-set-device_caps-in-struct-vide.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0286-Add-HDMI1-facility-to-the-driver.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0286-staging-bcm2835-codec-switch-to-multi-planar-API.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0287-drm-vc4-Resolve-the-vblank-warnings-on-mode-switchin.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0287-staging-bcm2835-codec-implement-V4L2_CID_MIN_BUFFERS.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0288-drm-vc4-Remove-unused-mode-variable.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0288-staging-bcm2835-codec-set-device_caps-in-struct-vide.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0289-Add-HDMI1-facility-to-the-driver.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0289-staging-bcm2835-codec-Expand-logging-on-format-setti.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0290-drm-vc4-Resolve-the-vblank-warnings-on-mode-switchin.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0290-staging-bcm2835-codec-Correct-bytesperline-on-format.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0291-drm-vc4-Add-missing-NULL-check-to-vc4_crtc_consume_e.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0291-drm-vc4-Remove-unused-mode-variable.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0292-net-bcmgenet-Workaround-2-for-Pi4-Ethernet-fail.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0292-staging-bcm2835-codec-Expand-logging-on-format-setti.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0293-staging-bcm2835-codec-Correct-bytesperline-on-format.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0293-xhci-Use-more-event-ring-segment-table-entries.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0294-configs-arm64-bcm2711-Enable-V3D.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0294-drm-vc4-Add-missing-NULL-check-to-vc4_crtc_consume_e.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0295-net-bcmgenet-Workaround-2-for-Pi4-Ethernet-fail.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0295-staging-bcm2835-codec-add-support-for-V4L2_CID_MPEG_.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0296-staging-bcm2835-codec-remove-unnecessary-padding-on-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0296-xhci-Use-more-event-ring-segment-table-entries.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0297-arch-arm-Add-model-string-to-cpuinfo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0297-configs-arm64-bcm2711-Enable-V3D.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0298-arch-arm64-Add-Revision-Serial-Model-to-cpuinfo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0298-staging-bcm2835-codec-add-support-for-V4L2_CID_MPEG_.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0299-staging-bcm2835-codec-Fix-non-documentation-comment-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0299-staging-bcm2835-codec-remove-unnecessary-padding-on-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0300-arch-arm-Add-model-string-to-cpuinfo.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0300-staging-bcm2835-codec-Fix-declaration-of-roles.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0301-arch-arm64-Add-Revision-Serial-Model-to-cpuinfo.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0301-staging-bcm2835-codec-Add-role-to-device-name.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0302-staging-bcm2835-codec-Fix-non-documentation-comment-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0302-staging-bcm2835-codec-Pass-driver-context-to-create-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0303-staging-bcm2835-codec-Fix-declaration-of-roles.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0303-staging-bcm2835-codec-add-media-controller-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0304-staging-bcm2835-codec-Add-role-to-device-name.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0304-v4l2-Add-a-Greyworld-AWB-mode.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0305-staging-bcm2835-camera-Add-greyworld-AWB-mode.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0305-staging-bcm2835-codec-Pass-driver-context-to-create-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0306-drm-vc4-Fix-for-margins-in-composite-SDTV-mode-3223.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0306-staging-bcm2835-codec-add-media-controller-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0307-Add-Hifiberry-DAC-DSP-soundcard-driver-3224.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0307-v4l2-Add-a-Greyworld-AWB-mode.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0308-staging-bcm2835-camera-Add-greyworld-AWB-mode.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0308-staging-bcm2835-codec-Allow-height-of-1920.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0309-drm-vc4-Fix-for-margins-in-composite-SDTV-mode-3223.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0309-staging-bcm2835-codec-Correct-g-s_selection-API-MPLA.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0310-Add-Hifiberry-DAC-DSP-soundcard-driver-3224.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0310-drm-v3d-Delete-pm_runtime-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0311-dts-Add-DTS-for-Pi-2B-rev-1.2-with-BCM2837-3235.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0311-staging-bcm2835-codec-Allow-height-of-1920.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0312-drm-v3d-clean-caches-at-the-end-of-render-jobs-on-re.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0312-staging-bcm2835-codec-Correct-g-s_selection-API-MPLA.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0313-drm-v3d-Delete-pm_runtime-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0313-kbuild-Allow-.dtbo-overlays-to-be-built-piecemeal.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0314-dma-direct-Temporary-DMA-fix-on-arm64.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0314-dts-Add-DTS-for-Pi-2B-rev-1.2-with-BCM2837-3235.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0315-ARM-bcm-Switch-board-clk-and-pinctrl-to-bcm2711-comp.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0315-drm-v3d-clean-caches-at-the-end-of-render-jobs-on-re.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0316-kbuild-Allow-.dtbo-overlays-to-be-built-piecemeal.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0316-pinctrl-bcm2835-Add-support-for-BCM2711-pull-up-func.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0317-dma-direct-Temporary-DMA-fix-on-arm64.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0317-vchiq_2835_arm-suppress-warning.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0318-ARM-bcm-Switch-board-clk-and-pinctrl-to-bcm2711-comp.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0318-Rename-HDMI-ALSA-device-names-check-for-enable-state.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0319-drm-vc4-Add-support-for-YUV-color-encodings-and-rang.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0319-pinctrl-bcm2835-Add-support-for-BCM2711-pull-up-func.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0320-drm-vc4-Correct-handling-of-rotation-parameter-in-fk.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0320-vchiq_2835_arm-suppress-warning.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0321-Rename-HDMI-ALSA-device-names-check-for-enable-state.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0321-dt-bindings-Add-binding-for-the-Infineon-IRS1125-sen.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0322-drm-vc4-Add-support-for-YUV-color-encodings-and-rang.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0322-media-i2c-Add-a-driver-for-the-Infineon-IRS1125-dept.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0323-drm-vc4-Correct-handling-of-rotation-parameter-in-fk.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0323-staging-bcm2835-codec-Add-support-for-ENUM_FRAMESIZE.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0324-dt-bindings-Add-binding-for-the-Infineon-IRS1125-sen.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0324-staging-bcm2835-codec-Correct-buffer-type-check-on-G.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0325-media-i2c-Add-a-driver-for-the-Infineon-IRS1125-dept.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0325-staging-bcm2835-codec-Set-default-and-error-check-ti.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0326-staging-bcm2835-codec-Add-support-for-ENUM_FRAMESIZE.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0326-staging-bcm2835-codec-Fix-imbalance-in-dma_buf_get-d.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0327-drm-vc4-Added-calls-for-firmware-display-blank-unbla.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0327-staging-bcm2835-codec-Correct-buffer-type-check-on-G.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0328-Revert-pinctrl-bcm2835-Pass-irqchip-when-adding-gpio.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0328-staging-bcm2835-codec-Set-default-and-error-check-ti.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0329-drm-v3d-Don-t-clear-MMU-control-bits-on-exception.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0329-staging-bcm2835-codec-Fix-imbalance-in-dma_buf_get-d.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0330-drm-v3d-Suppress-all-but-the-first-MMU-error.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0330-drm-vc4-Added-calls-for-firmware-display-blank-unbla.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0331-Revert-pinctrl-bcm2835-Pass-irqchip-when-adding-gpio.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0331-drm-v3d-Plug-dma_fence-leak.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0332-drm-v3d-Don-t-clear-MMU-control-bits-on-exception.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0332-staging-vchiq_arm-Register-vcsm-cma-as-a-platform-dr.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0333-drm-v3d-Suppress-all-but-the-first-MMU-error.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0333-staging-vchiq_arm-Register-bcm2835-codec-as-a-platfo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0334-drm-v3d-Plug-dma_fence-leak.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0334-staging-bcm2835-codec-Fix-potential-memory-leak-of-i.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0335-net-bcmgenet-The-second-IRQ-is-optional.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0335-staging-vchiq_arm-Register-vcsm-cma-as-a-platform-dr.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0336-drm-v3d-The-third-IRQ-is-optional.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0336-staging-vchiq_arm-Register-bcm2835-codec-as-a-platfo.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0337-dwc_otg-Declare-DMA-capability-with-HCD_DMA-flag.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0337-staging-bcm2835-codec-Fix-potential-memory-leak-of-i.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0338-rpi-poe-fan-fix-def_pwm1-writes.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0339-net-bcmgenet-The-second-IRQ-is-optional.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0339-net-phy-2711-Allow-ethernet-LED-mode-to-be-set-via-d.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0340-drm-v3d-The-third-IRQ-is-optional.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0340-overlays-smi-fix-typo-in-comment-3320.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0341-dwc_otg-Declare-DMA-capability-with-HCD_DMA-flag.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0341-net-phy-2711-Change-the-default-ethernet-LED-actions.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0342-overlays-Add-apds9960-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0342-rpi-poe-fan-fix-def_pwm1-writes.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0343-net-phy-2711-Allow-ethernet-LED-mode-to-be-set-via-d.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0343-overlays-Remove-hack-from-uart0-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0344-arm-dts-overlays-pitft35-resistive-add-upstream-comp.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0344-overlays-smi-fix-typo-in-comment-3320.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0345-net-phy-2711-Change-the-default-ethernet-LED-actions.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0345-v3d_drv-Handle-missing-clock-more-gracefully.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0346-overlays-Add-apds9960-overlay.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0346-v3d_gem-Kick-the-clock-so-firmware-knows-we-are-usin.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0347-clk-bcm2835-Disable-v3d-clock.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0347-overlays-Remove-hack-from-uart0-overlay.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0348-arm-dts-Correct-Pi-4B-LED-values.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0348-arm-dts-overlays-pitft35-resistive-add-upstream-comp.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0349-drm-v3d-Set-dma_mask-as-well-as-coherent_dma_mask.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0349-v3d_drv-Handle-missing-clock-more-gracefully.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0350-arm-dts-2711-Add-pcie0-alias.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0350-v3d_gem-Kick-the-clock-so-firmware-knows-we-are-usin.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0351-clk-bcm2835-Disable-v3d-clock.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0351-rpi-cirrus-wm5102-overlay-fix-pinctrl-configuration.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0352-raspberrypi-cpufreq-Only-report-integer-pll-divisor-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0352-staging-vchiq_arm-Set-up-dma-ranges-on-child-devices.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0353-arm-dts-Correct-Pi-4B-LED-values.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0353-staging-vchiq-Use-the-old-dma-controller-for-OF-conf.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0354-drm-v3d-Set-dma_mask-as-well-as-coherent_dma_mask.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0354-dwc_otg-checking-the-urb-transfer_buffer-too-early-3.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0355-arm-dts-2711-Add-pcie0-alias.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0355-overlays-Make-mcp342x-run-time-compatible.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0356-rpi-cirrus-wm5102-overlay-fix-pinctrl-configuration.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0356-rpi-cirrus-wm5102-overlay-use-reset-gpios-instead-of.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0357-sound-soc-only-first-codec-is-master-in-multicodec-s.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0357-staging-vchiq_arm-Set-up-dma-ranges-on-child-devices.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0358-Allow-simultaneous-use-of-JustBoom-DAC-and-Digi.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0358-staging-vchiq-Use-the-old-dma-controller-for-OF-conf.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0359-dwc_otg-checking-the-urb-transfer_buffer-too-early-3.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0359-overlays-dht11-Allow-multiple-instantiation.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0360-overlays-Make-mcp342x-run-time-compatible.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0360-overlays-i2c-rtc-Add-pcf85363-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0361-pinctrl-bcm2835-Remove-gpiochip-on-error.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0361-rpi-cirrus-wm5102-overlay-use-reset-gpios-instead-of.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0362-pinctrl-bcm2835-Change-init-order-for-gpio-hogs.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0362-sound-soc-only-first-codec-is-master-in-multicodec-s.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0363-Allow-simultaneous-use-of-JustBoom-DAC-and-Digi.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0363-Pisound-MIDI-communication-fixes-for-scaled-down-CPU.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0364-ARM-dts-bcm283x-Remove-simple-bus-from-fixed-clocks.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0364-overlays-dht11-Allow-multiple-instantiation.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0365-ARM-dts-bcm283x-Move-system-timer-back-to-bcm283x.dt.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0365-overlays-i2c-rtc-Add-pcf85363-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0366-ARM-dts-bcm283x-Move-pixelvalve-to-bcm2835-common.dt.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0366-pinctrl-bcm2835-Remove-gpiochip-on-error.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0367-ARM-dts-bcm2838-rpi-4-b-Fix-memory-node.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0367-pinctrl-bcm2835-Change-init-order-for-gpio-hogs.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0368-ARM-dts-bcm2838-rpi-4-b-Backport-BT-part-from-upstre.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0368-Pisound-MIDI-communication-fixes-for-scaled-down-CPU.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0369-ARM-dts-bcm2838-Backport-node-names-from-upstream.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0369-ARM-dts-bcm283x-Remove-simple-bus-from-fixed-clocks.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0370-ARM-dts-bcm283x-Move-intc-label-to-bcm2835-common.dt.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0370-ARM-dts-bcm283x-Move-system-timer-back-to-bcm283x.dt.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0371-ARM-dts-bcm2838-Remove-always-on-from-armv7-timer.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0371-ARM-dts-bcm283x-Move-pixelvalve-to-bcm2835-common.dt.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0372-ARM-dts-bcm2838-rpi-4-b-Fix-memory-node.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0372-net-bcmgenet-Add-RGMII_RXID-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0373-ARM-dts-bcm2838-Backport-genet-from-upstream.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0373-ARM-dts-bcm2838-rpi-4-b-Backport-BT-part-from-upstre.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0374-ARM-bcm-Backport-BCM2711-support-from-upstream.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0374-ARM-dts-bcm2838-Backport-node-names-from-upstream.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0375-ARM-dts-bcm283x-Move-intc-label-to-bcm2835-common.dt.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0375-hwrng-iproc-rng200-Add-support-for-BCM2711.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0376-ARM-dts-bcm2838-Add-upstream-RNG-compatible.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0376-ARM-dts-bcm2838-Remove-always-on-from-armv7-timer.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0377-driver-char-rpivid-Destroy-the-legacy-device-on-remo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0377-net-bcmgenet-Add-RGMII_RXID-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0378-ARM-dts-bcm2838-Backport-genet-from-upstream.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0378-driver-char-rpivid-Clean-up-error-handling-use-of-ER.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0379-ARM-bcm-Backport-BCM2711-support-from-upstream.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0379-driver-char-rpivid-Add-error-handling-to-the-legacy-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0380-driver-char-rpivid-Fix-coding-style-whitespace-issue.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0380-hwrng-iproc-rng200-Add-support-for-BCM2711.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0381-ARM-dts-bcm2838-Add-upstream-RNG-compatible.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0381-driver-char-rpimem-Add-SPDX-licence-header.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0382-driver-char-rpivid-Destroy-the-legacy-device-on-remo.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0382-driver-char-rpivid-Fix-access-to-freed-memory.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0383-add-BME680-to-i2c-sensor-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0383-driver-char-rpivid-Clean-up-error-handling-use-of-ER.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0384-driver-char-rpivid-Add-error-handling-to-the-legacy-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0384-dwc_otg-constrain-endpoint-max-packet-and-transfer-s.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0385-driver-char-rpivid-Fix-coding-style-whitespace-issue.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0385-dwc_otg-fiq_fsm-pause-when-cancelling-split-transact.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0386-driver-char-rpimem-Add-SPDX-licence-header.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0386-dwc_otg-fiq_fsm-add-a-barrier-on-entry-into-FIQ-hand.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0387-Add-universal-device-tree-overlay-for-SPI-devices.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0387-driver-char-rpivid-Fix-access-to-freed-memory.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0388-add-BME680-to-i2c-sensor-overlay.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0388-sound-Add-the-HiFiBerry-DAC-HD-version.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0389-Initialise-rpi-firmware-before-clk-bcm2835.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0389-dwc_otg-constrain-endpoint-max-packet-and-transfer-s.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0390-Fix-master-mode-settings-of-HiFiBerry-DAC-ADC-PRO-ca.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0390-dwc_otg-fiq_fsm-pause-when-cancelling-split-transact.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0391-dwc_otg-fiq_fsm-add-a-barrier-on-entry-into-FIQ-hand.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0391-overlays-Use-preferred-compatible-strings.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0392-Add-universal-device-tree-overlay-for-SPI-devices.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0392-tty-amba-pl011-Add-un-throttle-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0393-Fix-i2c-pwm-pca9685a-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0393-sound-Add-the-HiFiBerry-DAC-HD-version.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0394-Initialise-rpi-firmware-before-clk-bcm2835.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0394-adds-LED-OFF-feature-to-HiFiBerry-DAC-ADC-PRO-sound-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0395-Fix-master-mode-settings-of-HiFiBerry-DAC-ADC-PRO-ca.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0395-adds-LED-OFF-feature-to-HiFiBerry-DAC-ADC-sound-card.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0396-adds-LED-OFF-feature-to-HiFiBerry-DAC-DAC-PRO-sound-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0396-overlays-Use-preferred-compatible-strings.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0397-pisound-Added-reading-Pisound-board-hardware-revisio.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0397-tty-amba-pl011-Add-un-throttle-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0398-Fix-i2c-pwm-pca9685a-overlay.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0398-mmc-sdhci-iproc-Fix-vmmc-regulators-on-iProc.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0399-ARM-dts-Declare-RPi-4B-SD-card-power-regulator.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0399-adds-LED-OFF-feature-to-HiFiBerry-DAC-ADC-PRO-sound-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0400-adds-LED-OFF-feature-to-HiFiBerry-DAC-ADC-sound-card.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0400-bcm2838.dtsi-Use-BCM2711-PCIe-compatible-string.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0401-ARM-dts-Remove-bcm2838-rpi-4-b.dts.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0401-adds-LED-OFF-feature-to-HiFiBerry-DAC-DAC-PRO-sound-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0402-pisound-Added-reading-Pisound-board-hardware-revisio.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0402-tty-amba-pl011-Avoid-rare-write-when-full-error.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0403-mmc-sdhci-iproc-Fix-vmmc-regulators-on-iProc.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0403-usb-xhci-Raspberry-Pi-FW-loader-for-VIA-VL805.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0404-ARM-dts-Declare-RPi-4B-SD-card-power-regulator.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0404-overlays-Correct-the-eth_led-colour-assignments.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0405-ARM-dts-Add-sd_poll_once-dtparam-to-bcm283x-2711.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0405-bcm2838.dtsi-Use-BCM2711-PCIe-compatible-string.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0406-ARM-dts-Remove-bcm2838-rpi-4-b.dts.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0406-overlays-Add-ssd1306-spi-ssh1106-spi-ssd-1351-spi.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0407-overlays-dwc2-Increase-RX-FIFO-size.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0407-tty-amba-pl011-Avoid-rare-write-when-full-error.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0408-overlays-Fix-mcp23017-s-addr-parameter.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0408-usb-xhci-Raspberry-Pi-FW-loader-for-VIA-VL805.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0409-SQUASH-Fix-spi-driver-compiler-warnings.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0409-overlays-Correct-the-eth_led-colour-assignments.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0410-ARM-dts-Add-sd_poll_once-dtparam-to-bcm283x-2711.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0410-overlays-add-hdmi-backlight-hwhack-gpio-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0411-ARM-dts-Revert-all-changes-to-upstream-dts-files.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0411-overlays-Add-ssd1306-spi-ssh1106-spi-ssd-1351-spi.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0412-ARM-dts-Clean-out-downstream-BCM2711-2838-files.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0412-overlays-dwc2-Increase-RX-FIFO-size.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0413-ARM-dts-Add-minimal-Raspberry-Pi-4-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0413-overlays-Fix-mcp23017-s-addr-parameter.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0414-ARM-dts-bcm2711-force-CMA-into-first-GB-of-memory.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0414-SQUASH-Fix-spi-driver-compiler-warnings.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0415-ARM-dts-bcm2711-rpi-4-Enable-GENET-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0415-overlays-add-hdmi-backlight-hwhack-gpio-overlay.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0416-ARM-dts-Revert-all-changes-to-upstream-dts-files.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0416-ARM-dts-bcm2711-fix-soc-s-node-dma-ranges.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0417-ARM-dts-Clean-out-downstream-BCM2711-2838-files.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0417-ARM-dts-Rebuild-downstream-DTS-files.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0418-ARM-dts-Add-minimal-Raspberry-Pi-4-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0418-staging-vchiq_arm-Fix-bcm2711-compatible-string.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0419-ARM-dts-bcm2711-force-CMA-into-first-GB-of-memory.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0419-hwrng-iproc-rng200-Correct-SoC-name.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0420-ARM-dts-Correct-SoC-name.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0420-ARM-dts-bcm2711-rpi-4-Enable-GENET-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0421-ARM-dts-Remove-CMA-allocation-from-Pi-4-dts.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0421-ARM-dts-bcm2711-fix-soc-s-node-dma-ranges.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0422-ARM-dts-Rebuild-downstream-DTS-files.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0422-staging-vchiq_arm-Give-vchiq-children-DT-nodes.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0423-ARM-dts-Move-audio-node-under-the-vchiq-parent.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0423-staging-vchiq_arm-Fix-bcm2711-compatible-string.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0424-ARM-dts-overlays-Create-custom-clocks-in.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0424-hwrng-iproc-rng200-Correct-SoC-name.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0425-ARM-dts-Correct-SoC-name.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0425-staging-vc04_services-Fix-vcsm-overflow-bug-when-cou.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0426-ARM-dts-Remove-CMA-allocation-from-Pi-4-dts.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0426-overlays-Add-timeout_ms-parameter-to-gpio-poweroff.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0427-of-overlay-Correct-symbol-path-fixups.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0427-staging-vchiq_arm-Give-vchiq-children-DT-nodes.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0428-overlays-sc16ic750-i2c-Fix-xtal-parameter.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0429-ARM-dts-Move-audio-node-under-the-vchiq-parent.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0429-of-address-Introduce-of_get_next_dma_parent-helper.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0430-ARM-dts-overlays-Create-custom-clocks-in.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0430-of-address-Follow-DMA-parent-for-dma-coherent.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0431-of-Factor-out-addr-size-cells-parsing.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0431-staging-vc04_services-Fix-vcsm-overflow-bug-when-cou.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0432-of-address-Translate-dma-ranges-for-parent-nodes-mis.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0432-overlays-Add-timeout_ms-parameter-to-gpio-poweroff.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0433-of-Make-of_dma_get_range-work-on-bus-nodes.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0433-of-overlay-Correct-symbol-path-fixups.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0434-arm64-mm-use-arm64_dma_phys_limit-instead-of-calling.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0434-overlays-sc16ic750-i2c-Fix-xtal-parameter.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0435-arm64-rename-variables-used-to-calculate-ZONE_DMA32-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0435-of-address-Introduce-of_get_next_dma_parent-helper.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0436-arm64-use-both-ZONE_DMA-and-ZONE_DMA32.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0436-of-address-Follow-DMA-parent-for-dma-coherent.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0437-mm-refresh-ZONE_DMA-and-ZONE_DMA32-comments-in-enum-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0437-of-Factor-out-addr-size-cells-parsing.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0438-of-address-Translate-dma-ranges-for-parent-nodes-mis.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0438-resource-Add-a-resource_list_first_type-helper.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0439-dma-direct-turn-ARCH_ZONE_DMA_BITS-into-a-variable.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0439-of-Make-of_dma_get_range-work-on-bus-nodes.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0440-arm64-mm-use-arm64_dma_phys_limit-instead-of-calling.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0440-x86-PCI-sta2x11-use-default-DMA-address-translation.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0441-PCI-of-Add-inbound-resource-parsing-to-helpers.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0441-arm64-rename-variables-used-to-calculate-ZONE_DMA32-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0442-arm64-use-both-ZONE_DMA-and-ZONE_DMA32.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0442-dma-direct-unify-the-dma_capable-definitions.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0443-dma-direct-avoid-a-forward-declaration-for-phys_to_d.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0443-mm-refresh-ZONE_DMA-and-ZONE_DMA32-comments-in-enum-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0444-dma-direct-exclude-dma_direct_map_resource-from-the-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0444-resource-Add-a-resource_list_first_type-helper.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0445-dma-direct-turn-ARCH_ZONE_DMA_BITS-into-a-variable.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0445-dma-mapping-treat-dev-bus_dma_mask-as-a-DMA-limit.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0446-ARM-dts-bcm2711-Enable-PCIe-controller.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0446-x86-PCI-sta2x11-use-default-DMA-address-translation.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0447-PCI-brcmstb-Add-Broadcom-STB-PCIe-host-controller-dr.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0447-PCI-of-Add-inbound-resource-parsing-to-helpers.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0448-PCI-brcmstb-Add-MSI-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0448-dma-direct-unify-the-dma_capable-definitions.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0449-PCI-brcmstb-Fix-build-on-32bit-ARM-platforms-with-ol.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0449-dma-direct-avoid-a-forward-declaration-for-phys_to_d.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0450-bcm2711-rpi.dtsi-Use-upstream-pcie-node.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0450-dma-direct-exclude-dma_direct_map_resource-from-the-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0451-dma-mapping-treat-dev-bus_dma_mask-as-a-DMA-limit.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0451-media-dt-bindings-media-i2c-Add-IMX219-CMOS-sensor-b.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0452-ARM-dts-bcm2711-Enable-PCIe-controller.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0452-media-i2c-Add-driver-for-Sony-IMX219-sensor.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0453-PCI-brcmstb-Add-Broadcom-STB-PCIe-host-controller-dr.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0453-overlays-imx219-Correct-link-frequency-to-match-the-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0454-Kbuild-Allow-.dtbo-overlays-to-be-built-adjust.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0454-PCI-brcmstb-Add-MSI-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0455-PCI-brcmstb-Fix-build-on-32bit-ARM-platforms-with-ol.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0455-media-ov5647-Fix-return-codes-from-ov5647_write-ov56.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0456-bcm2711-rpi.dtsi-Use-upstream-pcie-node.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0456-media-ov5647-Add-basic-support-for-multiple-sensor-m.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0457-media-dt-bindings-media-i2c-Add-IMX219-CMOS-sensor-b.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0457-media-ov5647-Add-V4L2-controls-for-analogue-gain-exp.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0458-media-i2c-Add-driver-for-Sony-IMX219-sensor.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0458-media-ov5647-Add-extra-10-bit-sensor-modes.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0459-media-ov5647-change-defaults-to-better-match-raw-cam.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0459-overlays-imx219-Correct-link-frequency-to-match-the-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0460-Kbuild-Allow-.dtbo-overlays-to-be-built-adjust.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0460-drm-vc4-fkms-Change-crtc_state-structure-name-to-avo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0461-drm-fourcc-Add-packed-10bit-YUV-4-2-0-format.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0461-media-ov5647-Fix-return-codes-from-ov5647_write-ov56.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0462-drm-vc4-Add-DRM_FORMAT_P030-support-to-firmware-kms.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0462-media-ov5647-Add-basic-support-for-multiple-sensor-m.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0463-gpio-ir-overlay-add-parameter-to-configure-signal-po.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0463-media-ov5647-Add-V4L2-controls-for-analogue-gain-exp.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0464-Add-support-for-merus-amp-soundcard-and-ma120x0p-cod.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0464-media-ov5647-Add-extra-10-bit-sensor-modes.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0465-ARM-dts-bcm2711-Add-32-bit-PMU-compatibility.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0465-media-ov5647-change-defaults-to-better-match-raw-cam.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0466-ARM-dts-bcm271x-Use-a53-pmu-drop-RPI364.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0466-drm-vc4-fkms-Change-crtc_state-structure-name-to-avo.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0467-drm-fourcc-Add-packed-10bit-YUV-4-2-0-format.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0467-net-bcmgenet-Clear-ID_MODE_DIS-in-EXT_RGMII_OOB_CTRL.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0468-drm-modes-parse_cmdline-Fix-possible-reference-past-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0468-drm-vc4-Add-DRM_FORMAT_P030-support-to-firmware-kms.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0469-drm-modes-parse_cmdline-Make-various-char-pointers-c.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0469-gpio-ir-overlay-add-parameter-to-configure-signal-po.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0470-Add-support-for-merus-amp-soundcard-and-ma120x0p-cod.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0470-drm-modes-parse_cmdline-Stop-parsing-extras-after-bp.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0471-ARM-dts-bcm2711-Add-32-bit-PMU-compatibility.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0471-drm-modes-parse_cmdline-Accept-extras-directly-after.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0472-ARM-dts-bcm271x-Use-a53-pmu-drop-RPI364.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0472-drm-modes-parse_cmdline-Rework-drm_mode_parse_cmdlin.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0473-drm-modes-parse_cmdline-Add-freestanding-argument-to.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0473-net-bcmgenet-Clear-ID_MODE_DIS-in-EXT_RGMII_OOB_CTRL.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0474-drm-modes-parse_cmdline-Fix-possible-reference-past-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0474-drm-modes-parse_cmdline-Set-bpp-refresh_specified-af.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0475-drm-modes-parse_cmdline-Allow-specifying-stand-alone.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0475-drm-modes-parse_cmdline-Make-various-char-pointers-c.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0476-drm-modes-parse_cmdline-Add-support-for-specifying-p.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0476-drm-modes-parse_cmdline-Stop-parsing-extras-after-bp.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0477-drm-modes-parse_cmdline-Accept-extras-directly-after.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0477-drm-modes-parse_cmdline-Remove-some-unnecessary-code.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0478-drm-modes-parse_cmdline-Explicitly-memset-the-passed.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0478-drm-modes-parse_cmdline-Rework-drm_mode_parse_cmdlin.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0479-drm-modes-parse_cmdline-Add-freestanding-argument-to.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0479-drm-v3d-Replace-wait_for-macros-to-remove-use-of-msl.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0480-Reduce-noise-from-rpi-poe-hat-fan.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0480-drm-modes-parse_cmdline-Set-bpp-refresh_specified-af.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0481-add-Sensirion-SPS30-to-i2c-sensor-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0481-drm-modes-parse_cmdline-Allow-specifying-stand-alone.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0482-drm-modes-parse_cmdline-Add-support-for-specifying-p.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0482-media-add-V4L2_CTRL_TYPE_AREA-control-type.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0483-drm-modes-parse_cmdline-Remove-some-unnecessary-code.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0483-media-add-V4L2_CID_UNIT_CELL_SIZE-control.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0484-drm-modes-parse_cmdline-Explicitly-memset-the-passed.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0484-media-v4l2-common-add-pixel-encoding-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0485-drm-v3d-Replace-wait_for-macros-to-remove-use-of-msl.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0485-media-v4l2-common-add-RGB565-and-RGB55-to-v4l2_forma.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0486-Reduce-noise-from-rpi-poe-hat-fan.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0486-media-vb2-add-V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0487-add-Sensirion-SPS30-to-i2c-sensor-overlay.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0487-media-v4l2-mem2mem-support-held-capture-buffers.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0488-media-add-V4L2_CTRL_TYPE_AREA-control-type.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0488-media-videodev2.h-add-V4L2_DEC_CMD_FLUSH.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0489-media-add-V4L2_CID_UNIT_CELL_SIZE-control.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0489-media-v4l2-mem2mem-add-stateless_-try_-decoder_cmd-i.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0490-media-v4l2-common-add-pixel-encoding-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0490-media-v4l2-mem2mem-add-new_frame-detection.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0491-media-Documentation-media-Document-V4L2_CTRL_TYPE_AR.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0491-media-v4l2-common-add-RGB565-and-RGB55-to-v4l2_forma.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0492-media-v4l-Add-definitions-for-HEVC-stateless-decodin.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0492-media-vb2-add-V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0493-media-v4l2-mem2mem-Fix-hold-buf-flag-checks.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0493-media-v4l2-mem2mem-support-held-capture-buffers.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0494-media-pixfmt-Document-the-HEVC-slice-pixel-format.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0494-media-videodev2.h-add-V4L2_DEC_CMD_FLUSH.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0495-media-uapi-hevc-Add-scaling-matrix-control.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0495-media-v4l2-mem2mem-add-stateless_-try_-decoder_cmd-i.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0496-media-uapi-hevc-Add-segment-address-field.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0496-media-v4l2-mem2mem-add-new_frame-detection.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0497-media-Documentation-media-Document-V4L2_CTRL_TYPE_AR.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0497-media-hevc_ctrls-Add-slice-param-dependent-slice-seg.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0498-media-uapi-Add-hevc-ctrls-for-WPP-decoding.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0498-media-v4l-Add-definitions-for-HEVC-stateless-decodin.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0499-media-v4l2-mem2mem-Fix-hold-buf-flag-checks.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0499-media-videodev2.h-Add-a-format-for-column-YUV4-2-0-m.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0500-media-pixfmt-Document-the-HEVC-slice-pixel-format.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0500-media-v4l2-mem2mem-allow-request-job-buffer-processi.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0501-media-dt-bindings-media-Add-binding-for-the-Raspberr.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0501-media-uapi-hevc-Add-scaling-matrix-control.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0502-media-uapi-hevc-Add-segment-address-field.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0502-staging-media-Add-Raspberry-Pi-V4L2-H265-decoder.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0503-dtoverlays-Add-overlay-to-enable-the-HEVC-V4L2-drive.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0503-media-hevc_ctrls-Add-slice-param-dependent-slice-seg.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0504-media-uapi-Add-hevc-ctrls-for-WPP-decoding.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0504-mmc-sdhci-Silence-MMC-warnings.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0505-dt-bindings-i2c-brcmstb-Convert-the-BRCMSTB-binding-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0505-media-videodev2.h-Add-a-format-for-column-YUV4-2-0-m.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0506-dt-bindings-i2c-brcmstb-Add-BCM2711-BSC-AUTO-I2C-bin.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0506-media-v4l2-mem2mem-allow-request-job-buffer-processi.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0507-i2c-brcmstb-Support-BCM2711-HDMI-BSC-controllers.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0507-media-dt-bindings-media-Add-binding-for-the-Raspberr.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0508-i2c-brcmstb-Allow-to-compile-it-on-BCM2835.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0508-staging-media-Add-Raspberry-Pi-V4L2-H265-decoder.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0509-dt-bindings-clock-Add-a-binding-for-the-RPi-Firmware.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0509-dtoverlays-Add-overlay-to-enable-the-HEVC-V4L2-drive.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0510-clk-bcm-rpi-Allow-the-driver-to-be-probed-by-DT.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0510-mmc-sdhci-Silence-MMC-warnings.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0511-clk-bcm-rpi-Statically-init-clk_init_data.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0511-dt-bindings-i2c-brcmstb-Convert-the-BRCMSTB-binding-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0512-clk-bcm-rpi-Use-clk_hw_register-for-pllb_arm.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0512-dt-bindings-i2c-brcmstb-Add-BCM2711-BSC-AUTO-I2C-bin.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0513-clk-bcm-rpi-Remove-global-pllb_arm-clock-pointer.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0513-i2c-brcmstb-Support-BCM2711-HDMI-BSC-controllers.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0514-clk-bcm-rpi-Make-sure-pllb_arm-is-removed.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0514-i2c-brcmstb-Allow-to-compile-it-on-BCM2835.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0515-clk-bcm-rpi-Remove-pllb_arm_lookup-global-pointer.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0515-dt-bindings-clock-Add-a-binding-for-the-RPi-Firmware.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0516-clk-bcm-rpi-Allow-the-driver-to-be-probed-by-DT.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0516-clk-bcm-rpi-Switch-to-clk_hw_register_clkdev.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0517-clk-bcm-rpi-Make-sure-the-clkdev-lookup-is-removed.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0517-clk-bcm-rpi-Statically-init-clk_init_data.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0518-clk-bcm-rpi-Create-a-data-structure-for-the-clocks.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0518-clk-bcm-rpi-Use-clk_hw_register-for-pllb_arm.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0519-clk-bcm-rpi-Add-clock-id-to-data.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0519-clk-bcm-rpi-Remove-global-pllb_arm-clock-pointer.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0520-clk-bcm-rpi-Make-sure-pllb_arm-is-removed.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0520-clk-bcm-rpi-Pass-the-clocks-data-to-the-firmware-fun.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0521-clk-bcm-rpi-Remove-pllb_arm_lookup-global-pointer.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0521-clk-bcm-rpi-Rename-is_prepared-function.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0522-clk-bcm-rpi-Split-pllb-clock-hooks.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0522-clk-bcm-rpi-Switch-to-clk_hw_register_clkdev.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0523-clk-bcm-rpi-Make-sure-the-clkdev-lookup-is-removed.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0523-clk-bcm-rpi-Make-the-PLLB-registration-function-retu.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0524-clk-bcm-rpi-Add-DT-provider-for-the-clocks.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0524-clk-bcm-rpi-Create-a-data-structure-for-the-clocks.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0525-clk-bcm-rpi-Add-clock-id-to-data.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0525-clk-bcm-rpi-Discover-the-firmware-clocks.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0526-ARM-dts-bcm2711-Add-firmware-clocks-node.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0526-clk-bcm-rpi-Pass-the-clocks-data-to-the-firmware-fun.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0527-clk-bcm-rpi-Rename-is_prepared-function.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0527-reset-Move-reset-simple-header-out-of-drivers-reset.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0528-clk-bcm-rpi-Split-pllb-clock-hooks.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0528-reset-simple-Add-reset-callback.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0529-clk-bcm-rpi-Make-the-PLLB-registration-function-retu.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0529-dt-bindings-clock-Add-BCM2711-DVP-binding.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0530-clk-bcm-Add-BCM2711-DVP-driver.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0530-clk-bcm-rpi-Add-DT-provider-for-the-clocks.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0531-ARM-dts-bcm2711-Add-HDMI-DVP.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0531-clk-bcm-rpi-Discover-the-firmware-clocks.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0532-ARM-dts-bcm2711-Add-firmware-clocks-node.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0532-dt-bindings-display-Convert-VC4-bindings-to-schemas.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0533-dt-bindings-display-vc4-dpi-Add-missing-clock-names-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0533-reset-Move-reset-simple-header-out-of-drivers-reset.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0534-dt-bindings-display-vc4-dsi-Add-missing-clock-proper.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0534-reset-simple-Add-reset-callback.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0535-dt-bindings-clock-Add-BCM2711-DVP-binding.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0535-dt-bindings-display-vc4-hdmi-Add-missing-clock-names.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0536-clk-bcm-Add-BCM2711-DVP-driver.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0536-dt-bindings-display-vc4-Document-BCM2711-VC5.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0537-ARM-dts-bcm2711-Add-HDMI-DVP.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0537-drm-vc4-drv-Add-include-guards.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0538-drm-vc4-drv-Support-BCM2711.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0538-dt-bindings-display-Convert-VC4-bindings-to-schemas.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0539-drm-vc4-drv-Add-support-for-the-BCM2711-HVS5.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0539-dt-bindings-display-vc4-dpi-Add-missing-clock-names-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0540-drm-vc4-plane-Improve-LBM-usage.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0540-dt-bindings-display-vc4-dsi-Add-missing-clock-proper.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0541-drm-vc4-plane-Move-planes-creation-to-its-own-functi.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0541-dt-bindings-display-vc4-hdmi-Add-missing-clock-names.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0542-drm-vc4-plane-Move-additional-planes-creation-to-dri.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0542-dt-bindings-display-vc4-Document-BCM2711-VC5.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0543-drm-vc4-drv-Add-include-guards.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0543-drm-vc4-plane-Register-all-the-planes-at-once.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0544-drm-vc4-drv-Support-BCM2711.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0544-drm-vc4-plane-Create-overlays-for-any-CRTC.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0545-drm-vc4-drv-Add-support-for-the-BCM2711-HVS5.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0545-drm-vc4-plane-Create-more-planes.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0546-drm-vc4-crtc-Rename-SoC-data-structures.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0546-drm-vc4-plane-Improve-LBM-usage.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0547-drm-vc4-crtc-Move-crtc-state-to-common-header.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0547-drm-vc4-plane-Move-planes-creation-to-its-own-functi.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0548-drm-vc4-crtc-Deal-with-different-number-of-pixel-per.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0548-drm-vc4-plane-Move-additional-planes-creation-to-dri.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0549-drm-vc4-crtc-Use-a-shared-interrupt.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0549-drm-vc4-plane-Register-all-the-planes-at-once.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0550-drm-vc4-crtc-Turn-static-const-variable-into-a-defin.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0550-drm-vc4-plane-Create-overlays-for-any-CRTC.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0551-drm-vc4-crtc-Move-the-cob-allocation-outside-of-bind.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0551-drm-vc4-plane-Create-more-planes.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0552-drm-vc4-crtc-Rename-HVS-channel-to-output.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0552-drm-vc4-crtc-Rename-SoC-data-structures.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0553-drm-vc4-crtc-Move-crtc-state-to-common-header.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0553-drm-vc4-crtc-Use-local-chan-variable.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0554-drm-vc4-crtc-Deal-with-different-number-of-pixel-per.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0554-drm-vc4-crtc-Enable-and-disable-the-PV-in-atomic_ena.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0555-drm-vc4-crtc-Assign-output-to-channel-automatically.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0555-drm-vc4-crtc-Use-a-shared-interrupt.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0556-drm-vc4-crtc-Add-FIFO-depth-to-vc4_crtc_data.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0556-drm-vc4-crtc-Turn-static-const-variable-into-a-defin.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0557-drm-vc4-crtc-Add-function-to-compute-FIFO-level-bits.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0557-drm-vc4-crtc-Move-the-cob-allocation-outside-of-bind.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0558-drm-vc4-crtc-Rename-HDMI-encoder-type-to-HDMI0.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0558-drm-vc4-crtc-Rename-HVS-channel-to-output.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0559-drm-vc4-crtc-Add-HDMI1-encoder-type.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0559-drm-vc4-crtc-Use-local-chan-variable.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0560-drm-vc4-crtc-Enable-and-disable-the-PV-in-atomic_ena.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0560-drm-vc4-crtc-Remove-redundant-call-to-drm_crtc_enabl.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0561-drm-vc4-crtc-Assign-output-to-channel-automatically.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0561-drm-vc4-crtc-Disable-color-management-for-HVS5.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0562-drm-vc4-crtc-Add-FIFO-depth-to-vc4_crtc_data.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0562-dt-bindings-display-vc4-pv-Add-BCM2711-pixel-valves.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0563-drm-vc4-crtc-Add-BCM2711-pixelvalves.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0563-drm-vc4-crtc-Add-function-to-compute-FIFO-level-bits.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0564-drm-vc4-crtc-Rename-HDMI-encoder-type-to-HDMI0.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0564-drm-vc4-hdmi-Use-debugfs-private-field.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0565-drm-vc4-crtc-Add-HDMI1-encoder-type.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0565-drm-vc4-hdmi-Move-structure-to-header.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0566-drm-vc4-crtc-Remove-redundant-call-to-drm_crtc_enabl.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0566-drm-vc4-hdmi-rework-connectors-and-encoders.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0567-drm-vc4-crtc-Disable-color-management-for-HVS5.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0567-drm-vc4-hdmi-Rename-hdmi-to-vc4_hdmi.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0568-drm-vc4-hdmi-Move-accessors-to-vc4_hdmi.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0568-dt-bindings-display-vc4-pv-Add-BCM2711-pixel-valves.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0569-drm-vc4-crtc-Add-BCM2711-pixelvalves.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0569-drm-vc4-hdmi-Use-local-vc4_hdmi-directly.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0570-drm-vc4-hdmi-Add-container_of-macros-for-encoders-an.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0570-drm-vc4-hdmi-Use-debugfs-private-field.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0571-drm-vc4-hdmi-Move-structure-to-header.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0571-drm-vc4-hdmi-Pass-vc4_hdmi-to-CEC-code.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0572-drm-vc4-hdmi-Remove-vc4_dev-hdmi-pointer.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0572-drm-vc4-hdmi-rework-connectors-and-encoders.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0573-drm-vc4-hdmi-Remove-vc4_hdmi_connector.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0573-drm-vc4-hdmi-Rename-hdmi-to-vc4_hdmi.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0574-drm-vc4-hdmi-Introduce-resource-init-and-variant.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0574-drm-vc4-hdmi-Move-accessors-to-vc4_hdmi.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0575-drm-vc4-hdmi-Implement-a-register-layout-abstraction.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0575-drm-vc4-hdmi-Use-local-vc4_hdmi-directly.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0576-drm-vc4-hdmi-Add-container_of-macros-for-encoders-an.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0576-drm-vc4-hdmi-Add-reset-callback.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0577-drm-vc4-hdmi-Add-PHY-init-and-disable-function.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0577-drm-vc4-hdmi-Pass-vc4_hdmi-to-CEC-code.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0578-drm-vc4-hdmi-Add-PHY-RNG-enable-disable-function.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0578-drm-vc4-hdmi-Remove-vc4_dev-hdmi-pointer.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0579-drm-vc4-hdmi-Add-a-CSC-setup-callback.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0579-drm-vc4-hdmi-Remove-vc4_hdmi_connector.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0580-drm-vc4-hdmi-Add-a-set_timings-callback.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0580-drm-vc4-hdmi-Introduce-resource-init-and-variant.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0581-drm-vc4-hdmi-Add-HDMI-ID.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0581-drm-vc4-hdmi-Implement-a-register-layout-abstraction.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0582-drm-vc4-hdmi-Add-reset-callback.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0582-drm-vc4-hdmi-Deal-with-multiple-debugfs-files.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0583-drm-vc4-hdmi-Add-PHY-init-and-disable-function.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0583-drm-vc4-hdmi-Add-an-audio-support-flag.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0584-drm-vc4-hdmi-Add-PHY-RNG-enable-disable-function.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0584-drm-vc4-hdmi-Move-CEC-init-to-its-own-function.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0585-drm-vc4-hdmi-Add-CEC-support-flag.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0585-drm-vc4-hdmi-Add-a-CSC-setup-callback.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0586-drm-vc4-hdmi-Add-a-set_timings-callback.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0586-drm-vc4-hdmi-Remove-unused-CEC_CLOCK_DIV-define.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0587-drm-vc4-hdmi-Add-HDMI-ID.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0587-drm-vc4-hdmi-Rename-drm_encoder-pointer-in-mode_vali.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0588-drm-vc4-hdmi-Adjust-HSM-clock-rate-depending-on-pixe.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0588-drm-vc4-hdmi-Deal-with-multiple-debugfs-files.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0589-drm-vc4-hdmi-Add-an-audio-support-flag.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0589-drm-vc4-hdmi-Support-the-BCM2711-HDMI-controllers.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0590-drm-vc4-hdmi-Move-CEC-init-to-its-own-function.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0590-dt-bindings-display-vc4-hdmi-Add-BCM2711-HDMI-contro.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0591-ARM-dts-bcm2711-Enable-the-display-pipeline.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0591-drm-vc4-hdmi-Add-CEC-support-flag.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0592-DOWNSTREAM-ARM-dts-rpi4-Disable-KMS-driver-by-defaul.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0592-drm-vc4-hdmi-Remove-unused-CEC_CLOCK_DIV-define.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0593-drm-vc4-hdmi-Rename-drm_encoder-pointer-in-mode_vali.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0593-dtoverlays-Add-Pi4-version-of-vc4-kms-v3d.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0594-drm-Checking-of-the-pitch-is-only-valid-for-linear-f.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0594-drm-vc4-hdmi-Adjust-HSM-clock-rate-depending-on-pixe.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0595-drm-vc4-Add-support-for-DRM_FORMAT_P030-to-vc4-plane.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0595-drm-vc4-hdmi-Support-the-BCM2711-HDMI-controllers.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0596-Fixup-P030-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0596-dt-bindings-display-vc4-hdmi-Add-BCM2711-HDMI-contro.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0597-ARM-dts-bcm2711-Enable-the-display-pipeline.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0597-drm-vc4-The-check-for-assigned-HVS-channels-is-not-a.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0598-DOWNSTREAM-ARM-dts-rpi4-Disable-KMS-driver-by-defaul.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0598-dt-Update-v3d-to-use-firmware_clocks.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0599-drm-vc4-Reset-audio-infoframe-on-encoder_enable-if-p.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0599-dtoverlays-Add-Pi4-version-of-vc4-kms-v3d.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0600-drm-Checking-of-the-pitch-is-only-valid-for-linear-f.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0600-drm-vc4-Set-the-b-frame-marker-to-the-match-ALSA-s-d.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0601-drm-vc4-Add-support-for-DRM_FORMAT_P030-to-vc4-plane.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0601-dts-Add-reg-names-for-the-HDMI-registers-on-bcm2835.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0602-Fixup-P030-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0602-dt-Add-HDMI-audio-dma-values-to-bcm2711.dtsi.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0603-drm-vc4-The-check-for-assigned-HVS-channels-is-not-a.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0603-drm-vc4-Use-reg-names-to-configure-HDMI-audio.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0604-drm-vc4-Add-audio-initialisation-for-Pi4.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0604-dt-Update-v3d-to-use-firmware_clocks.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0605-drm-vc4-Enable-audio-on-Pi4.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0605-drm-vc4-Reset-audio-infoframe-on-encoder_enable-if-p.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0606-drm-vc4-Alter-the-HDMI-state-machine-clock-calc-to-a.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0606-drm-vc4-Set-the-b-frame-marker-to-the-match-ALSA-s-d.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0607-dtoverlays-Remove-comment-about-vc4-kms-v3d-locking-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0607-dts-Add-reg-names-for-the-HDMI-registers-on-bcm2835.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0608-drm-vc4-Kick-the-core-clock-up-during-a-mode-change.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0608-dt-Add-HDMI-audio-dma-values-to-bcm2711.dtsi.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0609-drm-vc4-Fixup-for-firmware-KMS.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0609-drm-vc4-Use-reg-names-to-configure-HDMI-audio.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0610-drm-vc4-Add-audio-initialisation-for-Pi4.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0610-drm-vc4-Fixup-plane-init-within-firmware-kms.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0611-drm-vc4-Enable-audio-on-Pi4.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0611-drm-vc4-hdmi-Give-the-HDMI-audio-instances-different.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0612-drm-vc4-Alter-the-HDMI-state-machine-clock-calc-to-a.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0612-i2c-brcmstb-The-interrupt-line-is-optional-so-use-pl.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0613-dt-Drop-I2C-for-Pi4-HDMI-interfaces-to-97.5kHz.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0613-dtoverlays-Remove-comment-about-vc4-kms-v3d-locking-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0614-drm-vc4-Kick-the-core-clock-up-during-a-mode-change.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0614-overlays-Add-missing-rpi-poe-parameters.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0615-drm-vc4-Fixup-for-firmware-KMS.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0615-vc4_hdmi_phy-Fix-offset-calculation.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0616-drm-vc4-Fixup-plane-init-within-firmware-kms.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0616-overlays-Add-overlay_map.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0617-drm-vc4-hdmi-Give-the-HDMI-audio-instances-different.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0617-overlays-Formally-rename-deprecate-old-overlays.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0618-i2c-brcmstb-The-interrupt-line-is-optional-so-use-pl.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0618-overlays-Add-vc4-kms-v3d-pi4-to-overlay_map.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0619-Add-upstream-and-upstream-pi4-to-overlay_map.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0619-dt-Drop-I2C-for-Pi4-HDMI-interfaces-to-97.5kHz.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0620-clk-raspberrypi-Allow-cpufreq-driver-to-also-adjust-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0620-overlays-Add-missing-rpi-poe-parameters.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0621-Add-support-for-the-AudioInjector.net-Isolated-sound.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0621-vc4_hdmi_phy-Fix-offset-calculation.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0622-overlays-Add-overlay_map.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0622-overlays-Fix-dtc-warnings-in-i2c-gpio.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0623-kbuild-Disable-gcc-plugins.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0623-overlays-Formally-rename-deprecate-old-overlays.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0624-ASoC-ma120x0p-Add-96KHz-rate-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0624-overlays-Add-vc4-kms-v3d-pi4-to-overlay_map.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0625-Add-upstream-and-upstream-pi4-to-overlay_map.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0625-arm64-mm-reserve-CMA-and-crashkernel-in-ZONE_DMA32.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0626-arm64-mm-Fix-initialisation-of-DMA-zones-on-non-NUMA.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0626-clk-raspberrypi-Allow-cpufreq-driver-to-also-adjust-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0627-ARM-dts-bcm283x-Unify-CMA-configuration.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0627-Add-support-for-the-AudioInjector.net-Isolated-sound.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0628-dma-contiguous-CMA-give-precedence-to-cmdline.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0628-overlays-Fix-dtc-warnings-in-i2c-gpio.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0629-ARM-dts-Use-upstream-CMA-configuration.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0629-kbuild-Disable-gcc-plugins.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0630-ARM-dts-overlays-Unify-overlay-CMA-handling.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0630-ASoC-ma120x0p-Add-96KHz-rate-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0631-ARM-dts-bcm283x-Fix-vc4-s-firmware-bus-DMA-limitatio.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0631-arm64-mm-reserve-CMA-and-crashkernel-in-ZONE_DMA32.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0632-ARM-dts-bcm2711-Restrict-CMA-to-first-768MB.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0632-arm64-mm-Fix-initialisation-of-DMA-zones-on-non-NUMA.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0633-ARM-dts-Extend-SCB-bus-address-range.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0633-ARM-dts-bcm283x-Unify-CMA-configuration.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0634-dma-contiguous-CMA-give-precedence-to-cmdline.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0634-dts-bcm2711-Move-emmc2-to-its-own-bus.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0635-ARM-dts-Use-upstream-CMA-configuration.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0635-drm-vc4-hdmi-Silence-pixel-clock-error-on-EPROBE_DEF.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0636-ARM-dts-overlays-Unify-overlay-CMA-handling.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0636-Fixes-a-problem-with-clock-settings-of-HiFiBerry-DAC.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0637-ARM-dts-bcm283x-Fix-vc4-s-firmware-bus-DMA-limitatio.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0637-Documentation-media-Update-sub-device-API-intro.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0638-ARM-dts-bcm2711-Restrict-CMA-to-first-768MB.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0638-Documentation-media-Document-read-only-subdevice.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0639-ARM-dts-Extend-SCB-bus-address-range.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0639-media-v4l2-dev-Add-v4l2_device_register_ro_subdev_no.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0640-dts-bcm2711-Move-emmc2-to-its-own-bus.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0640-media-bcm2835-unicam-Driver-for-CCP2-CSI2-camera-int.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0641-drm-vc4-hdmi-Silence-pixel-clock-error-on-EPROBE_DEF.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0641-media-uapi-v4l2-core-Add-sensor-ancillary-data-V4L2-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0642-media-uapi-Add-MEDIA_BUS_FMT_SENSOR_DATA-media-bus-f.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0643-Fixes-a-problem-with-clock-settings-of-HiFiBerry-DAC.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0643-media-bcm2835-unicam-Add-support-for-mulitple-device.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0644-Documentation-media-Update-sub-device-API-intro.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0644-media-bcm2835-unicam-Add-embedded-data-node.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0645-Documentation-media-Document-read-only-subdevice.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0645-media-bcm2835-unicam-Use-dummy-buffer-if-none-have-b.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0646-media-v4l2-dev-Add-v4l2_device_register_ro_subdev_no.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0646-spi-Force-CS_HIGH-if-GPIO-descriptors-are-used.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0647-media-bcm2835-unicam-Driver-for-CCP2-CSI2-camera-int.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0647-media-i2c-imx219-Fix-power-sequence.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0648-media-i2c-imx219-Add-support-for-RAW8-bit-bayer-form.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0648-media-uapi-v4l2-core-Add-sensor-ancillary-data-V4L2-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0649-media-i2c-imx219-Add-support-for-cropped-640x480-res.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0649-media-uapi-Add-MEDIA_BUS_FMT_SENSOR_DATA-media-bus-f.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0650-media-bcm2835-unicam-Add-support-for-mulitple-device.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0650-media-i2c-imx219-Fix-a-bug-in-imx219_enum_frame_size.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0651-media-bcm2835-unicam-Add-embedded-data-node.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0651-media-bcm2835-unicam-Disable-event-related-ioctls-on.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0652-media-bcm2835-unicam-Add-support-for-the-FRAME_SYNC-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0652-media-bcm2835-unicam-Use-dummy-buffer-if-none-have-b.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0653-Revert-firmware-raspberrypi-register-clk-device.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0653-spi-Force-CS_HIGH-if-GPIO-descriptors-are-used.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0654-media-i2c-imx219-Fix-power-sequence.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0654-media-imx219-Advertise-embedded-data-node-on-media-p.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0655-dts-bcm2711-EMMC2-can-address-the-whole-first-GB.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0655-media-i2c-imx219-Add-support-for-RAW8-bit-bayer-form.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0656-driver-char-rpivid-Remove-legacy-name-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0656-media-i2c-imx219-Add-support-for-cropped-640x480-res.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0657-driver-char-rpivid-Don-t-map-more-than-wanted.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0657-media-i2c-imx219-Fix-a-bug-in-imx219_enum_frame_size.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0658-dt-Implement-an-I2C-pinctrl-mux-for-BSC0.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0658-media-bcm2835-unicam-Disable-event-related-ioctls-on.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0659-dtoverlays-Update-CSI-overlays-to-use-i2c_csi_dsi.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0659-media-bcm2835-unicam-Add-support-for-the-FRAME_SYNC-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0660-Revert-firmware-raspberrypi-register-clk-device.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0660-dt-Update-all-mainline-bcm283x-dt-files-for-i2c0-pin.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0661-ARM-dts-Create-bcm2708-rpi-b-rev1.dts.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0661-media-imx219-Advertise-embedded-data-node-on-media-p.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0662-dts-bcm2711-EMMC2-can-address-the-whole-first-GB.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0662-dts-bcm2711-set-size-cells-2.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0663-driver-char-rpivid-Remove-legacy-name-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0663-dts-bcm2711-add-High-Peripheral-mode-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0664-Revert-spi-spidev-Fix-CS-polarity-if-GPIO-descriptor.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0664-driver-char-rpivid-Don-t-map-more-than-wanted.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0665-dt-Implement-an-I2C-pinctrl-mux-for-BSC0.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0665-spi-use_gpio_descriptor-fixup-moved-to-spi_setup.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0666-dtoverlays-Update-CSI-overlays-to-use-i2c_csi_dsi.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0666-overlays-rpivid-v4l2-also-needs-size-cells-2.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0667-dt-Update-all-mainline-bcm283x-dt-files-for-i2c0-pin.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0667-media-bcm2835-unicam-Re-fetch-mbus-code-from-subdev-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0668-ARM-dts-Create-bcm2708-rpi-b-rev1.dts.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0668-uapi-bcm2835-isp-Add-bcm2835-isp-uapi-header-file.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0669-dts-bcm2711-set-size-cells-2.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0669-media-uapi-v4l2-core-Add-ISP-statistics-output-V4L2-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0670-dts-bcm2711-add-High-Peripheral-mode-overlay.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0670-media-uapi-v4l-ctrls-Add-CID-base-for-the-bcm2835-is.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0671-Revert-spi-spidev-Fix-CS-polarity-if-GPIO-descriptor.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0671-staging-mmal-vchiq-Fix-formatting-errors-in-mmal_par.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0672-spi-use_gpio_descriptor-fixup-moved-to-spi_setup.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0672-staging-vc04_services-ISP-Add-a-more-complex-ISP-pro.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0673-overlays-rpivid-v4l2-also-needs-size-cells-2.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0673-staging-vchiq-Load-bcm2835_isp-driver-from-vchiq.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0674-media-bcm2835-unicam-Re-fetch-mbus-code-from-subdev-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0674-vc4_hvs-Mark-core-clock-as-optional.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0675-uapi-bcm2835-isp-Add-bcm2835-isp-uapi-header-file.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0675-vc4_hdmi-BCM2835-requires-a-fixed-hsm-clock-for-CEC-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0676-media-i2c-imx219-Implement-get_selection.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0676-media-uapi-v4l2-core-Add-ISP-statistics-output-V4L2-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0677-media-i2c-ov5647-Add-support-for-g_selection-to-refl.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0677-media-uapi-v4l-ctrls-Add-CID-base-for-the-bcm2835-is.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0678-media-i2c-ov5467-Fixup-error-path-to-release-mutex.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0678-staging-mmal-vchiq-Fix-formatting-errors-in-mmal_par.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0679-media-i2c-ov5647-Support-V4L2_CID_PIXEL_RATE.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0679-staging-vc04_services-ISP-Add-a-more-complex-ISP-pro.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0680-media-i2c-ov5647-Set-V4L2_SUBDEV_FL_HAS_EVENTS-flag.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0680-staging-vchiq-Load-bcm2835_isp-driver-from-vchiq.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0681-media-i2c-ov5647-Add-support-for-V4L2_CID_VBLANK.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0681-vc4_hvs-Mark-core-clock-as-optional.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0682-media-i2c-ov5647-Neither-analogue-gain-nor-exposure-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0682-vc4_hdmi-BCM2835-requires-a-fixed-hsm-clock-for-CEC-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0683-media-i2c-imx219-Implement-get_selection.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0683-media-i2c-ov5647-Use-member-names-in-mode-tables.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0684-media-i2c-ov5647-Add-support-for-g_selection-to-refl.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0684-media-i2c-ov5647-Advertise-the-correct-exposure-rang.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0685-media-i2c-imx219-Declare-that-the-driver-can-create-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0685-media-i2c-ov5467-Fixup-error-path-to-release-mutex.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0686-media-bcm2835-unicam-Add-support-for-VIDIOC_-S-G-_SE.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0686-media-i2c-ov5647-Support-V4L2_CID_PIXEL_RATE.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0687-media-bcm2835-unicam-Do-not-stop-streaming-in-unicam.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0687-media-i2c-ov5647-Set-V4L2_SUBDEV_FL_HAS_EVENTS-flag.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0688-media-bcm2835-unicam-Fix-reference-counting-in-unica.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0688-media-i2c-ov5647-Add-support-for-V4L2_CID_VBLANK.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0689-media-i2c-ov5647-Neither-analogue-gain-nor-exposure-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0689-staging-vc04_services-ISP-Add-enum_framesizes-ioctl.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0690-SQUASH-spi-Demote-SPI_CS_HIGH-warning-to-KERN_DEBUG.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0690-media-i2c-ov5647-Use-member-names-in-mode-tables.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0691-bcm2835-dma-Add-proper-40-bit-DMA-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0691-media-i2c-ov5647-Advertise-the-correct-exposure-rang.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0692-ARM-dts-bcm2711-Allow-40-bit-DMA-for-SPI.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0692-media-i2c-imx219-Declare-that-the-driver-can-create-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0693-media-bcm2835-unicam-Add-support-for-VIDIOC_-S-G-_SE.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0693-overlays-Make-the-i2c-gpio-overlay-safe-again.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0694-media-bcm2835-unicam-Do-not-stop-streaming-in-unicam.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0694-staging-vc04_services-isp-Remove-duplicated-initiali.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0695-media-bcm2835-unicam-Fix-reference-counting-in-unica.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0695-staging-vc04_services-isp-Make-all-references-to-bcm.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0696-staging-vc04_services-ISP-Add-enum_framesizes-ioctl.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0696-vc4_hdmi_phy-Fix-typo-in-phy_get_cp_current.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0697-SQUASH-spi-Demote-SPI_CS_HIGH-warning-to-KERN_DEBUG.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0697-overlays-Make-use-of-intra-overlay-fragments.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0698-bcm2835-dma-Add-proper-40-bit-DMA-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0698-media-i2c-tc358743-Fix-fallthrough-warning.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0699-ARM-dts-bcm2711-Allow-40-bit-DMA-for-SPI.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0699-media-bcm2835-unicam-Fix-uninitialized-warning.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0700-overlays-Make-the-i2c-gpio-overlay-safe-again.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0700-video-bcm2708_fb-Disable-FB-if-no-displays-found.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0701-overlays-sc16is752-spi1-Add-xtal-parameter.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0701-staging-vc04_services-isp-Remove-duplicated-initiali.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0702-staging-vc04_services-isp-Make-all-references-to-bcm.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0702-vc4_hdmi-Fix-register-offset-when-sending-longer-CEC.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0703-overlays-gpio-keys-Avoid-open-drain-warnings.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0703-vc4_hdmi-Fix-up-CEC-registers.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0704-vc4_hdmi_phy-Fix-typo-in-phy_get_cp_current.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0704-vc4_hdmi_regs-Add-Intr2-register-block.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0705-overlays-Make-use-of-intra-overlay-fragments.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0705-vc4_hdmi_regs-Make-interrupt-mask-variant-specific.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0706-media-i2c-tc358743-Fix-fallthrough-warning.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0706-vc4_hdmi-Make-irq-shared.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0707-media-bcm2835-unicam-Fix-uninitialized-warning.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0707-vc4_hdmi-Adjust-CEC-ref-clock-based-on-its-input-clo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0708-vc4_hdmi-Remove-cec_available-flag-as-always-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0708-video-bcm2708_fb-Disable-FB-if-no-displays-found.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0709-overlays-sc16is752-spi1-Add-xtal-parameter.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0709-overlays-tc358743-Use-intra-overlay-fragments.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0710-overlays-Move-fixed-clock-nodes-to-the-root.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0710-vc4_hdmi-Fix-register-offset-when-sending-longer-CEC.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0711-raspberrypi-dts-Switch-to-discrete-ALSA-devices.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0711-vc4_hdmi-Fix-up-CEC-registers.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0712-dt-bindings-media-i2c-Add-IMX477-CMOS-sensor-binding.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0712-vc4_hdmi_regs-Add-Intr2-register-block.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0713-dtoverlays-Add-IMX477-sensor-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0713-vc4_hdmi_regs-Make-interrupt-mask-variant-specific.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0714-media-i2c-Add-driver-for-Sony-IMX477-sensor.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0714-vc4_hdmi-Make-irq-shared.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0715-media-i2c-imx477-Add-support-for-adaptive-frame-cont.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0715-vc4_hdmi-Adjust-CEC-ref-clock-based-on-its-input-clo.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0716-udmabuf-Remove-deleted-map-unmap-handlers.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0716-vc4_hdmi-Remove-cec_available-flag-as-always-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0717-overlays-tc358743-Use-intra-overlay-fragments.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0717-udmabuf-use-cache_sgt_mapping-option.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0718-overlays-Move-fixed-clock-nodes-to-the-root.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0718-udmabuf-add-a-pointer-to-the-miscdevice-in-dma-buf-p.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0719-raspberrypi-dts-Switch-to-discrete-ALSA-devices.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0719-udmabuf-separate-out-creating-destroying-scatter-tab.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0720-dt-bindings-media-i2c-Add-IMX477-CMOS-sensor-binding.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0720-udmabuf-implement-begin_cpu_access-end_cpu_access-ho.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0721-dtoverlays-Add-IMX477-sensor-overlay.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0721-udmabuf-fix-dma-buf-cpu-access.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0722-dma-buf-Add-dma-buf-heaps-framework.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0722-media-i2c-Add-driver-for-Sony-IMX477-sensor.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0723-dma-buf-heaps-Add-heap-helpers.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0723-media-i2c-imx477-Add-support-for-adaptive-frame-cont.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0724-dma-buf-heaps-Add-system-heap-to-dmabuf-heaps.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0724-udmabuf-Remove-deleted-map-unmap-handlers.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0725-dma-buf-heaps-Add-CMA-heap-to-dmabuf-heaps.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0725-udmabuf-use-cache_sgt_mapping-option.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0726-kselftests-Add-dma-heap-test.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0726-udmabuf-add-a-pointer-to-the-miscdevice-in-dma-buf-p.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0727-dma-buf-heaps-Use-_IOCTL_-for-userspace-IOCTL-identi.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0727-udmabuf-separate-out-creating-destroying-scatter-tab.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0728-dma-buf-heaps-Remove-redundant-heap-identifier-from-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0728-udmabuf-implement-begin_cpu_access-end_cpu_access-ho.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0729-dma-buf-fix-resource-leak-on-ENOTTY-error-return-pat.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0729-udmabuf-fix-dma-buf-cpu-access.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0730-dma-buf-Add-dma-buf-heaps-framework.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0730-dma-heap-Make-the-symbol-dma_heap_ioctl_cmds-static.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0731-ARM-dts-Enable-firmware-clocks-on-all-Pis.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0731-dma-buf-heaps-Add-heap-helpers.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0732-dma-buf-heaps-Add-system-heap-to-dmabuf-heaps.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0732-media-bcm2835-unicam-Always-service-interrupts.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0733-dma-buf-heaps-Add-CMA-heap-to-dmabuf-heaps.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0733-sc16is7xx-Fix-for-hardware-flow-control.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0734-drm-vc4-Fix-VIC-usage-with-Broadcast-RGB.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0734-kselftests-Add-dma-heap-test.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0735-dma-buf-heaps-Use-_IOCTL_-for-userspace-IOCTL-identi.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0735-staging-vc04_services-mmal-vchiq-Update-parameters-l.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0736-dma-buf-heaps-Remove-redundant-heap-identifier-from-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0736-staging-vc04_services-bcm2835-codec-Request-headers-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0737-dma-buf-fix-resource-leak-on-ENOTTY-error-return-pat.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0737-staging-vc04_services-bcm2835-codec-Avoid-fragmentin.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0738-dma-heap-Make-the-symbol-dma_heap_ioctl_cmds-static.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0738-staging-vc04_services-bcm2835-camera-Request-headers.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0739-ARM-dts-Enable-firmware-clocks-on-all-Pis.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0739-overlays-Fix-audio-parameter-of-vc4-kms-v3d.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0740-Switch-to-snd_soc_dai_set_bclk_ratio.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0740-media-bcm2835-unicam-Always-service-interrupts.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0741-media-bcm2835-unicam-Retain-packing-information-on-G.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0741-sc16is7xx-Fix-for-hardware-flow-control.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0742-drm-vc4-Fix-VIC-usage-with-Broadcast-RGB.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0742-zswap-Defer-zswap-initialisation.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0743-drm-vc4-Adopt-the-dma-configuration-from-the-HVS-or-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0743-staging-vc04_services-mmal-vchiq-Update-parameters-l.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0744-drm-vc4-Add-FKMS-as-an-acceptable-node-for-dma-range.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0744-staging-vc04_services-bcm2835-codec-Request-headers-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0745-media-i2c-imx477-Return-correct-result-on-sensor-id-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0745-staging-vc04_services-bcm2835-codec-Avoid-fragmentin.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0746-staging-vc04_services-bcm2835-camera-Request-headers.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0746-staging-vchiq_arm-Clean-up-40-bit-DMA-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0747-ARM-dts-Update-for-new-VCHIQ-BCM2711-DMA-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0747-overlays-Fix-audio-parameter-of-vc4-kms-v3d.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0748-Switch-to-snd_soc_dai_set_bclk_ratio.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0748-media-bcm2835-unicam-change-minimum-number-of-vb2_qu.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0749-dt-bindings-Add-Broadcom-AVS-RO-thermal.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0749-media-bcm2835-unicam-Retain-packing-information-on-G.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0750-thermal-Add-BCM2711-thermal-driver.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0750-zswap-Defer-zswap-initialisation.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0751-ARM-dts-bcm2711-Enable-thermal.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0751-drm-vc4-Adopt-the-dma-configuration-from-the-HVS-or-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0752-ARM-dts-bcm2711-rpi-Remove-downstream-thermal-sensor.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0752-drm-vc4-Add-FKMS-as-an-acceptable-node-for-dma-range.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0753-media-i2c-imx477-Return-correct-result-on-sensor-id-.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0753-overlays-i2c-rtc-Fix-trickle-resistor-ohms-param.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0754-overlays-gpio-shutdown-Add-information-for-SysV-init.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0754-staging-vchiq_arm-Clean-up-40-bit-DMA-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0755-ARM-dts-Update-for-new-VCHIQ-BCM2711-DMA-support.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0755-overlays-gpio-shutdown-Add-information-for-Raspberry.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0756-media-bcm2835-unicam-change-minimum-number-of-vb2_qu.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0756-overlays-Add-spi0-overlay-to-support-sc16is752.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0757-dt-bindings-Add-Broadcom-AVS-RO-thermal.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0757-overlays-i2c-rtc-gpio-Fix-trickle-resistor-ohms-para.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0758-media-bcm2835-isp-fix-bytes-per-line-calculations-fo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0758-thermal-Add-BCM2711-thermal-driver.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0759-ARM-dts-bcm2711-Enable-thermal.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0759-Add-Micro-Crystal-RV-1805-to-i2c-rtc-overlays.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0760-ARM-dts-bcm2711-rpi-Remove-downstream-thermal-sensor.patch [deleted file]
target/linux/bcm27xx/patches-5.4/950-0760-vc4-Set-driver_name-for-card.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0761-staging-vchiq_arm-Use-g_dma_dev-for-dma_unmap_sg.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0762-vc4-cec-Restore-cec-physical-address-on-reconnect.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0763-snd_bcm2835-disable-HDMI-audio-when-vc4-is-used-3640.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0764-overlays-i2c-gpio-Avoid-open-drain-warnings.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0765-overlays-Update-upstream-overlays-after-vc4-kms-v3d-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0766-w1_therm-adding-code-comments-and-code-reordering.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0767-w1_therm-fix-reset_select_slave-during-discovery.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0768-w1_therm-adding-ext_power-sysfs-entry.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0769-w1_therm-adding-resolution-sysfs-entry.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0770-w1_therm-adding-eeprom-sysfs-entry.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0771-w1_therm-optimizing-temperature-read-timings.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0772-w1_therm-adding-alarm-sysfs-entry.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0773-w1_therm-adding-bulk-read-support-to-trigger-multipl.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0774-w1_therm-Free-the-correct-variable.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0775-w1_therm-remove-redundant-assignments-to-variable-re.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0776-PCI-brcmstb-Assert-fundamental-reset-on-initializati.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0777-clk-rpi-Adjust-DT-binding-to-match-upstream.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0778-clk-bcm-rpi-Add-an-enum-for-the-firmware-clocks.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0779-clk-bcm-rpi-Use-CCF-boundaries-instead-of-rolling-ou.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0780-clk-bcm-rpi-Give-firmware-clocks-a-name.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0781-clk-bcm-rpi-Remove-the-quirks-for-the-CPU-clock.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0782-clk-rpi-Only-register-a-few-firmware-clocks.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0783-clk-rpi-Fix-compatible-indentation.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0784-SQUASH-dts-Fix-firmware-clocks-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0785-ARM-dts-Add-bcm2711-rpi-cm4.dts.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0786-PCI-brcmstb-Add-DT-property-to-control-L1SS.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0787-ARM-dts-Set-brcm-enable-l1ss-for-CM4.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0788-Revert-SQUASH-Fix-spi-driver-compiler-warnings.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0789-Revert-spi-spi-bcm2835-Disable-forced-software-CS.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0790-media-irs1125-Using-i2c_transfer-for-ic2-reads.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0791-media-irs1125-Refactoring-and-debug-messages.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0792-media-irs1125-Atomic-access-to-imager-reconfiguratio.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0793-media-irs1125-Keep-HW-in-sync-after-imager-reset.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0794-staging-bcm2835-audio-Add-missing-MODULE_ALIAS.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0795-media-v4l2-subdev-Introduce-get-set-_mbus_config-pad.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0796-media-i2c-Use-the-new-get_mbus_config-pad-op.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0797-media-i2c-ov6650-Use-new-get-set-_mbus_config-ops.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0798-media-pxa_camera-Use-the-new-set_mbus_config-op.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0799-media-v4l2-subdev-Remove-s-g-_mbus_config-video-ops.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0800-staging-media-imx-Update-TODO-entry.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0801-media-i2c-adv748x-Adjust-TXA-data-lanes-number.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0802-media-i2c-adv748x-Implement-get_mbus_config.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0803-media-rcar-csi2-Negotiate-data-lanes-number.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0804-drivers-media-Remove-the-downstream-version-of-bcm28.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0805-include-media-Add-vfl_devnode_type-of-VFL_TYPE_VIDEO.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0806-media-bcm2835-unicam-Driver-for-CCP2-CSI2-camera-int.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0807-media-bcm2835-unicam-Add-support-for-get_mbus_config.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0808-media-bcm2835-unicam-Always-service-interrupts.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0809-media-bcm2835-unicam-Fix-uninitialized-warning.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0810-media-bcm2835-unicam-Fixup-review-comments-from-Hans.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0811-media-bcm2835-unicam-Retain-packing-information-on-G.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0812-media-bcm2835-unicam-change-minimum-number-of-vb2_qu.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0813-dt-dtoverlays-Fix-up-base-DT-and-overlays-for-update.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0814-media-bcm2835-unicam-Avoid-gcc-warning-over-0-on-end.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0815-media-dt-bindings-media-i2c-Add-IMX290-CMOS-sensor-b.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0816-media-i2c-Add-IMX290-CMOS-image-sensor-driver.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0817-media-i2c-imx290-set-the-format-before-VIDIOC_SUBDEV.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0818-media-i2c-imx290-fix-the-order-of-the-args-in-SET_RU.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0819-media-i2c-imx290-fix-reset-GPIO-pin-handling.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0820-media-i2c-imx290-Add-support-for-2-data-lanes.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0821-media-i2c-imx290-Add-configurable-link-frequency-and.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0822-media-i2c-imx290-Add-support-for-test-pattern-genera.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0823-media-i2c-imx290-Add-RAW12-mode-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0824-media-i2c-imx290-Add-support-to-enumerate-all-frame-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0825-media-i2c-imx290-Move-the-settle-time-delay-out-of-l.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0826-media-i2c-imx290-set-bus_type-before-calling-v4l2_fw.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0827-media-i2c-imx290-Add-support-for-74.25MHz-clock.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0828-media-i2c-imx290-Correct-range-for-V4L2_CID_GAIN-to-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0829-media-i2c-imx290-Convert-HMAX-setting-into-V4L2_CID_.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0830-media-i2c-imx290-Add-support-for-V4L2_CID_VBLANK.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0831-media-i2c-imx290-Add-exposure-control-to-the-driver.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0832-media-i2c-imx290-Add-H-and-V-flip-controls.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0833-media-dt-bindings-media-i2c-Add-mono-version-to-IMX2.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0834-media-i2c-imx290-Add-support-for-the-mono-sensor-var.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0835-media-i2c-imx290-Switch-set_hmax-to-use-imx290_write.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0836-dtoverlays-Add-an-overlay-for-the-Sony-IMX290-image-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0837-vc4_hdmi-Set-HD_CTL_WHOLSMP-and-HD_CTL_CHALIGN_SET.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0838-staging-vc04_services-isp-Fixup-g-s_selection-implem.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0839-staging-vc04_services-isp-Reorder-operations-during-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0840-uapi-bcm2835-isp-Fixups-for-bcm2835-isp-uapi-structu.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0841-ARM-dts-Add-Bluetooth-nodes-for-Raspberry-Pi.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0842-drm-vc4-Allow-interlaced-HDMI-modes-from-FKMS.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0843-serial-8250-bcm2835aux-defer-if-clock-is-zero.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0844-media-v4l-Add-14-bit-raw-bayer-pixel-formats.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0845-media-v4l-Add-14-bit-raw-greyscale-pixel-format.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0846-media-v4l-Add-1X14-14-bit-greyscale-media-bus-code-d.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0847-media-Add-a-pixel-format-for-MIPI-packed-12bit-luma-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0848-media-Add-a-pixel-format-for-MIPI-packed-14bit-luma-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0849-staging-vc04_services-isp-Add-support-for-14bit-Baye.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0850-staging-vc04_services-isp-Add-monochrome-image-forma.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0851-staging-vc04_services-isp-Increase-the-number-of-sup.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0852-staging-vc04_services-codec-Increase-the-number-of-s.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0853-staging-vc04_services-codec-Add-support-for-mono-for.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0854-staging-vc04_services-codec-Add-support-for-14bit-Ba.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0855-media-bcm2835-unicam-Add-support-for-12bit-mono-pack.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0856-media-bcm2835-unicam-Add-support-for-14bit-mono-sour.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0857-media-bcm2835-unicam-Add-support-for-unpacked-14bit-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0858-overlays-Fix-miniuart-bt-krnbt-parameter.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0859-drm-vc4-Make-FKMS-max-refresh-rate-a-module-paramete.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0860-drm-vc4-FKMS-Block-modes-with-odd-horizontal-timing-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0861-dt-Use-rpi-firmware-kms-2711-on-2711-platforms.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0862-drm-vc4-FKMS-Put-includes-in-alphabetical-order-and-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0863-irqchip-bcm2835-Quiesce-IRQs-left-enabled-by-bootloa.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0864-dtoverlays-Fixup-imx219-and-imx477-overlays-due-to-p.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0865-overlays-rpi-ft5406-Fix-boolean-parameters.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0866-ARM-dts-Copy-kernel-BT-changes-to-CM4.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0867-ARM-dts-Make-bcm2711-dts-more-like-5.7.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0868-bcm2835-dma-Add-NO_WAIT_RESP-flag.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0869-ARM-dts-Restore-the-old-2711-scb-ranges-property.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0870-media-i2c-add-ov9281-driver.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0871-media-i2c-ov9281-fix-mclk-issue-when-probe-multiple-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0872-media-i2c-ov9281-add-enum_frame_interval-function-fo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0873-media-i2c-ov9281-Fixup-for-recent-kernel-releases-an.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0874-media-i2c-ov9281-Read-chip-ID-via-2-reads.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0875-dtoverlay-Add-overlay-for-Omnivision-OV9281-image-se.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0876-Bluetooth-hci_bcm-Fix-RTS-handling-during-startup.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0877-Bluetooth-hci_bcm-Add-compatible-string-for-BCM43540.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0878-Bluetooth-btbcm-Add-entry-for-BCM4335A0-UART-bluetoo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0879-Bluetooth-hci_bcm-Disallow-set_baudrate-for-BCM4354.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0880-Bluetooth-btbcm-Support-pcm-configuration.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0881-Bluetooth-hci_bcm-Support-pcm-params-in-dts.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0882-Bluetooth-hci_bcm-Drive-RTS-only-for-BCM43438.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0883-Enhances-the-DAC-driver-to-control-the-optional-head.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0884-ARM-dts-hifiberry-dacplus-headphone-amp-support.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0885-media-i2c-imx290-Explicitly-set-v-h-blank-on-mode-ch.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0886-media-i2c-imx290-Add-support-for-g_selection-to-repo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0887-media-i2c-imx290-Set-the-colorspace-fields-in-the-fo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0888-media-bcm2835-unicam-Reinstate-V4L2_CAP_READWRITE-in.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0889-media-bcm2835-unicam-Ensure-type-is-VIDEO_CAPTURE-in.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0890-dtoverlays-Create-an-overlay-for-the-Omnivision-OV72.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0891-vc4_hdmi-Set-HDMI_MAI_FMT.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0892-drm-vc4-add-iec958-controls-to-vc4_hdmi.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0893-drm-vc4-move-setup-from-hw_params-to-prepare.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0894-drm-vc4-enable-HBR-MAI-format-on-HBR-streams.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0895-vc4_hdmi-Remove-firmware-logic-for-MAI-threshold-set.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0896-vc_hdmi-Set-VC4_HDMI_MAI_CONFIG_FORMAT_REVERSE.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0897-dts-Enable-NO_WAIT_RESP-for-hdmi-audio-dma.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0898-SQUASH-dts-Further-simplify-firmware-clocks.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0899-media-bcm2835-unicam-Set-VPU-min-clock-freq-to-250Mh.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0900-dt-bindings-bcm2835-unicam-Update-documentation-with.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0901-correct-SND_SOC_DAILINK_DEFS.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0902-media-dt-bindings-video-interfaces-Document-orientat.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0903-media-dt-bindings-video-interface-Replace-rotation-d.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0904-media-v4l2-ctrl-Document-V4L2_CID_CAMERA_ORIENTATION.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0905-media-v4l2-ctrl-Document-V4L2_CID_CAMERA_SENSOR_ROTA.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0906-media-v4l2-ctrls-Add-camera-orientation-and-rotation.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0907-media-v4l2-fwnode-Add-helper-to-parse-device-propert.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0908-media-v4l2-ctrls-Add-helper-to-register-properties.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0909-media-i2c-ov5647-Parse-and-register-properties.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0910-media-i2c-imx219-Parse-and-register-properties.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0911-media-i2c-imx477-Parse-and-register-properties.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0912-dt-dtoverlays-ov5647-Add-parameter-to-set-camera-mod.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0913-dt-dtoverlays-imx219-Add-parameter-to-set-camera-mod.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0914-dt-dtoverlays-imx477-Add-parameter-to-set-camera-mod.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0915-drm-vc4-Add-DRM_MODE_FLAG_DBLCLK-support-to-vc4-fkms.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0916-leds-Add-the-actpwr-trigger.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0917-ARM-dts-Select-the-actpwr-LED-trigger-on-Zeroes.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0918-staging-vc04_services-isp-Rework-lens-shading-to-tak.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0919-Mute-bug-fix-for-the-Audioinjector.net-isolated-soun.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0920-vc4-Report-channel-mapping-back-to-userspace.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0921-SQUASH-leds-actpwr-delete-unused-variable.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0922-staging-vchiq_arm-children-inherit-DMA-config.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0923-ARM-dts-2711-DMA-can-address-36-bits.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0924-bcm2835-dma-Advertise-the-full-DMA-range.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0925-ARM-dts-Add-UART-skip-init-properties-for-U-boot.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0926-drm-vc4-Remove-UIF-from-the-list-of-modifiers-return.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0927-ARM-proc-v7-Force-misalignment-of-early-stmia.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0928-overlays-Fix-sc16is75x-overlays-w.r.t.-serdev.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0929-overlays-Delete-spi0-hw-cs.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0930-backlight-gpio-Explicitly-set-the-direction-of-the-G.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0931-overlays-Add-maxtherm-overlay-for-MAX6675-31855.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0932-dtoverlays-Add-the-iio_hwmon-driver-to-correct-ADC-i.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0933-dts-bcm2711-Disable-DVP-by-default.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0934-ARM-dts-Add-required-USB-power-domain-for-XCHI.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0935-overlays-Regenerate-upstream-pi4.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0936-drm-vc4-Increase-the-number-of-planes-per-crtc-in-FK.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0937-drm-vc4-Set-the-possible-crtcs-mask-correctly-for-pl.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0938-staging-vc04_services-codec-Fix-incorrect-buffer-cle.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0939-staging-vc04_service-codec-Allow-start_streaming-to-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0940-staging-vc04_services-codec-Fix-component-enable-dis.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0941-update-rpi-display-overlay.dts-pins-for-5.4.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0942-Bluetooth-btrtl-Add-support-for-RTL8761B.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0943-dtoverlays-Add-overlay-for-the-PCA953x-family-of-GPI.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0944-rtc-rv3028-Write-BSM-and-TCE-TCR-to-EEPROM.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0945-rtc-rv3028-Refresh-RAM-on-EEPROM-write.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0946-dt-overlays-Add-PiFace-Digital-Device-Tree-Overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0947-overlays-Updated-MCP3008-compatible-strings.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0948-RESET_CONTROLLER-needs-to-be-activated-to-compile-Br.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0949-media-dvbsky-use-a-single-mutex-and-state-buffers-fo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0950-ARM-dts-bcm2711-Enable-support-for-DDR52-eMMC.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0951-staging-vc04_services-ISP-Fix-dmabuf-error-check-in-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0952-ARM-dts-bcm2708.dtsi-Don-t-delete-the-cpus-node.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0953-ARM-dts-bcm2835-Use-the-L2-non-allocating-alias.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0954-media-bcm2835-unicam-Drop-WARN-on-uing-direct-cache-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0955-media-i2c-tc358743-Only-allow-supported-pixel-fmts-i.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0956-media-i2c-ov9281-Add-support-for-8-bit-readout.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0957-overlays-Add-spi0-1cs-and-spi0-2cs.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0958-overlays-Fix-error-in-README.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0959-overlays-Minor-README-correction.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0960-staging-fbtft-Add-support-for-display-variants.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0961-overlays-Add-adafruit18-and-sainsmart18-overlays.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0962-ARM-dts-Limit-BT-modem-baud-rate-on-3B.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0963-overlays-Update-i2c0-overlay-to-disable-the-i2c0mux.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0964-dt-Remove-duplicate-assignment-for-i2c0-pinctrl-conf.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0965-overlays-Add-option-for-composite-to-vc4-kms-v3d-pi4.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0966-minor-typo-in-directions.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0967-overlays-Regenerate-upstream-pi4-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0968-overlays-Add-parameters-to-adafruit18-sainsmart18.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0969-rpivid_h265-Fix-width-height-typo.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0970-overlays-Add-extra-CMA-sizes-up-to-512M.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0971-overlays-Add-note-to-BCM2711-overlays.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0972-overlays-adafruit18-sainsmart18-default-bgr-to-off.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0973-net-bcmgenet-Reset-RBUF-on-first-open.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0974-ASoC-cs42xx8-Only-define-cs42xx8_of_match-once.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0975-staging-bcm2835-codec-Use-a-define-the-completion-ti.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0976-staging-bcm2835-codec-Correct-buffer-number-change-o.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0977-USB-gadget-f_hid-avoid-crashes-and-log-spam.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0978-Update-hy28b-overlay.dts.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0979-overlays-Update-display-GPIO-declarations.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0980-SQUASH-USB-gadget-f_hid-remove-more-spam.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0981-overlays-Add-sd3078-to-the-i2c-rtc-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0982-dwc_otg-initialise-sched_frame-for-periodic-QHs-that.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0983-staging-bcm2835-camera-Replace-deprecated-V4L2_PIX_F.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0984-staging-bcm2835-codec-Replace-deprecated-V4L2_PIX_FM.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0985-ARM-bcm2711-rpi.dts-Unlock-DMA-channels-9-10.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0986-gpio-Add-gpio-fsm-driver.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0987-overlays-Add-fsm-demo-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0988-overlays-Add-ghost-amp-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0989-Bluetooth-Disable-High-Speed-by-default.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0990-Fixes-a-problem-when-module-probes-before-i2c-module.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0991-uapi-Update-V4L2_CID_USER_BCM2835_ISP_BASE-due-to-up.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0992-dtoverlays-Correct-CSI2-settings-for-ov9281.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0993-xhci-quirks-add-link-TRB-quirk-for-VL805.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0994-dts-Add-CM4-to-arm64-dt-files.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0995-dts-Tidy-the-Raspberry-Pi-Makefile-entries.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0996-staging-bcm2835-audio-Add-disable-headphones-flag.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0997-ARM-dts-Disable-headphone-audio-on-Zeroes-CM4.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0998-overlays-Enable-headphone-audio-in-audremap.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-0999-rpisense-fb-Set-pseudo_pallete-to-prevent-crash-on-f.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1000-PiFi-40-Devicetree-files.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1001-PiFi-40-driver-Makefile-and-Kconfig.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1002-dwc_otg-Minimise-header-and-fix-build-warnings.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1003-gpio-fsm-Fix-a-build-warning.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1004-rpivid_h625-Fix-build-warnings.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1005-dwc_otg-Fix-more-build-warnings.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1006-bcm2708_fb-Fix-a-build-warning.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1007-bcm2835-pcm-Fix-up-multichannel-pcm-audio.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1008-watchdog-bcm2835-Ignore-params-after-the-partition-n.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1009-firmware-raspberrypi-Add-support-for-tryonce-reboot-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1010-phy-broadcom-split-out-the-BCM54213PE-from-the-BCM54.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1011-phy-broadcom-Add-bcm54213pe-configuration.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1012-Allo-boss2-driver.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1013-Add-allo-boss2-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1014-Revert-mailbox-avoid-timer-start-from-callback.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1015-ARM-dts-Add-bcm2711-rpi-400.dts.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1016-overlays-Deprecate-and-delete-the-sdtweak-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1017-overlays-Complete-the-sdtweak-excision.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1018-ARM-dts-bcm27xx-Remove-enable_headphones-setting.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1019-staging-vcsm-cma-Fix-memory-leak-from-not-detaching-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1020-Update-Allo-Piano-Dac-Driver-for-5.4.y-kernels.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1021-Overlay-Update-Allo-Piano-Plus-dac-driver-for-5.4.y-.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1022-Update-volume-controls-in-Allo-Piano-Dac-Plus.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1023-media-i2c-imx219-Selection-compliance-fixes.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1024-media-bcm2835-unicam-Correctly-handle-error-propagat.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1025-media-bcm2835-unicam-Return-early-from-stop_streamin.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1026-media-bcm2835-unicam-Clear-clock-state-when-stopping.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1027-ARM-dts-CM4-audio-pins-are-not-connected.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1028-overlays-Add-PCF85063-and-PCF85063A-to-i2c-rtc.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1029-overlays-Fix-cut-and-paste-error-in-README.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1030-media-i2c-imx477-Selection-compliance-fixes.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1031-net-lan78xx-Ack-pending-PHY-ints-when-resetting.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1032-overlays-mpu6050-Add-addr-parameter.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1033-overlays-Add-missing-addresses-to-ads1015-ads1115.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1034-media-i2c-ov5647-Selection-compliance-fixes.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1035-Add-overlay-for-Seeed-Studio-CAN-BUS-FD-HAT-4034.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1036-overlays-Rebuild-upstream-with-latest-ovmerge.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1037-overlays-give-Seeed-Studio-CAN-BUS-FD-HAT-a-v2-postf.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1038-overlays-Add-overlay-for-Seeed-Studio-CAN-BUS-FD-HAT.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1039-overlays-add-wm8960-soundcard-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1040-overlays-add-spi-override-to-merus-amp-overlay.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1041-overlays-seeed-can-fd-hat-clarify-how-to-identify-HA.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1042-uapi-bcm2835-isp-Add-colour-denoise-configuration.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1043-staging-vc04_services-ISP-Add-colour-denoise-control.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1044-kbuild-Silence-unavoidable-dtc-overlay-warnings.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1045-Adds-the-DT-overlays-to-support-Hifiberry-AMP100.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1046-Enhances-the-Hifiberry-DAC-driver-for-Hifiberry-AMP1.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1047-ARM-dts-Declare-Pi400-and-CM4-have-no-audio-pins.patch [new file with mode: 0644]
target/linux/bcm27xx/patches-5.4/950-1048-Hifiberry-DAC-ADC-Pro-fix-for-the-PLL-when-changing-.patch [new file with mode: 0644]

index c560ff04ad50de7e9de2d6a160f6d5d19c2edb42..e7d384dded901d7548e9fc9894d18b605702f6c9 100644 (file)
@@ -4,21 +4,6 @@ CONFIG_ARCH_32BIT_OFF_T=y
 CONFIG_ARCH_BCM=y
 CONFIG_ARCH_BCM2835=y
 CONFIG_ARCH_CLOCKSOURCE_DATA=y
-CONFIG_ARCH_HAS_BINFMT_FLAT=y
-CONFIG_ARCH_HAS_DEBUG_VIRTUAL=y
-CONFIG_ARCH_HAS_ELF_RANDOMIZE=y
-CONFIG_ARCH_HAS_FORTIFY_SOURCE=y
-CONFIG_ARCH_HAS_GCOV_PROFILE_ALL=y
-CONFIG_ARCH_HAS_KCOV=y
-CONFIG_ARCH_HAS_KEEPINITRD=y
-CONFIG_ARCH_HAS_MEMBARRIER_SYNC_CORE=y
-CONFIG_ARCH_HAS_PHYS_TO_DMA=y
-CONFIG_ARCH_HAS_SETUP_DMA_OPS=y
-CONFIG_ARCH_HAS_SET_MEMORY=y
-CONFIG_ARCH_HAS_STRICT_KERNEL_RWX=y
-CONFIG_ARCH_HAS_STRICT_MODULE_RWX=y
-CONFIG_ARCH_HAS_TEARDOWN_DMA_OPS=y
-CONFIG_ARCH_HAVE_CUSTOM_GPIO_H=y
 CONFIG_ARCH_HIBERNATION_POSSIBLE=y
 CONFIG_ARCH_KEEP_MEMBLOCK=y
 CONFIG_ARCH_MIGHT_HAVE_PC_PARPORT=y
@@ -27,23 +12,16 @@ CONFIG_ARCH_MULTI_V6=y
 CONFIG_ARCH_MULTI_V6_V7=y
 CONFIG_ARCH_NR_GPIO=0
 CONFIG_ARCH_OPTIONAL_KERNEL_RWX=y
-CONFIG_ARCH_SUPPORTS_ATOMIC_RMW=y
-CONFIG_ARCH_SUPPORTS_UPROBES=y
 CONFIG_ARCH_SUSPEND_POSSIBLE=y
-CONFIG_ARCH_USE_BUILTIN_BSWAP=y
-CONFIG_ARCH_USE_CMPXCHG_LOCKREF=y
-CONFIG_ARCH_WANT_DEFAULT_TOPDOWN_MMAP_LAYOUT=y
-CONFIG_ARCH_WANT_GENERAL_HUGETLB=y
-CONFIG_ARCH_WANT_IPC_PARSE_VERSION=y
 CONFIG_ARM=y
 CONFIG_ARM_AMBA=y
-CONFIG_ARM_BCM2835_CPUFREQ=y
+# CONFIG_ARM_BCM2835_CPUFREQ is not set
 CONFIG_ARM_CPU_SUSPEND=y
 CONFIG_ARM_ERRATA_411920=y
 CONFIG_ARM_HAS_SG_CHAIN=y
 CONFIG_ARM_L1_CACHE_SHIFT=5
 CONFIG_ARM_PATCH_PHYS_VIRT=y
-# CONFIG_ARM_RASPBERRYPI_CPUFREQ is not set
+CONFIG_ARM_RASPBERRYPI_CPUFREQ=y
 # CONFIG_ARM_SCMI_PROTOCOL is not set
 CONFIG_ARM_THUMB=y
 CONFIG_ARM_TIMER_SP804=y
@@ -76,7 +54,6 @@ CONFIG_BLK_PM=y
 CONFIG_BLK_SCSI_REQUEST=y
 CONFIG_BRCM_CHAR_DRIVERS=y
 # CONFIG_CACHE_L2X0 is not set
-CONFIG_CC_HAS_KASAN_GENERIC=y
 CONFIG_CLKDEV_LOOKUP=y
 CONFIG_CLKSRC_MMIO=y
 CONFIG_CLK_BCM2835=y
@@ -97,7 +74,8 @@ CONFIG_COMPAT_32BIT_TIME=y
 CONFIG_CONFIGFS_FS=y
 CONFIG_CONSOLE_TRANSLATIONS=y
 CONFIG_CONTIG_ALLOC=y
-# CONFIG_CPUFREQ_DT is not set
+CONFIG_CPUFREQ_DT=y
+CONFIG_CPUFREQ_DT_PLATDEV=y
 CONFIG_CPU_32v6=y
 CONFIG_CPU_32v6K=y
 CONFIG_CPU_ABRT_EV6=y
@@ -202,47 +180,13 @@ CONFIG_GENERIC_STRNLEN_USER=y
 CONFIG_GPIOLIB=y
 CONFIG_GPIOLIB_IRQCHIP=y
 # CONFIG_GPIO_BCM_VIRT is not set
+# CONFIG_GPIO_FSM is not set
 CONFIG_GPIO_RASPBERRYPI_EXP=y
 CONFIG_HANDLE_DOMAIN_IRQ=y
 CONFIG_HARDIRQS_SW_RESEND=y
 CONFIG_HAS_DMA=y
 CONFIG_HAS_IOMEM=y
 CONFIG_HAS_IOPORT_MAP=y
-CONFIG_HAVE_ARCH_JUMP_LABEL=y
-CONFIG_HAVE_ARCH_KGDB=y
-CONFIG_HAVE_ARCH_PFN_VALID=y
-CONFIG_HAVE_ARCH_THREAD_STRUCT_WHITELIST=y
-CONFIG_HAVE_ARCH_TRACEHOOK=y
-CONFIG_HAVE_CLK=y
-CONFIG_HAVE_CLK_PREPARE=y
-CONFIG_HAVE_CONTEXT_TRACKING=y
-CONFIG_HAVE_COPY_THREAD_TLS=y
-CONFIG_HAVE_C_RECORDMCOUNT=y
-CONFIG_HAVE_DEBUG_KMEMLEAK=y
-CONFIG_HAVE_DMA_CONTIGUOUS=y
-CONFIG_HAVE_DYNAMIC_FTRACE=y
-CONFIG_HAVE_DYNAMIC_FTRACE_WITH_REGS=y
-CONFIG_HAVE_EBPF_JIT=y
-CONFIG_HAVE_EFFICIENT_UNALIGNED_ACCESS=y
-CONFIG_HAVE_FTRACE_MCOUNT_RECORD=y
-CONFIG_HAVE_FUNCTION_GRAPH_TRACER=y
-CONFIG_HAVE_FUNCTION_TRACER=y
-CONFIG_HAVE_IRQ_TIME_ACCOUNTING=y
-CONFIG_HAVE_LD_DEAD_CODE_DATA_ELIMINATION=y
-CONFIG_HAVE_MOD_ARCH_SPECIFIC=y
-CONFIG_HAVE_NET_DSA=y
-CONFIG_HAVE_OPROFILE=y
-CONFIG_HAVE_OPTPROBES=y
-CONFIG_HAVE_PCI=y
-CONFIG_HAVE_PERF_EVENTS=y
-CONFIG_HAVE_PERF_REGS=y
-CONFIG_HAVE_PERF_USER_STACK_DUMP=y
-CONFIG_HAVE_PROC_CPU=y
-CONFIG_HAVE_REGS_AND_STACK_ACCESS_API=y
-CONFIG_HAVE_RSEQ=y
-CONFIG_HAVE_SYSCALL_TRACEPOINTS=y
-CONFIG_HAVE_UID16=y
-CONFIG_HAVE_VIRT_CPU_ACCOUNTING_GEN=y
 CONFIG_HW_CONSOLE=y
 CONFIG_HW_RANDOM=y
 CONFIG_HW_RANDOM_BCM2835=y
@@ -268,6 +212,7 @@ CONFIG_JBD2=y
 CONFIG_KERNEL_GZIP=y
 # CONFIG_KERNEL_XZ is not set
 CONFIG_LEDS_GPIO=y
+CONFIG_LEDS_TRIGGER_ACTPWR=y
 CONFIG_LEDS_TRIGGER_INPUT=y
 CONFIG_LIBFDT=y
 CONFIG_LOCK_DEBUGGING_SUPPORT=y
@@ -332,6 +277,7 @@ CONFIG_PM_CLK=y
 CONFIG_PM_GENERIC_DOMAINS=y
 CONFIG_PM_GENERIC_DOMAINS_OF=y
 CONFIG_PM_GENERIC_DOMAINS_SLEEP=y
+CONFIG_PM_OPP=y
 CONFIG_PM_SLEEP=y
 CONFIG_POWER_SUPPLY=y
 CONFIG_PRINTK_TIME=y
index 017f3eb0adfbfa14a91301857109bf53c0eaf5ff..98ac43375230c29bd4782ad53f7f68f31b6e5fed 100644 (file)
@@ -6,26 +6,6 @@ CONFIG_ARCH_BCM2835=y
 # CONFIG_ARCH_BCM_HR2 is not set
 CONFIG_ARCH_CLOCKSOURCE_DATA=y
 CONFIG_ARCH_DMA_ADDR_T_64BIT=y
-CONFIG_ARCH_HAS_BINFMT_FLAT=y
-CONFIG_ARCH_HAS_DEBUG_VIRTUAL=y
-CONFIG_ARCH_HAS_DMA_COHERENT_TO_PFN=y
-CONFIG_ARCH_HAS_ELF_RANDOMIZE=y
-CONFIG_ARCH_HAS_FORTIFY_SOURCE=y
-CONFIG_ARCH_HAS_GCOV_PROFILE_ALL=y
-CONFIG_ARCH_HAS_KCOV=y
-CONFIG_ARCH_HAS_KEEPINITRD=y
-CONFIG_ARCH_HAS_MEMBARRIER_SYNC_CORE=y
-CONFIG_ARCH_HAS_PHYS_TO_DMA=y
-CONFIG_ARCH_HAS_PTE_SPECIAL=y
-CONFIG_ARCH_HAS_SETUP_DMA_OPS=y
-CONFIG_ARCH_HAS_SET_MEMORY=y
-CONFIG_ARCH_HAS_STRICT_KERNEL_RWX=y
-CONFIG_ARCH_HAS_STRICT_MODULE_RWX=y
-CONFIG_ARCH_HAS_SYNC_DMA_FOR_CPU=y
-CONFIG_ARCH_HAS_SYNC_DMA_FOR_DEVICE=y
-CONFIG_ARCH_HAS_TEARDOWN_DMA_OPS=y
-CONFIG_ARCH_HAS_TICK_BROADCAST=y
-CONFIG_ARCH_HAVE_CUSTOM_GPIO_H=y
 CONFIG_ARCH_HIBERNATION_POSSIBLE=y
 CONFIG_ARCH_KEEP_MEMBLOCK=y
 CONFIG_ARCH_MIGHT_HAVE_PC_PARPORT=y
@@ -35,14 +15,7 @@ CONFIG_ARCH_MULTI_V7=y
 CONFIG_ARCH_NR_GPIO=0
 CONFIG_ARCH_OPTIONAL_KERNEL_RWX=y
 CONFIG_ARCH_OPTIONAL_KERNEL_RWX_DEFAULT=y
-CONFIG_ARCH_SUPPORTS_ATOMIC_RMW=y
-CONFIG_ARCH_SUPPORTS_UPROBES=y
 CONFIG_ARCH_SUSPEND_POSSIBLE=y
-CONFIG_ARCH_USE_BUILTIN_BSWAP=y
-CONFIG_ARCH_USE_CMPXCHG_LOCKREF=y
-CONFIG_ARCH_WANT_DEFAULT_TOPDOWN_MMAP_LAYOUT=y
-CONFIG_ARCH_WANT_GENERAL_HUGETLB=y
-CONFIG_ARCH_WANT_IPC_PARSE_VERSION=y
 CONFIG_ARM=y
 CONFIG_ARM_AMBA=y
 CONFIG_ARM_ARCH_TIMER=y
@@ -96,7 +69,6 @@ CONFIG_BOUNCE=y
 CONFIG_BRCM_CHAR_DRIVERS=y
 CONFIG_BROADCOM_PHY=y
 # CONFIG_CACHE_L2X0 is not set
-CONFIG_CC_HAS_KASAN_GENERIC=y
 CONFIG_CLKDEV_LOOKUP=y
 CONFIG_CLKSRC_MMIO=y
 CONFIG_CLK_BCM2835=y
@@ -204,6 +176,7 @@ CONFIG_ENABLE_MUST_CHECK=y
 CONFIG_EXT4_FS=y
 CONFIG_EXT4_FS_POSIX_ACL=y
 CONFIG_EXT4_FS_SECURITY=y
+CONFIG_EXTCON=y
 CONFIG_F2FS_FS=y
 CONFIG_FB=y
 CONFIG_FB_BCM2708=y
@@ -254,9 +227,11 @@ CONFIG_GENERIC_SCHED_CLOCK=y
 CONFIG_GENERIC_SMP_IDLE_THREAD=y
 CONFIG_GENERIC_STRNCPY_FROM_USER=y
 CONFIG_GENERIC_STRNLEN_USER=y
+CONFIG_GENERIC_TIME_VSYSCALL=y
 CONFIG_GPIOLIB=y
 CONFIG_GPIOLIB_IRQCHIP=y
 CONFIG_GPIO_BCM_VIRT=y
+# CONFIG_GPIO_FSM is not set
 CONFIG_GPIO_RASPBERRYPI_EXP=y
 CONFIG_HANDLE_DOMAIN_IRQ=y
 CONFIG_HARDEN_BRANCH_PREDICTOR=y
@@ -264,49 +239,7 @@ CONFIG_HARDIRQS_SW_RESEND=y
 CONFIG_HAS_DMA=y
 CONFIG_HAS_IOMEM=y
 CONFIG_HAS_IOPORT_MAP=y
-CONFIG_HAVE_ARCH_BITREVERSE=y
-CONFIG_HAVE_ARCH_JUMP_LABEL=y
-CONFIG_HAVE_ARCH_KGDB=y
-CONFIG_HAVE_ARCH_PFN_VALID=y
-CONFIG_HAVE_ARCH_THREAD_STRUCT_WHITELIST=y
-CONFIG_HAVE_ARCH_TRACEHOOK=y
-CONFIG_HAVE_ARCH_TRANSPARENT_HUGEPAGE=y
-CONFIG_HAVE_ARM_ARCH_TIMER=y
-CONFIG_HAVE_ARM_SMCCC=y
-CONFIG_HAVE_CLK=y
-CONFIG_HAVE_CLK_PREPARE=y
-CONFIG_HAVE_CONTEXT_TRACKING=y
-CONFIG_HAVE_COPY_THREAD_TLS=y
-CONFIG_HAVE_C_RECORDMCOUNT=y
-CONFIG_HAVE_DEBUG_KMEMLEAK=y
-CONFIG_HAVE_DMA_CONTIGUOUS=y
-CONFIG_HAVE_DYNAMIC_FTRACE=y
-CONFIG_HAVE_DYNAMIC_FTRACE_WITH_REGS=y
-CONFIG_HAVE_EBPF_JIT=y
-CONFIG_HAVE_EFFICIENT_UNALIGNED_ACCESS=y
-CONFIG_HAVE_FAST_GUP=y
-CONFIG_HAVE_FTRACE_MCOUNT_RECORD=y
-CONFIG_HAVE_FUNCTION_GRAPH_TRACER=y
-CONFIG_HAVE_FUNCTION_TRACER=y
-CONFIG_HAVE_IDE=y
-CONFIG_HAVE_IRQ_TIME_ACCOUNTING=y
-CONFIG_HAVE_LD_DEAD_CODE_DATA_ELIMINATION=y
-CONFIG_HAVE_MOD_ARCH_SPECIFIC=y
-CONFIG_HAVE_NET_DSA=y
-CONFIG_HAVE_OPROFILE=y
-CONFIG_HAVE_OPTPROBES=y
-CONFIG_HAVE_PCI=y
-CONFIG_HAVE_PERF_EVENTS=y
-CONFIG_HAVE_PERF_REGS=y
-CONFIG_HAVE_PERF_USER_STACK_DUMP=y
-CONFIG_HAVE_PROC_CPU=y
-CONFIG_HAVE_RCU_TABLE_FREE=y
-CONFIG_HAVE_REGS_AND_STACK_ACCESS_API=y
-CONFIG_HAVE_RSEQ=y
 CONFIG_HAVE_SMP=y
-CONFIG_HAVE_SYSCALL_TRACEPOINTS=y
-CONFIG_HAVE_UID16=y
-CONFIG_HAVE_VIRT_CPU_ACCOUNTING_GEN=y
 CONFIG_HIGHMEM=y
 CONFIG_HIGHPTE=y
 CONFIG_HOTPLUG_CPU=y
@@ -335,6 +268,7 @@ CONFIG_IRQ_WORK=y
 CONFIG_JBD2=y
 CONFIG_KEYS=y
 CONFIG_LEDS_GPIO=y
+CONFIG_LEDS_TRIGGER_ACTPWR=y
 CONFIG_LEDS_TRIGGER_INPUT=y
 CONFIG_LIBFDT=y
 CONFIG_LOCK_DEBUGGING_SUPPORT=y
@@ -381,6 +315,7 @@ CONFIG_NEON=y
 CONFIG_NET_FLOW_LIMIT=y
 CONFIG_NLS=y
 CONFIG_NLS_ASCII=y
+CONFIG_NOP_USB_XCEIV=y
 CONFIG_NO_HZ=y
 CONFIG_NO_HZ_COMMON=y
 CONFIG_NO_HZ_IDLE=y
@@ -498,10 +433,12 @@ CONFIG_USB=y
 CONFIG_USB_ANNOUNCE_NEW_DEVICES=y
 CONFIG_USB_COMMON=y
 CONFIG_USB_DWCOTG=y
+CONFIG_USB_GADGET=y
 CONFIG_USB_LAN78XX=y
 CONFIG_USB_NET_DRIVERS=y
 CONFIG_USB_NET_SMSC95XX=y
 CONFIG_USB_PCI=y
+CONFIG_USB_PHY=y
 CONFIG_USB_STORAGE=y
 CONFIG_USB_SUPPORT=y
 CONFIG_USB_UAS=y
index a011e2f213419b12f25c17fa7d5e77db33d97832..5aaf021de95c80829bedd7a984cc4f9ff2405a3f 100644 (file)
@@ -3,59 +3,7 @@ CONFIG_64BIT=y
 CONFIG_ARCH_BCM2835=y
 CONFIG_ARCH_CLOCKSOURCE_DATA=y
 CONFIG_ARCH_DMA_ADDR_T_64BIT=y
-CONFIG_ARCH_ENABLE_MEMORY_HOTPLUG=y
-CONFIG_ARCH_ENABLE_SPLIT_PMD_PTLOCK=y
-CONFIG_ARCH_HAS_CACHE_LINE_SIZE=y
-CONFIG_ARCH_HAS_DEBUG_VIRTUAL=y
-CONFIG_ARCH_HAS_DMA_COHERENT_TO_PFN=y
-CONFIG_ARCH_HAS_DMA_PREP_COHERENT=y
-CONFIG_ARCH_HAS_ELF_RANDOMIZE=y
-CONFIG_ARCH_HAS_FAST_MULTIPLIER=y
-CONFIG_ARCH_HAS_FORTIFY_SOURCE=y
-CONFIG_ARCH_HAS_GCOV_PROFILE_ALL=y
-CONFIG_ARCH_HAS_GIGANTIC_PAGE=y
-CONFIG_ARCH_HAS_KCOV=y
-CONFIG_ARCH_HAS_KEEPINITRD=y
-CONFIG_ARCH_HAS_MEMBARRIER_SYNC_CORE=y
-CONFIG_ARCH_HAS_PTE_DEVMAP=y
-CONFIG_ARCH_HAS_PTE_SPECIAL=y
-CONFIG_ARCH_HAS_SETUP_DMA_OPS=y
-CONFIG_ARCH_HAS_SET_DIRECT_MAP=y
-CONFIG_ARCH_HAS_SET_MEMORY=y
-CONFIG_ARCH_HAS_STRICT_KERNEL_RWX=y
-CONFIG_ARCH_HAS_STRICT_MODULE_RWX=y
-CONFIG_ARCH_HAS_SYNC_DMA_FOR_CPU=y
-CONFIG_ARCH_HAS_SYNC_DMA_FOR_DEVICE=y
-CONFIG_ARCH_HAS_SYSCALL_WRAPPER=y
-CONFIG_ARCH_HAS_TICK_BROADCAST=y
-CONFIG_ARCH_HAVE_NMI_SAFE_CMPXCHG=y
 CONFIG_ARCH_HIBERNATION_POSSIBLE=y
-CONFIG_ARCH_INLINE_READ_LOCK=y
-CONFIG_ARCH_INLINE_READ_LOCK_BH=y
-CONFIG_ARCH_INLINE_READ_LOCK_IRQ=y
-CONFIG_ARCH_INLINE_READ_LOCK_IRQSAVE=y
-CONFIG_ARCH_INLINE_READ_UNLOCK=y
-CONFIG_ARCH_INLINE_READ_UNLOCK_BH=y
-CONFIG_ARCH_INLINE_READ_UNLOCK_IRQ=y
-CONFIG_ARCH_INLINE_READ_UNLOCK_IRQRESTORE=y
-CONFIG_ARCH_INLINE_SPIN_LOCK=y
-CONFIG_ARCH_INLINE_SPIN_LOCK_BH=y
-CONFIG_ARCH_INLINE_SPIN_LOCK_IRQ=y
-CONFIG_ARCH_INLINE_SPIN_LOCK_IRQSAVE=y
-CONFIG_ARCH_INLINE_SPIN_TRYLOCK=y
-CONFIG_ARCH_INLINE_SPIN_TRYLOCK_BH=y
-CONFIG_ARCH_INLINE_SPIN_UNLOCK=y
-CONFIG_ARCH_INLINE_SPIN_UNLOCK_BH=y
-CONFIG_ARCH_INLINE_SPIN_UNLOCK_IRQ=y
-CONFIG_ARCH_INLINE_SPIN_UNLOCK_IRQRESTORE=y
-CONFIG_ARCH_INLINE_WRITE_LOCK=y
-CONFIG_ARCH_INLINE_WRITE_LOCK_BH=y
-CONFIG_ARCH_INLINE_WRITE_LOCK_IRQ=y
-CONFIG_ARCH_INLINE_WRITE_LOCK_IRQSAVE=y
-CONFIG_ARCH_INLINE_WRITE_UNLOCK=y
-CONFIG_ARCH_INLINE_WRITE_UNLOCK_BH=y
-CONFIG_ARCH_INLINE_WRITE_UNLOCK_IRQ=y
-CONFIG_ARCH_INLINE_WRITE_UNLOCK_IRQRESTORE=y
 CONFIG_ARCH_KEEP_MEMBLOCK=y
 CONFIG_ARCH_MMAP_RND_BITS=18
 CONFIG_ARCH_MMAP_RND_BITS_MAX=24
@@ -65,20 +13,7 @@ CONFIG_ARCH_PROC_KCORE_TEXT=y
 CONFIG_ARCH_SELECT_MEMORY_MODEL=y
 CONFIG_ARCH_SPARSEMEM_DEFAULT=y
 CONFIG_ARCH_SPARSEMEM_ENABLE=y
-CONFIG_ARCH_SUPPORTS_ATOMIC_RMW=y
-CONFIG_ARCH_SUPPORTS_DEBUG_PAGEALLOC=y
-CONFIG_ARCH_SUPPORTS_INT128=y
-CONFIG_ARCH_SUPPORTS_MEMORY_FAILURE=y
-CONFIG_ARCH_SUPPORTS_NUMA_BALANCING=y
-CONFIG_ARCH_SUPPORTS_UPROBES=y
 CONFIG_ARCH_SUSPEND_POSSIBLE=y
-CONFIG_ARCH_USE_CMPXCHG_LOCKREF=y
-CONFIG_ARCH_USE_MEMREMAP_PROT=y
-CONFIG_ARCH_USE_QUEUED_RWLOCKS=y
-CONFIG_ARCH_USE_QUEUED_SPINLOCKS=y
-CONFIG_ARCH_WANT_DEFAULT_TOPDOWN_MMAP_LAYOUT=y
-CONFIG_ARCH_WANT_FRAME_POINTERS=y
-CONFIG_ARCH_WANT_HUGE_PMD_SHARE=y
 CONFIG_ARM64=y
 CONFIG_ARM64_4K_PAGES=y
 CONFIG_ARM64_CNP=y
@@ -153,7 +88,6 @@ CONFIG_CAVIUM_ERRATUM_22375=y
 CONFIG_CAVIUM_ERRATUM_23154=y
 CONFIG_CAVIUM_ERRATUM_27456=y
 CONFIG_CAVIUM_TX2_ERRATUM_219=y
-CONFIG_CC_HAS_KASAN_GENERIC=y
 CONFIG_CLKDEV_LOOKUP=y
 CONFIG_CLKSRC_MMIO=y
 CONFIG_CLK_BCM2835=y
@@ -242,11 +176,11 @@ CONFIG_DRM_RCAR_WRITEBACK=y
 CONFIG_DTC=y
 CONFIG_DUMMY_CONSOLE=y
 CONFIG_EDAC_SUPPORT=y
-CONFIG_EFI_EARLYCON=y
 CONFIG_ENABLE_MUST_CHECK=y
 CONFIG_EXT4_FS=y
 CONFIG_EXT4_FS_POSIX_ACL=y
 CONFIG_EXT4_FS_SECURITY=y
+CONFIG_EXTCON=y
 CONFIG_F2FS_FS=y
 CONFIG_FB=y
 CONFIG_FB_BCM2708=y
@@ -305,6 +239,7 @@ CONFIG_GENERIC_TIME_VSYSCALL=y
 CONFIG_GPIOLIB=y
 CONFIG_GPIOLIB_IRQCHIP=y
 CONFIG_GPIO_BCM_VIRT=y
+# CONFIG_GPIO_FSM is not set
 CONFIG_GPIO_RASPBERRYPI_EXP=y
 CONFIG_HANDLE_DOMAIN_IRQ=y
 CONFIG_HARDEN_BRANCH_PREDICTOR=y
@@ -312,59 +247,6 @@ CONFIG_HARDIRQS_SW_RESEND=y
 CONFIG_HAS_DMA=y
 CONFIG_HAS_IOMEM=y
 CONFIG_HAS_IOPORT_MAP=y
-CONFIG_HAVE_ALIGNED_STRUCT_PAGE=y
-CONFIG_HAVE_ARCH_AUDITSYSCALL=y
-CONFIG_HAVE_ARCH_BITREVERSE=y
-CONFIG_HAVE_ARCH_HUGE_VMAP=y
-CONFIG_HAVE_ARCH_JUMP_LABEL=y
-CONFIG_HAVE_ARCH_JUMP_LABEL_RELATIVE=y
-CONFIG_HAVE_ARCH_KASAN=y
-CONFIG_HAVE_ARCH_KASAN_SW_TAGS=y
-CONFIG_HAVE_ARCH_KGDB=y
-CONFIG_HAVE_ARCH_PFN_VALID=y
-CONFIG_HAVE_ARCH_PREL32_RELOCATIONS=y
-CONFIG_HAVE_ARCH_SECCOMP_FILTER=y
-CONFIG_HAVE_ARCH_STACKLEAK=y
-CONFIG_HAVE_ARCH_THREAD_STRUCT_WHITELIST=y
-CONFIG_HAVE_ARCH_TRACEHOOK=y
-CONFIG_HAVE_ARCH_TRANSPARENT_HUGEPAGE=y
-CONFIG_HAVE_ARCH_VMAP_STACK=y
-CONFIG_HAVE_ARM_SMCCC=y
-CONFIG_HAVE_ASM_MODVERSIONS=y
-CONFIG_HAVE_CLK=y
-CONFIG_HAVE_CLK_PREPARE=y
-CONFIG_HAVE_CMPXCHG_DOUBLE=y
-CONFIG_HAVE_CMPXCHG_LOCAL=y
-CONFIG_HAVE_CONTEXT_TRACKING=y
-CONFIG_HAVE_COPY_THREAD_TLS=y
-CONFIG_HAVE_C_RECORDMCOUNT=y
-CONFIG_HAVE_DEBUG_BUGVERBOSE=y
-CONFIG_HAVE_DEBUG_KMEMLEAK=y
-CONFIG_HAVE_DMA_CONTIGUOUS=y
-CONFIG_HAVE_DYNAMIC_FTRACE=y
-CONFIG_HAVE_EBPF_JIT=y
-CONFIG_HAVE_EFFICIENT_UNALIGNED_ACCESS=y
-CONFIG_HAVE_FAST_GUP=y
-CONFIG_HAVE_FTRACE_MCOUNT_RECORD=y
-CONFIG_HAVE_FUNCTION_ARG_ACCESS_API=y
-CONFIG_HAVE_FUNCTION_ERROR_INJECTION=y
-CONFIG_HAVE_FUNCTION_GRAPH_TRACER=y
-CONFIG_HAVE_FUNCTION_TRACER=y
-CONFIG_HAVE_GENERIC_VDSO=y
-CONFIG_HAVE_IRQ_TIME_ACCOUNTING=y
-CONFIG_HAVE_MEMORY_PRESENT=y
-CONFIG_HAVE_MOD_ARCH_SPECIFIC=y
-CONFIG_HAVE_NET_DSA=y
-CONFIG_HAVE_PATA_PLATFORM=y
-CONFIG_HAVE_PCI=y
-CONFIG_HAVE_PERF_EVENTS=y
-CONFIG_HAVE_PERF_REGS=y
-CONFIG_HAVE_PERF_USER_STACK_DUMP=y
-CONFIG_HAVE_RCU_TABLE_FREE=y
-CONFIG_HAVE_REGS_AND_STACK_ACCESS_API=y
-CONFIG_HAVE_RSEQ=y
-CONFIG_HAVE_SYSCALL_TRACEPOINTS=y
-CONFIG_HAVE_VIRT_CPU_ACCOUNTING_GEN=y
 CONFIG_HOLES_IN_ZONE=y
 CONFIG_HOTPLUG_CPU=y
 CONFIG_HW_CONSOLE=y
@@ -379,26 +261,6 @@ CONFIG_I2C_BOARDINFO=y
 CONFIG_IKCONFIG=y
 CONFIG_IKCONFIG_PROC=y
 CONFIG_ILLEGAL_POINTER_VALUE=0xdead000000000000
-CONFIG_INLINE_READ_LOCK=y
-CONFIG_INLINE_READ_LOCK_BH=y
-CONFIG_INLINE_READ_LOCK_IRQ=y
-CONFIG_INLINE_READ_LOCK_IRQSAVE=y
-CONFIG_INLINE_READ_UNLOCK_BH=y
-CONFIG_INLINE_READ_UNLOCK_IRQRESTORE=y
-CONFIG_INLINE_SPIN_LOCK=y
-CONFIG_INLINE_SPIN_LOCK_BH=y
-CONFIG_INLINE_SPIN_LOCK_IRQ=y
-CONFIG_INLINE_SPIN_LOCK_IRQSAVE=y
-CONFIG_INLINE_SPIN_TRYLOCK=y
-CONFIG_INLINE_SPIN_TRYLOCK_BH=y
-CONFIG_INLINE_SPIN_UNLOCK_BH=y
-CONFIG_INLINE_SPIN_UNLOCK_IRQRESTORE=y
-CONFIG_INLINE_WRITE_LOCK=y
-CONFIG_INLINE_WRITE_LOCK_BH=y
-CONFIG_INLINE_WRITE_LOCK_IRQ=y
-CONFIG_INLINE_WRITE_LOCK_IRQSAVE=y
-CONFIG_INLINE_WRITE_UNLOCK_BH=y
-CONFIG_INLINE_WRITE_UNLOCK_IRQRESTORE=y
 CONFIG_INPUT=y
 CONFIG_INPUT_MOUSEDEV=y
 # CONFIG_INPUT_MOUSEDEV_PSAUX is not set
@@ -412,6 +274,7 @@ CONFIG_IRQ_WORK=y
 CONFIG_JBD2=y
 CONFIG_KEYS=y
 CONFIG_LEDS_GPIO=y
+CONFIG_LEDS_TRIGGER_ACTPWR=y
 CONFIG_LEDS_TRIGGER_INPUT=y
 CONFIG_LIBFDT=y
 CONFIG_LOCK_DEBUGGING_SUPPORT=y
index ee391ff5be551964526cd1aa6315dfc3d3ea66ec..2ba8bfba3d3e5238612b74f95a42d289a5fc2caa 100644 (file)
@@ -3,59 +3,7 @@ CONFIG_64BIT=y
 CONFIG_ARCH_BCM2835=y
 CONFIG_ARCH_CLOCKSOURCE_DATA=y
 CONFIG_ARCH_DMA_ADDR_T_64BIT=y
-CONFIG_ARCH_ENABLE_MEMORY_HOTPLUG=y
-CONFIG_ARCH_ENABLE_SPLIT_PMD_PTLOCK=y
-CONFIG_ARCH_HAS_CACHE_LINE_SIZE=y
-CONFIG_ARCH_HAS_DEBUG_VIRTUAL=y
-CONFIG_ARCH_HAS_DMA_COHERENT_TO_PFN=y
-CONFIG_ARCH_HAS_DMA_PREP_COHERENT=y
-CONFIG_ARCH_HAS_ELF_RANDOMIZE=y
-CONFIG_ARCH_HAS_FAST_MULTIPLIER=y
-CONFIG_ARCH_HAS_FORTIFY_SOURCE=y
-CONFIG_ARCH_HAS_GCOV_PROFILE_ALL=y
-CONFIG_ARCH_HAS_GIGANTIC_PAGE=y
-CONFIG_ARCH_HAS_KCOV=y
-CONFIG_ARCH_HAS_KEEPINITRD=y
-CONFIG_ARCH_HAS_MEMBARRIER_SYNC_CORE=y
-CONFIG_ARCH_HAS_PTE_DEVMAP=y
-CONFIG_ARCH_HAS_PTE_SPECIAL=y
-CONFIG_ARCH_HAS_SETUP_DMA_OPS=y
-CONFIG_ARCH_HAS_SET_DIRECT_MAP=y
-CONFIG_ARCH_HAS_SET_MEMORY=y
-CONFIG_ARCH_HAS_STRICT_KERNEL_RWX=y
-CONFIG_ARCH_HAS_STRICT_MODULE_RWX=y
-CONFIG_ARCH_HAS_SYNC_DMA_FOR_CPU=y
-CONFIG_ARCH_HAS_SYNC_DMA_FOR_DEVICE=y
-CONFIG_ARCH_HAS_SYSCALL_WRAPPER=y
-CONFIG_ARCH_HAS_TICK_BROADCAST=y
-CONFIG_ARCH_HAVE_NMI_SAFE_CMPXCHG=y
 CONFIG_ARCH_HIBERNATION_POSSIBLE=y
-CONFIG_ARCH_INLINE_READ_LOCK=y
-CONFIG_ARCH_INLINE_READ_LOCK_BH=y
-CONFIG_ARCH_INLINE_READ_LOCK_IRQ=y
-CONFIG_ARCH_INLINE_READ_LOCK_IRQSAVE=y
-CONFIG_ARCH_INLINE_READ_UNLOCK=y
-CONFIG_ARCH_INLINE_READ_UNLOCK_BH=y
-CONFIG_ARCH_INLINE_READ_UNLOCK_IRQ=y
-CONFIG_ARCH_INLINE_READ_UNLOCK_IRQRESTORE=y
-CONFIG_ARCH_INLINE_SPIN_LOCK=y
-CONFIG_ARCH_INLINE_SPIN_LOCK_BH=y
-CONFIG_ARCH_INLINE_SPIN_LOCK_IRQ=y
-CONFIG_ARCH_INLINE_SPIN_LOCK_IRQSAVE=y
-CONFIG_ARCH_INLINE_SPIN_TRYLOCK=y
-CONFIG_ARCH_INLINE_SPIN_TRYLOCK_BH=y
-CONFIG_ARCH_INLINE_SPIN_UNLOCK=y
-CONFIG_ARCH_INLINE_SPIN_UNLOCK_BH=y
-CONFIG_ARCH_INLINE_SPIN_UNLOCK_IRQ=y
-CONFIG_ARCH_INLINE_SPIN_UNLOCK_IRQRESTORE=y
-CONFIG_ARCH_INLINE_WRITE_LOCK=y
-CONFIG_ARCH_INLINE_WRITE_LOCK_BH=y
-CONFIG_ARCH_INLINE_WRITE_LOCK_IRQ=y
-CONFIG_ARCH_INLINE_WRITE_LOCK_IRQSAVE=y
-CONFIG_ARCH_INLINE_WRITE_UNLOCK=y
-CONFIG_ARCH_INLINE_WRITE_UNLOCK_BH=y
-CONFIG_ARCH_INLINE_WRITE_UNLOCK_IRQ=y
-CONFIG_ARCH_INLINE_WRITE_UNLOCK_IRQRESTORE=y
 CONFIG_ARCH_KEEP_MEMBLOCK=y
 CONFIG_ARCH_MMAP_RND_BITS=18
 CONFIG_ARCH_MMAP_RND_BITS_MAX=24
@@ -65,20 +13,7 @@ CONFIG_ARCH_PROC_KCORE_TEXT=y
 CONFIG_ARCH_SELECT_MEMORY_MODEL=y
 CONFIG_ARCH_SPARSEMEM_DEFAULT=y
 CONFIG_ARCH_SPARSEMEM_ENABLE=y
-CONFIG_ARCH_SUPPORTS_ATOMIC_RMW=y
-CONFIG_ARCH_SUPPORTS_DEBUG_PAGEALLOC=y
-CONFIG_ARCH_SUPPORTS_INT128=y
-CONFIG_ARCH_SUPPORTS_MEMORY_FAILURE=y
-CONFIG_ARCH_SUPPORTS_NUMA_BALANCING=y
-CONFIG_ARCH_SUPPORTS_UPROBES=y
 CONFIG_ARCH_SUSPEND_POSSIBLE=y
-CONFIG_ARCH_USE_CMPXCHG_LOCKREF=y
-CONFIG_ARCH_USE_MEMREMAP_PROT=y
-CONFIG_ARCH_USE_QUEUED_RWLOCKS=y
-CONFIG_ARCH_USE_QUEUED_SPINLOCKS=y
-CONFIG_ARCH_WANT_DEFAULT_TOPDOWN_MMAP_LAYOUT=y
-CONFIG_ARCH_WANT_FRAME_POINTERS=y
-CONFIG_ARCH_WANT_HUGE_PMD_SHARE=y
 CONFIG_ARM64=y
 CONFIG_ARM64_4K_PAGES=y
 CONFIG_ARM64_CNP=y
@@ -157,7 +92,6 @@ CONFIG_CAVIUM_ERRATUM_22375=y
 CONFIG_CAVIUM_ERRATUM_23154=y
 CONFIG_CAVIUM_ERRATUM_27456=y
 CONFIG_CAVIUM_TX2_ERRATUM_219=y
-CONFIG_CC_HAS_KASAN_GENERIC=y
 CONFIG_CLKDEV_LOOKUP=y
 CONFIG_CLKSRC_MMIO=y
 CONFIG_CLK_BCM2835=y
@@ -247,11 +181,11 @@ CONFIG_DRM_RCAR_WRITEBACK=y
 CONFIG_DTC=y
 CONFIG_DUMMY_CONSOLE=y
 CONFIG_EDAC_SUPPORT=y
-CONFIG_EFI_EARLYCON=y
 CONFIG_ENABLE_MUST_CHECK=y
 CONFIG_EXT4_FS=y
 CONFIG_EXT4_FS_POSIX_ACL=y
 CONFIG_EXT4_FS_SECURITY=y
+CONFIG_EXTCON=y
 CONFIG_F2FS_FS=y
 CONFIG_FB=y
 CONFIG_FB_BCM2708=y
@@ -311,6 +245,7 @@ CONFIG_GENERIC_TIME_VSYSCALL=y
 CONFIG_GPIOLIB=y
 CONFIG_GPIOLIB_IRQCHIP=y
 CONFIG_GPIO_BCM_VIRT=y
+# CONFIG_GPIO_FSM is not set
 CONFIG_GPIO_RASPBERRYPI_EXP=y
 CONFIG_HANDLE_DOMAIN_IRQ=y
 CONFIG_HARDEN_BRANCH_PREDICTOR=y
@@ -318,59 +253,6 @@ CONFIG_HARDIRQS_SW_RESEND=y
 CONFIG_HAS_DMA=y
 CONFIG_HAS_IOMEM=y
 CONFIG_HAS_IOPORT_MAP=y
-CONFIG_HAVE_ALIGNED_STRUCT_PAGE=y
-CONFIG_HAVE_ARCH_AUDITSYSCALL=y
-CONFIG_HAVE_ARCH_BITREVERSE=y
-CONFIG_HAVE_ARCH_HUGE_VMAP=y
-CONFIG_HAVE_ARCH_JUMP_LABEL=y
-CONFIG_HAVE_ARCH_JUMP_LABEL_RELATIVE=y
-CONFIG_HAVE_ARCH_KASAN=y
-CONFIG_HAVE_ARCH_KASAN_SW_TAGS=y
-CONFIG_HAVE_ARCH_KGDB=y
-CONFIG_HAVE_ARCH_PFN_VALID=y
-CONFIG_HAVE_ARCH_PREL32_RELOCATIONS=y
-CONFIG_HAVE_ARCH_SECCOMP_FILTER=y
-CONFIG_HAVE_ARCH_STACKLEAK=y
-CONFIG_HAVE_ARCH_THREAD_STRUCT_WHITELIST=y
-CONFIG_HAVE_ARCH_TRACEHOOK=y
-CONFIG_HAVE_ARCH_TRANSPARENT_HUGEPAGE=y
-CONFIG_HAVE_ARCH_VMAP_STACK=y
-CONFIG_HAVE_ARM_SMCCC=y
-CONFIG_HAVE_ASM_MODVERSIONS=y
-CONFIG_HAVE_CLK=y
-CONFIG_HAVE_CLK_PREPARE=y
-CONFIG_HAVE_CMPXCHG_DOUBLE=y
-CONFIG_HAVE_CMPXCHG_LOCAL=y
-CONFIG_HAVE_CONTEXT_TRACKING=y
-CONFIG_HAVE_COPY_THREAD_TLS=y
-CONFIG_HAVE_C_RECORDMCOUNT=y
-CONFIG_HAVE_DEBUG_BUGVERBOSE=y
-CONFIG_HAVE_DEBUG_KMEMLEAK=y
-CONFIG_HAVE_DMA_CONTIGUOUS=y
-CONFIG_HAVE_DYNAMIC_FTRACE=y
-CONFIG_HAVE_EBPF_JIT=y
-CONFIG_HAVE_EFFICIENT_UNALIGNED_ACCESS=y
-CONFIG_HAVE_FAST_GUP=y
-CONFIG_HAVE_FTRACE_MCOUNT_RECORD=y
-CONFIG_HAVE_FUNCTION_ARG_ACCESS_API=y
-CONFIG_HAVE_FUNCTION_ERROR_INJECTION=y
-CONFIG_HAVE_FUNCTION_GRAPH_TRACER=y
-CONFIG_HAVE_FUNCTION_TRACER=y
-CONFIG_HAVE_GENERIC_VDSO=y
-CONFIG_HAVE_IRQ_TIME_ACCOUNTING=y
-CONFIG_HAVE_MEMORY_PRESENT=y
-CONFIG_HAVE_MOD_ARCH_SPECIFIC=y
-CONFIG_HAVE_NET_DSA=y
-CONFIG_HAVE_PATA_PLATFORM=y
-CONFIG_HAVE_PCI=y
-CONFIG_HAVE_PERF_EVENTS=y
-CONFIG_HAVE_PERF_REGS=y
-CONFIG_HAVE_PERF_USER_STACK_DUMP=y
-CONFIG_HAVE_RCU_TABLE_FREE=y
-CONFIG_HAVE_REGS_AND_STACK_ACCESS_API=y
-CONFIG_HAVE_RSEQ=y
-CONFIG_HAVE_SYSCALL_TRACEPOINTS=y
-CONFIG_HAVE_VIRT_CPU_ACCOUNTING_GEN=y
 CONFIG_HOLES_IN_ZONE=y
 CONFIG_HOTPLUG_CPU=y
 CONFIG_HW_CONSOLE=y
@@ -385,26 +267,6 @@ CONFIG_I2C_BOARDINFO=y
 CONFIG_IKCONFIG=y
 CONFIG_IKCONFIG_PROC=y
 CONFIG_ILLEGAL_POINTER_VALUE=0xdead000000000000
-CONFIG_INLINE_READ_LOCK=y
-CONFIG_INLINE_READ_LOCK_BH=y
-CONFIG_INLINE_READ_LOCK_IRQ=y
-CONFIG_INLINE_READ_LOCK_IRQSAVE=y
-CONFIG_INLINE_READ_UNLOCK_BH=y
-CONFIG_INLINE_READ_UNLOCK_IRQRESTORE=y
-CONFIG_INLINE_SPIN_LOCK=y
-CONFIG_INLINE_SPIN_LOCK_BH=y
-CONFIG_INLINE_SPIN_LOCK_IRQ=y
-CONFIG_INLINE_SPIN_LOCK_IRQSAVE=y
-CONFIG_INLINE_SPIN_TRYLOCK=y
-CONFIG_INLINE_SPIN_TRYLOCK_BH=y
-CONFIG_INLINE_SPIN_UNLOCK_BH=y
-CONFIG_INLINE_SPIN_UNLOCK_IRQRESTORE=y
-CONFIG_INLINE_WRITE_LOCK=y
-CONFIG_INLINE_WRITE_LOCK_BH=y
-CONFIG_INLINE_WRITE_LOCK_IRQ=y
-CONFIG_INLINE_WRITE_LOCK_IRQSAVE=y
-CONFIG_INLINE_WRITE_UNLOCK_BH=y
-CONFIG_INLINE_WRITE_UNLOCK_IRQRESTORE=y
 CONFIG_INPUT=y
 CONFIG_INPUT_MOUSEDEV=y
 # CONFIG_INPUT_MOUSEDEV_PSAUX is not set
@@ -418,6 +280,7 @@ CONFIG_IRQ_WORK=y
 CONFIG_JBD2=y
 CONFIG_KEYS=y
 CONFIG_LEDS_GPIO=y
+CONFIG_LEDS_TRIGGER_ACTPWR=y
 CONFIG_LEDS_TRIGGER_INPUT=y
 CONFIG_LIBFDT=y
 CONFIG_LOCK_DEBUGGING_SUPPORT=y
@@ -462,6 +325,7 @@ CONFIG_NEED_SG_DMA_LENGTH=y
 CONFIG_NET_FLOW_LIMIT=y
 CONFIG_NLS=y
 CONFIG_NLS_ASCII=y
+CONFIG_NOP_USB_XCEIV=y
 CONFIG_NO_HZ=y
 CONFIG_NO_HZ_COMMON=y
 CONFIG_NO_HZ_IDLE=y
@@ -584,7 +448,9 @@ CONFIG_USB=y
 CONFIG_USB_ANNOUNCE_NEW_DEVICES=y
 CONFIG_USB_COMMON=y
 CONFIG_USB_DWCOTG=y
+CONFIG_USB_GADGET=y
 CONFIG_USB_PCI=y
+CONFIG_USB_PHY=y
 CONFIG_USB_STORAGE=y
 CONFIG_USB_SUPPORT=y
 CONFIG_USB_UAS=y
index 8c6f1bf49c011bb8725eae99ed8553fdcd901473..dab31d81324fa027c7b75e779bca2b7ff01c8316 100644 (file)
@@ -133,6 +133,28 @@ endef
 $(eval $(call KernelPackage,sound-soc-allo-boss-dac))
 
 
+define KernelPackage/sound-soc-allo-boss2-dac
+  TITLE:=Support for Allo Boss2 DAC
+  KCONFIG:= \
+    CONFIG_SND_AUDIO_GRAPH_CARD \
+    CONFIG_SND_BCM2708_SOC_ALLO_BOSS2_DAC
+  FILES:= \
+    $(LINUX_DIR)/sound/soc/bcm/snd-soc-allo-boss2-dac.ko
+  AUTOLOAD:=$(call AutoLoad,68,snd-soc-allo-boss2-dac)
+  DEPENDS:= \
+    kmod-sound-soc-bcm2835-i2s \
+    +kmod-i2c-bcm2835 \
+    +kmod-regmap-i2c
+  $(call AddDepends/sound)
+endef
+
+define KernelPackage/sound-soc-allo-boss2-dac/description
+  This package contains support for Allo Boss2 DAC
+endef
+
+$(eval $(call KernelPackage,sound-soc-allo-boss2-dac))
+
+
 define KernelPackage/sound-soc-allo-digione
   TITLE:=Support for Allo Piano DigiOne
   KCONFIG:= \
@@ -825,6 +847,28 @@ endef
 $(eval $(call KernelPackage,sound-soc-justboom-digi))
 
 
+define KernelPackage/sound-soc-pifi-40-amp
+  TITLE:=Support for PiFi-40 amp
+  KCONFIG:= \
+    CONFIG_SND_BCM2708_SOC_PIFI_40 \
+    CONFIG_SND_PIFI_40 \
+    CONFIG_SND_SOC_TAS571X
+  FILES:= \
+    $(LINUX_DIR)/sound/soc/bcm/snd-soc-pifi-40.ko \
+    $(LINUX_DIR)/sound/soc/codecs/snd-soc-tas571x.ko
+  AUTOLOAD:=$(call AutoLoad,68,snd-soc-tas571x)
+  DEPENDS:= \
+    kmod-sound-soc-bcm2835-i2s
+  $(call AddDepends/sound)
+endef
+
+define KernelPackage/sound-soc-pifi-40-amp/description
+  This package contains support for PiFi-40 amp
+endef
+
+$(eval $(call KernelPackage,sound-soc-pifi-40-amp))
+
+
 define KernelPackage/sound-soc-pisound
   TITLE:=Support for Blokas Labs PiSound
   KCONFIG:= \
diff --git a/target/linux/bcm27xx/patches-5.4/950-0134-spi-spi-bcm2835-Disable-forced-software-CS.patch b/target/linux/bcm27xx/patches-5.4/950-0134-spi-spi-bcm2835-Disable-forced-software-CS.patch
new file mode 100644 (file)
index 0000000..0abe505
--- /dev/null
@@ -0,0 +1,47 @@
+From dc1e3fefce7abd7532fbc74e26df61a8ced1dcd6 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Tue, 15 Jan 2019 12:41:15 +0000
+Subject: [PATCH] spi: spi-bcm2835: Disable forced software CS
+
+With GPIO CS used by the DTBs, allow hardware CS to be selected by an
+overlay.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/spi/spi-bcm2835.c | 37 -------------------------------------
+ 1 file changed, 37 deletions(-)
+
+--- a/drivers/spi/spi-bcm2835.c
++++ b/drivers/spi/spi-bcm2835.c
+@@ -1230,31 +1230,6 @@ static int bcm2835_spi_setup(struct spi_
+               return -EINVAL;
+       }
+-      /*
+-       * Translate native CS to GPIO
+-       *
+-       * FIXME: poking around in the gpiolib internals like this is
+-       * not very good practice. Find a way to locate the real problem
+-       * and fix it. Why is the GPIO descriptor in spi->cs_gpiod
+-       * sometimes not assigned correctly? Erroneous device trees?
+-       */
+-
+-      /* get the gpio chip for the base */
+-      chip = gpiochip_find("pinctrl-bcm2835", chip_match_name);
+-      if (!chip)
+-              return 0;
+-
+-      spi->cs_gpiod = gpiochip_request_own_desc(chip, 8 - spi->chip_select,
+-                                                DRV_NAME,
+-                                                GPIO_LOOKUP_FLAGS_DEFAULT,
+-                                                GPIOD_OUT_LOW);
+-      if (IS_ERR(spi->cs_gpiod))
+-              return PTR_ERR(spi->cs_gpiod);
+-
+-      /* and set up the "mode" and level */
+-      dev_info(&spi->dev, "setting up native-CS%i to use GPIO\n",
+-               spi->chip_select);
+-
+       return 0;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0134-spi-spi-bcm2835-Re-enable-HW-CS.patch b/target/linux/bcm27xx/patches-5.4/950-0134-spi-spi-bcm2835-Re-enable-HW-CS.patch
deleted file mode 100644 (file)
index 13dd356..0000000
+++ /dev/null
@@ -1,80 +0,0 @@
-From 33b150a792ccde6eded4240dea0e3ec784b07d7c Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Tue, 15 Jan 2019 12:39:50 +0000
-Subject: [PATCH] spi: spi-bcm2835: Re-enable HW CS
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/spi/spi-bcm2835.c | 53 +++++++++++++++++++++++++++++++++++++--
- 1 file changed, 51 insertions(+), 2 deletions(-)
-
---- a/drivers/spi/spi-bcm2835.c
-+++ b/drivers/spi/spi-bcm2835.c
-@@ -1169,9 +1169,57 @@ static void bcm2835_spi_handle_err(struc
-       bcm2835_spi_reset_hw(ctlr);
- }
--static int chip_match_name(struct gpio_chip *chip, void *data)
-+static void bcm2835_spi_set_cs(struct spi_device *spi, bool gpio_level)
- {
--      return !strcmp(chip->label, data);
-+      /*
-+       * we can assume that we are "native" as per spi_set_cs
-+       *   calling us ONLY when cs_gpio is not set
-+       * we can also assume that we are CS < 3 as per bcm2835_spi_setup
-+       *   we would not get called because of error handling there.
-+       * the level passed is the electrical level not enabled/disabled
-+       *   so it has to get translated back to enable/disable
-+       *   see spi_set_cs in spi.c for the implementation
-+       */
-+
-+      struct spi_master *master = spi->master;
-+      struct bcm2835_spi *bs = spi_master_get_devdata(master);
-+      u32 cs = bcm2835_rd(bs, BCM2835_SPI_CS);
-+      bool enable;
-+
-+      /* calculate the enable flag from the passed gpio_level */
-+      enable = (spi->mode & SPI_CS_HIGH) ? gpio_level : !gpio_level;
-+
-+      /* set flags for "reverse" polarity in the registers */
-+      if (spi->mode & SPI_CS_HIGH) {
-+              /* set the correct CS-bits */
-+              cs |= BCM2835_SPI_CS_CSPOL;
-+              cs |= BCM2835_SPI_CS_CSPOL0 << spi->chip_select;
-+      } else {
-+              /* clean the CS-bits */
-+              cs &= ~BCM2835_SPI_CS_CSPOL;
-+              cs &= ~(BCM2835_SPI_CS_CSPOL0 << spi->chip_select);
-+      }
-+
-+      /* select the correct chip_select depending on disabled/enabled */
-+      if (enable) {
-+              /* set cs correctly */
-+              if (spi->mode & SPI_NO_CS) {
-+                      /* use the "undefined" chip-select */
-+                      cs |= BCM2835_SPI_CS_CS_10 | BCM2835_SPI_CS_CS_01;
-+              } else {
-+                      /* set the chip select */
-+                      cs &= ~(BCM2835_SPI_CS_CS_10 | BCM2835_SPI_CS_CS_01);
-+                      cs |= spi->chip_select;
-+              }
-+      } else {
-+              /* disable CSPOL which puts HW-CS into deselected state */
-+              cs &= ~BCM2835_SPI_CS_CSPOL;
-+              /* use the "undefined" chip-select as precaution */
-+              cs |= BCM2835_SPI_CS_CS_10 | BCM2835_SPI_CS_CS_01;
-+      }
-+
-+      /* finally set the calculated flags in SPI_CS */
-+      bcm2835_wr(bs, BCM2835_SPI_CS, cs);
- }
- static int bcm2835_spi_setup(struct spi_device *spi)
-@@ -1276,6 +1324,7 @@ static int bcm2835_spi_probe(struct plat
-       ctlr->bits_per_word_mask = SPI_BPW_MASK(8);
-       ctlr->num_chipselect = BCM2835_SPI_NUM_CS;
-       ctlr->setup = bcm2835_spi_setup;
-+      ctlr->set_cs = bcm2835_spi_set_cs;
-       ctlr->transfer_one = bcm2835_spi_transfer_one;
-       ctlr->handle_err = bcm2835_spi_handle_err;
-       ctlr->prepare_message = bcm2835_spi_prepare_message;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0135-Added-driver-for-the-HiFiBerry-DAC-ADC-2694.patch b/target/linux/bcm27xx/patches-5.4/950-0135-Added-driver-for-the-HiFiBerry-DAC-ADC-2694.patch
new file mode 100644 (file)
index 0000000..cc379f5
--- /dev/null
@@ -0,0 +1,464 @@
+From b6eb457e794f8c48b7004cc88aa82889bcd5f8e6 Mon Sep 17 00:00:00 2001
+From: HiFiBerry <info@hifiberry.com>
+Date: Mon, 8 Oct 2018 18:10:12 +0200
+Subject: [PATCH] Added driver for the HiFiBerry DAC+ ADC (#2694)
+
+Signed-off-by: Daniel Matuschek <daniel@hifiberry.com>
+
+hifiberry_dacplusadc: switch to snd_soc_dai_set_bclk_ratio
+
+Signed-off-by: Matthias Reichl <hias@horus.com>
+
+ASoC: hifiberry_dacplusadc: fix DAI link setup
+
+The driver only defines a single DAI link and the code that tries
+to setup the second (non-existent) DAI link looks wrong - using dmic
+as a CPU/platform driver doesn't make any sense.
+
+The DT overlay doesn't define a dmic property, so the code was never
+executed (otherwise it would have resulted in a memory corruption).
+
+So drop the offending code to prevent issues if a dmic property
+should be added to the DT overlay.
+
+Signed-off-by: Matthias Reichl <hias@horus.com>
+
+ASoC: hifiberry_dacplusadc: use modern dai_link style
+
+Signed-off-by: Matthias Reichl <hias@horus.com>
+---
+ sound/soc/bcm/Kconfig                |   9 +
+ sound/soc/bcm/Makefile               |   2 +
+ sound/soc/bcm/hifiberry_dacplusadc.c | 390 +++++++++++++++++++++++++++
+ 3 files changed, 401 insertions(+)
+ create mode 100644 sound/soc/bcm/hifiberry_dacplusadc.c
+
+--- a/sound/soc/bcm/Kconfig
++++ b/sound/soc/bcm/Kconfig
+@@ -41,6 +41,15 @@ config SND_BCM2708_SOC_HIFIBERRY_DACPLUS
+         help
+          Say Y or M if you want to add support for HifiBerry DAC+.
++config SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC
++        tristate "Support for HifiBerry DAC+ADC"
++        depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
++        select SND_SOC_PCM512x_I2C
++      select SND_SOC_DMIC
++        select COMMON_CLK_HIFIBERRY_DACPRO
++        help
++         Say Y or M if you want to add support for HifiBerry DAC+ADC.
++
+ config SND_BCM2708_SOC_HIFIBERRY_DIGI
+         tristate "Support for HifiBerry Digi"
+         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
+--- a/sound/soc/bcm/Makefile
++++ b/sound/soc/bcm/Makefile
+@@ -14,6 +14,7 @@ snd-soc-googlevoicehat-codec-objs := goo
+ # BCM2708 Machine Support
+ snd-soc-hifiberry-dacplus-objs := hifiberry_dacplus.o
++snd-soc-hifiberry-dacplusadc-objs := hifiberry_dacplusadc.o
+ snd-soc-justboom-dac-objs := justboom-dac.o
+ snd-soc-rpi-cirrus-objs := rpi-cirrus.o
+ snd-soc-rpi-proto-objs := rpi-proto.o
+@@ -35,6 +36,7 @@ snd-soc-rpi-wm8804-soundcard-objs := rpi
+ obj-$(CONFIG_SND_BCM2708_SOC_GOOGLEVOICEHAT_SOUNDCARD)  += snd-soc-googlevoicehat-codec.o
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUS) += snd-soc-hifiberry-dacplus.o
++obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC) += snd-soc-hifiberry-dacplusadc.o
+ obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_DAC) += snd-soc-justboom-dac.o
+ obj-$(CONFIG_SND_BCM2708_SOC_RPI_CIRRUS) += snd-soc-rpi-cirrus.o
+ obj-$(CONFIG_SND_BCM2708_SOC_RPI_PROTO) += snd-soc-rpi-proto.o
+--- /dev/null
++++ b/sound/soc/bcm/hifiberry_dacplusadc.c
+@@ -0,0 +1,390 @@
++/*
++ * ASoC Driver for HiFiBerry DAC+ / DAC Pro with ADC
++ *
++ * Author:    Daniel Matuschek, Stuart MacLean <stuart@hifiberry.com>
++ *            Copyright 2014-2015
++ *            based on code by Florian Meier <florian.meier@koalo.de>
++ *            ADC added by Joerg Schambacher <joscha@schambacher.com>
++ *            Copyright 2018
++ *
++ * This program is free software; you can redistribute it and/or
++ * modify it under the terms of the GNU General Public License
++ * version 2 as published by the Free Software Foundation.
++ *
++ * This program is distributed in the hope that it will be useful, but
++ * WITHOUT ANY WARRANTY; without even the implied warranty of
++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
++ * General Public License for more details.
++ */
++
++#include <linux/module.h>
++#include <linux/platform_device.h>
++#include <linux/kernel.h>
++#include <linux/clk.h>
++#include <linux/kernel.h>
++#include <linux/module.h>
++#include <linux/of.h>
++#include <linux/slab.h>
++#include <linux/delay.h>
++
++#include <sound/core.h>
++#include <sound/pcm.h>
++#include <sound/pcm_params.h>
++#include <sound/soc.h>
++#include <sound/jack.h>
++
++#include "../codecs/pcm512x.h"
++
++#define HIFIBERRY_DACPRO_NOCLOCK 0
++#define HIFIBERRY_DACPRO_CLK44EN 1
++#define HIFIBERRY_DACPRO_CLK48EN 2
++
++struct platform_device *dmic_codec_dev;
++
++struct pcm512x_priv {
++      struct regmap *regmap;
++      struct clk *sclk;
++};
++
++/* Clock rate of CLK44EN attached to GPIO6 pin */
++#define CLK_44EN_RATE 22579200UL
++/* Clock rate of CLK48EN attached to GPIO3 pin */
++#define CLK_48EN_RATE 24576000UL
++
++static bool slave;
++static bool snd_rpi_hifiberry_is_dacpro;
++static bool digital_gain_0db_limit = true;
++
++static void snd_rpi_hifiberry_dacplusadc_select_clk(struct snd_soc_component *component,
++      int clk_id)
++{
++      switch (clk_id) {
++      case HIFIBERRY_DACPRO_NOCLOCK:
++              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x24, 0x00);
++              break;
++      case HIFIBERRY_DACPRO_CLK44EN:
++              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x24, 0x20);
++              break;
++      case HIFIBERRY_DACPRO_CLK48EN:
++              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x24, 0x04);
++              break;
++      }
++}
++
++static void snd_rpi_hifiberry_dacplusadc_clk_gpio(struct snd_soc_component *component)
++{
++      snd_soc_component_update_bits(component, PCM512x_GPIO_EN, 0x24, 0x24);
++      snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_3, 0x0f, 0x02);
++      snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_6, 0x0f, 0x02);
++}
++
++static bool snd_rpi_hifiberry_dacplusadc_is_sclk(struct snd_soc_component *component)
++{
++      unsigned int sck;
++
++      snd_soc_component_read(component, PCM512x_RATE_DET_4, &sck);
++      return (!(sck & 0x40));
++}
++
++static bool snd_rpi_hifiberry_dacplusadc_is_sclk_sleep(
++      struct snd_soc_component *component)
++{
++      msleep(2);
++      return snd_rpi_hifiberry_dacplusadc_is_sclk(component);
++}
++
++static bool snd_rpi_hifiberry_dacplusadc_is_pro_card(struct snd_soc_component *component)
++{
++      bool isClk44EN, isClk48En, isNoClk;
++
++      snd_rpi_hifiberry_dacplusadc_clk_gpio(component);
++
++      snd_rpi_hifiberry_dacplusadc_select_clk(component, HIFIBERRY_DACPRO_CLK44EN);
++      isClk44EN = snd_rpi_hifiberry_dacplusadc_is_sclk_sleep(component);
++
++      snd_rpi_hifiberry_dacplusadc_select_clk(component, HIFIBERRY_DACPRO_NOCLOCK);
++      isNoClk = snd_rpi_hifiberry_dacplusadc_is_sclk_sleep(component);
++
++      snd_rpi_hifiberry_dacplusadc_select_clk(component, HIFIBERRY_DACPRO_CLK48EN);
++      isClk48En = snd_rpi_hifiberry_dacplusadc_is_sclk_sleep(component);
++
++      return (isClk44EN && isClk48En && !isNoClk);
++}
++
++static int snd_rpi_hifiberry_dacplusadc_clk_for_rate(int sample_rate)
++{
++      int type;
++
++      switch (sample_rate) {
++      case 11025:
++      case 22050:
++      case 44100:
++      case 88200:
++      case 176400:
++      case 352800:
++              type = HIFIBERRY_DACPRO_CLK44EN;
++              break;
++      default:
++              type = HIFIBERRY_DACPRO_CLK48EN;
++              break;
++      }
++      return type;
++}
++
++static void snd_rpi_hifiberry_dacplusadc_set_sclk(struct snd_soc_component *component,
++      int sample_rate)
++{
++      struct pcm512x_priv *pcm512x = snd_soc_component_get_drvdata(component);
++
++      if (!IS_ERR(pcm512x->sclk)) {
++              int ctype;
++
++              ctype = snd_rpi_hifiberry_dacplusadc_clk_for_rate(sample_rate);
++              clk_set_rate(pcm512x->sclk, (ctype == HIFIBERRY_DACPRO_CLK44EN)
++                      ? CLK_44EN_RATE : CLK_48EN_RATE);
++              snd_rpi_hifiberry_dacplusadc_select_clk(component, ctype);
++      }
++}
++
++static int snd_rpi_hifiberry_dacplusadc_init(struct snd_soc_pcm_runtime *rtd)
++{
++      struct snd_soc_component *component = rtd->codec_dai->component;
++      struct pcm512x_priv *priv;
++
++      if (slave)
++              snd_rpi_hifiberry_is_dacpro = false;
++      else
++              snd_rpi_hifiberry_is_dacpro =
++                              snd_rpi_hifiberry_dacplusadc_is_pro_card(component);
++
++      if (snd_rpi_hifiberry_is_dacpro) {
++              struct snd_soc_dai_link *dai = rtd->dai_link;
++
++              dai->name = "HiFiBerry ADCDAC+ Pro";
++              dai->stream_name = "HiFiBerry ADCDAC+ Pro HiFi";
++              dai->dai_fmt = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF
++                      | SND_SOC_DAIFMT_CBM_CFM;
++
++              snd_soc_component_update_bits(component, PCM512x_BCLK_LRCLK_CFG, 0x31, 0x11);
++              snd_soc_component_update_bits(component, PCM512x_MASTER_MODE, 0x03, 0x03);
++              snd_soc_component_update_bits(component, PCM512x_MASTER_CLKDIV_2, 0x7f, 63);
++      } else {
++              priv = snd_soc_component_get_drvdata(component);
++              priv->sclk = ERR_PTR(-ENOENT);
++      }
++
++      snd_soc_component_update_bits(component, PCM512x_GPIO_EN, 0x08, 0x08);
++      snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_4, 0x0f, 0x02);
++      snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
++
++      if (digital_gain_0db_limit) {
++              int ret;
++              struct snd_soc_card *card = rtd->card;
++
++              ret = snd_soc_limit_volume(card, "Digital Playback Volume", 207);
++              if (ret < 0)
++                      dev_warn(card->dev, "Failed to set volume limit: %d\n", ret);
++      }
++
++      return 0;
++}
++
++static int snd_rpi_hifiberry_dacplusadc_update_rate_den(
++      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params)
++{
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++      struct snd_soc_component *component = rtd->codec_dai->component;
++      struct pcm512x_priv *pcm512x = snd_soc_component_get_drvdata(component);
++      struct snd_ratnum *rats_no_pll;
++      unsigned int num = 0, den = 0;
++      int err;
++
++      rats_no_pll = devm_kzalloc(rtd->dev, sizeof(*rats_no_pll), GFP_KERNEL);
++      if (!rats_no_pll)
++              return -ENOMEM;
++
++      rats_no_pll->num = clk_get_rate(pcm512x->sclk) / 64;
++      rats_no_pll->den_min = 1;
++      rats_no_pll->den_max = 128;
++      rats_no_pll->den_step = 1;
++
++      err = snd_interval_ratnum(hw_param_interval(params,
++              SNDRV_PCM_HW_PARAM_RATE), 1, rats_no_pll, &num, &den);
++      if (err >= 0 && den) {
++              params->rate_num = num;
++              params->rate_den = den;
++      }
++
++      devm_kfree(rtd->dev, rats_no_pll);
++      return 0;
++}
++
++static int snd_rpi_hifiberry_dacplusadc_hw_params(
++      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params)
++{
++      int ret = 0;
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++      int channels = params_channels(params);
++      int width = 32;
++
++      if (snd_rpi_hifiberry_is_dacpro) {
++              struct snd_soc_component *component = rtd->codec_dai->component;
++
++              width = snd_pcm_format_physical_width(params_format(params));
++
++              snd_rpi_hifiberry_dacplusadc_set_sclk(component,
++                      params_rate(params));
++
++              ret = snd_rpi_hifiberry_dacplusadc_update_rate_den(
++                      substream, params);
++      }
++
++      ret = snd_soc_dai_set_bclk_ratio(rtd->cpu_dai, channels * width);
++      if (ret)
++              return ret;
++      ret = snd_soc_dai_set_bclk_ratio(rtd->codec_dai, channels * width);
++      return ret;
++}
++
++static int hifiberry_dacplusadc_LED_cnt;
++
++static int snd_rpi_hifiberry_dacplusadc_startup(
++      struct snd_pcm_substream *substream)
++{
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++      struct snd_soc_component *component = rtd->codec_dai->component;
++
++      snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1,
++                                       0x08, 0x08);
++      hifiberry_dacplusadc_LED_cnt++;
++      return 0;
++}
++
++static void snd_rpi_hifiberry_dacplusadc_shutdown(
++      struct snd_pcm_substream *substream)
++{
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++      struct snd_soc_component *component = rtd->codec_dai->component;
++
++      hifiberry_dacplusadc_LED_cnt--;
++      if (!hifiberry_dacplusadc_LED_cnt)
++              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1,
++                                               0x08, 0x00);
++}
++
++/* machine stream operations */
++static struct snd_soc_ops snd_rpi_hifiberry_dacplusadc_ops = {
++      .hw_params = snd_rpi_hifiberry_dacplusadc_hw_params,
++      .startup = snd_rpi_hifiberry_dacplusadc_startup,
++      .shutdown = snd_rpi_hifiberry_dacplusadc_shutdown,
++};
++
++SND_SOC_DAILINK_DEFS(hifi,
++      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
++      DAILINK_COMP_ARRAY(COMP_CODEC("pcm512x.1-004d", "pcm512x-hifi"),
++                         COMP_CODEC("dmic-codec", "dmic-hifi")),
++      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2708-i2s.0")));
++
++static struct snd_soc_dai_link snd_rpi_hifiberry_dacplusadc_dai[] = {
++{
++      .name           = "HiFiBerry DAC+ADC",
++      .stream_name    = "HiFiBerry DAC+ADC HiFi",
++      .dai_fmt        = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF |
++                              SND_SOC_DAIFMT_CBS_CFS,
++      .ops            = &snd_rpi_hifiberry_dacplusadc_ops,
++      .init           = snd_rpi_hifiberry_dacplusadc_init,
++      SND_SOC_DAILINK_REG(hifi),
++},
++};
++
++/* audio machine driver */
++static struct snd_soc_card snd_rpi_hifiberry_dacplusadc = {
++      .name         = "snd_rpi_hifiberry_dacplusadc",
++      .driver_name  = "HifiberryDacpAdc",
++      .owner        = THIS_MODULE,
++      .dai_link     = snd_rpi_hifiberry_dacplusadc_dai,
++      .num_links    = ARRAY_SIZE(snd_rpi_hifiberry_dacplusadc_dai),
++};
++
++
++static int snd_rpi_hifiberry_dacplusadc_probe(struct platform_device *pdev)
++{
++      int ret = 0;
++
++      snd_rpi_hifiberry_dacplusadc.dev = &pdev->dev;
++      if (pdev->dev.of_node) {
++              struct device_node *i2s_node;
++              struct snd_soc_dai_link *dai;
++
++              dai = &snd_rpi_hifiberry_dacplusadc_dai[0];
++              i2s_node = of_parse_phandle(pdev->dev.of_node,
++                      "i2s-controller", 0);
++              if (i2s_node) {
++                      dai->cpus->of_node = i2s_node;
++                      dai->platforms->of_node = i2s_node;
++                      dai->cpus->dai_name = NULL;
++                      dai->platforms->name = NULL;
++              }
++      }
++      digital_gain_0db_limit = !of_property_read_bool(
++              pdev->dev.of_node, "hifiberry,24db_digital_gain");
++      slave = of_property_read_bool(pdev->dev.of_node,
++                                      "hifiberry-dacplusadc,slave");
++
++      ret = devm_snd_soc_register_card(&pdev->dev,
++                                               &snd_rpi_hifiberry_dacplusadc);
++      if (ret && ret != -EPROBE_DEFER)
++              dev_err(&pdev->dev,
++                      "snd_soc_register_card() failed: %d\n", ret);
++
++      return ret;
++}
++
++static const struct of_device_id snd_rpi_hifiberry_dacplusadc_of_match[] = {
++      { .compatible = "hifiberry,hifiberry-dacplusadc", },
++      {},
++};
++
++MODULE_DEVICE_TABLE(of, snd_rpi_hifiberry_dacplusadc_of_match);
++
++static struct platform_driver snd_rpi_hifiberry_dacplusadc_driver = {
++      .driver = {
++              .name   = "snd-rpi-hifiberry-dacplusadc",
++              .owner  = THIS_MODULE,
++              .of_match_table = snd_rpi_hifiberry_dacplusadc_of_match,
++      },
++      .probe          = snd_rpi_hifiberry_dacplusadc_probe,
++};
++
++static int __init hifiberry_dacplusadc_init(void)
++{
++      int ret;
++
++      dmic_codec_dev = platform_device_register_simple("dmic-codec", -1, NULL,
++                                                       0);
++      if (IS_ERR(dmic_codec_dev)) {
++              pr_err("%s: dmic-codec device registration failed\n", __func__);
++              return PTR_ERR(dmic_codec_dev);
++      }
++
++      ret = platform_driver_register(&snd_rpi_hifiberry_dacplusadc_driver);
++      if (ret) {
++              pr_err("%s: platform driver registration failed\n", __func__);
++              platform_device_unregister(dmic_codec_dev);
++      }
++
++      return ret;
++}
++module_init(hifiberry_dacplusadc_init);
++
++static void __exit hifiberry_dacplusadc_exit(void)
++{
++      platform_driver_unregister(&snd_rpi_hifiberry_dacplusadc_driver);
++      platform_device_unregister(dmic_codec_dev);
++}
++module_exit(hifiberry_dacplusadc_exit);
++
++MODULE_AUTHOR("Joerg Schambacher <joscha@schambacher.com>");
++MODULE_AUTHOR("Daniel Matuschek <daniel@hifiberry.com>");
++MODULE_DESCRIPTION("ASoC Driver for HiFiBerry DAC+ADC");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0135-spi-spi-bcm2835-Disable-forced-software-CS.patch b/target/linux/bcm27xx/patches-5.4/950-0135-spi-spi-bcm2835-Disable-forced-software-CS.patch
deleted file mode 100644 (file)
index 7524640..0000000
+++ /dev/null
@@ -1,47 +0,0 @@
-From dc1e3fefce7abd7532fbc74e26df61a8ced1dcd6 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Tue, 15 Jan 2019 12:41:15 +0000
-Subject: [PATCH] spi: spi-bcm2835: Disable forced software CS
-
-With GPIO CS used by the DTBs, allow hardware CS to be selected by an
-overlay.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/spi/spi-bcm2835.c | 37 -------------------------------------
- 1 file changed, 37 deletions(-)
-
---- a/drivers/spi/spi-bcm2835.c
-+++ b/drivers/spi/spi-bcm2835.c
-@@ -1278,31 +1278,6 @@ static int bcm2835_spi_setup(struct spi_
-               return -EINVAL;
-       }
--      /*
--       * Translate native CS to GPIO
--       *
--       * FIXME: poking around in the gpiolib internals like this is
--       * not very good practice. Find a way to locate the real problem
--       * and fix it. Why is the GPIO descriptor in spi->cs_gpiod
--       * sometimes not assigned correctly? Erroneous device trees?
--       */
--
--      /* get the gpio chip for the base */
--      chip = gpiochip_find("pinctrl-bcm2835", chip_match_name);
--      if (!chip)
--              return 0;
--
--      spi->cs_gpiod = gpiochip_request_own_desc(chip, 8 - spi->chip_select,
--                                                DRV_NAME,
--                                                GPIO_LOOKUP_FLAGS_DEFAULT,
--                                                GPIOD_OUT_LOW);
--      if (IS_ERR(spi->cs_gpiod))
--              return PTR_ERR(spi->cs_gpiod);
--
--      /* and set up the "mode" and level */
--      dev_info(&spi->dev, "setting up native-CS%i to use GPIO\n",
--               spi->chip_select);
--
-       return 0;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0136-Added-driver-for-the-HiFiBerry-DAC-ADC-2694.patch b/target/linux/bcm27xx/patches-5.4/950-0136-Added-driver-for-the-HiFiBerry-DAC-ADC-2694.patch
deleted file mode 100644 (file)
index cc379f5..0000000
+++ /dev/null
@@ -1,464 +0,0 @@
-From b6eb457e794f8c48b7004cc88aa82889bcd5f8e6 Mon Sep 17 00:00:00 2001
-From: HiFiBerry <info@hifiberry.com>
-Date: Mon, 8 Oct 2018 18:10:12 +0200
-Subject: [PATCH] Added driver for the HiFiBerry DAC+ ADC (#2694)
-
-Signed-off-by: Daniel Matuschek <daniel@hifiberry.com>
-
-hifiberry_dacplusadc: switch to snd_soc_dai_set_bclk_ratio
-
-Signed-off-by: Matthias Reichl <hias@horus.com>
-
-ASoC: hifiberry_dacplusadc: fix DAI link setup
-
-The driver only defines a single DAI link and the code that tries
-to setup the second (non-existent) DAI link looks wrong - using dmic
-as a CPU/platform driver doesn't make any sense.
-
-The DT overlay doesn't define a dmic property, so the code was never
-executed (otherwise it would have resulted in a memory corruption).
-
-So drop the offending code to prevent issues if a dmic property
-should be added to the DT overlay.
-
-Signed-off-by: Matthias Reichl <hias@horus.com>
-
-ASoC: hifiberry_dacplusadc: use modern dai_link style
-
-Signed-off-by: Matthias Reichl <hias@horus.com>
----
- sound/soc/bcm/Kconfig                |   9 +
- sound/soc/bcm/Makefile               |   2 +
- sound/soc/bcm/hifiberry_dacplusadc.c | 390 +++++++++++++++++++++++++++
- 3 files changed, 401 insertions(+)
- create mode 100644 sound/soc/bcm/hifiberry_dacplusadc.c
-
---- a/sound/soc/bcm/Kconfig
-+++ b/sound/soc/bcm/Kconfig
-@@ -41,6 +41,15 @@ config SND_BCM2708_SOC_HIFIBERRY_DACPLUS
-         help
-          Say Y or M if you want to add support for HifiBerry DAC+.
-+config SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC
-+        tristate "Support for HifiBerry DAC+ADC"
-+        depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
-+        select SND_SOC_PCM512x_I2C
-+      select SND_SOC_DMIC
-+        select COMMON_CLK_HIFIBERRY_DACPRO
-+        help
-+         Say Y or M if you want to add support for HifiBerry DAC+ADC.
-+
- config SND_BCM2708_SOC_HIFIBERRY_DIGI
-         tristate "Support for HifiBerry Digi"
-         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
---- a/sound/soc/bcm/Makefile
-+++ b/sound/soc/bcm/Makefile
-@@ -14,6 +14,7 @@ snd-soc-googlevoicehat-codec-objs := goo
- # BCM2708 Machine Support
- snd-soc-hifiberry-dacplus-objs := hifiberry_dacplus.o
-+snd-soc-hifiberry-dacplusadc-objs := hifiberry_dacplusadc.o
- snd-soc-justboom-dac-objs := justboom-dac.o
- snd-soc-rpi-cirrus-objs := rpi-cirrus.o
- snd-soc-rpi-proto-objs := rpi-proto.o
-@@ -35,6 +36,7 @@ snd-soc-rpi-wm8804-soundcard-objs := rpi
- obj-$(CONFIG_SND_BCM2708_SOC_GOOGLEVOICEHAT_SOUNDCARD)  += snd-soc-googlevoicehat-codec.o
- obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUS) += snd-soc-hifiberry-dacplus.o
-+obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC) += snd-soc-hifiberry-dacplusadc.o
- obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_DAC) += snd-soc-justboom-dac.o
- obj-$(CONFIG_SND_BCM2708_SOC_RPI_CIRRUS) += snd-soc-rpi-cirrus.o
- obj-$(CONFIG_SND_BCM2708_SOC_RPI_PROTO) += snd-soc-rpi-proto.o
---- /dev/null
-+++ b/sound/soc/bcm/hifiberry_dacplusadc.c
-@@ -0,0 +1,390 @@
-+/*
-+ * ASoC Driver for HiFiBerry DAC+ / DAC Pro with ADC
-+ *
-+ * Author:    Daniel Matuschek, Stuart MacLean <stuart@hifiberry.com>
-+ *            Copyright 2014-2015
-+ *            based on code by Florian Meier <florian.meier@koalo.de>
-+ *            ADC added by Joerg Schambacher <joscha@schambacher.com>
-+ *            Copyright 2018
-+ *
-+ * This program is free software; you can redistribute it and/or
-+ * modify it under the terms of the GNU General Public License
-+ * version 2 as published by the Free Software Foundation.
-+ *
-+ * This program is distributed in the hope that it will be useful, but
-+ * WITHOUT ANY WARRANTY; without even the implied warranty of
-+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
-+ * General Public License for more details.
-+ */
-+
-+#include <linux/module.h>
-+#include <linux/platform_device.h>
-+#include <linux/kernel.h>
-+#include <linux/clk.h>
-+#include <linux/kernel.h>
-+#include <linux/module.h>
-+#include <linux/of.h>
-+#include <linux/slab.h>
-+#include <linux/delay.h>
-+
-+#include <sound/core.h>
-+#include <sound/pcm.h>
-+#include <sound/pcm_params.h>
-+#include <sound/soc.h>
-+#include <sound/jack.h>
-+
-+#include "../codecs/pcm512x.h"
-+
-+#define HIFIBERRY_DACPRO_NOCLOCK 0
-+#define HIFIBERRY_DACPRO_CLK44EN 1
-+#define HIFIBERRY_DACPRO_CLK48EN 2
-+
-+struct platform_device *dmic_codec_dev;
-+
-+struct pcm512x_priv {
-+      struct regmap *regmap;
-+      struct clk *sclk;
-+};
-+
-+/* Clock rate of CLK44EN attached to GPIO6 pin */
-+#define CLK_44EN_RATE 22579200UL
-+/* Clock rate of CLK48EN attached to GPIO3 pin */
-+#define CLK_48EN_RATE 24576000UL
-+
-+static bool slave;
-+static bool snd_rpi_hifiberry_is_dacpro;
-+static bool digital_gain_0db_limit = true;
-+
-+static void snd_rpi_hifiberry_dacplusadc_select_clk(struct snd_soc_component *component,
-+      int clk_id)
-+{
-+      switch (clk_id) {
-+      case HIFIBERRY_DACPRO_NOCLOCK:
-+              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x24, 0x00);
-+              break;
-+      case HIFIBERRY_DACPRO_CLK44EN:
-+              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x24, 0x20);
-+              break;
-+      case HIFIBERRY_DACPRO_CLK48EN:
-+              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x24, 0x04);
-+              break;
-+      }
-+}
-+
-+static void snd_rpi_hifiberry_dacplusadc_clk_gpio(struct snd_soc_component *component)
-+{
-+      snd_soc_component_update_bits(component, PCM512x_GPIO_EN, 0x24, 0x24);
-+      snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_3, 0x0f, 0x02);
-+      snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_6, 0x0f, 0x02);
-+}
-+
-+static bool snd_rpi_hifiberry_dacplusadc_is_sclk(struct snd_soc_component *component)
-+{
-+      unsigned int sck;
-+
-+      snd_soc_component_read(component, PCM512x_RATE_DET_4, &sck);
-+      return (!(sck & 0x40));
-+}
-+
-+static bool snd_rpi_hifiberry_dacplusadc_is_sclk_sleep(
-+      struct snd_soc_component *component)
-+{
-+      msleep(2);
-+      return snd_rpi_hifiberry_dacplusadc_is_sclk(component);
-+}
-+
-+static bool snd_rpi_hifiberry_dacplusadc_is_pro_card(struct snd_soc_component *component)
-+{
-+      bool isClk44EN, isClk48En, isNoClk;
-+
-+      snd_rpi_hifiberry_dacplusadc_clk_gpio(component);
-+
-+      snd_rpi_hifiberry_dacplusadc_select_clk(component, HIFIBERRY_DACPRO_CLK44EN);
-+      isClk44EN = snd_rpi_hifiberry_dacplusadc_is_sclk_sleep(component);
-+
-+      snd_rpi_hifiberry_dacplusadc_select_clk(component, HIFIBERRY_DACPRO_NOCLOCK);
-+      isNoClk = snd_rpi_hifiberry_dacplusadc_is_sclk_sleep(component);
-+
-+      snd_rpi_hifiberry_dacplusadc_select_clk(component, HIFIBERRY_DACPRO_CLK48EN);
-+      isClk48En = snd_rpi_hifiberry_dacplusadc_is_sclk_sleep(component);
-+
-+      return (isClk44EN && isClk48En && !isNoClk);
-+}
-+
-+static int snd_rpi_hifiberry_dacplusadc_clk_for_rate(int sample_rate)
-+{
-+      int type;
-+
-+      switch (sample_rate) {
-+      case 11025:
-+      case 22050:
-+      case 44100:
-+      case 88200:
-+      case 176400:
-+      case 352800:
-+              type = HIFIBERRY_DACPRO_CLK44EN;
-+              break;
-+      default:
-+              type = HIFIBERRY_DACPRO_CLK48EN;
-+              break;
-+      }
-+      return type;
-+}
-+
-+static void snd_rpi_hifiberry_dacplusadc_set_sclk(struct snd_soc_component *component,
-+      int sample_rate)
-+{
-+      struct pcm512x_priv *pcm512x = snd_soc_component_get_drvdata(component);
-+
-+      if (!IS_ERR(pcm512x->sclk)) {
-+              int ctype;
-+
-+              ctype = snd_rpi_hifiberry_dacplusadc_clk_for_rate(sample_rate);
-+              clk_set_rate(pcm512x->sclk, (ctype == HIFIBERRY_DACPRO_CLK44EN)
-+                      ? CLK_44EN_RATE : CLK_48EN_RATE);
-+              snd_rpi_hifiberry_dacplusadc_select_clk(component, ctype);
-+      }
-+}
-+
-+static int snd_rpi_hifiberry_dacplusadc_init(struct snd_soc_pcm_runtime *rtd)
-+{
-+      struct snd_soc_component *component = rtd->codec_dai->component;
-+      struct pcm512x_priv *priv;
-+
-+      if (slave)
-+              snd_rpi_hifiberry_is_dacpro = false;
-+      else
-+              snd_rpi_hifiberry_is_dacpro =
-+                              snd_rpi_hifiberry_dacplusadc_is_pro_card(component);
-+
-+      if (snd_rpi_hifiberry_is_dacpro) {
-+              struct snd_soc_dai_link *dai = rtd->dai_link;
-+
-+              dai->name = "HiFiBerry ADCDAC+ Pro";
-+              dai->stream_name = "HiFiBerry ADCDAC+ Pro HiFi";
-+              dai->dai_fmt = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF
-+                      | SND_SOC_DAIFMT_CBM_CFM;
-+
-+              snd_soc_component_update_bits(component, PCM512x_BCLK_LRCLK_CFG, 0x31, 0x11);
-+              snd_soc_component_update_bits(component, PCM512x_MASTER_MODE, 0x03, 0x03);
-+              snd_soc_component_update_bits(component, PCM512x_MASTER_CLKDIV_2, 0x7f, 63);
-+      } else {
-+              priv = snd_soc_component_get_drvdata(component);
-+              priv->sclk = ERR_PTR(-ENOENT);
-+      }
-+
-+      snd_soc_component_update_bits(component, PCM512x_GPIO_EN, 0x08, 0x08);
-+      snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_4, 0x0f, 0x02);
-+      snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
-+
-+      if (digital_gain_0db_limit) {
-+              int ret;
-+              struct snd_soc_card *card = rtd->card;
-+
-+              ret = snd_soc_limit_volume(card, "Digital Playback Volume", 207);
-+              if (ret < 0)
-+                      dev_warn(card->dev, "Failed to set volume limit: %d\n", ret);
-+      }
-+
-+      return 0;
-+}
-+
-+static int snd_rpi_hifiberry_dacplusadc_update_rate_den(
-+      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params)
-+{
-+      struct snd_soc_pcm_runtime *rtd = substream->private_data;
-+      struct snd_soc_component *component = rtd->codec_dai->component;
-+      struct pcm512x_priv *pcm512x = snd_soc_component_get_drvdata(component);
-+      struct snd_ratnum *rats_no_pll;
-+      unsigned int num = 0, den = 0;
-+      int err;
-+
-+      rats_no_pll = devm_kzalloc(rtd->dev, sizeof(*rats_no_pll), GFP_KERNEL);
-+      if (!rats_no_pll)
-+              return -ENOMEM;
-+
-+      rats_no_pll->num = clk_get_rate(pcm512x->sclk) / 64;
-+      rats_no_pll->den_min = 1;
-+      rats_no_pll->den_max = 128;
-+      rats_no_pll->den_step = 1;
-+
-+      err = snd_interval_ratnum(hw_param_interval(params,
-+              SNDRV_PCM_HW_PARAM_RATE), 1, rats_no_pll, &num, &den);
-+      if (err >= 0 && den) {
-+              params->rate_num = num;
-+              params->rate_den = den;
-+      }
-+
-+      devm_kfree(rtd->dev, rats_no_pll);
-+      return 0;
-+}
-+
-+static int snd_rpi_hifiberry_dacplusadc_hw_params(
-+      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params)
-+{
-+      int ret = 0;
-+      struct snd_soc_pcm_runtime *rtd = substream->private_data;
-+      int channels = params_channels(params);
-+      int width = 32;
-+
-+      if (snd_rpi_hifiberry_is_dacpro) {
-+              struct snd_soc_component *component = rtd->codec_dai->component;
-+
-+              width = snd_pcm_format_physical_width(params_format(params));
-+
-+              snd_rpi_hifiberry_dacplusadc_set_sclk(component,
-+                      params_rate(params));
-+
-+              ret = snd_rpi_hifiberry_dacplusadc_update_rate_den(
-+                      substream, params);
-+      }
-+
-+      ret = snd_soc_dai_set_bclk_ratio(rtd->cpu_dai, channels * width);
-+      if (ret)
-+              return ret;
-+      ret = snd_soc_dai_set_bclk_ratio(rtd->codec_dai, channels * width);
-+      return ret;
-+}
-+
-+static int hifiberry_dacplusadc_LED_cnt;
-+
-+static int snd_rpi_hifiberry_dacplusadc_startup(
-+      struct snd_pcm_substream *substream)
-+{
-+      struct snd_soc_pcm_runtime *rtd = substream->private_data;
-+      struct snd_soc_component *component = rtd->codec_dai->component;
-+
-+      snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1,
-+                                       0x08, 0x08);
-+      hifiberry_dacplusadc_LED_cnt++;
-+      return 0;
-+}
-+
-+static void snd_rpi_hifiberry_dacplusadc_shutdown(
-+      struct snd_pcm_substream *substream)
-+{
-+      struct snd_soc_pcm_runtime *rtd = substream->private_data;
-+      struct snd_soc_component *component = rtd->codec_dai->component;
-+
-+      hifiberry_dacplusadc_LED_cnt--;
-+      if (!hifiberry_dacplusadc_LED_cnt)
-+              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1,
-+                                               0x08, 0x00);
-+}
-+
-+/* machine stream operations */
-+static struct snd_soc_ops snd_rpi_hifiberry_dacplusadc_ops = {
-+      .hw_params = snd_rpi_hifiberry_dacplusadc_hw_params,
-+      .startup = snd_rpi_hifiberry_dacplusadc_startup,
-+      .shutdown = snd_rpi_hifiberry_dacplusadc_shutdown,
-+};
-+
-+SND_SOC_DAILINK_DEFS(hifi,
-+      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
-+      DAILINK_COMP_ARRAY(COMP_CODEC("pcm512x.1-004d", "pcm512x-hifi"),
-+                         COMP_CODEC("dmic-codec", "dmic-hifi")),
-+      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2708-i2s.0")));
-+
-+static struct snd_soc_dai_link snd_rpi_hifiberry_dacplusadc_dai[] = {
-+{
-+      .name           = "HiFiBerry DAC+ADC",
-+      .stream_name    = "HiFiBerry DAC+ADC HiFi",
-+      .dai_fmt        = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF |
-+                              SND_SOC_DAIFMT_CBS_CFS,
-+      .ops            = &snd_rpi_hifiberry_dacplusadc_ops,
-+      .init           = snd_rpi_hifiberry_dacplusadc_init,
-+      SND_SOC_DAILINK_REG(hifi),
-+},
-+};
-+
-+/* audio machine driver */
-+static struct snd_soc_card snd_rpi_hifiberry_dacplusadc = {
-+      .name         = "snd_rpi_hifiberry_dacplusadc",
-+      .driver_name  = "HifiberryDacpAdc",
-+      .owner        = THIS_MODULE,
-+      .dai_link     = snd_rpi_hifiberry_dacplusadc_dai,
-+      .num_links    = ARRAY_SIZE(snd_rpi_hifiberry_dacplusadc_dai),
-+};
-+
-+
-+static int snd_rpi_hifiberry_dacplusadc_probe(struct platform_device *pdev)
-+{
-+      int ret = 0;
-+
-+      snd_rpi_hifiberry_dacplusadc.dev = &pdev->dev;
-+      if (pdev->dev.of_node) {
-+              struct device_node *i2s_node;
-+              struct snd_soc_dai_link *dai;
-+
-+              dai = &snd_rpi_hifiberry_dacplusadc_dai[0];
-+              i2s_node = of_parse_phandle(pdev->dev.of_node,
-+                      "i2s-controller", 0);
-+              if (i2s_node) {
-+                      dai->cpus->of_node = i2s_node;
-+                      dai->platforms->of_node = i2s_node;
-+                      dai->cpus->dai_name = NULL;
-+                      dai->platforms->name = NULL;
-+              }
-+      }
-+      digital_gain_0db_limit = !of_property_read_bool(
-+              pdev->dev.of_node, "hifiberry,24db_digital_gain");
-+      slave = of_property_read_bool(pdev->dev.of_node,
-+                                      "hifiberry-dacplusadc,slave");
-+
-+      ret = devm_snd_soc_register_card(&pdev->dev,
-+                                               &snd_rpi_hifiberry_dacplusadc);
-+      if (ret && ret != -EPROBE_DEFER)
-+              dev_err(&pdev->dev,
-+                      "snd_soc_register_card() failed: %d\n", ret);
-+
-+      return ret;
-+}
-+
-+static const struct of_device_id snd_rpi_hifiberry_dacplusadc_of_match[] = {
-+      { .compatible = "hifiberry,hifiberry-dacplusadc", },
-+      {},
-+};
-+
-+MODULE_DEVICE_TABLE(of, snd_rpi_hifiberry_dacplusadc_of_match);
-+
-+static struct platform_driver snd_rpi_hifiberry_dacplusadc_driver = {
-+      .driver = {
-+              .name   = "snd-rpi-hifiberry-dacplusadc",
-+              .owner  = THIS_MODULE,
-+              .of_match_table = snd_rpi_hifiberry_dacplusadc_of_match,
-+      },
-+      .probe          = snd_rpi_hifiberry_dacplusadc_probe,
-+};
-+
-+static int __init hifiberry_dacplusadc_init(void)
-+{
-+      int ret;
-+
-+      dmic_codec_dev = platform_device_register_simple("dmic-codec", -1, NULL,
-+                                                       0);
-+      if (IS_ERR(dmic_codec_dev)) {
-+              pr_err("%s: dmic-codec device registration failed\n", __func__);
-+              return PTR_ERR(dmic_codec_dev);
-+      }
-+
-+      ret = platform_driver_register(&snd_rpi_hifiberry_dacplusadc_driver);
-+      if (ret) {
-+              pr_err("%s: platform driver registration failed\n", __func__);
-+              platform_device_unregister(dmic_codec_dev);
-+      }
-+
-+      return ret;
-+}
-+module_init(hifiberry_dacplusadc_init);
-+
-+static void __exit hifiberry_dacplusadc_exit(void)
-+{
-+      platform_driver_unregister(&snd_rpi_hifiberry_dacplusadc_driver);
-+      platform_device_unregister(dmic_codec_dev);
-+}
-+module_exit(hifiberry_dacplusadc_exit);
-+
-+MODULE_AUTHOR("Joerg Schambacher <joscha@schambacher.com>");
-+MODULE_AUTHOR("Daniel Matuschek <daniel@hifiberry.com>");
-+MODULE_DESCRIPTION("ASoC Driver for HiFiBerry DAC+ADC");
-+MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0136-configs-Enable-the-AD193x-codecs.patch b/target/linux/bcm27xx/patches-5.4/950-0136-configs-Enable-the-AD193x-codecs.patch
new file mode 100644 (file)
index 0000000..bbf7c5a
--- /dev/null
@@ -0,0 +1,28 @@
+From 222008f7786438cc6be8c51fc330b69f910cb933 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Thu, 7 Feb 2019 18:16:25 +0000
+Subject: [PATCH] configs: Enable the AD193x codecs
+
+See: https://github.com/raspberrypi/linux/issues/2850
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ sound/soc/codecs/Kconfig | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/sound/soc/codecs/Kconfig
++++ b/sound/soc/codecs/Kconfig
+@@ -320,11 +320,11 @@ config SND_SOC_AD193X
+       tristate
+ config SND_SOC_AD193X_SPI
+-      tristate
++      tristate "Analog Devices AU193X CODEC - SPI"
+       select SND_SOC_AD193X
+ config SND_SOC_AD193X_I2C
+-      tristate
++      tristate "Analog Devices AU193X CODEC - I2C"
+       select SND_SOC_AD193X
+ config SND_SOC_AD1980
diff --git a/target/linux/bcm27xx/patches-5.4/950-0137-configs-Enable-the-AD193x-codecs.patch b/target/linux/bcm27xx/patches-5.4/950-0137-configs-Enable-the-AD193x-codecs.patch
deleted file mode 100644 (file)
index bbf7c5a..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From 222008f7786438cc6be8c51fc330b69f910cb933 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Thu, 7 Feb 2019 18:16:25 +0000
-Subject: [PATCH] configs: Enable the AD193x codecs
-
-See: https://github.com/raspberrypi/linux/issues/2850
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- sound/soc/codecs/Kconfig | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/sound/soc/codecs/Kconfig
-+++ b/sound/soc/codecs/Kconfig
-@@ -320,11 +320,11 @@ config SND_SOC_AD193X
-       tristate
- config SND_SOC_AD193X_SPI
--      tristate
-+      tristate "Analog Devices AU193X CODEC - SPI"
-       select SND_SOC_AD193X
- config SND_SOC_AD193X_I2C
--      tristate
-+      tristate "Analog Devices AU193X CODEC - I2C"
-       select SND_SOC_AD193X
- config SND_SOC_AD1980
diff --git a/target/linux/bcm27xx/patches-5.4/950-0137-lan78xx-EEE-support-is-now-a-PHY-property.patch b/target/linux/bcm27xx/patches-5.4/950-0137-lan78xx-EEE-support-is-now-a-PHY-property.patch
new file mode 100644 (file)
index 0000000..2778f40
--- /dev/null
@@ -0,0 +1,26 @@
+From d6e7ddb03b509147af9d21b0d544f76f014e4b92 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Tue, 5 Mar 2019 09:51:22 +0000
+Subject: [PATCH] lan78xx: EEE support is now a PHY property
+
+Now that EEE support is a property of the PHY, use the PHY's DT node
+when querying the EEE-related properties.
+
+See: https://github.com/raspberrypi/linux/issues/2882
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/net/usb/lan78xx.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/net/usb/lan78xx.c
++++ b/drivers/net/usb/lan78xx.c
+@@ -2180,7 +2180,7 @@ static int lan78xx_phy_init(struct lan78
+       mii_adv_to_linkmode_adv_t(fc, mii_adv);
+       linkmode_or(phydev->advertising, fc, phydev->advertising);
+-      if (of_property_read_bool(dev->udev->dev.of_node,
++      if (of_property_read_bool(phydev->mdio.dev.of_node,
+                                 "microchip,eee-enabled")) {
+               struct ethtool_eee edata;
+               memset(&edata, 0, sizeof(edata));
diff --git a/target/linux/bcm27xx/patches-5.4/950-0138-Revert-staging-vchiq-delete-vchiq_killable.h.patch b/target/linux/bcm27xx/patches-5.4/950-0138-Revert-staging-vchiq-delete-vchiq_killable.h.patch
new file mode 100644 (file)
index 0000000..0e314ec
--- /dev/null
@@ -0,0 +1,124 @@
+From 74204b27dbadce0bbf1e9bf58db7cac813a14dc6 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Wed, 6 Mar 2019 16:28:09 +0000
+Subject: [PATCH] Revert "staging: vchiq: delete vchiq_killable.h"
+
+This reverts commit 2da56630b1cc422f58408033102b8f91ae97bc91.
+---
+ .../interface/vchiq_arm/vchiq_2835_arm.c      |  1 +
+ .../interface/vchiq_arm/vchiq_arm.c           |  1 +
+ .../interface/vchiq_arm/vchiq_connected.c     |  1 +
+ .../interface/vchiq_arm/vchiq_core.c          |  1 +
+ .../interface/vchiq_arm/vchiq_killable.h      | 55 +++++++++++++++++++
+ .../interface/vchiq_arm/vchiq_util.c          |  1 +
+ 6 files changed, 60 insertions(+)
+ create mode 100644 drivers/staging/vc04_services/interface/vchiq_arm/vchiq_killable.h
+
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
+@@ -19,6 +19,7 @@
+ #include "vchiq_arm.h"
+ #include "vchiq_connected.h"
++#include "vchiq_killable.h"
+ #include "vchiq_pagelist.h"
+ #define MAX_FRAGMENTS (VCHIQ_NUM_CURRENT_BULKS * 2)
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
+@@ -28,6 +28,7 @@
+ #include "vchiq_ioctl.h"
+ #include "vchiq_arm.h"
+ #include "vchiq_debugfs.h"
++#include "vchiq_killable.h"
+ #define DEVICE_NAME "vchiq"
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_connected.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_connected.c
+@@ -3,6 +3,7 @@
+ #include "vchiq_connected.h"
+ #include "vchiq_core.h"
++#include "vchiq_killable.h"
+ #include <linux/module.h>
+ #include <linux/mutex.h>
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_core.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_core.c
+@@ -2,6 +2,7 @@
+ /* Copyright (c) 2010-2012 Broadcom. All rights reserved. */
+ #include "vchiq_core.h"
++#include "vchiq_killable.h"
+ #define VCHIQ_SLOT_HANDLER_STACK 8192
+--- /dev/null
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_killable.h
+@@ -0,0 +1,55 @@
++/**
++ * Copyright (c) 2010-2012 Broadcom. All rights reserved.
++ *
++ * Redistribution and use in source and binary forms, with or without
++ * modification, are permitted provided that the following conditions
++ * are met:
++ * 1. Redistributions of source code must retain the above copyright
++ *    notice, this list of conditions, and the following disclaimer,
++ *    without modification.
++ * 2. Redistributions in binary form must reproduce the above copyright
++ *    notice, this list of conditions and the following disclaimer in the
++ *    documentation and/or other materials provided with the distribution.
++ * 3. The names of the above-listed copyright holders may not be used
++ *    to endorse or promote products derived from this software without
++ *    specific prior written permission.
++ *
++ * ALTERNATIVELY, this software may be distributed under the terms of the
++ * GNU General Public License ("GPL") version 2, as published by the Free
++ * Software Foundation.
++ *
++ * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
++ * IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
++ * THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
++ * PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
++ * CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
++ * EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
++ * PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
++ * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
++ * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
++ * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
++ * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
++ */
++
++#ifndef VCHIQ_KILLABLE_H
++#define VCHIQ_KILLABLE_H
++
++#include <linux/mutex.h>
++#include <linux/semaphore.h>
++
++#define SHUTDOWN_SIGS   (sigmask(SIGKILL) | sigmask(SIGINT) | sigmask(SIGQUIT) | sigmask(SIGTRAP) | sigmask(SIGSTOP) | sigmask(SIGCONT))
++
++static inline int __must_check down_interruptible_killable(struct semaphore *sem)
++{
++      /* Allow interception of killable signals only. We don't want to be interrupted by harmless signals like SIGALRM */
++      int ret;
++      sigset_t blocked, oldset;
++      siginitsetinv(&blocked, SHUTDOWN_SIGS);
++      sigprocmask(SIG_SETMASK, &blocked, &oldset);
++      ret = down_interruptible(sem);
++      sigprocmask(SIG_SETMASK, &oldset, NULL);
++      return ret;
++}
++#define down_interruptible down_interruptible_killable
++
++#endif
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_util.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_util.c
+@@ -2,6 +2,7 @@
+ /* Copyright (c) 2010-2012 Broadcom. All rights reserved. */
+ #include "vchiq_util.h"
++#include "vchiq_killable.h"
+ static inline int is_pow2(int i)
+ {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0138-lan78xx-EEE-support-is-now-a-PHY-property.patch b/target/linux/bcm27xx/patches-5.4/950-0138-lan78xx-EEE-support-is-now-a-PHY-property.patch
deleted file mode 100644 (file)
index 2778f40..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From d6e7ddb03b509147af9d21b0d544f76f014e4b92 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Tue, 5 Mar 2019 09:51:22 +0000
-Subject: [PATCH] lan78xx: EEE support is now a PHY property
-
-Now that EEE support is a property of the PHY, use the PHY's DT node
-when querying the EEE-related properties.
-
-See: https://github.com/raspberrypi/linux/issues/2882
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/net/usb/lan78xx.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/net/usb/lan78xx.c
-+++ b/drivers/net/usb/lan78xx.c
-@@ -2180,7 +2180,7 @@ static int lan78xx_phy_init(struct lan78
-       mii_adv_to_linkmode_adv_t(fc, mii_adv);
-       linkmode_or(phydev->advertising, fc, phydev->advertising);
--      if (of_property_read_bool(dev->udev->dev.of_node,
-+      if (of_property_read_bool(phydev->mdio.dev.of_node,
-                                 "microchip,eee-enabled")) {
-               struct ethtool_eee edata;
-               memset(&edata, 0, sizeof(edata));
diff --git a/target/linux/bcm27xx/patches-5.4/950-0139-Revert-staging-bcm2835-audio-Drop-DT-dependency.patch b/target/linux/bcm27xx/patches-5.4/950-0139-Revert-staging-bcm2835-audio-Drop-DT-dependency.patch
new file mode 100644 (file)
index 0000000..09bfb7a
--- /dev/null
@@ -0,0 +1,96 @@
+From 6259d03df45a2fd245f6799a83a491edad07c80d Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Fri, 15 Mar 2019 21:11:10 +0000
+Subject: [PATCH] Revert "staging: bcm2835-audio: Drop DT dependency"
+
+This reverts commit b7491a9fca2dc2535b9dc922550a37c5baae9d3d.
+---
+ .../vc04_services/bcm2835-audio/bcm2835.c     | 31 +++++++++++++------
+ 1 file changed, 22 insertions(+), 9 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
++++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
+@@ -6,13 +6,13 @@
+ #include <linux/init.h>
+ #include <linux/slab.h>
+ #include <linux/module.h>
++#include <linux/of.h>
+ #include "bcm2835.h"
+ static bool enable_hdmi;
+ static bool enable_headphones;
+ static bool enable_compat_alsa = true;
+-static int num_channels = MAX_SUBSTREAMS;
+ module_param(enable_hdmi, bool, 0444);
+ MODULE_PARM_DESC(enable_hdmi, "Enables HDMI virtual audio device");
+@@ -21,8 +21,6 @@ MODULE_PARM_DESC(enable_headphones, "Ena
+ module_param(enable_compat_alsa, bool, 0444);
+ MODULE_PARM_DESC(enable_compat_alsa,
+                "Enables ALSA compatibility virtual audio device");
+-module_param(num_channels, int, 0644);
+-MODULE_PARM_DESC(num_channels, "Number of audio channels (default: 8)");
+ static void bcm2835_devm_free_vchi_ctx(struct device *dev, void *res)
+ {
+@@ -296,19 +294,28 @@ static int snd_add_child_devices(struct
+ static int snd_bcm2835_alsa_probe(struct platform_device *pdev)
+ {
+       struct device *dev = &pdev->dev;
++      u32 numchans;
+       int err;
+-      if (num_channels <= 0 || num_channels > MAX_SUBSTREAMS) {
+-              num_channels = MAX_SUBSTREAMS;
+-              dev_warn(dev, "Illegal num_channels value, will use %u\n",
+-                       num_channels);
++      err = of_property_read_u32(dev->of_node, "brcm,pwm-channels",
++                                 &numchans);
++      if (err) {
++              dev_err(dev, "Failed to get DT property 'brcm,pwm-channels'");
++              return err;
++      }
++
++      if (numchans == 0 || numchans > MAX_SUBSTREAMS) {
++              numchans = MAX_SUBSTREAMS;
++              dev_warn(dev,
++                       "Illegal 'brcm,pwm-channels' value, will use %u\n",
++                       numchans);
+       }
+       err = bcm2835_devm_add_vchi_ctx(dev);
+       if (err)
+               return err;
+-      err = snd_add_child_devices(dev, num_channels);
++      err = snd_add_child_devices(dev, numchans);
+       if (err)
+               return err;
+@@ -330,6 +337,12 @@ static int snd_bcm2835_alsa_resume(struc
+ #endif
++static const struct of_device_id snd_bcm2835_of_match_table[] = {
++      { .compatible = "brcm,bcm2835-audio",},
++      {},
++};
++MODULE_DEVICE_TABLE(of, snd_bcm2835_of_match_table);
++
+ static struct platform_driver bcm2835_alsa_driver = {
+       .probe = snd_bcm2835_alsa_probe,
+ #ifdef CONFIG_PM
+@@ -338,6 +351,7 @@ static struct platform_driver bcm2835_al
+ #endif
+       .driver = {
+               .name = "bcm2835_audio",
++              .of_match_table = snd_bcm2835_of_match_table,
+       },
+ };
+ module_platform_driver(bcm2835_alsa_driver);
+@@ -345,4 +359,3 @@ module_platform_driver(bcm2835_alsa_driv
+ MODULE_AUTHOR("Dom Cobley");
+ MODULE_DESCRIPTION("Alsa driver for BCM2835 chip");
+ MODULE_LICENSE("GPL");
+-MODULE_ALIAS("platform:bcm2835_audio");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0139-Revert-staging-vchiq-delete-vchiq_killable.h.patch b/target/linux/bcm27xx/patches-5.4/950-0139-Revert-staging-vchiq-delete-vchiq_killable.h.patch
deleted file mode 100644 (file)
index 0e314ec..0000000
+++ /dev/null
@@ -1,124 +0,0 @@
-From 74204b27dbadce0bbf1e9bf58db7cac813a14dc6 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Wed, 6 Mar 2019 16:28:09 +0000
-Subject: [PATCH] Revert "staging: vchiq: delete vchiq_killable.h"
-
-This reverts commit 2da56630b1cc422f58408033102b8f91ae97bc91.
----
- .../interface/vchiq_arm/vchiq_2835_arm.c      |  1 +
- .../interface/vchiq_arm/vchiq_arm.c           |  1 +
- .../interface/vchiq_arm/vchiq_connected.c     |  1 +
- .../interface/vchiq_arm/vchiq_core.c          |  1 +
- .../interface/vchiq_arm/vchiq_killable.h      | 55 +++++++++++++++++++
- .../interface/vchiq_arm/vchiq_util.c          |  1 +
- 6 files changed, 60 insertions(+)
- create mode 100644 drivers/staging/vc04_services/interface/vchiq_arm/vchiq_killable.h
-
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
-@@ -19,6 +19,7 @@
- #include "vchiq_arm.h"
- #include "vchiq_connected.h"
-+#include "vchiq_killable.h"
- #include "vchiq_pagelist.h"
- #define MAX_FRAGMENTS (VCHIQ_NUM_CURRENT_BULKS * 2)
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-@@ -28,6 +28,7 @@
- #include "vchiq_ioctl.h"
- #include "vchiq_arm.h"
- #include "vchiq_debugfs.h"
-+#include "vchiq_killable.h"
- #define DEVICE_NAME "vchiq"
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_connected.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_connected.c
-@@ -3,6 +3,7 @@
- #include "vchiq_connected.h"
- #include "vchiq_core.h"
-+#include "vchiq_killable.h"
- #include <linux/module.h>
- #include <linux/mutex.h>
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_core.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_core.c
-@@ -2,6 +2,7 @@
- /* Copyright (c) 2010-2012 Broadcom. All rights reserved. */
- #include "vchiq_core.h"
-+#include "vchiq_killable.h"
- #define VCHIQ_SLOT_HANDLER_STACK 8192
---- /dev/null
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_killable.h
-@@ -0,0 +1,55 @@
-+/**
-+ * Copyright (c) 2010-2012 Broadcom. All rights reserved.
-+ *
-+ * Redistribution and use in source and binary forms, with or without
-+ * modification, are permitted provided that the following conditions
-+ * are met:
-+ * 1. Redistributions of source code must retain the above copyright
-+ *    notice, this list of conditions, and the following disclaimer,
-+ *    without modification.
-+ * 2. Redistributions in binary form must reproduce the above copyright
-+ *    notice, this list of conditions and the following disclaimer in the
-+ *    documentation and/or other materials provided with the distribution.
-+ * 3. The names of the above-listed copyright holders may not be used
-+ *    to endorse or promote products derived from this software without
-+ *    specific prior written permission.
-+ *
-+ * ALTERNATIVELY, this software may be distributed under the terms of the
-+ * GNU General Public License ("GPL") version 2, as published by the Free
-+ * Software Foundation.
-+ *
-+ * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
-+ * IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-+ * THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-+ * PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
-+ * CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-+ * EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-+ * PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
-+ * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
-+ * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
-+ * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-+ * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-+ */
-+
-+#ifndef VCHIQ_KILLABLE_H
-+#define VCHIQ_KILLABLE_H
-+
-+#include <linux/mutex.h>
-+#include <linux/semaphore.h>
-+
-+#define SHUTDOWN_SIGS   (sigmask(SIGKILL) | sigmask(SIGINT) | sigmask(SIGQUIT) | sigmask(SIGTRAP) | sigmask(SIGSTOP) | sigmask(SIGCONT))
-+
-+static inline int __must_check down_interruptible_killable(struct semaphore *sem)
-+{
-+      /* Allow interception of killable signals only. We don't want to be interrupted by harmless signals like SIGALRM */
-+      int ret;
-+      sigset_t blocked, oldset;
-+      siginitsetinv(&blocked, SHUTDOWN_SIGS);
-+      sigprocmask(SIG_SETMASK, &blocked, &oldset);
-+      ret = down_interruptible(sem);
-+      sigprocmask(SIG_SETMASK, &oldset, NULL);
-+      return ret;
-+}
-+#define down_interruptible down_interruptible_killable
-+
-+#endif
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_util.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_util.c
-@@ -2,6 +2,7 @@
- /* Copyright (c) 2010-2012 Broadcom. All rights reserved. */
- #include "vchiq_util.h"
-+#include "vchiq_killable.h"
- static inline int is_pow2(int i)
- {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0140-Revert-staging-bcm2835-audio-Drop-DT-dependency.patch b/target/linux/bcm27xx/patches-5.4/950-0140-Revert-staging-bcm2835-audio-Drop-DT-dependency.patch
deleted file mode 100644 (file)
index 09bfb7a..0000000
+++ /dev/null
@@ -1,96 +0,0 @@
-From 6259d03df45a2fd245f6799a83a491edad07c80d Mon Sep 17 00:00:00 2001
-From: popcornmix <popcornmix@gmail.com>
-Date: Fri, 15 Mar 2019 21:11:10 +0000
-Subject: [PATCH] Revert "staging: bcm2835-audio: Drop DT dependency"
-
-This reverts commit b7491a9fca2dc2535b9dc922550a37c5baae9d3d.
----
- .../vc04_services/bcm2835-audio/bcm2835.c     | 31 +++++++++++++------
- 1 file changed, 22 insertions(+), 9 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
-+++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
-@@ -6,13 +6,13 @@
- #include <linux/init.h>
- #include <linux/slab.h>
- #include <linux/module.h>
-+#include <linux/of.h>
- #include "bcm2835.h"
- static bool enable_hdmi;
- static bool enable_headphones;
- static bool enable_compat_alsa = true;
--static int num_channels = MAX_SUBSTREAMS;
- module_param(enable_hdmi, bool, 0444);
- MODULE_PARM_DESC(enable_hdmi, "Enables HDMI virtual audio device");
-@@ -21,8 +21,6 @@ MODULE_PARM_DESC(enable_headphones, "Ena
- module_param(enable_compat_alsa, bool, 0444);
- MODULE_PARM_DESC(enable_compat_alsa,
-                "Enables ALSA compatibility virtual audio device");
--module_param(num_channels, int, 0644);
--MODULE_PARM_DESC(num_channels, "Number of audio channels (default: 8)");
- static void bcm2835_devm_free_vchi_ctx(struct device *dev, void *res)
- {
-@@ -296,19 +294,28 @@ static int snd_add_child_devices(struct
- static int snd_bcm2835_alsa_probe(struct platform_device *pdev)
- {
-       struct device *dev = &pdev->dev;
-+      u32 numchans;
-       int err;
--      if (num_channels <= 0 || num_channels > MAX_SUBSTREAMS) {
--              num_channels = MAX_SUBSTREAMS;
--              dev_warn(dev, "Illegal num_channels value, will use %u\n",
--                       num_channels);
-+      err = of_property_read_u32(dev->of_node, "brcm,pwm-channels",
-+                                 &numchans);
-+      if (err) {
-+              dev_err(dev, "Failed to get DT property 'brcm,pwm-channels'");
-+              return err;
-+      }
-+
-+      if (numchans == 0 || numchans > MAX_SUBSTREAMS) {
-+              numchans = MAX_SUBSTREAMS;
-+              dev_warn(dev,
-+                       "Illegal 'brcm,pwm-channels' value, will use %u\n",
-+                       numchans);
-       }
-       err = bcm2835_devm_add_vchi_ctx(dev);
-       if (err)
-               return err;
--      err = snd_add_child_devices(dev, num_channels);
-+      err = snd_add_child_devices(dev, numchans);
-       if (err)
-               return err;
-@@ -330,6 +337,12 @@ static int snd_bcm2835_alsa_resume(struc
- #endif
-+static const struct of_device_id snd_bcm2835_of_match_table[] = {
-+      { .compatible = "brcm,bcm2835-audio",},
-+      {},
-+};
-+MODULE_DEVICE_TABLE(of, snd_bcm2835_of_match_table);
-+
- static struct platform_driver bcm2835_alsa_driver = {
-       .probe = snd_bcm2835_alsa_probe,
- #ifdef CONFIG_PM
-@@ -338,6 +351,7 @@ static struct platform_driver bcm2835_al
- #endif
-       .driver = {
-               .name = "bcm2835_audio",
-+              .of_match_table = snd_bcm2835_of_match_table,
-       },
- };
- module_platform_driver(bcm2835_alsa_driver);
-@@ -345,4 +359,3 @@ module_platform_driver(bcm2835_alsa_driv
- MODULE_AUTHOR("Dom Cobley");
- MODULE_DESCRIPTION("Alsa driver for BCM2835 chip");
- MODULE_LICENSE("GPL");
--MODULE_ALIAS("platform:bcm2835_audio");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0140-gpu-vc4_firmware_kms-Fix-up-64-bit-compile-warnings.patch b/target/linux/bcm27xx/patches-5.4/950-0140-gpu-vc4_firmware_kms-Fix-up-64-bit-compile-warnings.patch
new file mode 100644 (file)
index 0000000..2882819
--- /dev/null
@@ -0,0 +1,66 @@
+From b1a1e864164d0cde517b85c2ac2cb6f6ceaa78b4 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 28 Jan 2019 14:40:16 +0000
+Subject: [PATCH] gpu: vc4_firmware_kms: Fix up 64 bit compile
+ warnings.
+
+Resolve two build warnings with regard using incorrectly
+sized parameters in logging messages on 64 bit builds.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 11 ++++++-----
+ 1 file changed, 6 insertions(+), 5 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -161,14 +161,14 @@ static void vc4_primary_plane_atomic_upd
+               WARN_ON_ONCE(vc4_plane->pitch != fb->pitches[0]);
+       }
+-      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] primary update %dx%d@%d +%d,%d 0x%08x/%d\n",
++      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] primary update %dx%d@%d +%d,%d 0x%pad/%d\n",
+                        plane->base.id, plane->name,
+                        state->crtc_w,
+                        state->crtc_h,
+                        bpp,
+                        state->crtc_x,
+                        state->crtc_y,
+-                       bo->paddr + fb->offsets[0],
++                       &fbinfo->base,
+                        fb->pitches[0]);
+       ret = rpi_firmware_transaction(vc4->firmware,
+@@ -198,6 +198,7 @@ static void vc4_cursor_plane_atomic_upda
+       struct vc4_crtc *vc4_crtc = to_vc4_crtc(state->crtc);
+       struct drm_framebuffer *fb = state->fb;
+       struct drm_gem_cma_object *bo = drm_fb_cma_get_gem_obj(fb, 0);
++      dma_addr_t addr = bo->paddr + fb->offsets[0];
+       int ret;
+       u32 packet_state[] = {
+               state->crtc->state->active,
+@@ -207,13 +208,13 @@ static void vc4_cursor_plane_atomic_upda
+       };
+       WARN_ON_ONCE(fb->pitches[0] != state->crtc_w * 4);
+-      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] update %dx%d cursor at %d,%d (0x%08x/%d)",
++      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] update %dx%d cursor at %d,%d (0x%pad/%d)",
+                        plane->base.id, plane->name,
+                        state->crtc_w,
+                        state->crtc_h,
+                        state->crtc_x,
+                        state->crtc_y,
+-                       bo->paddr + fb->offsets[0],
++                       &addr,
+                        fb->pitches[0]);
+       /* add on the top/left offsets when overscan is active */
+@@ -239,7 +240,7 @@ static void vc4_cursor_plane_atomic_upda
+           fb != old_state->fb) {
+               u32 packet_info[] = { state->crtc_w, state->crtc_h,
+                                     0, /* unused */
+-                                    bo->paddr + fb->offsets[0],
++                                    addr,
+                                     0, 0, /* hotx, hoty */};
+               ret = rpi_firmware_property(vc4->firmware,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0141-bcm2835-dma-Add-support-for-per-channel-flags.patch b/target/linux/bcm27xx/patches-5.4/950-0141-bcm2835-dma-Add-support-for-per-channel-flags.patch
new file mode 100644 (file)
index 0000000..8f68768
--- /dev/null
@@ -0,0 +1,48 @@
+From d70a417420f9d10e86031ec24da68b71668aa833 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Fri, 20 Jul 2018 22:03:41 +0100
+Subject: [PATCH] bcm2835-dma: Add support for per-channel flags
+
+Add the ability to interpret the high bits of the dreq specifier as
+flags to be included in the DMA_CS register. The motivation for this
+change is the ability to set the DISDEBUG flag for SD card transfers
+to avoid corruption when using the VPU debugger.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/dma/bcm2835-dma.c | 10 ++++++++--
+ 1 file changed, 8 insertions(+), 2 deletions(-)
+
+--- a/drivers/dma/bcm2835-dma.c
++++ b/drivers/dma/bcm2835-dma.c
+@@ -141,6 +141,10 @@ struct bcm2835_desc {
+ #define BCM2835_DMA_S_DREQ    BIT(10) /* enable SREQ for source */
+ #define BCM2835_DMA_S_IGNORE  BIT(11) /* ignore source reads - read 0 */
+ #define BCM2835_DMA_BURST_LENGTH(x) ((x & 15) << 12)
++#define BCM2835_DMA_CS_FLAGS(x) (x & (BCM2835_DMA_PRIORITY(15) | \
++                                    BCM2835_DMA_PANIC_PRIORITY(15) | \
++                                    BCM2835_DMA_WAIT_FOR_WRITES | \
++                                    BCM2835_DMA_DIS_DEBUG))
+ #define BCM2835_DMA_PER_MAP(x)        ((x & 31) << 16) /* REQ source */
+ #define BCM2835_DMA_WAIT(x)   ((x & 31) << 21) /* add DMA-wait cycles */
+ #define BCM2835_DMA_NO_WIDE_BURSTS BIT(26) /* no 2 beat write bursts */
+@@ -454,7 +458,8 @@ static void bcm2835_dma_start_desc(struc
+       c->desc = d = to_bcm2835_dma_desc(&vd->tx);
+       writel(d->cb_list[0].paddr, c->chan_base + BCM2835_DMA_ADDR);
+-      writel(BCM2835_DMA_ACTIVE, c->chan_base + BCM2835_DMA_CS);
++      writel(BCM2835_DMA_ACTIVE | BCM2835_DMA_CS_FLAGS(c->dreq),
++             c->chan_base + BCM2835_DMA_CS);
+ }
+ static irqreturn_t bcm2835_dma_callback(int irq, void *data)
+@@ -481,7 +486,8 @@ static irqreturn_t bcm2835_dma_callback(
+        * if this IRQ handler is threaded.) If the channel is finished, it
+        * will remain idle despite the ACTIVE flag being set.
+        */
+-      writel(BCM2835_DMA_INT | BCM2835_DMA_ACTIVE,
++      writel(BCM2835_DMA_INT | BCM2835_DMA_ACTIVE |
++             BCM2835_DMA_CS_FLAGS(c->dreq),
+              c->chan_base + BCM2835_DMA_CS);
+       d = c->desc;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0141-gpu-vc4_firmware_kms-Fix-up-64-bit-compile-warnings.patch b/target/linux/bcm27xx/patches-5.4/950-0141-gpu-vc4_firmware_kms-Fix-up-64-bit-compile-warnings.patch
deleted file mode 100644 (file)
index 2882819..0000000
+++ /dev/null
@@ -1,66 +0,0 @@
-From b1a1e864164d0cde517b85c2ac2cb6f6ceaa78b4 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 28 Jan 2019 14:40:16 +0000
-Subject: [PATCH] gpu: vc4_firmware_kms: Fix up 64 bit compile
- warnings.
-
-Resolve two build warnings with regard using incorrectly
-sized parameters in logging messages on 64 bit builds.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 11 ++++++-----
- 1 file changed, 6 insertions(+), 5 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -161,14 +161,14 @@ static void vc4_primary_plane_atomic_upd
-               WARN_ON_ONCE(vc4_plane->pitch != fb->pitches[0]);
-       }
--      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] primary update %dx%d@%d +%d,%d 0x%08x/%d\n",
-+      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] primary update %dx%d@%d +%d,%d 0x%pad/%d\n",
-                        plane->base.id, plane->name,
-                        state->crtc_w,
-                        state->crtc_h,
-                        bpp,
-                        state->crtc_x,
-                        state->crtc_y,
--                       bo->paddr + fb->offsets[0],
-+                       &fbinfo->base,
-                        fb->pitches[0]);
-       ret = rpi_firmware_transaction(vc4->firmware,
-@@ -198,6 +198,7 @@ static void vc4_cursor_plane_atomic_upda
-       struct vc4_crtc *vc4_crtc = to_vc4_crtc(state->crtc);
-       struct drm_framebuffer *fb = state->fb;
-       struct drm_gem_cma_object *bo = drm_fb_cma_get_gem_obj(fb, 0);
-+      dma_addr_t addr = bo->paddr + fb->offsets[0];
-       int ret;
-       u32 packet_state[] = {
-               state->crtc->state->active,
-@@ -207,13 +208,13 @@ static void vc4_cursor_plane_atomic_upda
-       };
-       WARN_ON_ONCE(fb->pitches[0] != state->crtc_w * 4);
--      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] update %dx%d cursor at %d,%d (0x%08x/%d)",
-+      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] update %dx%d cursor at %d,%d (0x%pad/%d)",
-                        plane->base.id, plane->name,
-                        state->crtc_w,
-                        state->crtc_h,
-                        state->crtc_x,
-                        state->crtc_y,
--                       bo->paddr + fb->offsets[0],
-+                       &addr,
-                        fb->pitches[0]);
-       /* add on the top/left offsets when overscan is active */
-@@ -239,7 +240,7 @@ static void vc4_cursor_plane_atomic_upda
-           fb != old_state->fb) {
-               u32 packet_info[] = { state->crtc_w, state->crtc_h,
-                                     0, /* unused */
--                                    bo->paddr + fb->offsets[0],
-+                                    addr,
-                                     0, 0, /* hotx, hoty */};
-               ret = rpi_firmware_property(vc4->firmware,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0142-bcm2835-dma-Add-support-for-per-channel-flags.patch b/target/linux/bcm27xx/patches-5.4/950-0142-bcm2835-dma-Add-support-for-per-channel-flags.patch
deleted file mode 100644 (file)
index 8f68768..0000000
+++ /dev/null
@@ -1,48 +0,0 @@
-From d70a417420f9d10e86031ec24da68b71668aa833 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Fri, 20 Jul 2018 22:03:41 +0100
-Subject: [PATCH] bcm2835-dma: Add support for per-channel flags
-
-Add the ability to interpret the high bits of the dreq specifier as
-flags to be included in the DMA_CS register. The motivation for this
-change is the ability to set the DISDEBUG flag for SD card transfers
-to avoid corruption when using the VPU debugger.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/dma/bcm2835-dma.c | 10 ++++++++--
- 1 file changed, 8 insertions(+), 2 deletions(-)
-
---- a/drivers/dma/bcm2835-dma.c
-+++ b/drivers/dma/bcm2835-dma.c
-@@ -141,6 +141,10 @@ struct bcm2835_desc {
- #define BCM2835_DMA_S_DREQ    BIT(10) /* enable SREQ for source */
- #define BCM2835_DMA_S_IGNORE  BIT(11) /* ignore source reads - read 0 */
- #define BCM2835_DMA_BURST_LENGTH(x) ((x & 15) << 12)
-+#define BCM2835_DMA_CS_FLAGS(x) (x & (BCM2835_DMA_PRIORITY(15) | \
-+                                    BCM2835_DMA_PANIC_PRIORITY(15) | \
-+                                    BCM2835_DMA_WAIT_FOR_WRITES | \
-+                                    BCM2835_DMA_DIS_DEBUG))
- #define BCM2835_DMA_PER_MAP(x)        ((x & 31) << 16) /* REQ source */
- #define BCM2835_DMA_WAIT(x)   ((x & 31) << 21) /* add DMA-wait cycles */
- #define BCM2835_DMA_NO_WIDE_BURSTS BIT(26) /* no 2 beat write bursts */
-@@ -454,7 +458,8 @@ static void bcm2835_dma_start_desc(struc
-       c->desc = d = to_bcm2835_dma_desc(&vd->tx);
-       writel(d->cb_list[0].paddr, c->chan_base + BCM2835_DMA_ADDR);
--      writel(BCM2835_DMA_ACTIVE, c->chan_base + BCM2835_DMA_CS);
-+      writel(BCM2835_DMA_ACTIVE | BCM2835_DMA_CS_FLAGS(c->dreq),
-+             c->chan_base + BCM2835_DMA_CS);
- }
- static irqreturn_t bcm2835_dma_callback(int irq, void *data)
-@@ -481,7 +486,8 @@ static irqreturn_t bcm2835_dma_callback(
-        * if this IRQ handler is threaded.) If the channel is finished, it
-        * will remain idle despite the ACTIVE flag being set.
-        */
--      writel(BCM2835_DMA_INT | BCM2835_DMA_ACTIVE,
-+      writel(BCM2835_DMA_INT | BCM2835_DMA_ACTIVE |
-+             BCM2835_DMA_CS_FLAGS(c->dreq),
-              c->chan_base + BCM2835_DMA_CS);
-       d = c->desc;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0142-drm-vc4-Programming-the-CTM-is-conditional-on-runnin.patch b/target/linux/bcm27xx/patches-5.4/950-0142-drm-vc4-Programming-the-CTM-is-conditional-on-runnin.patch
new file mode 100644 (file)
index 0000000..0ec79ad
--- /dev/null
@@ -0,0 +1,26 @@
+From 973ca1329ac445e55135e01874118d4e28392ea7 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 19 Feb 2019 15:18:25 +0000
+Subject: [PATCH] drm: vc4: Programming the CTM is conditional on
+ running full KMS
+
+vc4_ctm_commit writes to HVS registers, so this is only applicable
+when in full KMS mode, not in firmware KMS mode. Add this conditional.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_kms.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_kms.c
+@@ -166,7 +166,8 @@ vc4_atomic_complete_commit(struct drm_at
+       drm_atomic_helper_commit_modeset_disables(dev, state);
+-      vc4_ctm_commit(vc4, state);
++      if (!vc4->firmware_kms)
++              vc4_ctm_commit(vc4, state);
+       drm_atomic_helper_commit_planes(dev, state, 0);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0143-drm-vc4-Programming-the-CTM-is-conditional-on-runnin.patch b/target/linux/bcm27xx/patches-5.4/950-0143-drm-vc4-Programming-the-CTM-is-conditional-on-runnin.patch
deleted file mode 100644 (file)
index 0ec79ad..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From 973ca1329ac445e55135e01874118d4e28392ea7 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 19 Feb 2019 15:18:25 +0000
-Subject: [PATCH] drm: vc4: Programming the CTM is conditional on
- running full KMS
-
-vc4_ctm_commit writes to HVS registers, so this is only applicable
-when in full KMS mode, not in firmware KMS mode. Add this conditional.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_kms.c | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_kms.c
-@@ -166,7 +166,8 @@ vc4_atomic_complete_commit(struct drm_at
-       drm_atomic_helper_commit_modeset_disables(dev, state);
--      vc4_ctm_commit(vc4, state);
-+      if (!vc4->firmware_kms)
-+              vc4_ctm_commit(vc4, state);
-       drm_atomic_helper_commit_planes(dev, state, 0);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0143-rtc-rv3028-Add-backup-switchover-mode-support.patch b/target/linux/bcm27xx/patches-5.4/950-0143-rtc-rv3028-Add-backup-switchover-mode-support.patch
new file mode 100644 (file)
index 0000000..375bd6e
--- /dev/null
@@ -0,0 +1,50 @@
+From c91a8597734d13ebb5ac7393fa6f100d70f15664 Mon Sep 17 00:00:00 2001
+From: Phil Howard <phil@gadgetoid.com>
+Date: Fri, 29 Mar 2019 10:53:14 +0000
+Subject: [PATCH] rtc: rv3028: Add backup switchover mode support
+
+Signed-off-by: Phil Howard <phil@pimoroni.com>
+---
+ drivers/rtc/rtc-rv3028.c | 17 +++++++++++++++++
+ 1 file changed, 17 insertions(+)
+
+--- a/drivers/rtc/rtc-rv3028.c
++++ b/drivers/rtc/rtc-rv3028.c
+@@ -73,6 +73,7 @@
+ #define RV3028_BACKUP_TCE             BIT(5)
+ #define RV3028_BACKUP_TCR_MASK                GENMASK(1,0)
++#define RV3028_BACKUP_BSM_MASK                0x0C
+ #define OFFSET_STEP_PPT                       953674
+@@ -600,6 +601,7 @@ static int rv3028_probe(struct i2c_clien
+       struct rv3028_data *rv3028;
+       int ret, status;
+       u32 ohms;
++      u8 bsm;
+       struct nvmem_config nvmem_cfg = {
+               .name = "rv3028_nvram",
+               .word_size = 1,
+@@ -671,6 +673,21 @@ static int rv3028_probe(struct i2c_clien
+       if (ret)
+               return ret;
++      /* setup backup switchover mode */
++      if (!device_property_read_u8(&client->dev, "backup-switchover-mode",
++                                   &bsm))  {
++              if (bsm <= 3) {
++                      ret = regmap_update_bits(rv3028->regmap, RV3028_BACKUP,
++                              RV3028_BACKUP_BSM_MASK,
++                              (bsm & 0x03) << 2);
++
++                      if (ret)
++                              return ret;
++              } else {
++                      dev_warn(&client->dev, "invalid backup switchover mode value\n");
++              }
++      }
++
+       /* setup trickle charger */
+       if (!device_property_read_u32(&client->dev, "trickle-resistor-ohms",
+                                     &ohms)) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0144-Audiophonics-I-Sabre-9038Q2M-DAC-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0144-Audiophonics-I-Sabre-9038Q2M-DAC-driver.patch
new file mode 100644 (file)
index 0000000..501e810
--- /dev/null
@@ -0,0 +1,695 @@
+From bae79599259f1e09e362368335febe2a3c9c019b Mon Sep 17 00:00:00 2001
+From: FERHAT Nicolas <contact@audiophonics.fr>
+Date: Fri, 5 Apr 2019 13:06:42 +0100
+Subject: [PATCH] Audiophonics I-Sabre 9038Q2M DAC driver
+
+Signed-off-by: Audiophonics <contact@audiophonics.fr>
+
+ASoC: i-sabre-q2m: use modern dai_link style
+
+Signed-off-by: Hui Wang <hui.wang@canonical.com>
+---
+ sound/soc/bcm/Kconfig            |   7 +
+ sound/soc/bcm/Makefile           |   2 +
+ sound/soc/bcm/i-sabre-q2m.c      | 158 +++++++++++++
+ sound/soc/codecs/Kconfig         |   5 +
+ sound/soc/codecs/Makefile        |   2 +
+ sound/soc/codecs/i-sabre-codec.c | 392 +++++++++++++++++++++++++++++++
+ sound/soc/codecs/i-sabre-codec.h |  42 ++++
+ 7 files changed, 608 insertions(+)
+ create mode 100644 sound/soc/bcm/i-sabre-q2m.c
+ create mode 100644 sound/soc/codecs/i-sabre-codec.c
+ create mode 100644 sound/soc/codecs/i-sabre-codec.h
+
+--- a/sound/soc/bcm/Kconfig
++++ b/sound/soc/bcm/Kconfig
+@@ -119,6 +119,13 @@ config SND_BCM2708_SOC_IQAUDIO_DIGI
+       help
+         Say Y or M if you want to add support for IQAudIO Digital IO board.
++config SND_BCM2708_SOC_I_SABRE_Q2M
++        tristate "Support for Audiophonics I-Sabre Q2M DAC"
++        depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
++        select SND_SOC_I_SABRE_CODEC
++        help
++        Say Y or M if you want to add support for Audiophonics I-SABRE Q2M DAC
++
+ config SND_BCM2708_SOC_ADAU1977_ADC
+       tristate "Support for ADAU1977 ADC"
+       depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
+--- a/sound/soc/bcm/Makefile
++++ b/sound/soc/bcm/Makefile
+@@ -19,6 +19,7 @@ snd-soc-justboom-dac-objs := justboom-da
+ snd-soc-rpi-cirrus-objs := rpi-cirrus.o
+ snd-soc-rpi-proto-objs := rpi-proto.o
+ snd-soc-iqaudio-dac-objs := iqaudio-dac.o
++ snd-soc-i-sabre-q2m-objs := i-sabre-q2m.o
+ snd-soc-audioinjector-pi-soundcard-objs := audioinjector-pi-soundcard.o
+ snd-soc-audioinjector-octo-soundcard-objs := audioinjector-octo-soundcard.o
+ snd-soc-audiosense-pi-objs := audiosense-pi.o
+@@ -41,6 +42,7 @@ obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_DA
+ obj-$(CONFIG_SND_BCM2708_SOC_RPI_CIRRUS) += snd-soc-rpi-cirrus.o
+ obj-$(CONFIG_SND_BCM2708_SOC_RPI_PROTO) += snd-soc-rpi-proto.o
+ obj-$(CONFIG_SND_BCM2708_SOC_IQAUDIO_DAC) += snd-soc-iqaudio-dac.o
++ obj-$(CONFIG_SND_BCM2708_SOC_I_SABRE_Q2M) += snd-soc-i-sabre-q2m.o
+ obj-$(CONFIG_SND_AUDIOINJECTOR_PI_SOUNDCARD) += snd-soc-audioinjector-pi-soundcard.o
+ obj-$(CONFIG_SND_AUDIOINJECTOR_OCTO_SOUNDCARD) += snd-soc-audioinjector-octo-soundcard.o
+ obj-$(CONFIG_SND_AUDIOSENSE_PI) += snd-soc-audiosense-pi.o
+--- /dev/null
++++ b/sound/soc/bcm/i-sabre-q2m.c
+@@ -0,0 +1,158 @@
++/*
++ * ASoC Driver for I-Sabre Q2M
++ *
++ * Author: Satoru Kawase
++ * Modified by: Xiao Qingyong
++ * Update kernel v4.18+ by : Audiophonics
++ *            Copyright 2018 Audiophonics
++ *
++ * This program is free software; you can redistribute it and/or
++ * modify it under the terms of the GNU General Public License
++ * version 2 as published by the Free Software Foundation.
++ *
++ * This program is distributed in the hope that it will be useful, but
++ * WITHOUT ANY WARRANTY; without even the implied warranty of
++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
++ * General Public License for more details.
++ */
++
++#include <linux/kernel.h>
++#include <linux/init.h>
++#include <linux/module.h>
++#include <linux/delay.h>
++#include <linux/fs.h>
++#include <asm/uaccess.h>
++#include <sound/core.h>
++#include <sound/soc.h>
++#include <sound/pcm.h>
++#include <sound/pcm_params.h>
++
++#include "../codecs/i-sabre-codec.h"
++
++
++static int snd_rpi_i_sabre_q2m_init(struct snd_soc_pcm_runtime *rtd)
++{
++      struct snd_soc_component *component = rtd->codec_dai->component;
++      unsigned int value;
++
++      /* Device ID */
++      value = snd_soc_component_read32(component, ISABRECODEC_REG_01);
++      dev_info(component->card->dev, "Audiophonics Device ID : %02X\n", value);
++
++      /* API revision */
++      value = snd_soc_component_read32(component, ISABRECODEC_REG_02);
++      dev_info(component->card->dev, "Audiophonics API revision : %02X\n", value);
++
++      return 0;
++}
++
++static int snd_rpi_i_sabre_q2m_hw_params(
++      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params)
++{
++      struct snd_soc_pcm_runtime *rtd     = substream->private_data;
++      struct snd_soc_dai         *cpu_dai = rtd->cpu_dai;
++      int bclk_ratio;
++
++      bclk_ratio = snd_pcm_format_physical_width(
++                      params_format(params)) * params_channels(params);
++      return snd_soc_dai_set_bclk_ratio(cpu_dai, bclk_ratio);
++}
++
++/* machine stream operations */
++static struct snd_soc_ops snd_rpi_i_sabre_q2m_ops = {
++      .hw_params = snd_rpi_i_sabre_q2m_hw_params,
++};
++
++SND_SOC_DAILINK_DEFS(rpi_i_sabre_q2m,
++      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
++      DAILINK_COMP_ARRAY(COMP_CODEC("i-sabre-codec-i2c.1-0048", "i-sabre-codec-dai")),
++      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2708-i2s.0")));
++
++static struct snd_soc_dai_link snd_rpi_i_sabre_q2m_dai[] = {
++      {
++              .name           = "I-Sabre Q2M",
++              .stream_name    = "I-Sabre Q2M DAC",
++              .dai_fmt        = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF
++                                              | SND_SOC_DAIFMT_CBS_CFS,
++              .init           = snd_rpi_i_sabre_q2m_init,
++              .ops            = &snd_rpi_i_sabre_q2m_ops,
++              SND_SOC_DAILINK_REG(rpi_i_sabre_q2m),
++      }
++};
++
++/* audio machine driver */
++static struct snd_soc_card snd_rpi_i_sabre_q2m = {
++      .name      = "I-Sabre Q2M DAC",
++      .owner     = THIS_MODULE,
++      .dai_link  = snd_rpi_i_sabre_q2m_dai,
++      .num_links = ARRAY_SIZE(snd_rpi_i_sabre_q2m_dai)
++};
++
++
++static int snd_rpi_i_sabre_q2m_probe(struct platform_device *pdev)
++{
++      int ret = 0;
++
++      snd_rpi_i_sabre_q2m.dev = &pdev->dev;
++      if (pdev->dev.of_node) {
++              struct device_node *i2s_node;
++              struct snd_soc_dai_link *dai;
++
++              dai = &snd_rpi_i_sabre_q2m_dai[0];
++              i2s_node = of_parse_phandle(pdev->dev.of_node,
++                                                      "i2s-controller", 0);
++              if (i2s_node) {
++                      dai->cpus->dai_name     = NULL;
++                      dai->cpus->of_node      = i2s_node;
++                      dai->platforms->name    = NULL;
++                      dai->platforms->of_node = i2s_node;
++              } else {
++                      dev_err(&pdev->dev,
++                          "Property 'i2s-controller' missing or invalid\n");
++                      return (-EINVAL);
++              }
++
++              dai->name        = "I-Sabre Q2M";
++              dai->stream_name = "I-Sabre Q2M DAC";
++              dai->dai_fmt     = SND_SOC_DAIFMT_I2S
++                                      | SND_SOC_DAIFMT_NB_NF
++                                      | SND_SOC_DAIFMT_CBS_CFS;
++      }
++
++      /* Wait for registering codec driver */
++      mdelay(50);
++
++      ret = snd_soc_register_card(&snd_rpi_i_sabre_q2m);
++      if (ret) {
++              dev_err(&pdev->dev,
++                      "snd_soc_register_card() failed: %d\n", ret);
++      }
++
++      return ret;
++}
++
++static int snd_rpi_i_sabre_q2m_remove(struct platform_device *pdev)
++{
++      return snd_soc_unregister_card(&snd_rpi_i_sabre_q2m);
++}
++
++static const struct of_device_id snd_rpi_i_sabre_q2m_of_match[] = {
++      { .compatible = "audiophonics,i-sabre-q2m", },
++      {}
++};
++MODULE_DEVICE_TABLE(of, snd_rpi_i_sabre_q2m_of_match);
++
++static struct platform_driver snd_rpi_i_sabre_q2m_driver = {
++      .driver = {
++              .name           = "snd-rpi-i-sabre-q2m",
++              .owner          = THIS_MODULE,
++              .of_match_table = snd_rpi_i_sabre_q2m_of_match,
++      },
++      .probe  = snd_rpi_i_sabre_q2m_probe,
++      .remove = snd_rpi_i_sabre_q2m_remove,
++};
++module_platform_driver(snd_rpi_i_sabre_q2m_driver);
++
++MODULE_DESCRIPTION("ASoC Driver for I-Sabre Q2M");
++MODULE_AUTHOR("Audiophonics <http://www.audiophonics.fr>");
++MODULE_LICENSE("GPL");
+--- a/sound/soc/codecs/Kconfig
++++ b/sound/soc/codecs/Kconfig
+@@ -97,6 +97,7 @@ config SND_SOC_ALL_CODECS
+       select SND_SOC_ICS43432
+       select SND_SOC_INNO_RK3036
+       select SND_SOC_ISABELLE if I2C
++      select SND_SOC_I_SABRE_CODEC if I2C
+       select SND_SOC_JZ4740_CODEC
+       select SND_SOC_JZ4725B_CODEC
+       select SND_SOC_LM4857 if I2C
+@@ -1497,4 +1498,8 @@ config SND_SOC_TPA6130A2
+       tristate "Texas Instruments TPA6130A2 headphone amplifier"
+       depends on I2C
++config SND_SOC_I_SABRE_CODEC
++      tristate "Audiophonics I-SABRE Codec"
++      depends on I2C
++
+ endmenu
+--- a/sound/soc/codecs/Makefile
++++ b/sound/soc/codecs/Makefile
+@@ -92,6 +92,7 @@ snd-soc-hdac-hda-objs := hdac_hda.o
+ snd-soc-ics43432-objs := ics43432.o
+ snd-soc-inno-rk3036-objs := inno_rk3036.o
+ snd-soc-isabelle-objs := isabelle.o
++snd-soc-i-sabre-codec-objs := i-sabre-codec.o
+ snd-soc-jz4740-codec-objs := jz4740.o
+ snd-soc-jz4725b-codec-objs := jz4725b.o
+ snd-soc-l3-objs := l3.o
+@@ -378,6 +379,7 @@ obj-$(CONFIG_SND_SOC_HDAC_HDA) += snd-so
+ obj-$(CONFIG_SND_SOC_ICS43432)        += snd-soc-ics43432.o
+ obj-$(CONFIG_SND_SOC_INNO_RK3036)     += snd-soc-inno-rk3036.o
+ obj-$(CONFIG_SND_SOC_ISABELLE)        += snd-soc-isabelle.o
++obj-$(CONFIG_SND_SOC_I_SABRE_CODEC)   += snd-soc-i-sabre-codec.o
+ obj-$(CONFIG_SND_SOC_JZ4740_CODEC)    += snd-soc-jz4740-codec.o
+ obj-$(CONFIG_SND_SOC_JZ4725B_CODEC)   += snd-soc-jz4725b-codec.o
+ obj-$(CONFIG_SND_SOC_L3)      += snd-soc-l3.o
+--- /dev/null
++++ b/sound/soc/codecs/i-sabre-codec.c
+@@ -0,0 +1,392 @@
++/*
++ * Driver for I-Sabre Q2M
++ *
++ * Author: Satoru Kawase
++ * Modified by: Xiao Qingyong
++ * Modified by: JC BARBAUD (Mute)
++ * Update kernel v4.18+ by : Audiophonics
++ *            Copyright 2018 Audiophonics
++ *
++ * This program is free software; you can redistribute it and/or
++ * modify it under the terms of the GNU General Public License
++ * version 2 as published by the Free Software Foundation.
++ *
++ * This program is distributed in the hope that it will be useful, but
++ * WITHOUT ANY WARRANTY; without even the implied warranty of
++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
++ * General Public License for more details.
++ */
++
++
++#include <linux/init.h>
++#include <linux/module.h>
++#include <linux/regmap.h>
++#include <linux/i2c.h>
++#include <sound/soc.h>
++#include <sound/pcm_params.h>
++#include <sound/tlv.h>
++
++#include "i-sabre-codec.h"
++
++
++/* I-Sabre Q2M Codec Private Data */
++struct i_sabre_codec_priv {
++      struct regmap *regmap;
++      unsigned int fmt;
++};
++
++
++/* I-Sabre Q2M Codec Default Register Value */
++static const struct reg_default i_sabre_codec_reg_defaults[] = {
++      { ISABRECODEC_REG_10, 0x00 },
++      { ISABRECODEC_REG_20, 0x00 },
++      { ISABRECODEC_REG_21, 0x00 },
++      { ISABRECODEC_REG_22, 0x00 },
++      { ISABRECODEC_REG_24, 0x00 },
++};
++
++
++static bool i_sabre_codec_writeable(struct device *dev, unsigned int reg)
++{
++      switch (reg) {
++      case ISABRECODEC_REG_10:
++      case ISABRECODEC_REG_20:
++      case ISABRECODEC_REG_21:
++      case ISABRECODEC_REG_22:
++      case ISABRECODEC_REG_24:
++              return true;
++
++      default:
++              return false;
++      }
++}
++
++static bool i_sabre_codec_readable(struct device *dev, unsigned int reg)
++{
++      switch (reg) {
++      case ISABRECODEC_REG_01:
++      case ISABRECODEC_REG_02:
++      case ISABRECODEC_REG_10:
++      case ISABRECODEC_REG_20:
++      case ISABRECODEC_REG_21:
++      case ISABRECODEC_REG_22:
++      case ISABRECODEC_REG_24:
++              return true;
++
++      default:
++              return false;
++      }
++}
++
++static bool i_sabre_codec_volatile(struct device *dev, unsigned int reg)
++{
++      switch (reg) {
++      case ISABRECODEC_REG_01:
++      case ISABRECODEC_REG_02:
++              return true;
++
++      default:
++              return false;
++      }
++}
++
++
++/* Volume Scale */
++static const DECLARE_TLV_DB_SCALE(volume_tlv, -10000, 100, 0);
++
++
++/* Filter Type */
++static const char * const fir_filter_type_texts[] = {
++      "brick wall",
++      "corrected minimum phase fast",
++      "minimum phase slow",
++      "minimum phase fast",
++      "linear phase slow",
++      "linear phase fast",
++      "apodizing fast",
++};
++
++static SOC_ENUM_SINGLE_DECL(i_sabre_fir_filter_type_enum,
++                              ISABRECODEC_REG_22, 0, fir_filter_type_texts);
++
++
++/* I2S / SPDIF Select */
++static const char * const iis_spdif_sel_texts[] = {
++      "I2S",
++      "SPDIF",
++};
++
++static SOC_ENUM_SINGLE_DECL(i_sabre_iis_spdif_sel_enum,
++                              ISABRECODEC_REG_24, 0, iis_spdif_sel_texts);
++
++
++/* Control */
++static const struct snd_kcontrol_new i_sabre_codec_controls[] = {
++SOC_SINGLE_RANGE_TLV("Digital Playback Volume", ISABRECODEC_REG_20, 0, 0, 100, 1, volume_tlv),
++SOC_SINGLE("Digital Playback Switch", ISABRECODEC_REG_21, 0, 1, 1),
++SOC_ENUM("FIR Filter Type", i_sabre_fir_filter_type_enum),
++SOC_ENUM("I2S/SPDIF Select", i_sabre_iis_spdif_sel_enum),
++};
++
++
++static const u32 i_sabre_codec_dai_rates_slave[] = {
++      8000, 11025, 16000, 22050, 32000,
++      44100, 48000, 64000, 88200, 96000,
++      176400, 192000, 352800, 384000,
++      705600, 768000, 1411200, 1536000
++};
++
++static const struct snd_pcm_hw_constraint_list constraints_slave = {
++      .list  = i_sabre_codec_dai_rates_slave,
++      .count = ARRAY_SIZE(i_sabre_codec_dai_rates_slave),
++};
++
++static int i_sabre_codec_dai_startup_slave(
++              struct snd_pcm_substream *substream, struct snd_soc_dai *dai)
++{
++      struct snd_soc_component *component = dai->component;
++      int ret;
++
++      ret = snd_pcm_hw_constraint_list(substream->runtime,
++                      0, SNDRV_PCM_HW_PARAM_RATE, &constraints_slave);
++      if (ret != 0) {
++              dev_err(component->card->dev, "Failed to setup rates constraints: %d\n", ret);
++      }
++
++      return ret;
++}
++
++static int i_sabre_codec_dai_startup(
++              struct snd_pcm_substream *substream, struct snd_soc_dai *dai)
++{
++      struct snd_soc_component      *component = dai->component;
++      struct i_sabre_codec_priv *i_sabre_codec
++                                      = snd_soc_component_get_drvdata(component);
++
++      switch (i_sabre_codec->fmt & SND_SOC_DAIFMT_MASTER_MASK) {
++      case SND_SOC_DAIFMT_CBS_CFS:
++              return i_sabre_codec_dai_startup_slave(substream, dai);
++
++      default:
++              return (-EINVAL);
++      }
++}
++
++static int i_sabre_codec_hw_params(
++      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params,
++      struct snd_soc_dai *dai)
++{
++      struct snd_soc_component      *component = dai->component;
++      struct i_sabre_codec_priv *i_sabre_codec
++                                      = snd_soc_component_get_drvdata(component);
++      unsigned int daifmt;
++      int format_width;
++
++      dev_dbg(component->card->dev, "hw_params %u Hz, %u channels\n",
++                      params_rate(params), params_channels(params));
++
++      /* Check I2S Format (Bit Size) */
++      format_width = snd_pcm_format_width(params_format(params));
++      if ((format_width != 32) && (format_width != 16)) {
++              dev_err(component->card->dev, "Bad frame size: %d\n",
++                              snd_pcm_format_width(params_format(params)));
++              return (-EINVAL);
++      }
++
++      /* Check Slave Mode */
++      daifmt = i_sabre_codec->fmt & SND_SOC_DAIFMT_MASTER_MASK;
++      if (daifmt != SND_SOC_DAIFMT_CBS_CFS) {
++              return (-EINVAL);
++      }
++
++      /* Notify Sampling Frequency  */
++      switch (params_rate(params))
++      {
++      case 44100:
++      case 48000:
++      case 88200:
++      case 96000:
++      case 176400:
++      case 192000:
++              snd_soc_component_update_bits(component, ISABRECODEC_REG_10, 0x01, 0x00);
++              break;
++
++      case 352800:
++      case 384000:
++      case 705600:
++      case 768000:
++      case 1411200:
++      case 1536000:
++              snd_soc_component_update_bits(component, ISABRECODEC_REG_10, 0x01, 0x01);
++              break;
++      }
++
++      return 0;
++}
++
++static int i_sabre_codec_set_fmt(struct snd_soc_dai *dai, unsigned int fmt)
++{
++      struct snd_soc_component      *component = dai->component;
++      struct i_sabre_codec_priv *i_sabre_codec
++                                      = snd_soc_component_get_drvdata(component);
++
++      /* interface format */
++      switch (fmt & SND_SOC_DAIFMT_FORMAT_MASK) {
++      case SND_SOC_DAIFMT_I2S:
++              break;
++
++      case SND_SOC_DAIFMT_RIGHT_J:
++      case SND_SOC_DAIFMT_LEFT_J:
++      default:
++              return (-EINVAL);
++      }
++
++      /* clock inversion */
++      if ((fmt & SND_SOC_DAIFMT_INV_MASK) != SND_SOC_DAIFMT_NB_NF) {
++              return (-EINVAL);
++      }
++
++      /* Set Audio Data Format */
++      i_sabre_codec->fmt = fmt;
++
++      return 0;
++}
++
++static int i_sabre_codec_dac_mute(struct snd_soc_dai *dai, int mute)
++{
++      struct snd_soc_component *component = dai->component;
++
++      if (mute) {
++              snd_soc_component_update_bits(component, ISABRECODEC_REG_21, 0x01, 0x01);
++      } else {
++              snd_soc_component_update_bits(component, ISABRECODEC_REG_21, 0x01, 0x00);
++      }
++
++      return 0;
++}
++
++
++static const struct snd_soc_dai_ops i_sabre_codec_dai_ops = {
++      .startup      = i_sabre_codec_dai_startup,
++      .hw_params    = i_sabre_codec_hw_params,
++      .set_fmt      = i_sabre_codec_set_fmt,
++      .digital_mute = i_sabre_codec_dac_mute,
++};
++
++static struct snd_soc_dai_driver i_sabre_codec_dai = {
++      .name = "i-sabre-codec-dai",
++      .playback = {
++              .stream_name  = "Playback",
++              .channels_min = 2,
++              .channels_max = 2,
++              .rates = SNDRV_PCM_RATE_CONTINUOUS,
++              .rate_min = 8000,
++              .rate_max = 1536000,
++              .formats      = SNDRV_PCM_FMTBIT_S16_LE
++                              | SNDRV_PCM_FMTBIT_S32_LE,
++      },
++      .ops = &i_sabre_codec_dai_ops,
++};
++
++static struct snd_soc_component_driver i_sabre_codec_codec_driver = {
++              .controls         = i_sabre_codec_controls,
++              .num_controls     = ARRAY_SIZE(i_sabre_codec_controls),
++};
++
++
++static const struct regmap_config i_sabre_codec_regmap = {
++      .reg_bits         = 8,
++      .val_bits         = 8,
++      .max_register     = ISABRECODEC_MAX_REG,
++
++      .reg_defaults     = i_sabre_codec_reg_defaults,
++      .num_reg_defaults = ARRAY_SIZE(i_sabre_codec_reg_defaults),
++
++      .writeable_reg    = i_sabre_codec_writeable,
++      .readable_reg     = i_sabre_codec_readable,
++      .volatile_reg     = i_sabre_codec_volatile,
++
++      .cache_type       = REGCACHE_RBTREE,
++};
++
++
++static int i_sabre_codec_probe(struct device *dev, struct regmap *regmap)
++{
++      struct i_sabre_codec_priv *i_sabre_codec;
++      int ret;
++
++      i_sabre_codec = devm_kzalloc(dev, sizeof(*i_sabre_codec), GFP_KERNEL);
++      if (!i_sabre_codec) {
++              dev_err(dev, "devm_kzalloc");
++              return (-ENOMEM);
++      }
++
++      i_sabre_codec->regmap = regmap;
++
++      dev_set_drvdata(dev, i_sabre_codec);
++
++      ret = snd_soc_register_component(dev,
++                      &i_sabre_codec_codec_driver, &i_sabre_codec_dai, 1);
++      if (ret != 0) {
++              dev_err(dev, "Failed to register CODEC: %d\n", ret);
++              return ret;
++      }
++
++      return 0;
++}
++
++static void i_sabre_codec_remove(struct device *dev)
++{
++      snd_soc_unregister_component(dev);
++}
++
++
++static int i_sabre_codec_i2c_probe(
++              struct i2c_client *i2c, const struct i2c_device_id *id)
++{
++      struct regmap *regmap;
++
++      regmap = devm_regmap_init_i2c(i2c, &i_sabre_codec_regmap);
++      if (IS_ERR(regmap)) {
++              return PTR_ERR(regmap);
++      }
++
++      return i_sabre_codec_probe(&i2c->dev, regmap);
++}
++
++static int i_sabre_codec_i2c_remove(struct i2c_client *i2c)
++{
++      i_sabre_codec_remove(&i2c->dev);
++
++      return 0;
++}
++
++
++static const struct i2c_device_id i_sabre_codec_i2c_id[] = {
++      { "i-sabre-codec", },
++      { }
++};
++MODULE_DEVICE_TABLE(i2c, i_sabre_codec_i2c_id);
++
++static const struct of_device_id i_sabre_codec_of_match[] = {
++      { .compatible = "audiophonics,i-sabre-codec", },
++      { }
++};
++MODULE_DEVICE_TABLE(of, i_sabre_codec_of_match);
++
++static struct i2c_driver i_sabre_codec_i2c_driver = {
++      .driver = {
++              .name           = "i-sabre-codec-i2c",
++              .owner          = THIS_MODULE,
++              .of_match_table = of_match_ptr(i_sabre_codec_of_match),
++      },
++      .probe    = i_sabre_codec_i2c_probe,
++      .remove   = i_sabre_codec_i2c_remove,
++      .id_table = i_sabre_codec_i2c_id,
++};
++module_i2c_driver(i_sabre_codec_i2c_driver);
++
++
++MODULE_DESCRIPTION("ASoC I-Sabre Q2M codec driver");
++MODULE_AUTHOR("Audiophonics <http://www.audiophonics.fr>");
++MODULE_LICENSE("GPL");
+--- /dev/null
++++ b/sound/soc/codecs/i-sabre-codec.h
+@@ -0,0 +1,42 @@
++/*
++ * Driver for I-Sabre Q2M
++ *
++ * Author: Satoru Kawase
++ * Modified by: Xiao Qingyong
++ *      Copyright 2018 Audiophonics
++ *
++ * This program is free software; you can redistribute it and/or
++ * modify it under the terms of the GNU General Public License
++ * version 2 as published by the Free Software Foundation.
++ *
++ * This program is distributed in the hope that it will be useful, but
++ * WITHOUT ANY WARRANTY; without even the implied warranty of
++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
++ * General Public License for more details.
++ */
++
++#ifndef _SND_SOC_ISABRECODEC
++#define _SND_SOC_ISABRECODEC
++
++
++/* ISABRECODEC Register Address */
++#define ISABRECODEC_REG_01    0x01    /* Virtual Device ID  :  0x01 = es9038q2m */
++#define ISABRECODEC_REG_02    0x02    /* API revision       :  0x01 = Revision 01 */
++#define ISABRECODEC_REG_10    0x10    /* 0x01 = above 192kHz, 0x00 = otherwise */
++#define ISABRECODEC_REG_20    0x20    /* 0 - 100 (decimal value, 0 = min., 100 = max.) */
++#define ISABRECODEC_REG_21    0x21    /* 0x00 = Mute OFF, 0x01 = Mute ON */
++#define ISABRECODEC_REG_22    0x22    
++/*
++   0x00 = brick wall,
++   0x01 = corrected minimum phase fast,
++   0x02 = minimum phase slow,
++   0x03 = minimum phase fast,
++   0x04 = linear phase slow,
++   0x05 = linear phase fast,
++   0x06 = apodizing fast,
++*/
++//#define ISABRECODEC_REG_23  0x23    /* reserved */
++#define ISABRECODEC_REG_24    0x24    /* 0x00 = I2S, 0x01 = SPDIF */
++#define ISABRECODEC_MAX_REG   0x24    /* Maximum Register Number */
++
++#endif /* _SND_SOC_ISABRECODEC */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0144-rtc-rv3028-Add-backup-switchover-mode-support.patch b/target/linux/bcm27xx/patches-5.4/950-0144-rtc-rv3028-Add-backup-switchover-mode-support.patch
deleted file mode 100644 (file)
index 375bd6e..0000000
+++ /dev/null
@@ -1,50 +0,0 @@
-From c91a8597734d13ebb5ac7393fa6f100d70f15664 Mon Sep 17 00:00:00 2001
-From: Phil Howard <phil@gadgetoid.com>
-Date: Fri, 29 Mar 2019 10:53:14 +0000
-Subject: [PATCH] rtc: rv3028: Add backup switchover mode support
-
-Signed-off-by: Phil Howard <phil@pimoroni.com>
----
- drivers/rtc/rtc-rv3028.c | 17 +++++++++++++++++
- 1 file changed, 17 insertions(+)
-
---- a/drivers/rtc/rtc-rv3028.c
-+++ b/drivers/rtc/rtc-rv3028.c
-@@ -73,6 +73,7 @@
- #define RV3028_BACKUP_TCE             BIT(5)
- #define RV3028_BACKUP_TCR_MASK                GENMASK(1,0)
-+#define RV3028_BACKUP_BSM_MASK                0x0C
- #define OFFSET_STEP_PPT                       953674
-@@ -600,6 +601,7 @@ static int rv3028_probe(struct i2c_clien
-       struct rv3028_data *rv3028;
-       int ret, status;
-       u32 ohms;
-+      u8 bsm;
-       struct nvmem_config nvmem_cfg = {
-               .name = "rv3028_nvram",
-               .word_size = 1,
-@@ -671,6 +673,21 @@ static int rv3028_probe(struct i2c_clien
-       if (ret)
-               return ret;
-+      /* setup backup switchover mode */
-+      if (!device_property_read_u8(&client->dev, "backup-switchover-mode",
-+                                   &bsm))  {
-+              if (bsm <= 3) {
-+                      ret = regmap_update_bits(rv3028->regmap, RV3028_BACKUP,
-+                              RV3028_BACKUP_BSM_MASK,
-+                              (bsm & 0x03) << 2);
-+
-+                      if (ret)
-+                              return ret;
-+              } else {
-+                      dev_warn(&client->dev, "invalid backup switchover mode value\n");
-+              }
-+      }
-+
-       /* setup trickle charger */
-       if (!device_property_read_u32(&client->dev, "trickle-resistor-ohms",
-                                     &ohms)) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0145-Audiophonics-I-Sabre-9038Q2M-DAC-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0145-Audiophonics-I-Sabre-9038Q2M-DAC-driver.patch
deleted file mode 100644 (file)
index 501e810..0000000
+++ /dev/null
@@ -1,695 +0,0 @@
-From bae79599259f1e09e362368335febe2a3c9c019b Mon Sep 17 00:00:00 2001
-From: FERHAT Nicolas <contact@audiophonics.fr>
-Date: Fri, 5 Apr 2019 13:06:42 +0100
-Subject: [PATCH] Audiophonics I-Sabre 9038Q2M DAC driver
-
-Signed-off-by: Audiophonics <contact@audiophonics.fr>
-
-ASoC: i-sabre-q2m: use modern dai_link style
-
-Signed-off-by: Hui Wang <hui.wang@canonical.com>
----
- sound/soc/bcm/Kconfig            |   7 +
- sound/soc/bcm/Makefile           |   2 +
- sound/soc/bcm/i-sabre-q2m.c      | 158 +++++++++++++
- sound/soc/codecs/Kconfig         |   5 +
- sound/soc/codecs/Makefile        |   2 +
- sound/soc/codecs/i-sabre-codec.c | 392 +++++++++++++++++++++++++++++++
- sound/soc/codecs/i-sabre-codec.h |  42 ++++
- 7 files changed, 608 insertions(+)
- create mode 100644 sound/soc/bcm/i-sabre-q2m.c
- create mode 100644 sound/soc/codecs/i-sabre-codec.c
- create mode 100644 sound/soc/codecs/i-sabre-codec.h
-
---- a/sound/soc/bcm/Kconfig
-+++ b/sound/soc/bcm/Kconfig
-@@ -119,6 +119,13 @@ config SND_BCM2708_SOC_IQAUDIO_DIGI
-       help
-         Say Y or M if you want to add support for IQAudIO Digital IO board.
-+config SND_BCM2708_SOC_I_SABRE_Q2M
-+        tristate "Support for Audiophonics I-Sabre Q2M DAC"
-+        depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
-+        select SND_SOC_I_SABRE_CODEC
-+        help
-+        Say Y or M if you want to add support for Audiophonics I-SABRE Q2M DAC
-+
- config SND_BCM2708_SOC_ADAU1977_ADC
-       tristate "Support for ADAU1977 ADC"
-       depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
---- a/sound/soc/bcm/Makefile
-+++ b/sound/soc/bcm/Makefile
-@@ -19,6 +19,7 @@ snd-soc-justboom-dac-objs := justboom-da
- snd-soc-rpi-cirrus-objs := rpi-cirrus.o
- snd-soc-rpi-proto-objs := rpi-proto.o
- snd-soc-iqaudio-dac-objs := iqaudio-dac.o
-+ snd-soc-i-sabre-q2m-objs := i-sabre-q2m.o
- snd-soc-audioinjector-pi-soundcard-objs := audioinjector-pi-soundcard.o
- snd-soc-audioinjector-octo-soundcard-objs := audioinjector-octo-soundcard.o
- snd-soc-audiosense-pi-objs := audiosense-pi.o
-@@ -41,6 +42,7 @@ obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_DA
- obj-$(CONFIG_SND_BCM2708_SOC_RPI_CIRRUS) += snd-soc-rpi-cirrus.o
- obj-$(CONFIG_SND_BCM2708_SOC_RPI_PROTO) += snd-soc-rpi-proto.o
- obj-$(CONFIG_SND_BCM2708_SOC_IQAUDIO_DAC) += snd-soc-iqaudio-dac.o
-+ obj-$(CONFIG_SND_BCM2708_SOC_I_SABRE_Q2M) += snd-soc-i-sabre-q2m.o
- obj-$(CONFIG_SND_AUDIOINJECTOR_PI_SOUNDCARD) += snd-soc-audioinjector-pi-soundcard.o
- obj-$(CONFIG_SND_AUDIOINJECTOR_OCTO_SOUNDCARD) += snd-soc-audioinjector-octo-soundcard.o
- obj-$(CONFIG_SND_AUDIOSENSE_PI) += snd-soc-audiosense-pi.o
---- /dev/null
-+++ b/sound/soc/bcm/i-sabre-q2m.c
-@@ -0,0 +1,158 @@
-+/*
-+ * ASoC Driver for I-Sabre Q2M
-+ *
-+ * Author: Satoru Kawase
-+ * Modified by: Xiao Qingyong
-+ * Update kernel v4.18+ by : Audiophonics
-+ *            Copyright 2018 Audiophonics
-+ *
-+ * This program is free software; you can redistribute it and/or
-+ * modify it under the terms of the GNU General Public License
-+ * version 2 as published by the Free Software Foundation.
-+ *
-+ * This program is distributed in the hope that it will be useful, but
-+ * WITHOUT ANY WARRANTY; without even the implied warranty of
-+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
-+ * General Public License for more details.
-+ */
-+
-+#include <linux/kernel.h>
-+#include <linux/init.h>
-+#include <linux/module.h>
-+#include <linux/delay.h>
-+#include <linux/fs.h>
-+#include <asm/uaccess.h>
-+#include <sound/core.h>
-+#include <sound/soc.h>
-+#include <sound/pcm.h>
-+#include <sound/pcm_params.h>
-+
-+#include "../codecs/i-sabre-codec.h"
-+
-+
-+static int snd_rpi_i_sabre_q2m_init(struct snd_soc_pcm_runtime *rtd)
-+{
-+      struct snd_soc_component *component = rtd->codec_dai->component;
-+      unsigned int value;
-+
-+      /* Device ID */
-+      value = snd_soc_component_read32(component, ISABRECODEC_REG_01);
-+      dev_info(component->card->dev, "Audiophonics Device ID : %02X\n", value);
-+
-+      /* API revision */
-+      value = snd_soc_component_read32(component, ISABRECODEC_REG_02);
-+      dev_info(component->card->dev, "Audiophonics API revision : %02X\n", value);
-+
-+      return 0;
-+}
-+
-+static int snd_rpi_i_sabre_q2m_hw_params(
-+      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params)
-+{
-+      struct snd_soc_pcm_runtime *rtd     = substream->private_data;
-+      struct snd_soc_dai         *cpu_dai = rtd->cpu_dai;
-+      int bclk_ratio;
-+
-+      bclk_ratio = snd_pcm_format_physical_width(
-+                      params_format(params)) * params_channels(params);
-+      return snd_soc_dai_set_bclk_ratio(cpu_dai, bclk_ratio);
-+}
-+
-+/* machine stream operations */
-+static struct snd_soc_ops snd_rpi_i_sabre_q2m_ops = {
-+      .hw_params = snd_rpi_i_sabre_q2m_hw_params,
-+};
-+
-+SND_SOC_DAILINK_DEFS(rpi_i_sabre_q2m,
-+      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
-+      DAILINK_COMP_ARRAY(COMP_CODEC("i-sabre-codec-i2c.1-0048", "i-sabre-codec-dai")),
-+      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2708-i2s.0")));
-+
-+static struct snd_soc_dai_link snd_rpi_i_sabre_q2m_dai[] = {
-+      {
-+              .name           = "I-Sabre Q2M",
-+              .stream_name    = "I-Sabre Q2M DAC",
-+              .dai_fmt        = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF
-+                                              | SND_SOC_DAIFMT_CBS_CFS,
-+              .init           = snd_rpi_i_sabre_q2m_init,
-+              .ops            = &snd_rpi_i_sabre_q2m_ops,
-+              SND_SOC_DAILINK_REG(rpi_i_sabre_q2m),
-+      }
-+};
-+
-+/* audio machine driver */
-+static struct snd_soc_card snd_rpi_i_sabre_q2m = {
-+      .name      = "I-Sabre Q2M DAC",
-+      .owner     = THIS_MODULE,
-+      .dai_link  = snd_rpi_i_sabre_q2m_dai,
-+      .num_links = ARRAY_SIZE(snd_rpi_i_sabre_q2m_dai)
-+};
-+
-+
-+static int snd_rpi_i_sabre_q2m_probe(struct platform_device *pdev)
-+{
-+      int ret = 0;
-+
-+      snd_rpi_i_sabre_q2m.dev = &pdev->dev;
-+      if (pdev->dev.of_node) {
-+              struct device_node *i2s_node;
-+              struct snd_soc_dai_link *dai;
-+
-+              dai = &snd_rpi_i_sabre_q2m_dai[0];
-+              i2s_node = of_parse_phandle(pdev->dev.of_node,
-+                                                      "i2s-controller", 0);
-+              if (i2s_node) {
-+                      dai->cpus->dai_name     = NULL;
-+                      dai->cpus->of_node      = i2s_node;
-+                      dai->platforms->name    = NULL;
-+                      dai->platforms->of_node = i2s_node;
-+              } else {
-+                      dev_err(&pdev->dev,
-+                          "Property 'i2s-controller' missing or invalid\n");
-+                      return (-EINVAL);
-+              }
-+
-+              dai->name        = "I-Sabre Q2M";
-+              dai->stream_name = "I-Sabre Q2M DAC";
-+              dai->dai_fmt     = SND_SOC_DAIFMT_I2S
-+                                      | SND_SOC_DAIFMT_NB_NF
-+                                      | SND_SOC_DAIFMT_CBS_CFS;
-+      }
-+
-+      /* Wait for registering codec driver */
-+      mdelay(50);
-+
-+      ret = snd_soc_register_card(&snd_rpi_i_sabre_q2m);
-+      if (ret) {
-+              dev_err(&pdev->dev,
-+                      "snd_soc_register_card() failed: %d\n", ret);
-+      }
-+
-+      return ret;
-+}
-+
-+static int snd_rpi_i_sabre_q2m_remove(struct platform_device *pdev)
-+{
-+      return snd_soc_unregister_card(&snd_rpi_i_sabre_q2m);
-+}
-+
-+static const struct of_device_id snd_rpi_i_sabre_q2m_of_match[] = {
-+      { .compatible = "audiophonics,i-sabre-q2m", },
-+      {}
-+};
-+MODULE_DEVICE_TABLE(of, snd_rpi_i_sabre_q2m_of_match);
-+
-+static struct platform_driver snd_rpi_i_sabre_q2m_driver = {
-+      .driver = {
-+              .name           = "snd-rpi-i-sabre-q2m",
-+              .owner          = THIS_MODULE,
-+              .of_match_table = snd_rpi_i_sabre_q2m_of_match,
-+      },
-+      .probe  = snd_rpi_i_sabre_q2m_probe,
-+      .remove = snd_rpi_i_sabre_q2m_remove,
-+};
-+module_platform_driver(snd_rpi_i_sabre_q2m_driver);
-+
-+MODULE_DESCRIPTION("ASoC Driver for I-Sabre Q2M");
-+MODULE_AUTHOR("Audiophonics <http://www.audiophonics.fr>");
-+MODULE_LICENSE("GPL");
---- a/sound/soc/codecs/Kconfig
-+++ b/sound/soc/codecs/Kconfig
-@@ -97,6 +97,7 @@ config SND_SOC_ALL_CODECS
-       select SND_SOC_ICS43432
-       select SND_SOC_INNO_RK3036
-       select SND_SOC_ISABELLE if I2C
-+      select SND_SOC_I_SABRE_CODEC if I2C
-       select SND_SOC_JZ4740_CODEC
-       select SND_SOC_JZ4725B_CODEC
-       select SND_SOC_LM4857 if I2C
-@@ -1497,4 +1498,8 @@ config SND_SOC_TPA6130A2
-       tristate "Texas Instruments TPA6130A2 headphone amplifier"
-       depends on I2C
-+config SND_SOC_I_SABRE_CODEC
-+      tristate "Audiophonics I-SABRE Codec"
-+      depends on I2C
-+
- endmenu
---- a/sound/soc/codecs/Makefile
-+++ b/sound/soc/codecs/Makefile
-@@ -92,6 +92,7 @@ snd-soc-hdac-hda-objs := hdac_hda.o
- snd-soc-ics43432-objs := ics43432.o
- snd-soc-inno-rk3036-objs := inno_rk3036.o
- snd-soc-isabelle-objs := isabelle.o
-+snd-soc-i-sabre-codec-objs := i-sabre-codec.o
- snd-soc-jz4740-codec-objs := jz4740.o
- snd-soc-jz4725b-codec-objs := jz4725b.o
- snd-soc-l3-objs := l3.o
-@@ -378,6 +379,7 @@ obj-$(CONFIG_SND_SOC_HDAC_HDA) += snd-so
- obj-$(CONFIG_SND_SOC_ICS43432)        += snd-soc-ics43432.o
- obj-$(CONFIG_SND_SOC_INNO_RK3036)     += snd-soc-inno-rk3036.o
- obj-$(CONFIG_SND_SOC_ISABELLE)        += snd-soc-isabelle.o
-+obj-$(CONFIG_SND_SOC_I_SABRE_CODEC)   += snd-soc-i-sabre-codec.o
- obj-$(CONFIG_SND_SOC_JZ4740_CODEC)    += snd-soc-jz4740-codec.o
- obj-$(CONFIG_SND_SOC_JZ4725B_CODEC)   += snd-soc-jz4725b-codec.o
- obj-$(CONFIG_SND_SOC_L3)      += snd-soc-l3.o
---- /dev/null
-+++ b/sound/soc/codecs/i-sabre-codec.c
-@@ -0,0 +1,392 @@
-+/*
-+ * Driver for I-Sabre Q2M
-+ *
-+ * Author: Satoru Kawase
-+ * Modified by: Xiao Qingyong
-+ * Modified by: JC BARBAUD (Mute)
-+ * Update kernel v4.18+ by : Audiophonics
-+ *            Copyright 2018 Audiophonics
-+ *
-+ * This program is free software; you can redistribute it and/or
-+ * modify it under the terms of the GNU General Public License
-+ * version 2 as published by the Free Software Foundation.
-+ *
-+ * This program is distributed in the hope that it will be useful, but
-+ * WITHOUT ANY WARRANTY; without even the implied warranty of
-+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
-+ * General Public License for more details.
-+ */
-+
-+
-+#include <linux/init.h>
-+#include <linux/module.h>
-+#include <linux/regmap.h>
-+#include <linux/i2c.h>
-+#include <sound/soc.h>
-+#include <sound/pcm_params.h>
-+#include <sound/tlv.h>
-+
-+#include "i-sabre-codec.h"
-+
-+
-+/* I-Sabre Q2M Codec Private Data */
-+struct i_sabre_codec_priv {
-+      struct regmap *regmap;
-+      unsigned int fmt;
-+};
-+
-+
-+/* I-Sabre Q2M Codec Default Register Value */
-+static const struct reg_default i_sabre_codec_reg_defaults[] = {
-+      { ISABRECODEC_REG_10, 0x00 },
-+      { ISABRECODEC_REG_20, 0x00 },
-+      { ISABRECODEC_REG_21, 0x00 },
-+      { ISABRECODEC_REG_22, 0x00 },
-+      { ISABRECODEC_REG_24, 0x00 },
-+};
-+
-+
-+static bool i_sabre_codec_writeable(struct device *dev, unsigned int reg)
-+{
-+      switch (reg) {
-+      case ISABRECODEC_REG_10:
-+      case ISABRECODEC_REG_20:
-+      case ISABRECODEC_REG_21:
-+      case ISABRECODEC_REG_22:
-+      case ISABRECODEC_REG_24:
-+              return true;
-+
-+      default:
-+              return false;
-+      }
-+}
-+
-+static bool i_sabre_codec_readable(struct device *dev, unsigned int reg)
-+{
-+      switch (reg) {
-+      case ISABRECODEC_REG_01:
-+      case ISABRECODEC_REG_02:
-+      case ISABRECODEC_REG_10:
-+      case ISABRECODEC_REG_20:
-+      case ISABRECODEC_REG_21:
-+      case ISABRECODEC_REG_22:
-+      case ISABRECODEC_REG_24:
-+              return true;
-+
-+      default:
-+              return false;
-+      }
-+}
-+
-+static bool i_sabre_codec_volatile(struct device *dev, unsigned int reg)
-+{
-+      switch (reg) {
-+      case ISABRECODEC_REG_01:
-+      case ISABRECODEC_REG_02:
-+              return true;
-+
-+      default:
-+              return false;
-+      }
-+}
-+
-+
-+/* Volume Scale */
-+static const DECLARE_TLV_DB_SCALE(volume_tlv, -10000, 100, 0);
-+
-+
-+/* Filter Type */
-+static const char * const fir_filter_type_texts[] = {
-+      "brick wall",
-+      "corrected minimum phase fast",
-+      "minimum phase slow",
-+      "minimum phase fast",
-+      "linear phase slow",
-+      "linear phase fast",
-+      "apodizing fast",
-+};
-+
-+static SOC_ENUM_SINGLE_DECL(i_sabre_fir_filter_type_enum,
-+                              ISABRECODEC_REG_22, 0, fir_filter_type_texts);
-+
-+
-+/* I2S / SPDIF Select */
-+static const char * const iis_spdif_sel_texts[] = {
-+      "I2S",
-+      "SPDIF",
-+};
-+
-+static SOC_ENUM_SINGLE_DECL(i_sabre_iis_spdif_sel_enum,
-+                              ISABRECODEC_REG_24, 0, iis_spdif_sel_texts);
-+
-+
-+/* Control */
-+static const struct snd_kcontrol_new i_sabre_codec_controls[] = {
-+SOC_SINGLE_RANGE_TLV("Digital Playback Volume", ISABRECODEC_REG_20, 0, 0, 100, 1, volume_tlv),
-+SOC_SINGLE("Digital Playback Switch", ISABRECODEC_REG_21, 0, 1, 1),
-+SOC_ENUM("FIR Filter Type", i_sabre_fir_filter_type_enum),
-+SOC_ENUM("I2S/SPDIF Select", i_sabre_iis_spdif_sel_enum),
-+};
-+
-+
-+static const u32 i_sabre_codec_dai_rates_slave[] = {
-+      8000, 11025, 16000, 22050, 32000,
-+      44100, 48000, 64000, 88200, 96000,
-+      176400, 192000, 352800, 384000,
-+      705600, 768000, 1411200, 1536000
-+};
-+
-+static const struct snd_pcm_hw_constraint_list constraints_slave = {
-+      .list  = i_sabre_codec_dai_rates_slave,
-+      .count = ARRAY_SIZE(i_sabre_codec_dai_rates_slave),
-+};
-+
-+static int i_sabre_codec_dai_startup_slave(
-+              struct snd_pcm_substream *substream, struct snd_soc_dai *dai)
-+{
-+      struct snd_soc_component *component = dai->component;
-+      int ret;
-+
-+      ret = snd_pcm_hw_constraint_list(substream->runtime,
-+                      0, SNDRV_PCM_HW_PARAM_RATE, &constraints_slave);
-+      if (ret != 0) {
-+              dev_err(component->card->dev, "Failed to setup rates constraints: %d\n", ret);
-+      }
-+
-+      return ret;
-+}
-+
-+static int i_sabre_codec_dai_startup(
-+              struct snd_pcm_substream *substream, struct snd_soc_dai *dai)
-+{
-+      struct snd_soc_component      *component = dai->component;
-+      struct i_sabre_codec_priv *i_sabre_codec
-+                                      = snd_soc_component_get_drvdata(component);
-+
-+      switch (i_sabre_codec->fmt & SND_SOC_DAIFMT_MASTER_MASK) {
-+      case SND_SOC_DAIFMT_CBS_CFS:
-+              return i_sabre_codec_dai_startup_slave(substream, dai);
-+
-+      default:
-+              return (-EINVAL);
-+      }
-+}
-+
-+static int i_sabre_codec_hw_params(
-+      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params,
-+      struct snd_soc_dai *dai)
-+{
-+      struct snd_soc_component      *component = dai->component;
-+      struct i_sabre_codec_priv *i_sabre_codec
-+                                      = snd_soc_component_get_drvdata(component);
-+      unsigned int daifmt;
-+      int format_width;
-+
-+      dev_dbg(component->card->dev, "hw_params %u Hz, %u channels\n",
-+                      params_rate(params), params_channels(params));
-+
-+      /* Check I2S Format (Bit Size) */
-+      format_width = snd_pcm_format_width(params_format(params));
-+      if ((format_width != 32) && (format_width != 16)) {
-+              dev_err(component->card->dev, "Bad frame size: %d\n",
-+                              snd_pcm_format_width(params_format(params)));
-+              return (-EINVAL);
-+      }
-+
-+      /* Check Slave Mode */
-+      daifmt = i_sabre_codec->fmt & SND_SOC_DAIFMT_MASTER_MASK;
-+      if (daifmt != SND_SOC_DAIFMT_CBS_CFS) {
-+              return (-EINVAL);
-+      }
-+
-+      /* Notify Sampling Frequency  */
-+      switch (params_rate(params))
-+      {
-+      case 44100:
-+      case 48000:
-+      case 88200:
-+      case 96000:
-+      case 176400:
-+      case 192000:
-+              snd_soc_component_update_bits(component, ISABRECODEC_REG_10, 0x01, 0x00);
-+              break;
-+
-+      case 352800:
-+      case 384000:
-+      case 705600:
-+      case 768000:
-+      case 1411200:
-+      case 1536000:
-+              snd_soc_component_update_bits(component, ISABRECODEC_REG_10, 0x01, 0x01);
-+              break;
-+      }
-+
-+      return 0;
-+}
-+
-+static int i_sabre_codec_set_fmt(struct snd_soc_dai *dai, unsigned int fmt)
-+{
-+      struct snd_soc_component      *component = dai->component;
-+      struct i_sabre_codec_priv *i_sabre_codec
-+                                      = snd_soc_component_get_drvdata(component);
-+
-+      /* interface format */
-+      switch (fmt & SND_SOC_DAIFMT_FORMAT_MASK) {
-+      case SND_SOC_DAIFMT_I2S:
-+              break;
-+
-+      case SND_SOC_DAIFMT_RIGHT_J:
-+      case SND_SOC_DAIFMT_LEFT_J:
-+      default:
-+              return (-EINVAL);
-+      }
-+
-+      /* clock inversion */
-+      if ((fmt & SND_SOC_DAIFMT_INV_MASK) != SND_SOC_DAIFMT_NB_NF) {
-+              return (-EINVAL);
-+      }
-+
-+      /* Set Audio Data Format */
-+      i_sabre_codec->fmt = fmt;
-+
-+      return 0;
-+}
-+
-+static int i_sabre_codec_dac_mute(struct snd_soc_dai *dai, int mute)
-+{
-+      struct snd_soc_component *component = dai->component;
-+
-+      if (mute) {
-+              snd_soc_component_update_bits(component, ISABRECODEC_REG_21, 0x01, 0x01);
-+      } else {
-+              snd_soc_component_update_bits(component, ISABRECODEC_REG_21, 0x01, 0x00);
-+      }
-+
-+      return 0;
-+}
-+
-+
-+static const struct snd_soc_dai_ops i_sabre_codec_dai_ops = {
-+      .startup      = i_sabre_codec_dai_startup,
-+      .hw_params    = i_sabre_codec_hw_params,
-+      .set_fmt      = i_sabre_codec_set_fmt,
-+      .digital_mute = i_sabre_codec_dac_mute,
-+};
-+
-+static struct snd_soc_dai_driver i_sabre_codec_dai = {
-+      .name = "i-sabre-codec-dai",
-+      .playback = {
-+              .stream_name  = "Playback",
-+              .channels_min = 2,
-+              .channels_max = 2,
-+              .rates = SNDRV_PCM_RATE_CONTINUOUS,
-+              .rate_min = 8000,
-+              .rate_max = 1536000,
-+              .formats      = SNDRV_PCM_FMTBIT_S16_LE
-+                              | SNDRV_PCM_FMTBIT_S32_LE,
-+      },
-+      .ops = &i_sabre_codec_dai_ops,
-+};
-+
-+static struct snd_soc_component_driver i_sabre_codec_codec_driver = {
-+              .controls         = i_sabre_codec_controls,
-+              .num_controls     = ARRAY_SIZE(i_sabre_codec_controls),
-+};
-+
-+
-+static const struct regmap_config i_sabre_codec_regmap = {
-+      .reg_bits         = 8,
-+      .val_bits         = 8,
-+      .max_register     = ISABRECODEC_MAX_REG,
-+
-+      .reg_defaults     = i_sabre_codec_reg_defaults,
-+      .num_reg_defaults = ARRAY_SIZE(i_sabre_codec_reg_defaults),
-+
-+      .writeable_reg    = i_sabre_codec_writeable,
-+      .readable_reg     = i_sabre_codec_readable,
-+      .volatile_reg     = i_sabre_codec_volatile,
-+
-+      .cache_type       = REGCACHE_RBTREE,
-+};
-+
-+
-+static int i_sabre_codec_probe(struct device *dev, struct regmap *regmap)
-+{
-+      struct i_sabre_codec_priv *i_sabre_codec;
-+      int ret;
-+
-+      i_sabre_codec = devm_kzalloc(dev, sizeof(*i_sabre_codec), GFP_KERNEL);
-+      if (!i_sabre_codec) {
-+              dev_err(dev, "devm_kzalloc");
-+              return (-ENOMEM);
-+      }
-+
-+      i_sabre_codec->regmap = regmap;
-+
-+      dev_set_drvdata(dev, i_sabre_codec);
-+
-+      ret = snd_soc_register_component(dev,
-+                      &i_sabre_codec_codec_driver, &i_sabre_codec_dai, 1);
-+      if (ret != 0) {
-+              dev_err(dev, "Failed to register CODEC: %d\n", ret);
-+              return ret;
-+      }
-+
-+      return 0;
-+}
-+
-+static void i_sabre_codec_remove(struct device *dev)
-+{
-+      snd_soc_unregister_component(dev);
-+}
-+
-+
-+static int i_sabre_codec_i2c_probe(
-+              struct i2c_client *i2c, const struct i2c_device_id *id)
-+{
-+      struct regmap *regmap;
-+
-+      regmap = devm_regmap_init_i2c(i2c, &i_sabre_codec_regmap);
-+      if (IS_ERR(regmap)) {
-+              return PTR_ERR(regmap);
-+      }
-+
-+      return i_sabre_codec_probe(&i2c->dev, regmap);
-+}
-+
-+static int i_sabre_codec_i2c_remove(struct i2c_client *i2c)
-+{
-+      i_sabre_codec_remove(&i2c->dev);
-+
-+      return 0;
-+}
-+
-+
-+static const struct i2c_device_id i_sabre_codec_i2c_id[] = {
-+      { "i-sabre-codec", },
-+      { }
-+};
-+MODULE_DEVICE_TABLE(i2c, i_sabre_codec_i2c_id);
-+
-+static const struct of_device_id i_sabre_codec_of_match[] = {
-+      { .compatible = "audiophonics,i-sabre-codec", },
-+      { }
-+};
-+MODULE_DEVICE_TABLE(of, i_sabre_codec_of_match);
-+
-+static struct i2c_driver i_sabre_codec_i2c_driver = {
-+      .driver = {
-+              .name           = "i-sabre-codec-i2c",
-+              .owner          = THIS_MODULE,
-+              .of_match_table = of_match_ptr(i_sabre_codec_of_match),
-+      },
-+      .probe    = i_sabre_codec_i2c_probe,
-+      .remove   = i_sabre_codec_i2c_remove,
-+      .id_table = i_sabre_codec_i2c_id,
-+};
-+module_i2c_driver(i_sabre_codec_i2c_driver);
-+
-+
-+MODULE_DESCRIPTION("ASoC I-Sabre Q2M codec driver");
-+MODULE_AUTHOR("Audiophonics <http://www.audiophonics.fr>");
-+MODULE_LICENSE("GPL");
---- /dev/null
-+++ b/sound/soc/codecs/i-sabre-codec.h
-@@ -0,0 +1,42 @@
-+/*
-+ * Driver for I-Sabre Q2M
-+ *
-+ * Author: Satoru Kawase
-+ * Modified by: Xiao Qingyong
-+ *      Copyright 2018 Audiophonics
-+ *
-+ * This program is free software; you can redistribute it and/or
-+ * modify it under the terms of the GNU General Public License
-+ * version 2 as published by the Free Software Foundation.
-+ *
-+ * This program is distributed in the hope that it will be useful, but
-+ * WITHOUT ANY WARRANTY; without even the implied warranty of
-+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
-+ * General Public License for more details.
-+ */
-+
-+#ifndef _SND_SOC_ISABRECODEC
-+#define _SND_SOC_ISABRECODEC
-+
-+
-+/* ISABRECODEC Register Address */
-+#define ISABRECODEC_REG_01    0x01    /* Virtual Device ID  :  0x01 = es9038q2m */
-+#define ISABRECODEC_REG_02    0x02    /* API revision       :  0x01 = Revision 01 */
-+#define ISABRECODEC_REG_10    0x10    /* 0x01 = above 192kHz, 0x00 = otherwise */
-+#define ISABRECODEC_REG_20    0x20    /* 0 - 100 (decimal value, 0 = min., 100 = max.) */
-+#define ISABRECODEC_REG_21    0x21    /* 0x00 = Mute OFF, 0x01 = Mute ON */
-+#define ISABRECODEC_REG_22    0x22    
-+/*
-+   0x00 = brick wall,
-+   0x01 = corrected minimum phase fast,
-+   0x02 = minimum phase slow,
-+   0x03 = minimum phase fast,
-+   0x04 = linear phase slow,
-+   0x05 = linear phase fast,
-+   0x06 = apodizing fast,
-+*/
-+//#define ISABRECODEC_REG_23  0x23    /* reserved */
-+#define ISABRECODEC_REG_24    0x24    /* 0x00 = I2S, 0x01 = SPDIF */
-+#define ISABRECODEC_MAX_REG   0x24    /* Maximum Register Number */
-+
-+#endif /* _SND_SOC_ISABRECODEC */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0145-lan78xx-use-default-alignment-for-rx-buffers.patch b/target/linux/bcm27xx/patches-5.4/950-0145-lan78xx-use-default-alignment-for-rx-buffers.patch
new file mode 100644 (file)
index 0000000..1c2daad
--- /dev/null
@@ -0,0 +1,23 @@
+From c4fb99ee7aac2b979f729d4641d0e22fb5c08cc1 Mon Sep 17 00:00:00 2001
+From: P33M <p33m@github.com>
+Date: Thu, 2 May 2019 11:53:45 +0100
+Subject: [PATCH] lan78xx: use default alignment for rx buffers
+
+The lan78xx uses a 12-byte hardware rx header, so there is no need
+to allocate SKBs with NET_IP_ALIGN set. Removes alignment faults
+in both dwc_otg and in ipv6 processing.
+---
+ drivers/net/usb/lan78xx.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/net/usb/lan78xx.c
++++ b/drivers/net/usb/lan78xx.c
+@@ -3169,7 +3169,7 @@ static int rx_submit(struct lan78xx_net
+       size_t size = dev->rx_urb_size;
+       int ret = 0;
+-      skb = netdev_alloc_skb_ip_align(dev->net, size);
++      skb = netdev_alloc_skb(dev->net, size);
+       if (!skb) {
+               usb_free_urb(urb);
+               return -ENOMEM;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0146-Added-IQaudIO-Pi-Codec-board-support-2969.patch b/target/linux/bcm27xx/patches-5.4/950-0146-Added-IQaudIO-Pi-Codec-board-support-2969.patch
new file mode 100644 (file)
index 0000000..2e4039c
--- /dev/null
@@ -0,0 +1,332 @@
+From 1f912d3042032514c33736723300b3ed618ddd08 Mon Sep 17 00:00:00 2001
+From: IQaudIO <gordon@iqaudio.com>
+Date: Mon, 13 May 2019 21:53:05 +0100
+Subject: [PATCH] Added IQaudIO Pi-Codec board support (#2969)
+
+Add support for the IQaudIO Pi-Codec board.
+
+Signed-off-by: Gordon <gordon@iqaudio.com>
+
+Fixed 48k timing issue
+
+ASoC: iqaudio-codec: use modern dai_link style
+
+Signed-off-by: Hui Wang <hui.wang@canonical.com>
+---
+ sound/soc/bcm/Kconfig         |   7 +
+ sound/soc/bcm/Makefile        |   2 +
+ sound/soc/bcm/iqaudio-codec.c | 274 ++++++++++++++++++++++++++++++++++
+ 3 files changed, 283 insertions(+)
+ create mode 100644 sound/soc/bcm/iqaudio-codec.c
+
+--- a/sound/soc/bcm/Kconfig
++++ b/sound/soc/bcm/Kconfig
+@@ -104,6 +104,13 @@ config SND_BCM2708_SOC_JUSTBOOM_DIGI
+       help
+         Say Y or M if you want to add support for JustBoom Digi.
++config SND_BCM2708_SOC_IQAUDIO_CODEC
++      tristate "Support for IQaudIO-CODEC"
++      depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
++      select SND_SOC_DA7213
++      help
++        Say Y or M if you want to add support for IQaudIO-CODEC.
++
+ config SND_BCM2708_SOC_IQAUDIO_DAC
+       tristate "Support for IQaudIO-DAC"
+       depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
+--- a/sound/soc/bcm/Makefile
++++ b/sound/soc/bcm/Makefile
+@@ -18,6 +18,7 @@ snd-soc-hifiberry-dacplusadc-objs := hif
+ snd-soc-justboom-dac-objs := justboom-dac.o
+ snd-soc-rpi-cirrus-objs := rpi-cirrus.o
+ snd-soc-rpi-proto-objs := rpi-proto.o
++snd-soc-iqaudio-codec-objs := iqaudio-codec.o
+ snd-soc-iqaudio-dac-objs := iqaudio-dac.o
+  snd-soc-i-sabre-q2m-objs := i-sabre-q2m.o
+ snd-soc-audioinjector-pi-soundcard-objs := audioinjector-pi-soundcard.o
+@@ -41,6 +42,7 @@ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_D
+ obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_DAC) += snd-soc-justboom-dac.o
+ obj-$(CONFIG_SND_BCM2708_SOC_RPI_CIRRUS) += snd-soc-rpi-cirrus.o
+ obj-$(CONFIG_SND_BCM2708_SOC_RPI_PROTO) += snd-soc-rpi-proto.o
++obj-$(CONFIG_SND_BCM2708_SOC_IQAUDIO_CODEC) += snd-soc-iqaudio-codec.o
+ obj-$(CONFIG_SND_BCM2708_SOC_IQAUDIO_DAC) += snd-soc-iqaudio-dac.o
+  obj-$(CONFIG_SND_BCM2708_SOC_I_SABRE_Q2M) += snd-soc-i-sabre-q2m.o
+ obj-$(CONFIG_SND_AUDIOINJECTOR_PI_SOUNDCARD) += snd-soc-audioinjector-pi-soundcard.o
+--- /dev/null
++++ b/sound/soc/bcm/iqaudio-codec.c
+@@ -0,0 +1,274 @@
++/*
++ * ASoC Driver for IQaudIO Raspberry Pi Codec board
++ *
++ * Author:    Gordon Garrity <gordon@iqaudio.com>
++ *            (C) Copyright IQaudio Limited, 2017-2019
++ *
++ * This program is free software; you can redistribute it and/or
++ * modify it under the terms of the GNU General Public License
++ * version 2 as published by the Free Software Foundation.
++ *
++ * This program is distributed in the hope that it will be useful, but
++ * WITHOUT ANY WARRANTY; without even the implied warranty of
++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
++ * General Public License for more details.
++ */
++
++#include <linux/module.h>
++#include <linux/gpio/consumer.h>
++#include <linux/platform_device.h>
++
++#include <sound/core.h>
++#include <sound/pcm.h>
++#include <sound/pcm_params.h>
++#include <sound/soc.h>
++#include <sound/jack.h>
++
++#include <linux/acpi.h>
++#include <linux/slab.h>
++#include "../codecs/da7213.h"
++
++static int pll_out = DA7213_PLL_FREQ_OUT_90316800;
++
++static int snd_rpi_iqaudio_pll_control(struct snd_soc_dapm_widget *w,
++                                     struct snd_kcontrol *k, int  event)
++{
++      int ret = 0;
++      struct snd_soc_dapm_context *dapm = w->dapm;
++      struct snd_soc_card *card = dapm->card;
++      struct snd_soc_pcm_runtime *rtd =
++              snd_soc_get_pcm_runtime(card, card->dai_link[0].name);
++      struct snd_soc_dai *codec_dai = rtd->codec_dai;
++
++      if (SND_SOC_DAPM_EVENT_OFF(event)) {
++              ret = snd_soc_dai_set_pll(codec_dai, 0, DA7213_SYSCLK_MCLK, 0,
++                                        0);
++              if (ret)
++                      dev_err(card->dev, "Failed to bypass PLL: %d\n", ret);
++              /* Allow PLL time to bypass */
++              msleep(100);
++      } else if (SND_SOC_DAPM_EVENT_ON(event)) {
++              ret = snd_soc_dai_set_pll(codec_dai, 0, DA7213_SYSCLK_PLL, 0,
++                                        pll_out);
++              if (ret)
++                      dev_err(card->dev, "Failed to enable PLL: %d\n", ret);
++              /* Allow PLL time to lock */
++              msleep(100);
++      }
++
++      return ret;
++}
++
++static int snd_rpi_iqaudio_post_dapm_event(struct snd_soc_dapm_widget *w,
++                              struct snd_kcontrol *kcontrol,
++                              int event)
++{
++     switch (event) {
++     case SND_SOC_DAPM_POST_PMU:
++           /* Delay for mic bias ramp */
++           msleep(1000);
++           break;
++     default:
++           break;
++     }
++
++     return 0;
++}
++
++static const struct snd_kcontrol_new dapm_controls[] = {
++      SOC_DAPM_PIN_SWITCH("HP Jack"),
++      SOC_DAPM_PIN_SWITCH("MIC Jack"),
++      SOC_DAPM_PIN_SWITCH("Onboard MIC"),
++      SOC_DAPM_PIN_SWITCH("AUX Jack"),
++};
++
++static const struct snd_soc_dapm_widget dapm_widgets[] = {
++      SND_SOC_DAPM_HP("HP Jack", NULL),
++      SND_SOC_DAPM_MIC("MIC Jack", NULL),
++      SND_SOC_DAPM_MIC("Onboard MIC", NULL),
++      SND_SOC_DAPM_LINE("AUX Jack", NULL),
++      SND_SOC_DAPM_SUPPLY("PLL Control", SND_SOC_NOPM, 0, 0,
++                          snd_rpi_iqaudio_pll_control,
++                          SND_SOC_DAPM_PRE_PMU | SND_SOC_DAPM_POST_PMD),
++      SND_SOC_DAPM_POST("Post Power Up Event", snd_rpi_iqaudio_post_dapm_event),
++};
++
++static const struct snd_soc_dapm_route audio_map[] = {
++      {"HP Jack", NULL, "HPL"},
++      {"HP Jack", NULL, "HPR"},
++      {"HP Jack", NULL, "PLL Control"},
++
++      {"AUXR", NULL, "AUX Jack"},
++      {"AUXL", NULL, "AUX Jack"},
++      {"AUX Jack", NULL, "PLL Control"},
++
++      /* Assume Mic1 is linked to Headset and Mic2 to on-board mic */
++      {"MIC1", NULL, "MIC Jack"},
++      {"MIC Jack", NULL, "PLL Control"},
++      {"MIC2", NULL, "Onboard MIC"},
++      {"Onboard MIC", NULL, "PLL Control"},
++};
++
++/* machine stream operations */
++
++static int snd_rpi_iqaudio_codec_init(struct snd_soc_pcm_runtime *rtd)
++{
++      struct snd_soc_dai *codec_dai = rtd->codec_dai;
++      struct snd_soc_dai *cpu_dai = rtd->cpu_dai;
++      int ret;
++
++      /*
++       * Disable AUX Jack Pin by default to prevent PLL being enabled at
++       * startup. This avoids holding the PLL to a fixed SR config for
++       * subsequent streams.
++       *
++       * This pin can still be enabled later, as required by user-space.
++       */
++      snd_soc_dapm_disable_pin(&rtd->card->dapm, "AUX Jack");
++      snd_soc_dapm_sync(&rtd->card->dapm);
++
++      /* Set bclk ratio to align with codec's BCLK rate */
++      ret = snd_soc_dai_set_bclk_ratio(cpu_dai, 64);
++      if (ret) {
++              dev_err(rtd->dev, "Failed to set CPU BLCK ratio\n");
++              return ret;
++      }
++
++      /* Set MCLK frequency to codec, onboard 11.2896MHz clock */
++      return snd_soc_dai_set_sysclk(codec_dai, DA7213_CLKSRC_MCLK, 11289600,
++                                    SND_SOC_CLOCK_OUT);
++}
++
++static int snd_rpi_iqaudio_codec_hw_params(struct snd_pcm_substream *substream,
++                                         struct snd_pcm_hw_params *params)
++{
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++      unsigned int samplerate = params_rate(params);
++
++      switch (samplerate) {
++      case  8000:
++      case 16000:
++      case 32000:
++      case 48000:
++      case 96000:
++              pll_out = DA7213_PLL_FREQ_OUT_98304000;
++              return 0;
++      case 44100:
++      case 88200:
++              pll_out = DA7213_PLL_FREQ_OUT_90316800;
++              return 0;
++      default:
++              dev_err(rtd->dev,"Unsupported samplerate %d\n", samplerate);
++              return -EINVAL;
++      }
++}
++
++static const struct snd_soc_ops snd_rpi_iqaudio_codec_ops = {
++      .hw_params = snd_rpi_iqaudio_codec_hw_params,
++};
++
++SND_SOC_DAILINK_DEFS(rpi_iqaudio,
++      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
++      DAILINK_COMP_ARRAY(COMP_CODEC("da7213.1-001a", "da7213-hifi")),
++      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2835-i2s.0")));
++
++static struct snd_soc_dai_link snd_rpi_iqaudio_codec_dai[] = {
++{
++      .dai_fmt                = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF |
++                                SND_SOC_DAIFMT_CBM_CFM,
++      .init                   = snd_rpi_iqaudio_codec_init,
++      .ops                    = &snd_rpi_iqaudio_codec_ops,
++      .symmetric_rates        = 1,
++      .symmetric_channels     = 1,
++      .symmetric_samplebits   = 1,
++      SND_SOC_DAILINK_REG(rpi_iqaudio),
++},
++};
++
++/* audio machine driver */
++static struct snd_soc_card snd_rpi_iqaudio_codec = {
++      .owner                  = THIS_MODULE,
++      .dai_link               = snd_rpi_iqaudio_codec_dai,
++      .num_links              = ARRAY_SIZE(snd_rpi_iqaudio_codec_dai),
++      .controls               = dapm_controls,
++      .num_controls           = ARRAY_SIZE(dapm_controls),
++      .dapm_widgets           = dapm_widgets,
++      .num_dapm_widgets       = ARRAY_SIZE(dapm_widgets),
++      .dapm_routes            = audio_map,
++      .num_dapm_routes        = ARRAY_SIZE(audio_map),
++};
++
++static int snd_rpi_iqaudio_codec_probe(struct platform_device *pdev)
++{
++      int ret = 0;
++
++      snd_rpi_iqaudio_codec.dev = &pdev->dev;
++
++      if (pdev->dev.of_node) {
++              struct device_node *i2s_node;
++              struct snd_soc_card *card = &snd_rpi_iqaudio_codec;
++              struct snd_soc_dai_link *dai = &snd_rpi_iqaudio_codec_dai[0];
++
++              i2s_node = of_parse_phandle(pdev->dev.of_node,
++                                          "i2s-controller", 0);
++              if (i2s_node) {
++                      dai->cpus->dai_name = NULL;
++                      dai->cpus->of_node = i2s_node;
++                      dai->platforms->name = NULL;
++                      dai->platforms->of_node = i2s_node;
++              }
++
++              if (of_property_read_string(pdev->dev.of_node, "card_name",
++                                          &card->name))
++                      card->name = "IQaudIOCODEC";
++
++              if (of_property_read_string(pdev->dev.of_node, "dai_name",
++                                          &dai->name))
++                      dai->name = "IQaudIO CODEC";
++
++              if (of_property_read_string(pdev->dev.of_node,
++                                      "dai_stream_name", &dai->stream_name))
++                      dai->stream_name = "IQaudIO CODEC HiFi v1.2";
++
++      }
++
++      ret = snd_soc_register_card(&snd_rpi_iqaudio_codec);
++      if (ret) {
++              if (ret != -EPROBE_DEFER)
++                      dev_err(&pdev->dev,
++                              "snd_soc_register_card() failed: %d\n", ret);
++              return ret;
++      }
++
++      return 0;
++}
++
++static int snd_rpi_iqaudio_codec_remove(struct platform_device *pdev)
++{
++      return snd_soc_unregister_card(&snd_rpi_iqaudio_codec);
++}
++
++static const struct of_device_id iqaudio_of_match[] = {
++      { .compatible = "iqaudio,iqaudio-codec", },
++      {},
++};
++
++MODULE_DEVICE_TABLE(of, iqaudio_of_match);
++
++static struct platform_driver snd_rpi_iqaudio_codec_driver = {
++      .driver = {
++              .name   = "snd-rpi-iqaudio-codec",
++              .owner  = THIS_MODULE,
++              .of_match_table = iqaudio_of_match,
++      },
++      .probe          = snd_rpi_iqaudio_codec_probe,
++      .remove         = snd_rpi_iqaudio_codec_remove,
++};
++
++
++
++module_platform_driver(snd_rpi_iqaudio_codec_driver);
++
++MODULE_AUTHOR("Gordon Garrity <gordon@iqaudio.com>");
++MODULE_DESCRIPTION("ASoC Driver for IQaudIO CODEC");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0146-lan78xx-use-default-alignment-for-rx-buffers.patch b/target/linux/bcm27xx/patches-5.4/950-0146-lan78xx-use-default-alignment-for-rx-buffers.patch
deleted file mode 100644 (file)
index 1c2daad..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-From c4fb99ee7aac2b979f729d4641d0e22fb5c08cc1 Mon Sep 17 00:00:00 2001
-From: P33M <p33m@github.com>
-Date: Thu, 2 May 2019 11:53:45 +0100
-Subject: [PATCH] lan78xx: use default alignment for rx buffers
-
-The lan78xx uses a 12-byte hardware rx header, so there is no need
-to allocate SKBs with NET_IP_ALIGN set. Removes alignment faults
-in both dwc_otg and in ipv6 processing.
----
- drivers/net/usb/lan78xx.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/net/usb/lan78xx.c
-+++ b/drivers/net/usb/lan78xx.c
-@@ -3169,7 +3169,7 @@ static int rx_submit(struct lan78xx_net
-       size_t size = dev->rx_urb_size;
-       int ret = 0;
--      skb = netdev_alloc_skb_ip_align(dev->net, size);
-+      skb = netdev_alloc_skb(dev->net, size);
-       if (!skb) {
-               usb_free_urb(urb);
-               return -ENOMEM;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0147-Added-IQaudIO-Pi-Codec-board-support-2969.patch b/target/linux/bcm27xx/patches-5.4/950-0147-Added-IQaudIO-Pi-Codec-board-support-2969.patch
deleted file mode 100644 (file)
index 2e4039c..0000000
+++ /dev/null
@@ -1,332 +0,0 @@
-From 1f912d3042032514c33736723300b3ed618ddd08 Mon Sep 17 00:00:00 2001
-From: IQaudIO <gordon@iqaudio.com>
-Date: Mon, 13 May 2019 21:53:05 +0100
-Subject: [PATCH] Added IQaudIO Pi-Codec board support (#2969)
-
-Add support for the IQaudIO Pi-Codec board.
-
-Signed-off-by: Gordon <gordon@iqaudio.com>
-
-Fixed 48k timing issue
-
-ASoC: iqaudio-codec: use modern dai_link style
-
-Signed-off-by: Hui Wang <hui.wang@canonical.com>
----
- sound/soc/bcm/Kconfig         |   7 +
- sound/soc/bcm/Makefile        |   2 +
- sound/soc/bcm/iqaudio-codec.c | 274 ++++++++++++++++++++++++++++++++++
- 3 files changed, 283 insertions(+)
- create mode 100644 sound/soc/bcm/iqaudio-codec.c
-
---- a/sound/soc/bcm/Kconfig
-+++ b/sound/soc/bcm/Kconfig
-@@ -104,6 +104,13 @@ config SND_BCM2708_SOC_JUSTBOOM_DIGI
-       help
-         Say Y or M if you want to add support for JustBoom Digi.
-+config SND_BCM2708_SOC_IQAUDIO_CODEC
-+      tristate "Support for IQaudIO-CODEC"
-+      depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
-+      select SND_SOC_DA7213
-+      help
-+        Say Y or M if you want to add support for IQaudIO-CODEC.
-+
- config SND_BCM2708_SOC_IQAUDIO_DAC
-       tristate "Support for IQaudIO-DAC"
-       depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
---- a/sound/soc/bcm/Makefile
-+++ b/sound/soc/bcm/Makefile
-@@ -18,6 +18,7 @@ snd-soc-hifiberry-dacplusadc-objs := hif
- snd-soc-justboom-dac-objs := justboom-dac.o
- snd-soc-rpi-cirrus-objs := rpi-cirrus.o
- snd-soc-rpi-proto-objs := rpi-proto.o
-+snd-soc-iqaudio-codec-objs := iqaudio-codec.o
- snd-soc-iqaudio-dac-objs := iqaudio-dac.o
-  snd-soc-i-sabre-q2m-objs := i-sabre-q2m.o
- snd-soc-audioinjector-pi-soundcard-objs := audioinjector-pi-soundcard.o
-@@ -41,6 +42,7 @@ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_D
- obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_DAC) += snd-soc-justboom-dac.o
- obj-$(CONFIG_SND_BCM2708_SOC_RPI_CIRRUS) += snd-soc-rpi-cirrus.o
- obj-$(CONFIG_SND_BCM2708_SOC_RPI_PROTO) += snd-soc-rpi-proto.o
-+obj-$(CONFIG_SND_BCM2708_SOC_IQAUDIO_CODEC) += snd-soc-iqaudio-codec.o
- obj-$(CONFIG_SND_BCM2708_SOC_IQAUDIO_DAC) += snd-soc-iqaudio-dac.o
-  obj-$(CONFIG_SND_BCM2708_SOC_I_SABRE_Q2M) += snd-soc-i-sabre-q2m.o
- obj-$(CONFIG_SND_AUDIOINJECTOR_PI_SOUNDCARD) += snd-soc-audioinjector-pi-soundcard.o
---- /dev/null
-+++ b/sound/soc/bcm/iqaudio-codec.c
-@@ -0,0 +1,274 @@
-+/*
-+ * ASoC Driver for IQaudIO Raspberry Pi Codec board
-+ *
-+ * Author:    Gordon Garrity <gordon@iqaudio.com>
-+ *            (C) Copyright IQaudio Limited, 2017-2019
-+ *
-+ * This program is free software; you can redistribute it and/or
-+ * modify it under the terms of the GNU General Public License
-+ * version 2 as published by the Free Software Foundation.
-+ *
-+ * This program is distributed in the hope that it will be useful, but
-+ * WITHOUT ANY WARRANTY; without even the implied warranty of
-+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
-+ * General Public License for more details.
-+ */
-+
-+#include <linux/module.h>
-+#include <linux/gpio/consumer.h>
-+#include <linux/platform_device.h>
-+
-+#include <sound/core.h>
-+#include <sound/pcm.h>
-+#include <sound/pcm_params.h>
-+#include <sound/soc.h>
-+#include <sound/jack.h>
-+
-+#include <linux/acpi.h>
-+#include <linux/slab.h>
-+#include "../codecs/da7213.h"
-+
-+static int pll_out = DA7213_PLL_FREQ_OUT_90316800;
-+
-+static int snd_rpi_iqaudio_pll_control(struct snd_soc_dapm_widget *w,
-+                                     struct snd_kcontrol *k, int  event)
-+{
-+      int ret = 0;
-+      struct snd_soc_dapm_context *dapm = w->dapm;
-+      struct snd_soc_card *card = dapm->card;
-+      struct snd_soc_pcm_runtime *rtd =
-+              snd_soc_get_pcm_runtime(card, card->dai_link[0].name);
-+      struct snd_soc_dai *codec_dai = rtd->codec_dai;
-+
-+      if (SND_SOC_DAPM_EVENT_OFF(event)) {
-+              ret = snd_soc_dai_set_pll(codec_dai, 0, DA7213_SYSCLK_MCLK, 0,
-+                                        0);
-+              if (ret)
-+                      dev_err(card->dev, "Failed to bypass PLL: %d\n", ret);
-+              /* Allow PLL time to bypass */
-+              msleep(100);
-+      } else if (SND_SOC_DAPM_EVENT_ON(event)) {
-+              ret = snd_soc_dai_set_pll(codec_dai, 0, DA7213_SYSCLK_PLL, 0,
-+                                        pll_out);
-+              if (ret)
-+                      dev_err(card->dev, "Failed to enable PLL: %d\n", ret);
-+              /* Allow PLL time to lock */
-+              msleep(100);
-+      }
-+
-+      return ret;
-+}
-+
-+static int snd_rpi_iqaudio_post_dapm_event(struct snd_soc_dapm_widget *w,
-+                              struct snd_kcontrol *kcontrol,
-+                              int event)
-+{
-+     switch (event) {
-+     case SND_SOC_DAPM_POST_PMU:
-+           /* Delay for mic bias ramp */
-+           msleep(1000);
-+           break;
-+     default:
-+           break;
-+     }
-+
-+     return 0;
-+}
-+
-+static const struct snd_kcontrol_new dapm_controls[] = {
-+      SOC_DAPM_PIN_SWITCH("HP Jack"),
-+      SOC_DAPM_PIN_SWITCH("MIC Jack"),
-+      SOC_DAPM_PIN_SWITCH("Onboard MIC"),
-+      SOC_DAPM_PIN_SWITCH("AUX Jack"),
-+};
-+
-+static const struct snd_soc_dapm_widget dapm_widgets[] = {
-+      SND_SOC_DAPM_HP("HP Jack", NULL),
-+      SND_SOC_DAPM_MIC("MIC Jack", NULL),
-+      SND_SOC_DAPM_MIC("Onboard MIC", NULL),
-+      SND_SOC_DAPM_LINE("AUX Jack", NULL),
-+      SND_SOC_DAPM_SUPPLY("PLL Control", SND_SOC_NOPM, 0, 0,
-+                          snd_rpi_iqaudio_pll_control,
-+                          SND_SOC_DAPM_PRE_PMU | SND_SOC_DAPM_POST_PMD),
-+      SND_SOC_DAPM_POST("Post Power Up Event", snd_rpi_iqaudio_post_dapm_event),
-+};
-+
-+static const struct snd_soc_dapm_route audio_map[] = {
-+      {"HP Jack", NULL, "HPL"},
-+      {"HP Jack", NULL, "HPR"},
-+      {"HP Jack", NULL, "PLL Control"},
-+
-+      {"AUXR", NULL, "AUX Jack"},
-+      {"AUXL", NULL, "AUX Jack"},
-+      {"AUX Jack", NULL, "PLL Control"},
-+
-+      /* Assume Mic1 is linked to Headset and Mic2 to on-board mic */
-+      {"MIC1", NULL, "MIC Jack"},
-+      {"MIC Jack", NULL, "PLL Control"},
-+      {"MIC2", NULL, "Onboard MIC"},
-+      {"Onboard MIC", NULL, "PLL Control"},
-+};
-+
-+/* machine stream operations */
-+
-+static int snd_rpi_iqaudio_codec_init(struct snd_soc_pcm_runtime *rtd)
-+{
-+      struct snd_soc_dai *codec_dai = rtd->codec_dai;
-+      struct snd_soc_dai *cpu_dai = rtd->cpu_dai;
-+      int ret;
-+
-+      /*
-+       * Disable AUX Jack Pin by default to prevent PLL being enabled at
-+       * startup. This avoids holding the PLL to a fixed SR config for
-+       * subsequent streams.
-+       *
-+       * This pin can still be enabled later, as required by user-space.
-+       */
-+      snd_soc_dapm_disable_pin(&rtd->card->dapm, "AUX Jack");
-+      snd_soc_dapm_sync(&rtd->card->dapm);
-+
-+      /* Set bclk ratio to align with codec's BCLK rate */
-+      ret = snd_soc_dai_set_bclk_ratio(cpu_dai, 64);
-+      if (ret) {
-+              dev_err(rtd->dev, "Failed to set CPU BLCK ratio\n");
-+              return ret;
-+      }
-+
-+      /* Set MCLK frequency to codec, onboard 11.2896MHz clock */
-+      return snd_soc_dai_set_sysclk(codec_dai, DA7213_CLKSRC_MCLK, 11289600,
-+                                    SND_SOC_CLOCK_OUT);
-+}
-+
-+static int snd_rpi_iqaudio_codec_hw_params(struct snd_pcm_substream *substream,
-+                                         struct snd_pcm_hw_params *params)
-+{
-+      struct snd_soc_pcm_runtime *rtd = substream->private_data;
-+      unsigned int samplerate = params_rate(params);
-+
-+      switch (samplerate) {
-+      case  8000:
-+      case 16000:
-+      case 32000:
-+      case 48000:
-+      case 96000:
-+              pll_out = DA7213_PLL_FREQ_OUT_98304000;
-+              return 0;
-+      case 44100:
-+      case 88200:
-+              pll_out = DA7213_PLL_FREQ_OUT_90316800;
-+              return 0;
-+      default:
-+              dev_err(rtd->dev,"Unsupported samplerate %d\n", samplerate);
-+              return -EINVAL;
-+      }
-+}
-+
-+static const struct snd_soc_ops snd_rpi_iqaudio_codec_ops = {
-+      .hw_params = snd_rpi_iqaudio_codec_hw_params,
-+};
-+
-+SND_SOC_DAILINK_DEFS(rpi_iqaudio,
-+      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
-+      DAILINK_COMP_ARRAY(COMP_CODEC("da7213.1-001a", "da7213-hifi")),
-+      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2835-i2s.0")));
-+
-+static struct snd_soc_dai_link snd_rpi_iqaudio_codec_dai[] = {
-+{
-+      .dai_fmt                = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF |
-+                                SND_SOC_DAIFMT_CBM_CFM,
-+      .init                   = snd_rpi_iqaudio_codec_init,
-+      .ops                    = &snd_rpi_iqaudio_codec_ops,
-+      .symmetric_rates        = 1,
-+      .symmetric_channels     = 1,
-+      .symmetric_samplebits   = 1,
-+      SND_SOC_DAILINK_REG(rpi_iqaudio),
-+},
-+};
-+
-+/* audio machine driver */
-+static struct snd_soc_card snd_rpi_iqaudio_codec = {
-+      .owner                  = THIS_MODULE,
-+      .dai_link               = snd_rpi_iqaudio_codec_dai,
-+      .num_links              = ARRAY_SIZE(snd_rpi_iqaudio_codec_dai),
-+      .controls               = dapm_controls,
-+      .num_controls           = ARRAY_SIZE(dapm_controls),
-+      .dapm_widgets           = dapm_widgets,
-+      .num_dapm_widgets       = ARRAY_SIZE(dapm_widgets),
-+      .dapm_routes            = audio_map,
-+      .num_dapm_routes        = ARRAY_SIZE(audio_map),
-+};
-+
-+static int snd_rpi_iqaudio_codec_probe(struct platform_device *pdev)
-+{
-+      int ret = 0;
-+
-+      snd_rpi_iqaudio_codec.dev = &pdev->dev;
-+
-+      if (pdev->dev.of_node) {
-+              struct device_node *i2s_node;
-+              struct snd_soc_card *card = &snd_rpi_iqaudio_codec;
-+              struct snd_soc_dai_link *dai = &snd_rpi_iqaudio_codec_dai[0];
-+
-+              i2s_node = of_parse_phandle(pdev->dev.of_node,
-+                                          "i2s-controller", 0);
-+              if (i2s_node) {
-+                      dai->cpus->dai_name = NULL;
-+                      dai->cpus->of_node = i2s_node;
-+                      dai->platforms->name = NULL;
-+                      dai->platforms->of_node = i2s_node;
-+              }
-+
-+              if (of_property_read_string(pdev->dev.of_node, "card_name",
-+                                          &card->name))
-+                      card->name = "IQaudIOCODEC";
-+
-+              if (of_property_read_string(pdev->dev.of_node, "dai_name",
-+                                          &dai->name))
-+                      dai->name = "IQaudIO CODEC";
-+
-+              if (of_property_read_string(pdev->dev.of_node,
-+                                      "dai_stream_name", &dai->stream_name))
-+                      dai->stream_name = "IQaudIO CODEC HiFi v1.2";
-+
-+      }
-+
-+      ret = snd_soc_register_card(&snd_rpi_iqaudio_codec);
-+      if (ret) {
-+              if (ret != -EPROBE_DEFER)
-+                      dev_err(&pdev->dev,
-+                              "snd_soc_register_card() failed: %d\n", ret);
-+              return ret;
-+      }
-+
-+      return 0;
-+}
-+
-+static int snd_rpi_iqaudio_codec_remove(struct platform_device *pdev)
-+{
-+      return snd_soc_unregister_card(&snd_rpi_iqaudio_codec);
-+}
-+
-+static const struct of_device_id iqaudio_of_match[] = {
-+      { .compatible = "iqaudio,iqaudio-codec", },
-+      {},
-+};
-+
-+MODULE_DEVICE_TABLE(of, iqaudio_of_match);
-+
-+static struct platform_driver snd_rpi_iqaudio_codec_driver = {
-+      .driver = {
-+              .name   = "snd-rpi-iqaudio-codec",
-+              .owner  = THIS_MODULE,
-+              .of_match_table = iqaudio_of_match,
-+      },
-+      .probe          = snd_rpi_iqaudio_codec_probe,
-+      .remove         = snd_rpi_iqaudio_codec_remove,
-+};
-+
-+
-+
-+module_platform_driver(snd_rpi_iqaudio_codec_driver);
-+
-+MODULE_AUTHOR("Gordon Garrity <gordon@iqaudio.com>");
-+MODULE_DESCRIPTION("ASoC Driver for IQaudIO CODEC");
-+MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0147-sound-pcm512x-codec-Adding-352.8kHz-samplerate-suppo.patch b/target/linux/bcm27xx/patches-5.4/950-0147-sound-pcm512x-codec-Adding-352.8kHz-samplerate-suppo.patch
new file mode 100644 (file)
index 0000000..8385085
--- /dev/null
@@ -0,0 +1,21 @@
+From 59f91d9880013a36b1257dd8ce4b9412dec4132c Mon Sep 17 00:00:00 2001
+From: Klaus Schulz <klsschlz@gmail.com>
+Date: Thu, 16 May 2019 13:35:32 +0200
+Subject: [PATCH] sound: pcm512x-codec: Adding 352.8kHz samplerate
+ support
+
+---
+ sound/soc/codecs/pcm512x.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/sound/soc/codecs/pcm512x.c
++++ b/sound/soc/codecs/pcm512x.c
+@@ -534,7 +534,7 @@ static unsigned long pcm512x_ncp_target(
+ static const u32 pcm512x_dai_rates[] = {
+       8000, 11025, 16000, 22050, 32000, 44100, 48000, 64000,
+-      88200, 96000, 176400, 192000, 384000,
++      88200, 96000, 176400, 192000, 352800, 384000,
+ };
+ static const struct snd_pcm_hw_constraint_list constraints_slave = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0148-media-ov5647-Add-set_fmt-and-get_fmt-calls.patch b/target/linux/bcm27xx/patches-5.4/950-0148-media-ov5647-Add-set_fmt-and-get_fmt-calls.patch
new file mode 100644 (file)
index 0000000..6b5aa2b
--- /dev/null
@@ -0,0 +1,47 @@
+From 380d116f08c6ebca53234cba682b7e9e37bbab94 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 31 Oct 2018 14:55:37 +0000
+Subject: [PATCH] media: ov5647: Add set_fmt and get_fmt calls.
+
+There's no way to query the subdevice for the supported
+resolutions.
+Add set_fmt and get_fmt implementations.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/media/i2c/ov5647.c | 22 ++++++++++++++++++++++
+ 1 file changed, 22 insertions(+)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -463,8 +463,30 @@ static int ov5647_enum_mbus_code(struct
+       return 0;
+ }
++static int ov5647_set_get_fmt(struct v4l2_subdev *sd,
++                            struct v4l2_subdev_pad_config *cfg,
++                            struct v4l2_subdev_format *format)
++{
++      struct v4l2_mbus_framefmt *fmt = &format->format;
++
++      if (format->pad != 0)
++              return -EINVAL;
++
++      /* Only one format is supported, so return that */
++      memset(fmt, 0, sizeof(*fmt));
++      fmt->code = MEDIA_BUS_FMT_SBGGR8_1X8;
++      fmt->colorspace = V4L2_COLORSPACE_SRGB;
++      fmt->field = V4L2_FIELD_NONE;
++      fmt->width = 640;
++      fmt->height = 480;
++
++      return 0;
++}
++
+ static const struct v4l2_subdev_pad_ops ov5647_subdev_pad_ops = {
+       .enum_mbus_code = ov5647_enum_mbus_code,
++      .set_fmt =        ov5647_set_get_fmt,
++      .get_fmt =        ov5647_set_get_fmt,
+ };
+ static const struct v4l2_subdev_ops ov5647_subdev_ops = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0148-sound-pcm512x-codec-Adding-352.8kHz-samplerate-suppo.patch b/target/linux/bcm27xx/patches-5.4/950-0148-sound-pcm512x-codec-Adding-352.8kHz-samplerate-suppo.patch
deleted file mode 100644 (file)
index 8385085..0000000
+++ /dev/null
@@ -1,21 +0,0 @@
-From 59f91d9880013a36b1257dd8ce4b9412dec4132c Mon Sep 17 00:00:00 2001
-From: Klaus Schulz <klsschlz@gmail.com>
-Date: Thu, 16 May 2019 13:35:32 +0200
-Subject: [PATCH] sound: pcm512x-codec: Adding 352.8kHz samplerate
- support
-
----
- sound/soc/codecs/pcm512x.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/sound/soc/codecs/pcm512x.c
-+++ b/sound/soc/codecs/pcm512x.c
-@@ -534,7 +534,7 @@ static unsigned long pcm512x_ncp_target(
- static const u32 pcm512x_dai_rates[] = {
-       8000, 11025, 16000, 22050, 32000, 44100, 48000, 64000,
--      88200, 96000, 176400, 192000, 384000,
-+      88200, 96000, 176400, 192000, 352800, 384000,
- };
- static const struct snd_pcm_hw_constraint_list constraints_slave = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0149-media-Documentation-DT-add-device-tree-for-PWDN-cont.patch b/target/linux/bcm27xx/patches-5.4/950-0149-media-Documentation-DT-add-device-tree-for-PWDN-cont.patch
new file mode 100644 (file)
index 0000000..6d2cd03
--- /dev/null
@@ -0,0 +1,33 @@
+From 574d071603ed49ed9c05a898763869b0e75344bb Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 31 Oct 2018 14:55:59 +0000
+Subject: [PATCH] Documentation: DT: add device tree for PWDN
+ control
+
+Add optional GPIO pwdn to connect to the PWDN line on the sensor.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ Documentation/devicetree/bindings/media/i2c/ov5647.txt | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/Documentation/devicetree/bindings/media/i2c/ov5647.txt
++++ b/Documentation/devicetree/bindings/media/i2c/ov5647.txt
+@@ -10,6 +10,9 @@ Required properties:
+ - reg                 : I2C slave address of the sensor.
+ - clocks              : Reference to the xclk clock.
++Optional Properties:
++- pwdn-gpios: reference to the GPIO connected to the pwdn pin, if any.
++
+ The common video interfaces bindings (see video-interfaces.txt) should be
+ used to specify link to the image data receiver. The OV5647 device
+ node should contain one 'port' child node with an 'endpoint' subnode.
+@@ -26,6 +29,7 @@ Example:
+                       compatible = "ovti,ov5647";
+                       reg = <0x36>;
+                       clocks = <&camera_clk>;
++                      pwdn-gpios = <&pioE 29 GPIO_ACTIVE_HIGH>;
+                       port {
+                               camera_1: endpoint {
+                                       remote-endpoint = <&csi1_ep1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0149-media-ov5647-Add-set_fmt-and-get_fmt-calls.patch b/target/linux/bcm27xx/patches-5.4/950-0149-media-ov5647-Add-set_fmt-and-get_fmt-calls.patch
deleted file mode 100644 (file)
index 6b5aa2b..0000000
+++ /dev/null
@@ -1,47 +0,0 @@
-From 380d116f08c6ebca53234cba682b7e9e37bbab94 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 31 Oct 2018 14:55:37 +0000
-Subject: [PATCH] media: ov5647: Add set_fmt and get_fmt calls.
-
-There's no way to query the subdevice for the supported
-resolutions.
-Add set_fmt and get_fmt implementations.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/media/i2c/ov5647.c | 22 ++++++++++++++++++++++
- 1 file changed, 22 insertions(+)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -463,8 +463,30 @@ static int ov5647_enum_mbus_code(struct
-       return 0;
- }
-+static int ov5647_set_get_fmt(struct v4l2_subdev *sd,
-+                            struct v4l2_subdev_pad_config *cfg,
-+                            struct v4l2_subdev_format *format)
-+{
-+      struct v4l2_mbus_framefmt *fmt = &format->format;
-+
-+      if (format->pad != 0)
-+              return -EINVAL;
-+
-+      /* Only one format is supported, so return that */
-+      memset(fmt, 0, sizeof(*fmt));
-+      fmt->code = MEDIA_BUS_FMT_SBGGR8_1X8;
-+      fmt->colorspace = V4L2_COLORSPACE_SRGB;
-+      fmt->field = V4L2_FIELD_NONE;
-+      fmt->width = 640;
-+      fmt->height = 480;
-+
-+      return 0;
-+}
-+
- static const struct v4l2_subdev_pad_ops ov5647_subdev_pad_ops = {
-       .enum_mbus_code = ov5647_enum_mbus_code,
-+      .set_fmt =        ov5647_set_get_fmt,
-+      .get_fmt =        ov5647_set_get_fmt,
- };
- static const struct v4l2_subdev_ops ov5647_subdev_ops = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0150-media-Documentation-DT-add-device-tree-for-PWDN-cont.patch b/target/linux/bcm27xx/patches-5.4/950-0150-media-Documentation-DT-add-device-tree-for-PWDN-cont.patch
deleted file mode 100644 (file)
index 6d2cd03..0000000
+++ /dev/null
@@ -1,33 +0,0 @@
-From 574d071603ed49ed9c05a898763869b0e75344bb Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 31 Oct 2018 14:55:59 +0000
-Subject: [PATCH] Documentation: DT: add device tree for PWDN
- control
-
-Add optional GPIO pwdn to connect to the PWDN line on the sensor.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- Documentation/devicetree/bindings/media/i2c/ov5647.txt | 4 ++++
- 1 file changed, 4 insertions(+)
-
---- a/Documentation/devicetree/bindings/media/i2c/ov5647.txt
-+++ b/Documentation/devicetree/bindings/media/i2c/ov5647.txt
-@@ -10,6 +10,9 @@ Required properties:
- - reg                 : I2C slave address of the sensor.
- - clocks              : Reference to the xclk clock.
-+Optional Properties:
-+- pwdn-gpios: reference to the GPIO connected to the pwdn pin, if any.
-+
- The common video interfaces bindings (see video-interfaces.txt) should be
- used to specify link to the image data receiver. The OV5647 device
- node should contain one 'port' child node with an 'endpoint' subnode.
-@@ -26,6 +29,7 @@ Example:
-                       compatible = "ovti,ov5647";
-                       reg = <0x36>;
-                       clocks = <&camera_clk>;
-+                      pwdn-gpios = <&pioE 29 GPIO_ACTIVE_HIGH>;
-                       port {
-                               camera_1: endpoint {
-                                       remote-endpoint = <&csi1_ep1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0150-media-ov5647-Add-support-for-PWDN-GPIO.patch b/target/linux/bcm27xx/patches-5.4/950-0150-media-ov5647-Add-support-for-PWDN-GPIO.patch
new file mode 100644 (file)
index 0000000..24b678f
--- /dev/null
@@ -0,0 +1,92 @@
+From 877bbff2bf6d53104efd1da9caf1e9cfff6f6ef4 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 31 Oct 2018 14:56:33 +0000
+Subject: [PATCH] media: ov5647: Add support for PWDN GPIO.
+
+Add support for an optional GPIO connected to PWDN on the sensor.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/media/i2c/ov5647.c | 28 ++++++++++++++++++++++++++++
+ 1 file changed, 28 insertions(+)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -21,6 +21,7 @@
+ #include <linux/clk.h>
+ #include <linux/delay.h>
++#include <linux/gpio/consumer.h>
+ #include <linux/i2c.h>
+ #include <linux/init.h>
+ #include <linux/io.h>
+@@ -35,6 +36,13 @@
+ #define SENSOR_NAME "ov5647"
++/*
++ * From the datasheet, "20ms after PWDN goes low or 20ms after RESETB goes
++ * high if reset is inserted after PWDN goes high, host can access sensor's
++ * SCCB to initialize sensor."
++ */
++#define PWDN_ACTIVE_DELAY_MS  20
++
+ #define MIPI_CTRL00_CLOCK_LANE_GATE           BIT(5)
+ #define MIPI_CTRL00_BUS_IDLE                  BIT(2)
+ #define MIPI_CTRL00_CLOCK_LANE_DISABLE                BIT(0)
+@@ -86,6 +94,7 @@ struct ov5647 {
+       unsigned int                    height;
+       int                             power_count;
+       struct clk                      *xclk;
++      struct gpio_desc                *pwdn;
+ };
+ static inline struct ov5647 *to_state(struct v4l2_subdev *sd)
+@@ -355,6 +364,11 @@ static int ov5647_sensor_power(struct v4
+       if (on && !ov5647->power_count) {
+               dev_dbg(&client->dev, "OV5647 power on\n");
++              if (ov5647->pwdn) {
++                      gpiod_set_value(ov5647->pwdn, 0);
++                      msleep(PWDN_ACTIVE_DELAY_MS);
++              }
++
+               ret = clk_prepare_enable(ov5647->xclk);
+               if (ret < 0) {
+                       dev_err(&client->dev, "clk prepare enable failed\n");
+@@ -392,6 +406,8 @@ static int ov5647_sensor_power(struct v4
+                       dev_dbg(&client->dev, "soft stby failed\n");
+               clk_disable_unprepare(ov5647->xclk);
++
++              gpiod_set_value(ov5647->pwdn, 1);
+       }
+       /* Update the power count. */
+@@ -603,6 +619,10 @@ static int ov5647_probe(struct i2c_clien
+               return -EINVAL;
+       }
++      /* Request the power down GPIO asserted */
++      sensor->pwdn = devm_gpiod_get_optional(&client->dev, "pwdn",
++                                             GPIOD_OUT_HIGH);
++
+       mutex_init(&sensor->lock);
+       sd = &sensor->sd;
+@@ -616,7 +636,15 @@ static int ov5647_probe(struct i2c_clien
+       if (ret < 0)
+               goto mutex_remove;
++      if (sensor->pwdn) {
++              gpiod_set_value(sensor->pwdn, 0);
++              msleep(PWDN_ACTIVE_DELAY_MS);
++      }
++
+       ret = ov5647_detect(sd);
++
++      gpiod_set_value(sensor->pwdn, 1);
++
+       if (ret < 0)
+               goto error;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0151-media-ov5647-Add-support-for-PWDN-GPIO.patch b/target/linux/bcm27xx/patches-5.4/950-0151-media-ov5647-Add-support-for-PWDN-GPIO.patch
deleted file mode 100644 (file)
index 24b678f..0000000
+++ /dev/null
@@ -1,92 +0,0 @@
-From 877bbff2bf6d53104efd1da9caf1e9cfff6f6ef4 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 31 Oct 2018 14:56:33 +0000
-Subject: [PATCH] media: ov5647: Add support for PWDN GPIO.
-
-Add support for an optional GPIO connected to PWDN on the sensor.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/media/i2c/ov5647.c | 28 ++++++++++++++++++++++++++++
- 1 file changed, 28 insertions(+)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -21,6 +21,7 @@
- #include <linux/clk.h>
- #include <linux/delay.h>
-+#include <linux/gpio/consumer.h>
- #include <linux/i2c.h>
- #include <linux/init.h>
- #include <linux/io.h>
-@@ -35,6 +36,13 @@
- #define SENSOR_NAME "ov5647"
-+/*
-+ * From the datasheet, "20ms after PWDN goes low or 20ms after RESETB goes
-+ * high if reset is inserted after PWDN goes high, host can access sensor's
-+ * SCCB to initialize sensor."
-+ */
-+#define PWDN_ACTIVE_DELAY_MS  20
-+
- #define MIPI_CTRL00_CLOCK_LANE_GATE           BIT(5)
- #define MIPI_CTRL00_BUS_IDLE                  BIT(2)
- #define MIPI_CTRL00_CLOCK_LANE_DISABLE                BIT(0)
-@@ -86,6 +94,7 @@ struct ov5647 {
-       unsigned int                    height;
-       int                             power_count;
-       struct clk                      *xclk;
-+      struct gpio_desc                *pwdn;
- };
- static inline struct ov5647 *to_state(struct v4l2_subdev *sd)
-@@ -355,6 +364,11 @@ static int ov5647_sensor_power(struct v4
-       if (on && !ov5647->power_count) {
-               dev_dbg(&client->dev, "OV5647 power on\n");
-+              if (ov5647->pwdn) {
-+                      gpiod_set_value(ov5647->pwdn, 0);
-+                      msleep(PWDN_ACTIVE_DELAY_MS);
-+              }
-+
-               ret = clk_prepare_enable(ov5647->xclk);
-               if (ret < 0) {
-                       dev_err(&client->dev, "clk prepare enable failed\n");
-@@ -392,6 +406,8 @@ static int ov5647_sensor_power(struct v4
-                       dev_dbg(&client->dev, "soft stby failed\n");
-               clk_disable_unprepare(ov5647->xclk);
-+
-+              gpiod_set_value(ov5647->pwdn, 1);
-       }
-       /* Update the power count. */
-@@ -603,6 +619,10 @@ static int ov5647_probe(struct i2c_clien
-               return -EINVAL;
-       }
-+      /* Request the power down GPIO asserted */
-+      sensor->pwdn = devm_gpiod_get_optional(&client->dev, "pwdn",
-+                                             GPIOD_OUT_HIGH);
-+
-       mutex_init(&sensor->lock);
-       sd = &sensor->sd;
-@@ -616,7 +636,15 @@ static int ov5647_probe(struct i2c_clien
-       if (ret < 0)
-               goto mutex_remove;
-+      if (sensor->pwdn) {
-+              gpiod_set_value(sensor->pwdn, 0);
-+              msleep(PWDN_ACTIVE_DELAY_MS);
-+      }
-+
-       ret = ov5647_detect(sd);
-+
-+      gpiod_set_value(sensor->pwdn, 1);
-+
-       if (ret < 0)
-               goto error;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0151-media-ov5647-Add-support-for-non-continuous-clock-mo.patch b/target/linux/bcm27xx/patches-5.4/950-0151-media-ov5647-Add-support-for-non-continuous-clock-mo.patch
new file mode 100644 (file)
index 0000000..0fc4fa3
--- /dev/null
@@ -0,0 +1,79 @@
+From fd539af952dfb820dc3d285a4f1311ee17bdbc79 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 31 Oct 2018 14:56:47 +0000
+Subject: [PATCH] media: ov5647: Add support for non-continuous clock
+ mode
+
+The driver was only supporting continuous clock mode
+although this was not stated anywhere.
+Non-continuous clock saves a small amount of power and
+on some SoCs is easier to interface with.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/media/i2c/ov5647.c | 17 ++++++++++++++---
+ 1 file changed, 14 insertions(+), 3 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -44,6 +44,7 @@
+ #define PWDN_ACTIVE_DELAY_MS  20
+ #define MIPI_CTRL00_CLOCK_LANE_GATE           BIT(5)
++#define MIPI_CTRL00_LINE_SYNC_ENABLE          BIT(4)
+ #define MIPI_CTRL00_BUS_IDLE                  BIT(2)
+ #define MIPI_CTRL00_CLOCK_LANE_DISABLE                BIT(0)
+@@ -95,6 +96,7 @@ struct ov5647 {
+       int                             power_count;
+       struct clk                      *xclk;
+       struct gpio_desc                *pwdn;
++      unsigned int                    flags;
+ };
+ static inline struct ov5647 *to_state(struct v4l2_subdev *sd)
+@@ -269,9 +271,15 @@ static int ov5647_set_virtual_channel(st
+ static int ov5647_stream_on(struct v4l2_subdev *sd)
+ {
++      struct ov5647 *ov5647 = to_state(sd);
++      u8 val = MIPI_CTRL00_BUS_IDLE;
+       int ret;
+-      ret = ov5647_write(sd, OV5647_REG_MIPI_CTRL00, MIPI_CTRL00_BUS_IDLE);
++      if (ov5647->flags & V4L2_MBUS_CSI2_NONCONTINUOUS_CLOCK)
++              val |= MIPI_CTRL00_CLOCK_LANE_GATE |
++                     MIPI_CTRL00_LINE_SYNC_ENABLE;
++
++      ret = ov5647_write(sd, OV5647_REG_MIPI_CTRL00, val);
+       if (ret < 0)
+               return ret;
+@@ -568,7 +576,7 @@ static const struct v4l2_subdev_internal
+       .open = ov5647_open,
+ };
+-static int ov5647_parse_dt(struct device_node *np)
++static int ov5647_parse_dt(struct device_node *np, struct ov5647 *sensor)
+ {
+       struct v4l2_fwnode_endpoint bus_cfg = { .bus_type = 0 };
+       struct device_node *ep;
+@@ -581,6 +589,9 @@ static int ov5647_parse_dt(struct device
+       ret = v4l2_fwnode_endpoint_parse(of_fwnode_handle(ep), &bus_cfg);
++      if (!ret)
++              sensor->flags = bus_cfg.bus.mipi_csi2.flags;
++
+       of_node_put(ep);
+       return ret;
+ }
+@@ -599,7 +610,7 @@ static int ov5647_probe(struct i2c_clien
+               return -ENOMEM;
+       if (IS_ENABLED(CONFIG_OF) && np) {
+-              ret = ov5647_parse_dt(np);
++              ret = ov5647_parse_dt(np, sensor);
+               if (ret) {
+                       dev_err(dev, "DT parsing error: %d\n", ret);
+                       return ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0152-media-ov5647-Add-support-for-non-continuous-clock-mo.patch b/target/linux/bcm27xx/patches-5.4/950-0152-media-ov5647-Add-support-for-non-continuous-clock-mo.patch
deleted file mode 100644 (file)
index 0fc4fa3..0000000
+++ /dev/null
@@ -1,79 +0,0 @@
-From fd539af952dfb820dc3d285a4f1311ee17bdbc79 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 31 Oct 2018 14:56:47 +0000
-Subject: [PATCH] media: ov5647: Add support for non-continuous clock
- mode
-
-The driver was only supporting continuous clock mode
-although this was not stated anywhere.
-Non-continuous clock saves a small amount of power and
-on some SoCs is easier to interface with.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/media/i2c/ov5647.c | 17 ++++++++++++++---
- 1 file changed, 14 insertions(+), 3 deletions(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -44,6 +44,7 @@
- #define PWDN_ACTIVE_DELAY_MS  20
- #define MIPI_CTRL00_CLOCK_LANE_GATE           BIT(5)
-+#define MIPI_CTRL00_LINE_SYNC_ENABLE          BIT(4)
- #define MIPI_CTRL00_BUS_IDLE                  BIT(2)
- #define MIPI_CTRL00_CLOCK_LANE_DISABLE                BIT(0)
-@@ -95,6 +96,7 @@ struct ov5647 {
-       int                             power_count;
-       struct clk                      *xclk;
-       struct gpio_desc                *pwdn;
-+      unsigned int                    flags;
- };
- static inline struct ov5647 *to_state(struct v4l2_subdev *sd)
-@@ -269,9 +271,15 @@ static int ov5647_set_virtual_channel(st
- static int ov5647_stream_on(struct v4l2_subdev *sd)
- {
-+      struct ov5647 *ov5647 = to_state(sd);
-+      u8 val = MIPI_CTRL00_BUS_IDLE;
-       int ret;
--      ret = ov5647_write(sd, OV5647_REG_MIPI_CTRL00, MIPI_CTRL00_BUS_IDLE);
-+      if (ov5647->flags & V4L2_MBUS_CSI2_NONCONTINUOUS_CLOCK)
-+              val |= MIPI_CTRL00_CLOCK_LANE_GATE |
-+                     MIPI_CTRL00_LINE_SYNC_ENABLE;
-+
-+      ret = ov5647_write(sd, OV5647_REG_MIPI_CTRL00, val);
-       if (ret < 0)
-               return ret;
-@@ -568,7 +576,7 @@ static const struct v4l2_subdev_internal
-       .open = ov5647_open,
- };
--static int ov5647_parse_dt(struct device_node *np)
-+static int ov5647_parse_dt(struct device_node *np, struct ov5647 *sensor)
- {
-       struct v4l2_fwnode_endpoint bus_cfg = { .bus_type = 0 };
-       struct device_node *ep;
-@@ -581,6 +589,9 @@ static int ov5647_parse_dt(struct device
-       ret = v4l2_fwnode_endpoint_parse(of_fwnode_handle(ep), &bus_cfg);
-+      if (!ret)
-+              sensor->flags = bus_cfg.bus.mipi_csi2.flags;
-+
-       of_node_put(ep);
-       return ret;
- }
-@@ -599,7 +610,7 @@ static int ov5647_probe(struct i2c_clien
-               return -ENOMEM;
-       if (IS_ENABLED(CONFIG_OF) && np) {
--              ret = ov5647_parse_dt(np);
-+              ret = ov5647_parse_dt(np, sensor);
-               if (ret) {
-                       dev_err(dev, "DT parsing error: %d\n", ret);
-                       return ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0152-media-tc358743-Increase-FIFO-level-to-374.patch b/target/linux/bcm27xx/patches-5.4/950-0152-media-tc358743-Increase-FIFO-level-to-374.patch
new file mode 100644 (file)
index 0000000..8ec643f
--- /dev/null
@@ -0,0 +1,31 @@
+From e9d49d1b54bb1f202ac8d4a42c5ebb9a9237da17 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 31 Oct 2018 14:56:59 +0000
+Subject: [PATCH] media: tc358743: Increase FIFO level to 374.
+
+The existing fixed value of 16 worked for UYVY 720P60 over
+2 lanes at 594MHz, or UYVY 1080P60 over 4 lanes. (RGB888
+1080P60 needs 6 lanes at 594MHz).
+It doesn't allow for lower resolutions to work as the FIFO
+underflows.
+
+374 is required for 1080P24-30 UYVY over 2 lanes @ 972Mbit/s, but
+>374 means that the FIFO underflows on 1080P50 UYVY over 2 lanes
+@ 972Mbit/s.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/media/i2c/tc358743.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/media/i2c/tc358743.c
++++ b/drivers/media/i2c/tc358743.c
+@@ -1949,7 +1949,7 @@ static int tc358743_probe_of(struct tc35
+       state->pdata.ddc5v_delay = DDC5V_DELAY_100_MS;
+       state->pdata.enable_hdcp = false;
+       /* A FIFO level of 16 should be enough for 2-lane 720p60 at 594 MHz. */
+-      state->pdata.fifo_level = 16;
++      state->pdata.fifo_level = 374;
+       /*
+        * The PLL input clock is obtained by dividing refclk by pll_prd.
+        * It must be between 6 MHz and 40 MHz, lower frequency is better.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0153-media-tc358743-Increase-FIFO-level-to-374.patch b/target/linux/bcm27xx/patches-5.4/950-0153-media-tc358743-Increase-FIFO-level-to-374.patch
deleted file mode 100644 (file)
index 8ec643f..0000000
+++ /dev/null
@@ -1,31 +0,0 @@
-From e9d49d1b54bb1f202ac8d4a42c5ebb9a9237da17 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 31 Oct 2018 14:56:59 +0000
-Subject: [PATCH] media: tc358743: Increase FIFO level to 374.
-
-The existing fixed value of 16 worked for UYVY 720P60 over
-2 lanes at 594MHz, or UYVY 1080P60 over 4 lanes. (RGB888
-1080P60 needs 6 lanes at 594MHz).
-It doesn't allow for lower resolutions to work as the FIFO
-underflows.
-
-374 is required for 1080P24-30 UYVY over 2 lanes @ 972Mbit/s, but
->374 means that the FIFO underflows on 1080P50 UYVY over 2 lanes
-@ 972Mbit/s.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/media/i2c/tc358743.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/media/i2c/tc358743.c
-+++ b/drivers/media/i2c/tc358743.c
-@@ -1949,7 +1949,7 @@ static int tc358743_probe_of(struct tc35
-       state->pdata.ddc5v_delay = DDC5V_DELAY_100_MS;
-       state->pdata.enable_hdcp = false;
-       /* A FIFO level of 16 should be enough for 2-lane 720p60 at 594 MHz. */
--      state->pdata.fifo_level = 16;
-+      state->pdata.fifo_level = 374;
-       /*
-        * The PLL input clock is obtained by dividing refclk by pll_prd.
-        * It must be between 6 MHz and 40 MHz, lower frequency is better.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0153-media-tc358743-fix-connected-active-CSI-2-lane-repor.patch b/target/linux/bcm27xx/patches-5.4/950-0153-media-tc358743-fix-connected-active-CSI-2-lane-repor.patch
new file mode 100644 (file)
index 0000000..2357c87
--- /dev/null
@@ -0,0 +1,74 @@
+From d318c95f164150bee4dbd875c3d9354665738f17 Mon Sep 17 00:00:00 2001
+From: Philipp Zabel <p.zabel@pengutronix.de>
+Date: Thu, 21 Sep 2017 17:30:24 +0200
+Subject: [PATCH] media: tc358743: fix connected/active CSI-2 lane
+ reporting
+
+g_mbus_config was supposed to indicate all supported lane numbers, not
+only the number of those currently in active use. Since the TC358743
+can dynamically reduce the number of active lanes if the required
+bandwidth allows for it, report all lane numbers up to the connected
+number of lanes as supported in pdata mode.
+In device tree mode, do not report lane count and clock mode at all, as
+the receiver driver can determine these from the device tree.
+
+To allow communicating the number of currently active lanes, add a new
+bitfield to the v4l2_mbus_config flags. This is a temporary fix, to be
+used only until a better solution is found.
+
+Signed-off-by: Philipp Zabel <p.zabel@pengutronix.de>
+---
+ drivers/media/i2c/tc358743.c  | 14 ++++++++++++--
+ include/media/v4l2-mediabus.h |  8 ++++++++
+ 2 files changed, 20 insertions(+), 2 deletions(-)
+
+--- a/drivers/media/i2c/tc358743.c
++++ b/drivers/media/i2c/tc358743.c
+@@ -1608,11 +1608,20 @@ static int tc358743_g_mbus_config(struct
+                            struct v4l2_mbus_config *cfg)
+ {
+       struct tc358743_state *state = to_state(sd);
++      const u32 mask = V4L2_MBUS_CSI2_LANE_MASK;
++
++      if (state->csi_lanes_in_use > state->bus.num_data_lanes)
++              return -EINVAL;
+       cfg->type = V4L2_MBUS_CSI2_DPHY;
++      cfg->flags = (state->csi_lanes_in_use << __ffs(mask)) & mask;
++
++      /* In DT mode, only report the number of active lanes */
++      if (sd->dev->of_node)
++              return 0;
+-      /* Support for non-continuous CSI-2 clock is missing in the driver */
+-      cfg->flags = V4L2_MBUS_CSI2_CONTINUOUS_CLOCK;
++      /* Support for non-continuous CSI-2 clock is missing in pdate mode */
++      cfg->flags |= V4L2_MBUS_CSI2_CONTINUOUS_CLOCK;
+       switch (state->csi_lanes_in_use) {
+       case 1:
+@@ -2054,6 +2063,7 @@ static int tc358743_probe(struct i2c_cli
+       if (pdata) {
+               state->pdata = *pdata;
+               state->bus.flags = V4L2_MBUS_CSI2_CONTINUOUS_CLOCK;
++              state->bus.num_data_lanes = 4;
+       } else {
+               err = tc358743_probe_of(state);
+               if (err == -ENODEV)
+--- a/include/media/v4l2-mediabus.h
++++ b/include/media/v4l2-mediabus.h
+@@ -67,6 +67,14 @@
+                                        V4L2_MBUS_CSI2_CHANNEL_1 | \
+                                        V4L2_MBUS_CSI2_CHANNEL_2 | \
+                                        V4L2_MBUS_CSI2_CHANNEL_3)
++/*
++ * Number of lanes in use, 0 == use all available lanes (default)
++ *
++ * This is a temporary fix for devices that need to reduce the number of active
++ * lanes for certain modes, until g_mbus_config() can be replaced with a better
++ * solution.
++ */
++#define V4L2_MBUS_CSI2_LANE_MASK                (0xf << 10)
+ /**
+  * enum v4l2_mbus_type - media bus type
diff --git a/target/linux/bcm27xx/patches-5.4/950-0154-media-tc358743-Add-support-for-972Mbit-s-link-freq.patch b/target/linux/bcm27xx/patches-5.4/950-0154-media-tc358743-Add-support-for-972Mbit-s-link-freq.patch
new file mode 100644 (file)
index 0000000..18ee169
--- /dev/null
@@ -0,0 +1,79 @@
+From 9a379dd76a0369c09f1b613bdd869b6b0354a0f3 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 31 Oct 2018 14:57:21 +0000
+Subject: [PATCH] media: tc358743: Add support for 972Mbit/s link freq.
+
+Adds register setups for running the CSI lanes at 972Mbit/s,
+which allows 1080P50 UYVY down 2 lanes.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/media/i2c/tc358743.c | 47 +++++++++++++++++++++++++-----------
+ 1 file changed, 33 insertions(+), 14 deletions(-)
+
+--- a/drivers/media/i2c/tc358743.c
++++ b/drivers/media/i2c/tc358743.c
+@@ -1978,6 +1978,7 @@ static int tc358743_probe_of(struct tc35
+       /*
+        * The CSI bps per lane must be between 62.5 Mbps and 1 Gbps.
+        * The default is 594 Mbps for 4-lane 1080p60 or 2-lane 720p60.
++       * 972 Mbps allows 1080P50 UYVY over 2-lane.
+        */
+       bps_pr_lane = 2 * endpoint.link_frequencies[0];
+       if (bps_pr_lane < 62500000U || bps_pr_lane > 1000000000U) {
+@@ -1990,23 +1991,41 @@ static int tc358743_probe_of(struct tc35
+                              state->pdata.refclk_hz * state->pdata.pll_prd;
+       /*
+-       * FIXME: These timings are from REF_02 for 594 Mbps per lane (297 MHz
+-       * link frequency). In principle it should be possible to calculate
++       * FIXME: These timings are from REF_02 for 594 or 972 Mbps per lane
++       * (297 MHz or 486 MHz link frequency).
++       * In principle it should be possible to calculate
+        * them based on link frequency and resolution.
+        */
+-      if (bps_pr_lane != 594000000U)
++      switch (bps_pr_lane) {
++      default:
+               dev_warn(dev, "untested bps per lane: %u bps\n", bps_pr_lane);
+-      state->pdata.lineinitcnt = 0xe80;
+-      state->pdata.lptxtimecnt = 0x003;
+-      /* tclk-preparecnt: 3, tclk-zerocnt: 20 */
+-      state->pdata.tclk_headercnt = 0x1403;
+-      state->pdata.tclk_trailcnt = 0x00;
+-      /* ths-preparecnt: 3, ths-zerocnt: 1 */
+-      state->pdata.ths_headercnt = 0x0103;
+-      state->pdata.twakeup = 0x4882;
+-      state->pdata.tclk_postcnt = 0x008;
+-      state->pdata.ths_trailcnt = 0x2;
+-      state->pdata.hstxvregcnt = 0;
++      case 594000000U:
++              state->pdata.lineinitcnt = 0xe80;
++              state->pdata.lptxtimecnt = 0x003;
++              /* tclk-preparecnt: 3, tclk-zerocnt: 20 */
++              state->pdata.tclk_headercnt = 0x1403;
++              state->pdata.tclk_trailcnt = 0x00;
++              /* ths-preparecnt: 3, ths-zerocnt: 1 */
++              state->pdata.ths_headercnt = 0x0103;
++              state->pdata.twakeup = 0x4882;
++              state->pdata.tclk_postcnt = 0x008;
++              state->pdata.ths_trailcnt = 0x2;
++              state->pdata.hstxvregcnt = 0;
++              break;
++      case 972000000U:
++              state->pdata.lineinitcnt = 0x1b58;
++              state->pdata.lptxtimecnt = 0x007;
++              /* tclk-preparecnt: 6, tclk-zerocnt: 40 */
++              state->pdata.tclk_headercnt = 0x2806;
++              state->pdata.tclk_trailcnt = 0x00;
++              /* ths-preparecnt: 6, ths-zerocnt: 8 */
++              state->pdata.ths_headercnt = 0x0806;
++              state->pdata.twakeup = 0x4268;
++              state->pdata.tclk_postcnt = 0x008;
++              state->pdata.ths_trailcnt = 0x5;
++              state->pdata.hstxvregcnt = 0;
++              break;
++      }
+       state->reset_gpio = devm_gpiod_get_optional(dev, "reset",
+                                                   GPIOD_OUT_LOW);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0154-media-tc358743-fix-connected-active-CSI-2-lane-repor.patch b/target/linux/bcm27xx/patches-5.4/950-0154-media-tc358743-fix-connected-active-CSI-2-lane-repor.patch
deleted file mode 100644 (file)
index 2357c87..0000000
+++ /dev/null
@@ -1,74 +0,0 @@
-From d318c95f164150bee4dbd875c3d9354665738f17 Mon Sep 17 00:00:00 2001
-From: Philipp Zabel <p.zabel@pengutronix.de>
-Date: Thu, 21 Sep 2017 17:30:24 +0200
-Subject: [PATCH] media: tc358743: fix connected/active CSI-2 lane
- reporting
-
-g_mbus_config was supposed to indicate all supported lane numbers, not
-only the number of those currently in active use. Since the TC358743
-can dynamically reduce the number of active lanes if the required
-bandwidth allows for it, report all lane numbers up to the connected
-number of lanes as supported in pdata mode.
-In device tree mode, do not report lane count and clock mode at all, as
-the receiver driver can determine these from the device tree.
-
-To allow communicating the number of currently active lanes, add a new
-bitfield to the v4l2_mbus_config flags. This is a temporary fix, to be
-used only until a better solution is found.
-
-Signed-off-by: Philipp Zabel <p.zabel@pengutronix.de>
----
- drivers/media/i2c/tc358743.c  | 14 ++++++++++++--
- include/media/v4l2-mediabus.h |  8 ++++++++
- 2 files changed, 20 insertions(+), 2 deletions(-)
-
---- a/drivers/media/i2c/tc358743.c
-+++ b/drivers/media/i2c/tc358743.c
-@@ -1608,11 +1608,20 @@ static int tc358743_g_mbus_config(struct
-                            struct v4l2_mbus_config *cfg)
- {
-       struct tc358743_state *state = to_state(sd);
-+      const u32 mask = V4L2_MBUS_CSI2_LANE_MASK;
-+
-+      if (state->csi_lanes_in_use > state->bus.num_data_lanes)
-+              return -EINVAL;
-       cfg->type = V4L2_MBUS_CSI2_DPHY;
-+      cfg->flags = (state->csi_lanes_in_use << __ffs(mask)) & mask;
-+
-+      /* In DT mode, only report the number of active lanes */
-+      if (sd->dev->of_node)
-+              return 0;
--      /* Support for non-continuous CSI-2 clock is missing in the driver */
--      cfg->flags = V4L2_MBUS_CSI2_CONTINUOUS_CLOCK;
-+      /* Support for non-continuous CSI-2 clock is missing in pdate mode */
-+      cfg->flags |= V4L2_MBUS_CSI2_CONTINUOUS_CLOCK;
-       switch (state->csi_lanes_in_use) {
-       case 1:
-@@ -2054,6 +2063,7 @@ static int tc358743_probe(struct i2c_cli
-       if (pdata) {
-               state->pdata = *pdata;
-               state->bus.flags = V4L2_MBUS_CSI2_CONTINUOUS_CLOCK;
-+              state->bus.num_data_lanes = 4;
-       } else {
-               err = tc358743_probe_of(state);
-               if (err == -ENODEV)
---- a/include/media/v4l2-mediabus.h
-+++ b/include/media/v4l2-mediabus.h
-@@ -67,6 +67,14 @@
-                                        V4L2_MBUS_CSI2_CHANNEL_1 | \
-                                        V4L2_MBUS_CSI2_CHANNEL_2 | \
-                                        V4L2_MBUS_CSI2_CHANNEL_3)
-+/*
-+ * Number of lanes in use, 0 == use all available lanes (default)
-+ *
-+ * This is a temporary fix for devices that need to reduce the number of active
-+ * lanes for certain modes, until g_mbus_config() can be replaced with a better
-+ * solution.
-+ */
-+#define V4L2_MBUS_CSI2_LANE_MASK                (0xf << 10)
- /**
-  * enum v4l2_mbus_type - media bus type
diff --git a/target/linux/bcm27xx/patches-5.4/950-0155-media-tc358743-Add-support-for-972Mbit-s-link-freq.patch b/target/linux/bcm27xx/patches-5.4/950-0155-media-tc358743-Add-support-for-972Mbit-s-link-freq.patch
deleted file mode 100644 (file)
index 18ee169..0000000
+++ /dev/null
@@ -1,79 +0,0 @@
-From 9a379dd76a0369c09f1b613bdd869b6b0354a0f3 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 31 Oct 2018 14:57:21 +0000
-Subject: [PATCH] media: tc358743: Add support for 972Mbit/s link freq.
-
-Adds register setups for running the CSI lanes at 972Mbit/s,
-which allows 1080P50 UYVY down 2 lanes.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/media/i2c/tc358743.c | 47 +++++++++++++++++++++++++-----------
- 1 file changed, 33 insertions(+), 14 deletions(-)
-
---- a/drivers/media/i2c/tc358743.c
-+++ b/drivers/media/i2c/tc358743.c
-@@ -1978,6 +1978,7 @@ static int tc358743_probe_of(struct tc35
-       /*
-        * The CSI bps per lane must be between 62.5 Mbps and 1 Gbps.
-        * The default is 594 Mbps for 4-lane 1080p60 or 2-lane 720p60.
-+       * 972 Mbps allows 1080P50 UYVY over 2-lane.
-        */
-       bps_pr_lane = 2 * endpoint.link_frequencies[0];
-       if (bps_pr_lane < 62500000U || bps_pr_lane > 1000000000U) {
-@@ -1990,23 +1991,41 @@ static int tc358743_probe_of(struct tc35
-                              state->pdata.refclk_hz * state->pdata.pll_prd;
-       /*
--       * FIXME: These timings are from REF_02 for 594 Mbps per lane (297 MHz
--       * link frequency). In principle it should be possible to calculate
-+       * FIXME: These timings are from REF_02 for 594 or 972 Mbps per lane
-+       * (297 MHz or 486 MHz link frequency).
-+       * In principle it should be possible to calculate
-        * them based on link frequency and resolution.
-        */
--      if (bps_pr_lane != 594000000U)
-+      switch (bps_pr_lane) {
-+      default:
-               dev_warn(dev, "untested bps per lane: %u bps\n", bps_pr_lane);
--      state->pdata.lineinitcnt = 0xe80;
--      state->pdata.lptxtimecnt = 0x003;
--      /* tclk-preparecnt: 3, tclk-zerocnt: 20 */
--      state->pdata.tclk_headercnt = 0x1403;
--      state->pdata.tclk_trailcnt = 0x00;
--      /* ths-preparecnt: 3, ths-zerocnt: 1 */
--      state->pdata.ths_headercnt = 0x0103;
--      state->pdata.twakeup = 0x4882;
--      state->pdata.tclk_postcnt = 0x008;
--      state->pdata.ths_trailcnt = 0x2;
--      state->pdata.hstxvregcnt = 0;
-+      case 594000000U:
-+              state->pdata.lineinitcnt = 0xe80;
-+              state->pdata.lptxtimecnt = 0x003;
-+              /* tclk-preparecnt: 3, tclk-zerocnt: 20 */
-+              state->pdata.tclk_headercnt = 0x1403;
-+              state->pdata.tclk_trailcnt = 0x00;
-+              /* ths-preparecnt: 3, ths-zerocnt: 1 */
-+              state->pdata.ths_headercnt = 0x0103;
-+              state->pdata.twakeup = 0x4882;
-+              state->pdata.tclk_postcnt = 0x008;
-+              state->pdata.ths_trailcnt = 0x2;
-+              state->pdata.hstxvregcnt = 0;
-+              break;
-+      case 972000000U:
-+              state->pdata.lineinitcnt = 0x1b58;
-+              state->pdata.lptxtimecnt = 0x007;
-+              /* tclk-preparecnt: 6, tclk-zerocnt: 40 */
-+              state->pdata.tclk_headercnt = 0x2806;
-+              state->pdata.tclk_trailcnt = 0x00;
-+              /* ths-preparecnt: 6, ths-zerocnt: 8 */
-+              state->pdata.ths_headercnt = 0x0806;
-+              state->pdata.twakeup = 0x4268;
-+              state->pdata.tclk_postcnt = 0x008;
-+              state->pdata.ths_trailcnt = 0x5;
-+              state->pdata.hstxvregcnt = 0;
-+              break;
-+      }
-       state->reset_gpio = devm_gpiod_get_optional(dev, "reset",
-                                                   GPIOD_OUT_LOW);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0155-media-tc358743-Check-I2C-succeeded-during-probe.patch b/target/linux/bcm27xx/patches-5.4/950-0155-media-tc358743-Check-I2C-succeeded-during-probe.patch
new file mode 100644 (file)
index 0000000..653602b
--- /dev/null
@@ -0,0 +1,98 @@
+From f83a4396237e96da7a2aa3bfc2de1c928b128e6c Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 31 Oct 2018 14:57:34 +0000
+Subject: [PATCH] media: tc358743: Check I2C succeeded during probe.
+
+The probe for the TC358743 reads the CHIPID register from
+the device and compares it to the expected value of 0.
+If the I2C request fails then that also returns 0, so
+the driver loads thinking that the device is there.
+
+Generally I2C communications are reliable so there is
+limited need to check the return value on every transfer,
+therefore only amend the one read during probe to check
+for I2C errors.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/media/i2c/tc358743.c | 27 +++++++++++++++++++++++----
+ 1 file changed, 23 insertions(+), 4 deletions(-)
+
+--- a/drivers/media/i2c/tc358743.c
++++ b/drivers/media/i2c/tc358743.c
+@@ -110,7 +110,7 @@ static inline struct tc358743_state *to_
+ /* --------------- I2C --------------- */
+-static void i2c_rd(struct v4l2_subdev *sd, u16 reg, u8 *values, u32 n)
++static int i2c_rd(struct v4l2_subdev *sd, u16 reg, u8 *values, u32 n)
+ {
+       struct tc358743_state *state = to_state(sd);
+       struct i2c_client *client = state->i2c_client;
+@@ -136,6 +136,7 @@ static void i2c_rd(struct v4l2_subdev *s
+               v4l2_err(sd, "%s: reading register 0x%x from 0x%x failed\n",
+                               __func__, reg, client->addr);
+       }
++      return err != ARRAY_SIZE(msgs);
+ }
+ static void i2c_wr(struct v4l2_subdev *sd, u16 reg, u8 *values, u32 n)
+@@ -192,15 +193,24 @@ static void i2c_wr(struct v4l2_subdev *s
+       }
+ }
+-static noinline u32 i2c_rdreg(struct v4l2_subdev *sd, u16 reg, u32 n)
++static noinline u32 i2c_rdreg_err(struct v4l2_subdev *sd, u16 reg, u32 n,
++                                int *err)
+ {
++      int error;
+       __le32 val = 0;
+-      i2c_rd(sd, reg, (u8 __force *)&val, n);
++      error = i2c_rd(sd, reg, (u8 __force *)&val, n);
++      if (err)
++              *err = error;
+       return le32_to_cpu(val);
+ }
++static inline u32 i2c_rdreg(struct v4l2_subdev *sd, u16 reg, u32 n)
++{
++      return i2c_rdreg_err(sd, reg, n, NULL);
++}
++
+ static noinline void i2c_wrreg(struct v4l2_subdev *sd, u16 reg, u32 val, u32 n)
+ {
+       __le32 raw = cpu_to_le32(val);
+@@ -229,6 +239,13 @@ static u16 i2c_rd16(struct v4l2_subdev *
+       return i2c_rdreg(sd, reg, 2);
+ }
++static int i2c_rd16_err(struct v4l2_subdev *sd, u16 reg, u16 *value)
++{
++      int err;
++      *value = i2c_rdreg_err(sd, reg, 2, &err);
++      return err;
++}
++
+ static void i2c_wr16(struct v4l2_subdev *sd, u16 reg, u16 val)
+ {
+       i2c_wrreg(sd, reg, val, 2);
+@@ -2064,6 +2081,7 @@ static int tc358743_probe(struct i2c_cli
+       struct tc358743_platform_data *pdata = client->dev.platform_data;
+       struct v4l2_subdev *sd;
+       u16 irq_mask = MASK_HDMI_MSK | MASK_CSI_MSK;
++      u16 chipid;
+       int err;
+       if (!i2c_check_functionality(client->adapter, I2C_FUNC_SMBUS_BYTE_DATA))
+@@ -2096,7 +2114,8 @@ static int tc358743_probe(struct i2c_cli
+       sd->flags |= V4L2_SUBDEV_FL_HAS_DEVNODE | V4L2_SUBDEV_FL_HAS_EVENTS;
+       /* i2c access */
+-      if ((i2c_rd16(sd, CHIPID) & MASK_CHIPID) != 0) {
++      if (i2c_rd16_err(sd, CHIPID, &chipid) ||
++          (chipid & MASK_CHIPID) != 0) {
+               v4l2_info(sd, "not a TC358743 on address 0x%x\n",
+                         client->addr << 1);
+               return -ENODEV;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0156-media-adv7180-Default-to-the-first-valid-input.patch b/target/linux/bcm27xx/patches-5.4/950-0156-media-adv7180-Default-to-the-first-valid-input.patch
new file mode 100644 (file)
index 0000000..7e2066b
--- /dev/null
@@ -0,0 +1,45 @@
+From b1d3f1c74057db79c45a11ed9ce0fc1e24d67401 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 31 Oct 2018 14:57:46 +0000
+Subject: [PATCH] media: adv7180: Default to the first valid input
+
+The hardware default is differential CVBS on AIN1 & 2, which
+isn't very useful.
+
+Select the first input that is defined as valid for the
+chip variant (typically CVBS_AIN1).
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/media/i2c/adv7180.c | 13 +++++++++++++
+ 1 file changed, 13 insertions(+)
+
+--- a/drivers/media/i2c/adv7180.c
++++ b/drivers/media/i2c/adv7180.c
+@@ -1246,6 +1246,7 @@ static const struct adv7180_chip_info ad
+ static int init_device(struct adv7180_state *state)
+ {
+       int ret;
++      int i;
+       mutex_lock(&state->mutex);
+@@ -1292,6 +1293,18 @@ static int init_device(struct adv7180_st
+                       goto out_unlock;
+       }
++      /* Select first valid input */
++      for (i = 0; i < 32; i++) {
++              if (BIT(i) & state->chip_info->valid_input_mask) {
++                      ret = state->chip_info->select_input(state, i);
++
++                      if (ret == 0) {
++                              state->input = i;
++                              break;
++                      }
++              }
++      }
++
+ out_unlock:
+       mutex_unlock(&state->mutex);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0156-media-tc358743-Check-I2C-succeeded-during-probe.patch b/target/linux/bcm27xx/patches-5.4/950-0156-media-tc358743-Check-I2C-succeeded-during-probe.patch
deleted file mode 100644 (file)
index 653602b..0000000
+++ /dev/null
@@ -1,98 +0,0 @@
-From f83a4396237e96da7a2aa3bfc2de1c928b128e6c Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 31 Oct 2018 14:57:34 +0000
-Subject: [PATCH] media: tc358743: Check I2C succeeded during probe.
-
-The probe for the TC358743 reads the CHIPID register from
-the device and compares it to the expected value of 0.
-If the I2C request fails then that also returns 0, so
-the driver loads thinking that the device is there.
-
-Generally I2C communications are reliable so there is
-limited need to check the return value on every transfer,
-therefore only amend the one read during probe to check
-for I2C errors.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/media/i2c/tc358743.c | 27 +++++++++++++++++++++++----
- 1 file changed, 23 insertions(+), 4 deletions(-)
-
---- a/drivers/media/i2c/tc358743.c
-+++ b/drivers/media/i2c/tc358743.c
-@@ -110,7 +110,7 @@ static inline struct tc358743_state *to_
- /* --------------- I2C --------------- */
--static void i2c_rd(struct v4l2_subdev *sd, u16 reg, u8 *values, u32 n)
-+static int i2c_rd(struct v4l2_subdev *sd, u16 reg, u8 *values, u32 n)
- {
-       struct tc358743_state *state = to_state(sd);
-       struct i2c_client *client = state->i2c_client;
-@@ -136,6 +136,7 @@ static void i2c_rd(struct v4l2_subdev *s
-               v4l2_err(sd, "%s: reading register 0x%x from 0x%x failed\n",
-                               __func__, reg, client->addr);
-       }
-+      return err != ARRAY_SIZE(msgs);
- }
- static void i2c_wr(struct v4l2_subdev *sd, u16 reg, u8 *values, u32 n)
-@@ -192,15 +193,24 @@ static void i2c_wr(struct v4l2_subdev *s
-       }
- }
--static noinline u32 i2c_rdreg(struct v4l2_subdev *sd, u16 reg, u32 n)
-+static noinline u32 i2c_rdreg_err(struct v4l2_subdev *sd, u16 reg, u32 n,
-+                                int *err)
- {
-+      int error;
-       __le32 val = 0;
--      i2c_rd(sd, reg, (u8 __force *)&val, n);
-+      error = i2c_rd(sd, reg, (u8 __force *)&val, n);
-+      if (err)
-+              *err = error;
-       return le32_to_cpu(val);
- }
-+static inline u32 i2c_rdreg(struct v4l2_subdev *sd, u16 reg, u32 n)
-+{
-+      return i2c_rdreg_err(sd, reg, n, NULL);
-+}
-+
- static noinline void i2c_wrreg(struct v4l2_subdev *sd, u16 reg, u32 val, u32 n)
- {
-       __le32 raw = cpu_to_le32(val);
-@@ -229,6 +239,13 @@ static u16 i2c_rd16(struct v4l2_subdev *
-       return i2c_rdreg(sd, reg, 2);
- }
-+static int i2c_rd16_err(struct v4l2_subdev *sd, u16 reg, u16 *value)
-+{
-+      int err;
-+      *value = i2c_rdreg_err(sd, reg, 2, &err);
-+      return err;
-+}
-+
- static void i2c_wr16(struct v4l2_subdev *sd, u16 reg, u16 val)
- {
-       i2c_wrreg(sd, reg, val, 2);
-@@ -2064,6 +2081,7 @@ static int tc358743_probe(struct i2c_cli
-       struct tc358743_platform_data *pdata = client->dev.platform_data;
-       struct v4l2_subdev *sd;
-       u16 irq_mask = MASK_HDMI_MSK | MASK_CSI_MSK;
-+      u16 chipid;
-       int err;
-       if (!i2c_check_functionality(client->adapter, I2C_FUNC_SMBUS_BYTE_DATA))
-@@ -2096,7 +2114,8 @@ static int tc358743_probe(struct i2c_cli
-       sd->flags |= V4L2_SUBDEV_FL_HAS_DEVNODE | V4L2_SUBDEV_FL_HAS_EVENTS;
-       /* i2c access */
--      if ((i2c_rd16(sd, CHIPID) & MASK_CHIPID) != 0) {
-+      if (i2c_rd16_err(sd, CHIPID, &chipid) ||
-+          (chipid & MASK_CHIPID) != 0) {
-               v4l2_info(sd, "not a TC358743 on address 0x%x\n",
-                         client->addr << 1);
-               return -ENODEV;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0157-media-adv7180-Add-YPrPb-support-for-ADV7282M.patch b/target/linux/bcm27xx/patches-5.4/950-0157-media-adv7180-Add-YPrPb-support-for-ADV7282M.patch
new file mode 100644 (file)
index 0000000..75323fc
--- /dev/null
@@ -0,0 +1,24 @@
+From b1a4a23406727f112b9a296b0231ec4d1b99d6e0 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 31 Oct 2018 14:57:56 +0000
+Subject: [PATCH] media: adv7180: Add YPrPb support for ADV7282M
+
+The ADV7282M can support YPbPr on AIN1-3, but this was
+not selectable from the driver. Add it to the list of
+supported input modes.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/media/i2c/adv7180.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/media/i2c/adv7180.c
++++ b/drivers/media/i2c/adv7180.c
+@@ -1235,6 +1235,7 @@ static const struct adv7180_chip_info ad
+               BIT(ADV7182_INPUT_SVIDEO_AIN1_AIN2) |
+               BIT(ADV7182_INPUT_SVIDEO_AIN3_AIN4) |
+               BIT(ADV7182_INPUT_SVIDEO_AIN7_AIN8) |
++              BIT(ADV7182_INPUT_YPRPB_AIN1_AIN2_AIN3) |
+               BIT(ADV7182_INPUT_DIFF_CVBS_AIN1_AIN2) |
+               BIT(ADV7182_INPUT_DIFF_CVBS_AIN3_AIN4) |
+               BIT(ADV7182_INPUT_DIFF_CVBS_AIN7_AIN8),
diff --git a/target/linux/bcm27xx/patches-5.4/950-0157-media-adv7180-Default-to-the-first-valid-input.patch b/target/linux/bcm27xx/patches-5.4/950-0157-media-adv7180-Default-to-the-first-valid-input.patch
deleted file mode 100644 (file)
index 7e2066b..0000000
+++ /dev/null
@@ -1,45 +0,0 @@
-From b1d3f1c74057db79c45a11ed9ce0fc1e24d67401 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 31 Oct 2018 14:57:46 +0000
-Subject: [PATCH] media: adv7180: Default to the first valid input
-
-The hardware default is differential CVBS on AIN1 & 2, which
-isn't very useful.
-
-Select the first input that is defined as valid for the
-chip variant (typically CVBS_AIN1).
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/media/i2c/adv7180.c | 13 +++++++++++++
- 1 file changed, 13 insertions(+)
-
---- a/drivers/media/i2c/adv7180.c
-+++ b/drivers/media/i2c/adv7180.c
-@@ -1246,6 +1246,7 @@ static const struct adv7180_chip_info ad
- static int init_device(struct adv7180_state *state)
- {
-       int ret;
-+      int i;
-       mutex_lock(&state->mutex);
-@@ -1292,6 +1293,18 @@ static int init_device(struct adv7180_st
-                       goto out_unlock;
-       }
-+      /* Select first valid input */
-+      for (i = 0; i < 32; i++) {
-+              if (BIT(i) & state->chip_info->valid_input_mask) {
-+                      ret = state->chip_info->select_input(state, i);
-+
-+                      if (ret == 0) {
-+                              state->input = i;
-+                              break;
-+                      }
-+              }
-+      }
-+
- out_unlock:
-       mutex_unlock(&state->mutex);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0158-media-adv7180-Add-YPrPb-support-for-ADV7282M.patch b/target/linux/bcm27xx/patches-5.4/950-0158-media-adv7180-Add-YPrPb-support-for-ADV7282M.patch
deleted file mode 100644 (file)
index 75323fc..0000000
+++ /dev/null
@@ -1,24 +0,0 @@
-From b1a4a23406727f112b9a296b0231ec4d1b99d6e0 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 31 Oct 2018 14:57:56 +0000
-Subject: [PATCH] media: adv7180: Add YPrPb support for ADV7282M
-
-The ADV7282M can support YPbPr on AIN1-3, but this was
-not selectable from the driver. Add it to the list of
-supported input modes.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/media/i2c/adv7180.c | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/drivers/media/i2c/adv7180.c
-+++ b/drivers/media/i2c/adv7180.c
-@@ -1235,6 +1235,7 @@ static const struct adv7180_chip_info ad
-               BIT(ADV7182_INPUT_SVIDEO_AIN1_AIN2) |
-               BIT(ADV7182_INPUT_SVIDEO_AIN3_AIN4) |
-               BIT(ADV7182_INPUT_SVIDEO_AIN7_AIN8) |
-+              BIT(ADV7182_INPUT_YPRPB_AIN1_AIN2_AIN3) |
-               BIT(ADV7182_INPUT_DIFF_CVBS_AIN1_AIN2) |
-               BIT(ADV7182_INPUT_DIFF_CVBS_AIN3_AIN4) |
-               BIT(ADV7182_INPUT_DIFF_CVBS_AIN7_AIN8),
diff --git a/target/linux/bcm27xx/patches-5.4/950-0158-media-videodev2-Add-helper-defines-for-printing-FOUR.patch b/target/linux/bcm27xx/patches-5.4/950-0158-media-videodev2-Add-helper-defines-for-printing-FOUR.patch
new file mode 100644 (file)
index 0000000..683e561
--- /dev/null
@@ -0,0 +1,28 @@
+From be9b0a589271cd3e998e6851900323443f94ef17 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 31 Oct 2018 14:58:08 +0000
+Subject: [PATCH] media: videodev2: Add helper defines for printing
+ FOURCCs
+
+New helper defines that allow printing of a FOURCC using
+printf(V4L2_FOURCC_CONV, V4L2_FOURCC_CONV_ARGS(fourcc));
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ include/uapi/linux/videodev2.h | 5 +++++
+ 1 file changed, 5 insertions(+)
+
+--- a/include/uapi/linux/videodev2.h
++++ b/include/uapi/linux/videodev2.h
+@@ -82,6 +82,11 @@
+       ((__u32)(a) | ((__u32)(b) << 8) | ((__u32)(c) << 16) | ((__u32)(d) << 24))
+ #define v4l2_fourcc_be(a, b, c, d)    (v4l2_fourcc(a, b, c, d) | (1U << 31))
++#define V4L2_FOURCC_CONV "%c%c%c%c%s"
++#define V4L2_FOURCC_CONV_ARGS(fourcc) \
++      (fourcc) & 0x7f, ((fourcc) >> 8) & 0x7f, ((fourcc) >> 16) & 0x7f, \
++      ((fourcc) >> 24) & 0x7f, (fourcc) & BIT(31) ? "-BE" : ""
++
+ /*
+  *    E N U M S
+  */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0159-dt-bindings-Document-BCM283x-CSI2-CCP2-receiver.patch b/target/linux/bcm27xx/patches-5.4/950-0159-dt-bindings-Document-BCM283x-CSI2-CCP2-receiver.patch
new file mode 100644 (file)
index 0000000..d091a14
--- /dev/null
@@ -0,0 +1,103 @@
+From a9fd19f2fc9c3f067ea32e53f84c9e83b8f910c5 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 31 Oct 2018 14:59:06 +0000
+Subject: [PATCH] dt-bindings: Document BCM283x CSI2/CCP2 receiver
+
+Document the DT bindings for the CSI2/CCP2 receiver peripheral
+(known as Unicam) on BCM283x SoCs.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Acked-by: Rob Herring <robh@kernel.org>
+---
+ .../bindings/media/bcm2835-unicam.txt         | 85 +++++++++++++++++++
+ 1 file changed, 85 insertions(+)
+ create mode 100644 Documentation/devicetree/bindings/media/bcm2835-unicam.txt
+
+--- /dev/null
++++ b/Documentation/devicetree/bindings/media/bcm2835-unicam.txt
+@@ -0,0 +1,85 @@
++Broadcom BCM283x Camera Interface (Unicam)
++------------------------------------------
++
++The Unicam block on BCM283x SoCs is the receiver for either
++CSI-2 or CCP2 data from image sensors or similar devices.
++
++The main platform using this SoC is the Raspberry Pi family of boards.
++On the Pi the VideoCore firmware can also control this hardware block,
++and driving it from two different processors will cause issues.
++To avoid this, the firmware checks the device tree configuration
++during boot. If it finds device tree nodes called csi0 or csi1 then
++it will stop the firmware accessing the block, and it can then
++safely be used via the device tree binding.
++
++Required properties:
++===================
++- compatible  : must be "brcm,bcm2835-unicam".
++- reg         : physical base address and length of the register sets for the
++                device.
++- interrupts  : should contain the IRQ line for this Unicam instance.
++- clocks      : list of clock specifiers, corresponding to entries in
++                clock-names property.
++- clock-names : must contain an "lp" entry, matching entries in the
++                clocks property.
++
++Unicam supports a single port node. It should contain one 'port' child node
++with child 'endpoint' node. Please refer to the bindings defined in
++Documentation/devicetree/bindings/media/video-interfaces.txt.
++
++Within the endpoint node the "remote-endpoint" and "data-lanes" properties
++are mandatory.
++Data lane reordering is not supported so the data lanes must be in order,
++starting at 1. The number of data lanes should represent the number of
++usable lanes for the hardware block. That may be limited by either the SoC or
++how the platform presents the interface, and the lower value must be used.
++
++Lane reordering is not supported on the clock lane either, so the optional
++property "clock-lane" will implicitly be <0>.
++Similarly lane inversion is not supported, therefore "lane-polarities" will
++implicitly be <0 0 0 0 0>.
++Neither of these values will be checked.
++
++Example:
++      csi1: csi1@7e801000 {
++              compatible = "brcm,bcm2835-unicam";
++              reg = <0x7e801000 0x800>,
++                    <0x7e802004 0x4>;
++              interrupts = <2 7>;
++              clocks = <&clocks BCM2835_CLOCK_CAM1>;
++              clock-names = "lp";
++
++              port {
++                      csi1_ep: endpoint {
++                              remote-endpoint = <&tc358743_0>;
++                              data-lanes = <1 2>;
++                      };
++              };
++      };
++
++      i2c0: i2c@7e205000 {
++              tc358743: csi-hdmi-bridge@0f {
++                      compatible = "toshiba,tc358743";
++                      reg = <0x0f>;
++
++                      clocks = <&tc358743_clk>;
++                      clock-names = "refclk";
++
++                      tc358743_clk: bridge-clk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <27000000>;
++                      };
++
++                      port {
++                              tc358743_0: endpoint {
++                                      remote-endpoint = <&csi1_ep>;
++                                      clock-lanes = <0>;
++                                      data-lanes = <1 2>;
++                                      clock-noncontinuous;
++                                      link-frequencies =
++                                              /bits/ 64 <297000000>;
++                              };
++                      };
++              };
++      };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0159-media-videodev2-Add-helper-defines-for-printing-FOUR.patch b/target/linux/bcm27xx/patches-5.4/950-0159-media-videodev2-Add-helper-defines-for-printing-FOUR.patch
deleted file mode 100644 (file)
index 683e561..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From be9b0a589271cd3e998e6851900323443f94ef17 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 31 Oct 2018 14:58:08 +0000
-Subject: [PATCH] media: videodev2: Add helper defines for printing
- FOURCCs
-
-New helper defines that allow printing of a FOURCC using
-printf(V4L2_FOURCC_CONV, V4L2_FOURCC_CONV_ARGS(fourcc));
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- include/uapi/linux/videodev2.h | 5 +++++
- 1 file changed, 5 insertions(+)
-
---- a/include/uapi/linux/videodev2.h
-+++ b/include/uapi/linux/videodev2.h
-@@ -82,6 +82,11 @@
-       ((__u32)(a) | ((__u32)(b) << 8) | ((__u32)(c) << 16) | ((__u32)(d) << 24))
- #define v4l2_fourcc_be(a, b, c, d)    (v4l2_fourcc(a, b, c, d) | (1U << 31))
-+#define V4L2_FOURCC_CONV "%c%c%c%c%s"
-+#define V4L2_FOURCC_CONV_ARGS(fourcc) \
-+      (fourcc) & 0x7f, ((fourcc) >> 8) & 0x7f, ((fourcc) >> 16) & 0x7f, \
-+      ((fourcc) >> 24) & 0x7f, (fourcc) & BIT(31) ? "-BE" : ""
-+
- /*
-  *    E N U M S
-  */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0160-MAINTAINERS-Add-entry-for-BCM2835-Unicam-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0160-MAINTAINERS-Add-entry-for-BCM2835-Unicam-driver.patch
new file mode 100644 (file)
index 0000000..4b8b3aa
--- /dev/null
@@ -0,0 +1,28 @@
+From daa92f5f17a45cbe99afc4d655768f3564fff5f1 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 31 Oct 2018 14:59:40 +0000
+Subject: [PATCH] MAINTAINERS: Add entry for BCM2835 Unicam driver
+
+Adds entry for the new BCM2835 Unicam (CSI-2 receiver) driver
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ MAINTAINERS | 7 +++++++
+ 1 file changed, 7 insertions(+)
+
+--- a/MAINTAINERS
++++ b/MAINTAINERS
+@@ -3198,6 +3198,13 @@ N:      bcm2711
+ N:    bcm2835
+ F:    drivers/staging/vc04_services
++BROADCOM BCM2835 CAMERA DRIVER
++M:    Dave Stevenson <dave.stevenson@raspberrypi.org>
++L:    linux-media@vger.kernel.org
++S:    Maintained
++F:    drivers/media/platform/bcm2835/
++F:    Documentation/devicetree/bindings/media/bcm2835-unicam.txt
++
+ BROADCOM BCM47XX MIPS ARCHITECTURE
+ M:    Hauke Mehrtens <hauke@hauke-m.de>
+ M:    Rafał Miłecki <zajec5@gmail.com>
diff --git a/target/linux/bcm27xx/patches-5.4/950-0160-dt-bindings-Document-BCM283x-CSI2-CCP2-receiver.patch b/target/linux/bcm27xx/patches-5.4/950-0160-dt-bindings-Document-BCM283x-CSI2-CCP2-receiver.patch
deleted file mode 100644 (file)
index d091a14..0000000
+++ /dev/null
@@ -1,103 +0,0 @@
-From a9fd19f2fc9c3f067ea32e53f84c9e83b8f910c5 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 31 Oct 2018 14:59:06 +0000
-Subject: [PATCH] dt-bindings: Document BCM283x CSI2/CCP2 receiver
-
-Document the DT bindings for the CSI2/CCP2 receiver peripheral
-(known as Unicam) on BCM283x SoCs.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Acked-by: Rob Herring <robh@kernel.org>
----
- .../bindings/media/bcm2835-unicam.txt         | 85 +++++++++++++++++++
- 1 file changed, 85 insertions(+)
- create mode 100644 Documentation/devicetree/bindings/media/bcm2835-unicam.txt
-
---- /dev/null
-+++ b/Documentation/devicetree/bindings/media/bcm2835-unicam.txt
-@@ -0,0 +1,85 @@
-+Broadcom BCM283x Camera Interface (Unicam)
-+------------------------------------------
-+
-+The Unicam block on BCM283x SoCs is the receiver for either
-+CSI-2 or CCP2 data from image sensors or similar devices.
-+
-+The main platform using this SoC is the Raspberry Pi family of boards.
-+On the Pi the VideoCore firmware can also control this hardware block,
-+and driving it from two different processors will cause issues.
-+To avoid this, the firmware checks the device tree configuration
-+during boot. If it finds device tree nodes called csi0 or csi1 then
-+it will stop the firmware accessing the block, and it can then
-+safely be used via the device tree binding.
-+
-+Required properties:
-+===================
-+- compatible  : must be "brcm,bcm2835-unicam".
-+- reg         : physical base address and length of the register sets for the
-+                device.
-+- interrupts  : should contain the IRQ line for this Unicam instance.
-+- clocks      : list of clock specifiers, corresponding to entries in
-+                clock-names property.
-+- clock-names : must contain an "lp" entry, matching entries in the
-+                clocks property.
-+
-+Unicam supports a single port node. It should contain one 'port' child node
-+with child 'endpoint' node. Please refer to the bindings defined in
-+Documentation/devicetree/bindings/media/video-interfaces.txt.
-+
-+Within the endpoint node the "remote-endpoint" and "data-lanes" properties
-+are mandatory.
-+Data lane reordering is not supported so the data lanes must be in order,
-+starting at 1. The number of data lanes should represent the number of
-+usable lanes for the hardware block. That may be limited by either the SoC or
-+how the platform presents the interface, and the lower value must be used.
-+
-+Lane reordering is not supported on the clock lane either, so the optional
-+property "clock-lane" will implicitly be <0>.
-+Similarly lane inversion is not supported, therefore "lane-polarities" will
-+implicitly be <0 0 0 0 0>.
-+Neither of these values will be checked.
-+
-+Example:
-+      csi1: csi1@7e801000 {
-+              compatible = "brcm,bcm2835-unicam";
-+              reg = <0x7e801000 0x800>,
-+                    <0x7e802004 0x4>;
-+              interrupts = <2 7>;
-+              clocks = <&clocks BCM2835_CLOCK_CAM1>;
-+              clock-names = "lp";
-+
-+              port {
-+                      csi1_ep: endpoint {
-+                              remote-endpoint = <&tc358743_0>;
-+                              data-lanes = <1 2>;
-+                      };
-+              };
-+      };
-+
-+      i2c0: i2c@7e205000 {
-+              tc358743: csi-hdmi-bridge@0f {
-+                      compatible = "toshiba,tc358743";
-+                      reg = <0x0f>;
-+
-+                      clocks = <&tc358743_clk>;
-+                      clock-names = "refclk";
-+
-+                      tc358743_clk: bridge-clk {
-+                              compatible = "fixed-clock";
-+                              #clock-cells = <0>;
-+                              clock-frequency = <27000000>;
-+                      };
-+
-+                      port {
-+                              tc358743_0: endpoint {
-+                                      remote-endpoint = <&csi1_ep>;
-+                                      clock-lanes = <0>;
-+                                      data-lanes = <1 2>;
-+                                      clock-noncontinuous;
-+                                      link-frequencies =
-+                                              /bits/ 64 <297000000>;
-+                              };
-+                      };
-+              };
-+      };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0161-MAINTAINERS-Add-entry-for-BCM2835-Unicam-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0161-MAINTAINERS-Add-entry-for-BCM2835-Unicam-driver.patch
deleted file mode 100644 (file)
index 4b8b3aa..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From daa92f5f17a45cbe99afc4d655768f3564fff5f1 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 31 Oct 2018 14:59:40 +0000
-Subject: [PATCH] MAINTAINERS: Add entry for BCM2835 Unicam driver
-
-Adds entry for the new BCM2835 Unicam (CSI-2 receiver) driver
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- MAINTAINERS | 7 +++++++
- 1 file changed, 7 insertions(+)
-
---- a/MAINTAINERS
-+++ b/MAINTAINERS
-@@ -3198,6 +3198,13 @@ N:      bcm2711
- N:    bcm2835
- F:    drivers/staging/vc04_services
-+BROADCOM BCM2835 CAMERA DRIVER
-+M:    Dave Stevenson <dave.stevenson@raspberrypi.org>
-+L:    linux-media@vger.kernel.org
-+S:    Maintained
-+F:    drivers/media/platform/bcm2835/
-+F:    Documentation/devicetree/bindings/media/bcm2835-unicam.txt
-+
- BROADCOM BCM47XX MIPS ARCHITECTURE
- M:    Hauke Mehrtens <hauke@hauke-m.de>
- M:    Rafał Miłecki <zajec5@gmail.com>
diff --git a/target/linux/bcm27xx/patches-5.4/950-0161-media-tc358743-Return-an-appropriate-colorspace-from.patch b/target/linux/bcm27xx/patches-5.4/950-0161-media-tc358743-Return-an-appropriate-colorspace-from.patch
new file mode 100644 (file)
index 0000000..b5b9105
--- /dev/null
@@ -0,0 +1,98 @@
+From 929b5ddd10bc0ca10a7b815e40ac35092c5b812f Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 22 Nov 2018 17:31:06 +0000
+Subject: [PATCH] media: tc358743: Return an appropriate colorspace
+ from tc358743_set_fmt
+
+When calling tc358743_set_fmt, the code was calling tc358743_get_fmt
+to choose a valid format. However that sets the colorspace
+based on what was read back from the chip. When you set the format,
+then the driver would choose and program the colorspace based
+on the format code.
+
+The result was that if you called try or set format for UYVY
+when the current format was RGB3 then you would get told sRGB,
+and try RGB3 when current was UYVY and you would get told
+SMPTE170M.
+
+The value programmed into the chip is determined by this driver,
+therefore there is no need to read back the value. Return the
+colorspace based on the format set/tried instead.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/media/i2c/tc358743.c | 40 +++++++++++++-----------------------
+ 1 file changed, 14 insertions(+), 26 deletions(-)
+
+--- a/drivers/media/i2c/tc358743.c
++++ b/drivers/media/i2c/tc358743.c
+@@ -1690,12 +1690,23 @@ static int tc358743_enum_mbus_code(struc
+       return 0;
+ }
++static u32 tc358743_g_colorspace(u32 code)
++{
++      switch (code) {
++      case MEDIA_BUS_FMT_RGB888_1X24:
++              return V4L2_COLORSPACE_SRGB;
++      case MEDIA_BUS_FMT_UYVY8_1X16:
++              return V4L2_COLORSPACE_SMPTE170M;
++      default:
++              return 0;
++      }
++}
++
+ static int tc358743_get_fmt(struct v4l2_subdev *sd,
+               struct v4l2_subdev_pad_config *cfg,
+               struct v4l2_subdev_format *format)
+ {
+       struct tc358743_state *state = to_state(sd);
+-      u8 vi_rep = i2c_rd8(sd, VI_REP);
+       if (format->pad != 0)
+               return -EINVAL;
+@@ -1705,23 +1716,7 @@ static int tc358743_get_fmt(struct v4l2_
+       format->format.height = state->timings.bt.height;
+       format->format.field = V4L2_FIELD_NONE;
+-      switch (vi_rep & MASK_VOUT_COLOR_SEL) {
+-      case MASK_VOUT_COLOR_RGB_FULL:
+-      case MASK_VOUT_COLOR_RGB_LIMITED:
+-              format->format.colorspace = V4L2_COLORSPACE_SRGB;
+-              break;
+-      case MASK_VOUT_COLOR_601_YCBCR_LIMITED:
+-      case MASK_VOUT_COLOR_601_YCBCR_FULL:
+-              format->format.colorspace = V4L2_COLORSPACE_SMPTE170M;
+-              break;
+-      case MASK_VOUT_COLOR_709_YCBCR_FULL:
+-      case MASK_VOUT_COLOR_709_YCBCR_LIMITED:
+-              format->format.colorspace = V4L2_COLORSPACE_REC709;
+-              break;
+-      default:
+-              format->format.colorspace = 0;
+-              break;
+-      }
++      format->format.colorspace = tc358743_g_colorspace(format->format.code);
+       return 0;
+ }
+@@ -1736,18 +1731,11 @@ static int tc358743_set_fmt(struct v4l2_
+       int ret = tc358743_get_fmt(sd, cfg, format);
+       format->format.code = code;
++      format->format.colorspace = tc358743_g_colorspace(code);
+       if (ret)
+               return ret;
+-      switch (code) {
+-      case MEDIA_BUS_FMT_RGB888_1X24:
+-      case MEDIA_BUS_FMT_UYVY8_1X16:
+-              break;
+-      default:
+-              return -EINVAL;
+-      }
+-
+       if (format->which == V4L2_SUBDEV_FORMAT_TRY)
+               return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0162-media-tc358743-Return-an-appropriate-colorspace-from.patch b/target/linux/bcm27xx/patches-5.4/950-0162-media-tc358743-Return-an-appropriate-colorspace-from.patch
deleted file mode 100644 (file)
index b5b9105..0000000
+++ /dev/null
@@ -1,98 +0,0 @@
-From 929b5ddd10bc0ca10a7b815e40ac35092c5b812f Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 22 Nov 2018 17:31:06 +0000
-Subject: [PATCH] media: tc358743: Return an appropriate colorspace
- from tc358743_set_fmt
-
-When calling tc358743_set_fmt, the code was calling tc358743_get_fmt
-to choose a valid format. However that sets the colorspace
-based on what was read back from the chip. When you set the format,
-then the driver would choose and program the colorspace based
-on the format code.
-
-The result was that if you called try or set format for UYVY
-when the current format was RGB3 then you would get told sRGB,
-and try RGB3 when current was UYVY and you would get told
-SMPTE170M.
-
-The value programmed into the chip is determined by this driver,
-therefore there is no need to read back the value. Return the
-colorspace based on the format set/tried instead.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/media/i2c/tc358743.c | 40 +++++++++++++-----------------------
- 1 file changed, 14 insertions(+), 26 deletions(-)
-
---- a/drivers/media/i2c/tc358743.c
-+++ b/drivers/media/i2c/tc358743.c
-@@ -1690,12 +1690,23 @@ static int tc358743_enum_mbus_code(struc
-       return 0;
- }
-+static u32 tc358743_g_colorspace(u32 code)
-+{
-+      switch (code) {
-+      case MEDIA_BUS_FMT_RGB888_1X24:
-+              return V4L2_COLORSPACE_SRGB;
-+      case MEDIA_BUS_FMT_UYVY8_1X16:
-+              return V4L2_COLORSPACE_SMPTE170M;
-+      default:
-+              return 0;
-+      }
-+}
-+
- static int tc358743_get_fmt(struct v4l2_subdev *sd,
-               struct v4l2_subdev_pad_config *cfg,
-               struct v4l2_subdev_format *format)
- {
-       struct tc358743_state *state = to_state(sd);
--      u8 vi_rep = i2c_rd8(sd, VI_REP);
-       if (format->pad != 0)
-               return -EINVAL;
-@@ -1705,23 +1716,7 @@ static int tc358743_get_fmt(struct v4l2_
-       format->format.height = state->timings.bt.height;
-       format->format.field = V4L2_FIELD_NONE;
--      switch (vi_rep & MASK_VOUT_COLOR_SEL) {
--      case MASK_VOUT_COLOR_RGB_FULL:
--      case MASK_VOUT_COLOR_RGB_LIMITED:
--              format->format.colorspace = V4L2_COLORSPACE_SRGB;
--              break;
--      case MASK_VOUT_COLOR_601_YCBCR_LIMITED:
--      case MASK_VOUT_COLOR_601_YCBCR_FULL:
--              format->format.colorspace = V4L2_COLORSPACE_SMPTE170M;
--              break;
--      case MASK_VOUT_COLOR_709_YCBCR_FULL:
--      case MASK_VOUT_COLOR_709_YCBCR_LIMITED:
--              format->format.colorspace = V4L2_COLORSPACE_REC709;
--              break;
--      default:
--              format->format.colorspace = 0;
--              break;
--      }
-+      format->format.colorspace = tc358743_g_colorspace(format->format.code);
-       return 0;
- }
-@@ -1736,18 +1731,11 @@ static int tc358743_set_fmt(struct v4l2_
-       int ret = tc358743_get_fmt(sd, cfg, format);
-       format->format.code = code;
-+      format->format.colorspace = tc358743_g_colorspace(code);
-       if (ret)
-               return ret;
--      switch (code) {
--      case MEDIA_BUS_FMT_RGB888_1X24:
--      case MEDIA_BUS_FMT_UYVY8_1X16:
--              break;
--      default:
--              return -EINVAL;
--      }
--
-       if (format->which == V4L2_SUBDEV_FORMAT_TRY)
-               return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0162-staging-bcm2835-camera-Fix-logical-continuation-spli.patch b/target/linux/bcm27xx/patches-5.4/950-0162-staging-bcm2835-camera-Fix-logical-continuation-spli.patch
new file mode 100644 (file)
index 0000000..c1cbff5
--- /dev/null
@@ -0,0 +1,27 @@
+From 88e3479406637f8461fd026196f7bc5d4bf81cf9 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 21 Feb 2018 15:48:54 +0000
+Subject: [PATCH] staging: bcm2835-camera: Fix logical continuation
+ splits
+
+Fix checkpatch errors for "Logical continuations should be
+on the previous line".
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
++++ b/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
+@@ -1114,8 +1114,8 @@ static int mmal_setup_components(struct
+       ret = vchiq_mmal_port_set_format(dev->instance, camera_port);
+-      if (!ret
+-          && camera_port ==
++      if (!ret &&
++          camera_port ==
+           &dev->component[COMP_CAMERA]->output[CAM_PORT_VIDEO]) {
+               bool overlay_enabled =
+                   !!dev->component[COMP_PREVIEW]->enabled;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0163-staging-bcm2835-camera-Ensure-timestamps-never-go-ba.patch b/target/linux/bcm27xx/patches-5.4/950-0163-staging-bcm2835-camera-Ensure-timestamps-never-go-ba.patch
new file mode 100644 (file)
index 0000000..c9e1887
--- /dev/null
@@ -0,0 +1,38 @@
+From fd3a6710bbcf875c85e6a2f3513c6eb4c46adeaa Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 24 Jul 2018 12:08:29 +0100
+Subject: [PATCH] staging: bcm2835-camera: Ensure timestamps never go
+ backwards.
+
+There is an awkward situation with H264 header bytes. Currently
+they are returned with a PTS of 0 because they aren't associated
+with a timestamped frame to encode. These are handled by either
+returning the timestamp of the last buffer to have been received,
+or in the case of the first buffer the timestamp taken at
+start_streaming.
+This results in a race where the current frame may have started
+before we take the start time, which results in the first encoded
+frame having an earlier timestamp than the header bytes.
+
+Ensure that we never return a negative delta to the user by checking
+against the previous timestamp.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../staging/vc04_services/bcm2835-camera/bcm2835-camera.c    | 5 +++++
+ 1 file changed, 5 insertions(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
++++ b/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
+@@ -380,6 +380,11 @@ static void buffer_cb(struct vchiq_mmal_
+                        ktime_to_ns(dev->capture.kernel_start_ts),
+                        dev->capture.vc_start_timestamp, pts,
+                        ktime_to_ns(timestamp));
++              if (timestamp < dev->capture.last_timestamp) {
++                      v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
++                               "Negative delta - using last time\n");
++                      timestamp = dev->capture.last_timestamp;
++              }
+               buf->vb.vb2_buf.timestamp = ktime_to_ns(timestamp);
+       } else {
+               if (dev->capture.last_timestamp) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0164-staging-bcm2835-camera-Fix-logical-continuation-spli.patch b/target/linux/bcm27xx/patches-5.4/950-0164-staging-bcm2835-camera-Fix-logical-continuation-spli.patch
deleted file mode 100644 (file)
index c1cbff5..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From 88e3479406637f8461fd026196f7bc5d4bf81cf9 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 21 Feb 2018 15:48:54 +0000
-Subject: [PATCH] staging: bcm2835-camera: Fix logical continuation
- splits
-
-Fix checkpatch errors for "Logical continuations should be
-on the previous line".
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
-+++ b/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
-@@ -1114,8 +1114,8 @@ static int mmal_setup_components(struct
-       ret = vchiq_mmal_port_set_format(dev->instance, camera_port);
--      if (!ret
--          && camera_port ==
-+      if (!ret &&
-+          camera_port ==
-           &dev->component[COMP_CAMERA]->output[CAM_PORT_VIDEO]) {
-               bool overlay_enabled =
-                   !!dev->component[COMP_PREVIEW]->enabled;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0164-staging-vc04_services-Split-vchiq-mmal-into-a-module.patch b/target/linux/bcm27xx/patches-5.4/950-0164-staging-vc04_services-Split-vchiq-mmal-into-a-module.patch
new file mode 100644 (file)
index 0000000..278d57b
--- /dev/null
@@ -0,0 +1,7518 @@
+From 85961f2d8f646488acb86f996c78a1f9ad57cb0a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 24 Sep 2018 16:30:37 +0100
+Subject: [PATCH] staging: vc04_services: Split vchiq-mmal into a
+ module
+
+In preparation for adding a video codec V4L2 module which also
+wants to use vchiq-mmal functions, split it out into an
+independent module.
+The minimum number of changes have been made to achieve this
+(eg straight moves where possible) so existing checkpatch
+errors will still be present.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/staging/vc04_services/Kconfig         |  1 +
+ drivers/staging/vc04_services/Makefile        |  1 +
+ .../vc04_services/bcm2835-camera/Kconfig      |  2 +-
+ .../vc04_services/bcm2835-camera/Makefile     |  5 +++--
+ .../staging/vc04_services/vchiq-mmal/Kconfig  |  7 ++++++
+ .../staging/vc04_services/vchiq-mmal/Makefile |  8 +++++++
+ .../mmal-common.h                             |  0
+ .../mmal-encodings.h                          |  0
+ .../mmal-msg-common.h                         |  0
+ .../mmal-msg-format.h                         |  0
+ .../mmal-msg-port.h                           |  0
+ .../{bcm2835-camera => vchiq-mmal}/mmal-msg.h |  0
+ .../mmal-parameters.h                         |  0
+ .../mmal-vchiq.c                              | 22 +++++++++++++++++++
+ .../mmal-vchiq.h                              |  0
+ 15 files changed, 43 insertions(+), 3 deletions(-)
+ create mode 100644 drivers/staging/vc04_services/vchiq-mmal/Kconfig
+ create mode 100644 drivers/staging/vc04_services/vchiq-mmal/Makefile
+ rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-common.h (100%)
+ rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-encodings.h (100%)
+ rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-msg-common.h (100%)
+ rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-msg-format.h (100%)
+ rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-msg-port.h (100%)
+ rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-msg.h (100%)
+ rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-parameters.h (100%)
+ rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-vchiq.c (98%)
+ rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-vchiq.h (100%)
+
+--- a/drivers/staging/vc04_services/Kconfig
++++ b/drivers/staging/vc04_services/Kconfig
+@@ -22,6 +22,7 @@ config BCM2835_VCHIQ
+ source "drivers/staging/vc04_services/bcm2835-audio/Kconfig"
+ source "drivers/staging/vc04_services/bcm2835-camera/Kconfig"
++source "drivers/staging/vc04_services/vchiq-mmal/Kconfig"
+ endif
+--- a/drivers/staging/vc04_services/Makefile
++++ b/drivers/staging/vc04_services/Makefile
+@@ -12,6 +12,7 @@ vchiq-objs := \
+ obj-$(CONFIG_SND_BCM2835)     += bcm2835-audio/
+ obj-$(CONFIG_VIDEO_BCM2835)   += bcm2835-camera/
++obj-$(CONFIG_BCM2835_VCHIQ_MMAL) += vchiq-mmal/
+ ccflags-y += -Idrivers/staging/vc04_services -D__VCCOREVER__=0x04000000
+--- a/drivers/staging/vc04_services/bcm2835-camera/Kconfig
++++ b/drivers/staging/vc04_services/bcm2835-camera/Kconfig
+@@ -3,7 +3,7 @@ config VIDEO_BCM2835
+       tristate "BCM2835 Camera"
+       depends on MEDIA_SUPPORT
+       depends on VIDEO_V4L2 && (ARCH_BCM2835 || COMPILE_TEST)
+-      select BCM2835_VCHIQ
++      select BCM2835_VCHIQ_MMAL
+       select VIDEOBUF2_VMALLOC
+       select BTREE
+       help
+--- a/drivers/staging/vc04_services/bcm2835-camera/Makefile
++++ b/drivers/staging/vc04_services/bcm2835-camera/Makefile
+@@ -1,11 +1,12 @@
+ # SPDX-License-Identifier: GPL-2.0
+ bcm2835-v4l2-$(CONFIG_VIDEO_BCM2835) := \
+       bcm2835-camera.o \
+-      controls.o \
+-      mmal-vchiq.o
++      controls.o
+ obj-$(CONFIG_VIDEO_BCM2835) += bcm2835-v4l2.o
+ ccflags-y += \
+       -I $(srctree)/$(src)/.. \
++      -Idrivers/staging/vc04_services \
++      -Idrivers/staging/vc04_services/vchiq-mmal \
+       -D__VCCOREVER__=0x04000000
+--- /dev/null
++++ b/drivers/staging/vc04_services/vchiq-mmal/Kconfig
+@@ -0,0 +1,7 @@
++config BCM2835_VCHIQ_MMAL
++      tristate "BCM2835 MMAL VCHIQ service"
++      depends on (ARCH_BCM2835 || COMPILE_TEST)
++      select BCM2835_VCHIQ
++      help
++        Enables the MMAL API over VCHIQ as used for the
++        majority of the multimedia services on VideoCore.
+--- /dev/null
++++ b/drivers/staging/vc04_services/vchiq-mmal/Makefile
+@@ -0,0 +1,8 @@
++# SPDX-License-Identifier: GPL-2.0
++bcm2835-mmal-vchiq-objs := mmal-vchiq.o
++
++obj-$(CONFIG_BCM2835_VCHIQ_MMAL) += bcm2835-mmal-vchiq.o
++
++ccflags-y += \
++      -Idrivers/staging/vc04_services \
++      -D__VCCOREVER__=0x04000000
+--- a/drivers/staging/vc04_services/bcm2835-camera/mmal-vchiq.c
++++ /dev/null
+@@ -1,1891 +0,0 @@
+-// SPDX-License-Identifier: GPL-2.0
+-/*
+- * Broadcom BM2835 V4L2 driver
+- *
+- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
+- *
+- * Authors: Vincent Sanders @ Collabora
+- *          Dave Stevenson @ Broadcom
+- *            (now dave.stevenson@raspberrypi.org)
+- *          Simon Mellor @ Broadcom
+- *          Luke Diamand @ Broadcom
+- *
+- * V4L2 driver MMAL vchiq interface code
+- */
+-
+-#define pr_fmt(fmt) KBUILD_MODNAME ": " fmt
+-
+-#include <linux/errno.h>
+-#include <linux/kernel.h>
+-#include <linux/mutex.h>
+-#include <linux/mm.h>
+-#include <linux/slab.h>
+-#include <linux/completion.h>
+-#include <linux/vmalloc.h>
+-#include <media/videobuf2-vmalloc.h>
+-
+-#include "mmal-common.h"
+-#include "mmal-vchiq.h"
+-#include "mmal-msg.h"
+-
+-#define USE_VCHIQ_ARM
+-#include "interface/vchi/vchi.h"
+-
+-/* maximum number of components supported */
+-#define VCHIQ_MMAL_MAX_COMPONENTS 4
+-
+-/*#define FULL_MSG_DUMP 1*/
+-
+-#ifdef DEBUG
+-static const char *const msg_type_names[] = {
+-      "UNKNOWN",
+-      "QUIT",
+-      "SERVICE_CLOSED",
+-      "GET_VERSION",
+-      "COMPONENT_CREATE",
+-      "COMPONENT_DESTROY",
+-      "COMPONENT_ENABLE",
+-      "COMPONENT_DISABLE",
+-      "PORT_INFO_GET",
+-      "PORT_INFO_SET",
+-      "PORT_ACTION",
+-      "BUFFER_FROM_HOST",
+-      "BUFFER_TO_HOST",
+-      "GET_STATS",
+-      "PORT_PARAMETER_SET",
+-      "PORT_PARAMETER_GET",
+-      "EVENT_TO_HOST",
+-      "GET_CORE_STATS_FOR_PORT",
+-      "OPAQUE_ALLOCATOR",
+-      "CONSUME_MEM",
+-      "LMK",
+-      "OPAQUE_ALLOCATOR_DESC",
+-      "DRM_GET_LHS32",
+-      "DRM_GET_TIME",
+-      "BUFFER_FROM_HOST_ZEROLEN",
+-      "PORT_FLUSH",
+-      "HOST_LOG",
+-};
+-#endif
+-
+-static const char *const port_action_type_names[] = {
+-      "UNKNOWN",
+-      "ENABLE",
+-      "DISABLE",
+-      "FLUSH",
+-      "CONNECT",
+-      "DISCONNECT",
+-      "SET_REQUIREMENTS",
+-};
+-
+-#if defined(DEBUG)
+-#if defined(FULL_MSG_DUMP)
+-#define DBG_DUMP_MSG(MSG, MSG_LEN, TITLE)                             \
+-      do {                                                            \
+-              pr_debug(TITLE" type:%s(%d) length:%d\n",               \
+-                       msg_type_names[(MSG)->h.type],                 \
+-                       (MSG)->h.type, (MSG_LEN));                     \
+-              print_hex_dump(KERN_DEBUG, "<<h: ", DUMP_PREFIX_OFFSET, \
+-                             16, 4, (MSG),                            \
+-                             sizeof(struct mmal_msg_header), 1);      \
+-              print_hex_dump(KERN_DEBUG, "<<p: ", DUMP_PREFIX_OFFSET, \
+-                             16, 4,                                   \
+-                             ((u8 *)(MSG)) + sizeof(struct mmal_msg_header),\
+-                             (MSG_LEN) - sizeof(struct mmal_msg_header), 1); \
+-      } while (0)
+-#else
+-#define DBG_DUMP_MSG(MSG, MSG_LEN, TITLE)                             \
+-      {                                                               \
+-              pr_debug(TITLE" type:%s(%d) length:%d\n",               \
+-                       msg_type_names[(MSG)->h.type],                 \
+-                       (MSG)->h.type, (MSG_LEN));                     \
+-      }
+-#endif
+-#else
+-#define DBG_DUMP_MSG(MSG, MSG_LEN, TITLE)
+-#endif
+-
+-struct vchiq_mmal_instance;
+-
+-/* normal message context */
+-struct mmal_msg_context {
+-      struct vchiq_mmal_instance *instance;
+-
+-      /* Index in the context_map idr so that we can find the
+-       * mmal_msg_context again when servicing the VCHI reply.
+-       */
+-      int handle;
+-
+-      union {
+-              struct {
+-                      /* work struct for buffer_cb callback */
+-                      struct work_struct work;
+-                      /* work struct for deferred callback */
+-                      struct work_struct buffer_to_host_work;
+-                      /* mmal instance */
+-                      struct vchiq_mmal_instance *instance;
+-                      /* mmal port */
+-                      struct vchiq_mmal_port *port;
+-                      /* actual buffer used to store bulk reply */
+-                      struct mmal_buffer *buffer;
+-                      /* amount of buffer used */
+-                      unsigned long buffer_used;
+-                      /* MMAL buffer flags */
+-                      u32 mmal_flags;
+-                      /* Presentation and Decode timestamps */
+-                      s64 pts;
+-                      s64 dts;
+-
+-                      int status;     /* context status */
+-
+-              } bulk;         /* bulk data */
+-
+-              struct {
+-                      /* message handle to release */
+-                      struct vchi_held_msg msg_handle;
+-                      /* pointer to received message */
+-                      struct mmal_msg *msg;
+-                      /* received message length */
+-                      u32 msg_len;
+-                      /* completion upon reply */
+-                      struct completion cmplt;
+-              } sync;         /* synchronous response */
+-      } u;
+-
+-};
+-
+-struct vchiq_mmal_instance {
+-      VCHI_SERVICE_HANDLE_T handle;
+-
+-      /* ensure serialised access to service */
+-      struct mutex vchiq_mutex;
+-
+-      /* vmalloc page to receive scratch bulk xfers into */
+-      void *bulk_scratch;
+-
+-      struct idr context_map;
+-      /* protect accesses to context_map */
+-      struct mutex context_map_lock;
+-
+-      /* component to use next */
+-      int component_idx;
+-      struct vchiq_mmal_component component[VCHIQ_MMAL_MAX_COMPONENTS];
+-
+-      /* ordered workqueue to process all bulk operations */
+-      struct workqueue_struct *bulk_wq;
+-};
+-
+-static struct mmal_msg_context *
+-get_msg_context(struct vchiq_mmal_instance *instance)
+-{
+-      struct mmal_msg_context *msg_context;
+-      int handle;
+-
+-      /* todo: should this be allocated from a pool to avoid kzalloc */
+-      msg_context = kzalloc(sizeof(*msg_context), GFP_KERNEL);
+-
+-      if (!msg_context)
+-              return ERR_PTR(-ENOMEM);
+-
+-      /* Create an ID that will be passed along with our message so
+-       * that when we service the VCHI reply, we can look up what
+-       * message is being replied to.
+-       */
+-      mutex_lock(&instance->context_map_lock);
+-      handle = idr_alloc(&instance->context_map, msg_context,
+-                         0, 0, GFP_KERNEL);
+-      mutex_unlock(&instance->context_map_lock);
+-
+-      if (handle < 0) {
+-              kfree(msg_context);
+-              return ERR_PTR(handle);
+-      }
+-
+-      msg_context->instance = instance;
+-      msg_context->handle = handle;
+-
+-      return msg_context;
+-}
+-
+-static struct mmal_msg_context *
+-lookup_msg_context(struct vchiq_mmal_instance *instance, int handle)
+-{
+-      return idr_find(&instance->context_map, handle);
+-}
+-
+-static void
+-release_msg_context(struct mmal_msg_context *msg_context)
+-{
+-      struct vchiq_mmal_instance *instance = msg_context->instance;
+-
+-      mutex_lock(&instance->context_map_lock);
+-      idr_remove(&instance->context_map, msg_context->handle);
+-      mutex_unlock(&instance->context_map_lock);
+-      kfree(msg_context);
+-}
+-
+-/* deals with receipt of event to host message */
+-static void event_to_host_cb(struct vchiq_mmal_instance *instance,
+-                           struct mmal_msg *msg, u32 msg_len)
+-{
+-      pr_debug("unhandled event\n");
+-      pr_debug("component:%u port type:%d num:%d cmd:0x%x length:%d\n",
+-               msg->u.event_to_host.client_component,
+-               msg->u.event_to_host.port_type,
+-               msg->u.event_to_host.port_num,
+-               msg->u.event_to_host.cmd, msg->u.event_to_host.length);
+-}
+-
+-/* workqueue scheduled callback
+- *
+- * we do this because it is important we do not call any other vchiq
+- * sync calls from witin the message delivery thread
+- */
+-static void buffer_work_cb(struct work_struct *work)
+-{
+-      struct mmal_msg_context *msg_context =
+-              container_of(work, struct mmal_msg_context, u.bulk.work);
+-
+-      atomic_dec(&msg_context->u.bulk.port->buffers_with_vpu);
+-
+-      msg_context->u.bulk.port->buffer_cb(msg_context->u.bulk.instance,
+-                                          msg_context->u.bulk.port,
+-                                          msg_context->u.bulk.status,
+-                                          msg_context->u.bulk.buffer,
+-                                          msg_context->u.bulk.buffer_used,
+-                                          msg_context->u.bulk.mmal_flags,
+-                                          msg_context->u.bulk.dts,
+-                                          msg_context->u.bulk.pts);
+-}
+-
+-/* workqueue scheduled callback to handle receiving buffers
+- *
+- * VCHI will allow up to 4 bulk receives to be scheduled before blocking.
+- * If we block in the service_callback context then we can't process the
+- * VCHI_CALLBACK_BULK_RECEIVED message that would otherwise allow the blocked
+- * vchi_bulk_queue_receive() call to complete.
+- */
+-static void buffer_to_host_work_cb(struct work_struct *work)
+-{
+-      struct mmal_msg_context *msg_context =
+-              container_of(work, struct mmal_msg_context,
+-                           u.bulk.buffer_to_host_work);
+-      struct vchiq_mmal_instance *instance = msg_context->instance;
+-      unsigned long len = msg_context->u.bulk.buffer_used;
+-      int ret;
+-
+-      if (!len)
+-              /* Dummy receive to ensure the buffers remain in order */
+-              len = 8;
+-      /* queue the bulk submission */
+-      vchi_service_use(instance->handle);
+-      ret = vchi_bulk_queue_receive(instance->handle,
+-                                    msg_context->u.bulk.buffer->buffer,
+-                                    /* Actual receive needs to be a multiple
+-                                     * of 4 bytes
+-                                     */
+-                                    (len + 3) & ~3,
+-                                    VCHI_FLAGS_CALLBACK_WHEN_OP_COMPLETE |
+-                                    VCHI_FLAGS_BLOCK_UNTIL_QUEUED,
+-                                    msg_context);
+-
+-      vchi_service_release(instance->handle);
+-
+-      if (ret != 0)
+-              pr_err("%s: ctx: %p, vchi_bulk_queue_receive failed %d\n",
+-                     __func__, msg_context, ret);
+-}
+-
+-/* enqueue a bulk receive for a given message context */
+-static int bulk_receive(struct vchiq_mmal_instance *instance,
+-                      struct mmal_msg *msg,
+-                      struct mmal_msg_context *msg_context)
+-{
+-      unsigned long rd_len;
+-
+-      rd_len = msg->u.buffer_from_host.buffer_header.length;
+-
+-      if (!msg_context->u.bulk.buffer) {
+-              pr_err("bulk.buffer not configured - error in buffer_from_host\n");
+-
+-              /* todo: this is a serious error, we should never have
+-               * committed a buffer_to_host operation to the mmal
+-               * port without the buffer to back it up (underflow
+-               * handling) and there is no obvious way to deal with
+-               * this - how is the mmal servie going to react when
+-               * we fail to do the xfer and reschedule a buffer when
+-               * it arrives? perhaps a starved flag to indicate a
+-               * waiting bulk receive?
+-               */
+-
+-              return -EINVAL;
+-      }
+-
+-      /* ensure we do not overrun the available buffer */
+-      if (rd_len > msg_context->u.bulk.buffer->buffer_size) {
+-              rd_len = msg_context->u.bulk.buffer->buffer_size;
+-              pr_warn("short read as not enough receive buffer space\n");
+-              /* todo: is this the correct response, what happens to
+-               * the rest of the message data?
+-               */
+-      }
+-
+-      /* store length */
+-      msg_context->u.bulk.buffer_used = rd_len;
+-      msg_context->u.bulk.dts = msg->u.buffer_from_host.buffer_header.dts;
+-      msg_context->u.bulk.pts = msg->u.buffer_from_host.buffer_header.pts;
+-
+-      queue_work(msg_context->instance->bulk_wq,
+-                 &msg_context->u.bulk.buffer_to_host_work);
+-
+-      return 0;
+-}
+-
+-/* data in message, memcpy from packet into output buffer */
+-static int inline_receive(struct vchiq_mmal_instance *instance,
+-                        struct mmal_msg *msg,
+-                        struct mmal_msg_context *msg_context)
+-{
+-      memcpy(msg_context->u.bulk.buffer->buffer,
+-             msg->u.buffer_from_host.short_data,
+-             msg->u.buffer_from_host.payload_in_message);
+-
+-      msg_context->u.bulk.buffer_used =
+-          msg->u.buffer_from_host.payload_in_message;
+-
+-      return 0;
+-}
+-
+-/* queue the buffer availability with MMAL_MSG_TYPE_BUFFER_FROM_HOST */
+-static int
+-buffer_from_host(struct vchiq_mmal_instance *instance,
+-               struct vchiq_mmal_port *port, struct mmal_buffer *buf)
+-{
+-      struct mmal_msg_context *msg_context;
+-      struct mmal_msg m;
+-      int ret;
+-
+-      if (!port->enabled)
+-              return -EINVAL;
+-
+-      pr_debug("instance:%p buffer:%p\n", instance->handle, buf);
+-
+-      /* get context */
+-      if (!buf->msg_context) {
+-              pr_err("%s: msg_context not allocated, buf %p\n", __func__,
+-                     buf);
+-              return -EINVAL;
+-      }
+-      msg_context = buf->msg_context;
+-
+-      /* store bulk message context for when data arrives */
+-      msg_context->u.bulk.instance = instance;
+-      msg_context->u.bulk.port = port;
+-      msg_context->u.bulk.buffer = buf;
+-      msg_context->u.bulk.buffer_used = 0;
+-
+-      /* initialise work structure ready to schedule callback */
+-      INIT_WORK(&msg_context->u.bulk.work, buffer_work_cb);
+-      INIT_WORK(&msg_context->u.bulk.buffer_to_host_work,
+-                buffer_to_host_work_cb);
+-
+-      atomic_inc(&port->buffers_with_vpu);
+-
+-      /* prep the buffer from host message */
+-      memset(&m, 0xbc, sizeof(m));    /* just to make debug clearer */
+-
+-      m.h.type = MMAL_MSG_TYPE_BUFFER_FROM_HOST;
+-      m.h.magic = MMAL_MAGIC;
+-      m.h.context = msg_context->handle;
+-      m.h.status = 0;
+-
+-      /* drvbuf is our private data passed back */
+-      m.u.buffer_from_host.drvbuf.magic = MMAL_MAGIC;
+-      m.u.buffer_from_host.drvbuf.component_handle = port->component->handle;
+-      m.u.buffer_from_host.drvbuf.port_handle = port->handle;
+-      m.u.buffer_from_host.drvbuf.client_context = msg_context->handle;
+-
+-      /* buffer header */
+-      m.u.buffer_from_host.buffer_header.cmd = 0;
+-      m.u.buffer_from_host.buffer_header.data =
+-              (u32)(unsigned long)buf->buffer;
+-      m.u.buffer_from_host.buffer_header.alloc_size = buf->buffer_size;
+-      m.u.buffer_from_host.buffer_header.length = 0;  /* nothing used yet */
+-      m.u.buffer_from_host.buffer_header.offset = 0;  /* no offset */
+-      m.u.buffer_from_host.buffer_header.flags = 0;   /* no flags */
+-      m.u.buffer_from_host.buffer_header.pts = MMAL_TIME_UNKNOWN;
+-      m.u.buffer_from_host.buffer_header.dts = MMAL_TIME_UNKNOWN;
+-
+-      /* clear buffer type sepecific data */
+-      memset(&m.u.buffer_from_host.buffer_header_type_specific, 0,
+-             sizeof(m.u.buffer_from_host.buffer_header_type_specific));
+-
+-      /* no payload in message */
+-      m.u.buffer_from_host.payload_in_message = 0;
+-
+-      vchi_service_use(instance->handle);
+-
+-      ret = vchi_queue_kernel_message(instance->handle,
+-                                      &m,
+-                                      sizeof(struct mmal_msg_header) +
+-                                      sizeof(m.u.buffer_from_host));
+-
+-      vchi_service_release(instance->handle);
+-
+-      return ret;
+-}
+-
+-/* deals with receipt of buffer to host message */
+-static void buffer_to_host_cb(struct vchiq_mmal_instance *instance,
+-                            struct mmal_msg *msg, u32 msg_len)
+-{
+-      struct mmal_msg_context *msg_context;
+-      u32 handle;
+-
+-      pr_debug("%s: instance:%p msg:%p msg_len:%d\n",
+-               __func__, instance, msg, msg_len);
+-
+-      if (msg->u.buffer_from_host.drvbuf.magic == MMAL_MAGIC) {
+-              handle = msg->u.buffer_from_host.drvbuf.client_context;
+-              msg_context = lookup_msg_context(instance, handle);
+-
+-              if (!msg_context) {
+-                      pr_err("drvbuf.client_context(%u) is invalid\n",
+-                             handle);
+-                      return;
+-              }
+-      } else {
+-              pr_err("MMAL_MSG_TYPE_BUFFER_TO_HOST with bad magic\n");
+-              return;
+-      }
+-
+-      msg_context->u.bulk.mmal_flags =
+-                              msg->u.buffer_from_host.buffer_header.flags;
+-
+-      if (msg->h.status != MMAL_MSG_STATUS_SUCCESS) {
+-              /* message reception had an error */
+-              pr_warn("error %d in reply\n", msg->h.status);
+-
+-              msg_context->u.bulk.status = msg->h.status;
+-
+-      } else if (msg->u.buffer_from_host.buffer_header.length == 0) {
+-              /* empty buffer */
+-              if (msg->u.buffer_from_host.buffer_header.flags &
+-                  MMAL_BUFFER_HEADER_FLAG_EOS) {
+-                      msg_context->u.bulk.status =
+-                          bulk_receive(instance, msg, msg_context);
+-                      if (msg_context->u.bulk.status == 0)
+-                              return; /* successful bulk submission, bulk
+-                                       * completion will trigger callback
+-                                       */
+-              } else {
+-                      /* do callback with empty buffer - not EOS though */
+-                      msg_context->u.bulk.status = 0;
+-                      msg_context->u.bulk.buffer_used = 0;
+-              }
+-      } else if (msg->u.buffer_from_host.payload_in_message == 0) {
+-              /* data is not in message, queue a bulk receive */
+-              msg_context->u.bulk.status =
+-                  bulk_receive(instance, msg, msg_context);
+-              if (msg_context->u.bulk.status == 0)
+-                      return; /* successful bulk submission, bulk
+-                               * completion will trigger callback
+-                               */
+-
+-              /* failed to submit buffer, this will end badly */
+-              pr_err("error %d on bulk submission\n",
+-                     msg_context->u.bulk.status);
+-
+-      } else if (msg->u.buffer_from_host.payload_in_message <=
+-                 MMAL_VC_SHORT_DATA) {
+-              /* data payload within message */
+-              msg_context->u.bulk.status = inline_receive(instance, msg,
+-                                                          msg_context);
+-      } else {
+-              pr_err("message with invalid short payload\n");
+-
+-              /* signal error */
+-              msg_context->u.bulk.status = -EINVAL;
+-              msg_context->u.bulk.buffer_used =
+-                  msg->u.buffer_from_host.payload_in_message;
+-      }
+-
+-      /* schedule the port callback */
+-      schedule_work(&msg_context->u.bulk.work);
+-}
+-
+-static void bulk_receive_cb(struct vchiq_mmal_instance *instance,
+-                          struct mmal_msg_context *msg_context)
+-{
+-      msg_context->u.bulk.status = 0;
+-
+-      /* schedule the port callback */
+-      schedule_work(&msg_context->u.bulk.work);
+-}
+-
+-static void bulk_abort_cb(struct vchiq_mmal_instance *instance,
+-                        struct mmal_msg_context *msg_context)
+-{
+-      pr_err("%s: bulk ABORTED msg_context:%p\n", __func__, msg_context);
+-
+-      msg_context->u.bulk.status = -EINTR;
+-
+-      schedule_work(&msg_context->u.bulk.work);
+-}
+-
+-/* incoming event service callback */
+-static void service_callback(void *param,
+-                           const VCHI_CALLBACK_REASON_T reason,
+-                           void *bulk_ctx)
+-{
+-      struct vchiq_mmal_instance *instance = param;
+-      int status;
+-      u32 msg_len;
+-      struct mmal_msg *msg;
+-      struct vchi_held_msg msg_handle;
+-      struct mmal_msg_context *msg_context;
+-
+-      if (!instance) {
+-              pr_err("Message callback passed NULL instance\n");
+-              return;
+-      }
+-
+-      switch (reason) {
+-      case VCHI_CALLBACK_MSG_AVAILABLE:
+-              status = vchi_msg_hold(instance->handle, (void **)&msg,
+-                                     &msg_len, VCHI_FLAGS_NONE, &msg_handle);
+-              if (status) {
+-                      pr_err("Unable to dequeue a message (%d)\n", status);
+-                      break;
+-              }
+-
+-              DBG_DUMP_MSG(msg, msg_len, "<<< reply message");
+-
+-              /* handling is different for buffer messages */
+-              switch (msg->h.type) {
+-              case MMAL_MSG_TYPE_BUFFER_FROM_HOST:
+-                      vchi_held_msg_release(&msg_handle);
+-                      break;
+-
+-              case MMAL_MSG_TYPE_EVENT_TO_HOST:
+-                      event_to_host_cb(instance, msg, msg_len);
+-                      vchi_held_msg_release(&msg_handle);
+-
+-                      break;
+-
+-              case MMAL_MSG_TYPE_BUFFER_TO_HOST:
+-                      buffer_to_host_cb(instance, msg, msg_len);
+-                      vchi_held_msg_release(&msg_handle);
+-                      break;
+-
+-              default:
+-                      /* messages dependent on header context to complete */
+-                      if (!msg->h.context) {
+-                              pr_err("received message context was null!\n");
+-                              vchi_held_msg_release(&msg_handle);
+-                              break;
+-                      }
+-
+-                      msg_context = lookup_msg_context(instance,
+-                                                       msg->h.context);
+-                      if (!msg_context) {
+-                              pr_err("received invalid message context %u!\n",
+-                                     msg->h.context);
+-                              vchi_held_msg_release(&msg_handle);
+-                              break;
+-                      }
+-
+-                      /* fill in context values */
+-                      msg_context->u.sync.msg_handle = msg_handle;
+-                      msg_context->u.sync.msg = msg;
+-                      msg_context->u.sync.msg_len = msg_len;
+-
+-                      /* todo: should this check (completion_done()
+-                       * == 1) for no one waiting? or do we need a
+-                       * flag to tell us the completion has been
+-                       * interrupted so we can free the message and
+-                       * its context. This probably also solves the
+-                       * message arriving after interruption todo
+-                       * below
+-                       */
+-
+-                      /* complete message so caller knows it happened */
+-                      complete(&msg_context->u.sync.cmplt);
+-                      break;
+-              }
+-
+-              break;
+-
+-      case VCHI_CALLBACK_BULK_RECEIVED:
+-              bulk_receive_cb(instance, bulk_ctx);
+-              break;
+-
+-      case VCHI_CALLBACK_BULK_RECEIVE_ABORTED:
+-              bulk_abort_cb(instance, bulk_ctx);
+-              break;
+-
+-      case VCHI_CALLBACK_SERVICE_CLOSED:
+-              /* TODO: consider if this requires action if received when
+-               * driver is not explicitly closing the service
+-               */
+-              break;
+-
+-      default:
+-              pr_err("Received unhandled message reason %d\n", reason);
+-              break;
+-      }
+-}
+-
+-static int send_synchronous_mmal_msg(struct vchiq_mmal_instance *instance,
+-                                   struct mmal_msg *msg,
+-                                   unsigned int payload_len,
+-                                   struct mmal_msg **msg_out,
+-                                   struct vchi_held_msg *msg_handle_out)
+-{
+-      struct mmal_msg_context *msg_context;
+-      int ret;
+-      unsigned long timeout;
+-
+-      /* payload size must not cause message to exceed max size */
+-      if (payload_len >
+-          (MMAL_MSG_MAX_SIZE - sizeof(struct mmal_msg_header))) {
+-              pr_err("payload length %d exceeds max:%d\n", payload_len,
+-                     (int)(MMAL_MSG_MAX_SIZE -
+-                          sizeof(struct mmal_msg_header)));
+-              return -EINVAL;
+-      }
+-
+-      msg_context = get_msg_context(instance);
+-      if (IS_ERR(msg_context))
+-              return PTR_ERR(msg_context);
+-
+-      init_completion(&msg_context->u.sync.cmplt);
+-
+-      msg->h.magic = MMAL_MAGIC;
+-      msg->h.context = msg_context->handle;
+-      msg->h.status = 0;
+-
+-      DBG_DUMP_MSG(msg, (sizeof(struct mmal_msg_header) + payload_len),
+-                   ">>> sync message");
+-
+-      vchi_service_use(instance->handle);
+-
+-      ret = vchi_queue_kernel_message(instance->handle,
+-                                      msg,
+-                                      sizeof(struct mmal_msg_header) +
+-                                      payload_len);
+-
+-      vchi_service_release(instance->handle);
+-
+-      if (ret) {
+-              pr_err("error %d queuing message\n", ret);
+-              release_msg_context(msg_context);
+-              return ret;
+-      }
+-
+-      timeout = wait_for_completion_timeout(&msg_context->u.sync.cmplt,
+-                                            3 * HZ);
+-      if (timeout == 0) {
+-              pr_err("timed out waiting for sync completion\n");
+-              ret = -ETIME;
+-              /* todo: what happens if the message arrives after aborting */
+-              release_msg_context(msg_context);
+-              return ret;
+-      }
+-
+-      *msg_out = msg_context->u.sync.msg;
+-      *msg_handle_out = msg_context->u.sync.msg_handle;
+-      release_msg_context(msg_context);
+-
+-      return 0;
+-}
+-
+-static void dump_port_info(struct vchiq_mmal_port *port)
+-{
+-      pr_debug("port handle:0x%x enabled:%d\n", port->handle, port->enabled);
+-
+-      pr_debug("buffer minimum num:%d size:%d align:%d\n",
+-               port->minimum_buffer.num,
+-               port->minimum_buffer.size, port->minimum_buffer.alignment);
+-
+-      pr_debug("buffer recommended num:%d size:%d align:%d\n",
+-               port->recommended_buffer.num,
+-               port->recommended_buffer.size,
+-               port->recommended_buffer.alignment);
+-
+-      pr_debug("buffer current values num:%d size:%d align:%d\n",
+-               port->current_buffer.num,
+-               port->current_buffer.size, port->current_buffer.alignment);
+-
+-      pr_debug("elementary stream: type:%d encoding:0x%x variant:0x%x\n",
+-               port->format.type,
+-               port->format.encoding, port->format.encoding_variant);
+-
+-      pr_debug("                  bitrate:%d flags:0x%x\n",
+-               port->format.bitrate, port->format.flags);
+-
+-      if (port->format.type == MMAL_ES_TYPE_VIDEO) {
+-              pr_debug
+-                  ("es video format: width:%d height:%d colourspace:0x%x\n",
+-                   port->es.video.width, port->es.video.height,
+-                   port->es.video.color_space);
+-
+-              pr_debug("               : crop xywh %d,%d,%d,%d\n",
+-                       port->es.video.crop.x,
+-                       port->es.video.crop.y,
+-                       port->es.video.crop.width, port->es.video.crop.height);
+-              pr_debug("               : framerate %d/%d  aspect %d/%d\n",
+-                       port->es.video.frame_rate.num,
+-                       port->es.video.frame_rate.den,
+-                       port->es.video.par.num, port->es.video.par.den);
+-      }
+-}
+-
+-static void port_to_mmal_msg(struct vchiq_mmal_port *port, struct mmal_port *p)
+-{
+-      /* todo do readonly fields need setting at all? */
+-      p->type = port->type;
+-      p->index = port->index;
+-      p->index_all = 0;
+-      p->is_enabled = port->enabled;
+-      p->buffer_num_min = port->minimum_buffer.num;
+-      p->buffer_size_min = port->minimum_buffer.size;
+-      p->buffer_alignment_min = port->minimum_buffer.alignment;
+-      p->buffer_num_recommended = port->recommended_buffer.num;
+-      p->buffer_size_recommended = port->recommended_buffer.size;
+-
+-      /* only three writable fields in a port */
+-      p->buffer_num = port->current_buffer.num;
+-      p->buffer_size = port->current_buffer.size;
+-      p->userdata = (u32)(unsigned long)port;
+-}
+-
+-static int port_info_set(struct vchiq_mmal_instance *instance,
+-                       struct vchiq_mmal_port *port)
+-{
+-      int ret;
+-      struct mmal_msg m;
+-      struct mmal_msg *rmsg;
+-      struct vchi_held_msg rmsg_handle;
+-
+-      pr_debug("setting port info port %p\n", port);
+-      if (!port)
+-              return -1;
+-      dump_port_info(port);
+-
+-      m.h.type = MMAL_MSG_TYPE_PORT_INFO_SET;
+-
+-      m.u.port_info_set.component_handle = port->component->handle;
+-      m.u.port_info_set.port_type = port->type;
+-      m.u.port_info_set.port_index = port->index;
+-
+-      port_to_mmal_msg(port, &m.u.port_info_set.port);
+-
+-      /* elementary stream format setup */
+-      m.u.port_info_set.format.type = port->format.type;
+-      m.u.port_info_set.format.encoding = port->format.encoding;
+-      m.u.port_info_set.format.encoding_variant =
+-          port->format.encoding_variant;
+-      m.u.port_info_set.format.bitrate = port->format.bitrate;
+-      m.u.port_info_set.format.flags = port->format.flags;
+-
+-      memcpy(&m.u.port_info_set.es, &port->es,
+-             sizeof(union mmal_es_specific_format));
+-
+-      m.u.port_info_set.format.extradata_size = port->format.extradata_size;
+-      memcpy(&m.u.port_info_set.extradata, port->format.extradata,
+-             port->format.extradata_size);
+-
+-      ret = send_synchronous_mmal_msg(instance, &m,
+-                                      sizeof(m.u.port_info_set),
+-                                      &rmsg, &rmsg_handle);
+-      if (ret)
+-              return ret;
+-
+-      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_INFO_SET) {
+-              /* got an unexpected message type in reply */
+-              ret = -EINVAL;
+-              goto release_msg;
+-      }
+-
+-      /* return operation status */
+-      ret = -rmsg->u.port_info_get_reply.status;
+-
+-      pr_debug("%s:result:%d component:0x%x port:%d\n", __func__, ret,
+-               port->component->handle, port->handle);
+-
+-release_msg:
+-      vchi_held_msg_release(&rmsg_handle);
+-
+-      return ret;
+-}
+-
+-/* use port info get message to retrieve port information */
+-static int port_info_get(struct vchiq_mmal_instance *instance,
+-                       struct vchiq_mmal_port *port)
+-{
+-      int ret;
+-      struct mmal_msg m;
+-      struct mmal_msg *rmsg;
+-      struct vchi_held_msg rmsg_handle;
+-
+-      /* port info time */
+-      m.h.type = MMAL_MSG_TYPE_PORT_INFO_GET;
+-      m.u.port_info_get.component_handle = port->component->handle;
+-      m.u.port_info_get.port_type = port->type;
+-      m.u.port_info_get.index = port->index;
+-
+-      ret = send_synchronous_mmal_msg(instance, &m,
+-                                      sizeof(m.u.port_info_get),
+-                                      &rmsg, &rmsg_handle);
+-      if (ret)
+-              return ret;
+-
+-      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_INFO_GET) {
+-              /* got an unexpected message type in reply */
+-              ret = -EINVAL;
+-              goto release_msg;
+-      }
+-
+-      /* return operation status */
+-      ret = -rmsg->u.port_info_get_reply.status;
+-      if (ret != MMAL_MSG_STATUS_SUCCESS)
+-              goto release_msg;
+-
+-      if (rmsg->u.port_info_get_reply.port.is_enabled == 0)
+-              port->enabled = 0;
+-      else
+-              port->enabled = 1;
+-
+-      /* copy the values out of the message */
+-      port->handle = rmsg->u.port_info_get_reply.port_handle;
+-
+-      /* port type and index cached to use on port info set because
+-       * it does not use a port handle
+-       */
+-      port->type = rmsg->u.port_info_get_reply.port_type;
+-      port->index = rmsg->u.port_info_get_reply.port_index;
+-
+-      port->minimum_buffer.num =
+-          rmsg->u.port_info_get_reply.port.buffer_num_min;
+-      port->minimum_buffer.size =
+-          rmsg->u.port_info_get_reply.port.buffer_size_min;
+-      port->minimum_buffer.alignment =
+-          rmsg->u.port_info_get_reply.port.buffer_alignment_min;
+-
+-      port->recommended_buffer.alignment =
+-          rmsg->u.port_info_get_reply.port.buffer_alignment_min;
+-      port->recommended_buffer.num =
+-          rmsg->u.port_info_get_reply.port.buffer_num_recommended;
+-
+-      port->current_buffer.num = rmsg->u.port_info_get_reply.port.buffer_num;
+-      port->current_buffer.size =
+-          rmsg->u.port_info_get_reply.port.buffer_size;
+-
+-      /* stream format */
+-      port->format.type = rmsg->u.port_info_get_reply.format.type;
+-      port->format.encoding = rmsg->u.port_info_get_reply.format.encoding;
+-      port->format.encoding_variant =
+-          rmsg->u.port_info_get_reply.format.encoding_variant;
+-      port->format.bitrate = rmsg->u.port_info_get_reply.format.bitrate;
+-      port->format.flags = rmsg->u.port_info_get_reply.format.flags;
+-
+-      /* elementary stream format */
+-      memcpy(&port->es,
+-             &rmsg->u.port_info_get_reply.es,
+-             sizeof(union mmal_es_specific_format));
+-      port->format.es = &port->es;
+-
+-      port->format.extradata_size =
+-          rmsg->u.port_info_get_reply.format.extradata_size;
+-      memcpy(port->format.extradata,
+-             rmsg->u.port_info_get_reply.extradata,
+-             port->format.extradata_size);
+-
+-      pr_debug("received port info\n");
+-      dump_port_info(port);
+-
+-release_msg:
+-
+-      pr_debug("%s:result:%d component:0x%x port:%d\n",
+-               __func__, ret, port->component->handle, port->handle);
+-
+-      vchi_held_msg_release(&rmsg_handle);
+-
+-      return ret;
+-}
+-
+-/* create comonent on vc */
+-static int create_component(struct vchiq_mmal_instance *instance,
+-                          struct vchiq_mmal_component *component,
+-                          const char *name)
+-{
+-      int ret;
+-      struct mmal_msg m;
+-      struct mmal_msg *rmsg;
+-      struct vchi_held_msg rmsg_handle;
+-
+-      /* build component create message */
+-      m.h.type = MMAL_MSG_TYPE_COMPONENT_CREATE;
+-      m.u.component_create.client_component = (u32)(unsigned long)component;
+-      strncpy(m.u.component_create.name, name,
+-              sizeof(m.u.component_create.name));
+-
+-      ret = send_synchronous_mmal_msg(instance, &m,
+-                                      sizeof(m.u.component_create),
+-                                      &rmsg, &rmsg_handle);
+-      if (ret)
+-              return ret;
+-
+-      if (rmsg->h.type != m.h.type) {
+-              /* got an unexpected message type in reply */
+-              ret = -EINVAL;
+-              goto release_msg;
+-      }
+-
+-      ret = -rmsg->u.component_create_reply.status;
+-      if (ret != MMAL_MSG_STATUS_SUCCESS)
+-              goto release_msg;
+-
+-      /* a valid component response received */
+-      component->handle = rmsg->u.component_create_reply.component_handle;
+-      component->inputs = rmsg->u.component_create_reply.input_num;
+-      component->outputs = rmsg->u.component_create_reply.output_num;
+-      component->clocks = rmsg->u.component_create_reply.clock_num;
+-
+-      pr_debug("Component handle:0x%x in:%d out:%d clock:%d\n",
+-               component->handle,
+-               component->inputs, component->outputs, component->clocks);
+-
+-release_msg:
+-      vchi_held_msg_release(&rmsg_handle);
+-
+-      return ret;
+-}
+-
+-/* destroys a component on vc */
+-static int destroy_component(struct vchiq_mmal_instance *instance,
+-                           struct vchiq_mmal_component *component)
+-{
+-      int ret;
+-      struct mmal_msg m;
+-      struct mmal_msg *rmsg;
+-      struct vchi_held_msg rmsg_handle;
+-
+-      m.h.type = MMAL_MSG_TYPE_COMPONENT_DESTROY;
+-      m.u.component_destroy.component_handle = component->handle;
+-
+-      ret = send_synchronous_mmal_msg(instance, &m,
+-                                      sizeof(m.u.component_destroy),
+-                                      &rmsg, &rmsg_handle);
+-      if (ret)
+-              return ret;
+-
+-      if (rmsg->h.type != m.h.type) {
+-              /* got an unexpected message type in reply */
+-              ret = -EINVAL;
+-              goto release_msg;
+-      }
+-
+-      ret = -rmsg->u.component_destroy_reply.status;
+-
+-release_msg:
+-
+-      vchi_held_msg_release(&rmsg_handle);
+-
+-      return ret;
+-}
+-
+-/* enable a component on vc */
+-static int enable_component(struct vchiq_mmal_instance *instance,
+-                          struct vchiq_mmal_component *component)
+-{
+-      int ret;
+-      struct mmal_msg m;
+-      struct mmal_msg *rmsg;
+-      struct vchi_held_msg rmsg_handle;
+-
+-      m.h.type = MMAL_MSG_TYPE_COMPONENT_ENABLE;
+-      m.u.component_enable.component_handle = component->handle;
+-
+-      ret = send_synchronous_mmal_msg(instance, &m,
+-                                      sizeof(m.u.component_enable),
+-                                      &rmsg, &rmsg_handle);
+-      if (ret)
+-              return ret;
+-
+-      if (rmsg->h.type != m.h.type) {
+-              /* got an unexpected message type in reply */
+-              ret = -EINVAL;
+-              goto release_msg;
+-      }
+-
+-      ret = -rmsg->u.component_enable_reply.status;
+-
+-release_msg:
+-      vchi_held_msg_release(&rmsg_handle);
+-
+-      return ret;
+-}
+-
+-/* disable a component on vc */
+-static int disable_component(struct vchiq_mmal_instance *instance,
+-                           struct vchiq_mmal_component *component)
+-{
+-      int ret;
+-      struct mmal_msg m;
+-      struct mmal_msg *rmsg;
+-      struct vchi_held_msg rmsg_handle;
+-
+-      m.h.type = MMAL_MSG_TYPE_COMPONENT_DISABLE;
+-      m.u.component_disable.component_handle = component->handle;
+-
+-      ret = send_synchronous_mmal_msg(instance, &m,
+-                                      sizeof(m.u.component_disable),
+-                                      &rmsg, &rmsg_handle);
+-      if (ret)
+-              return ret;
+-
+-      if (rmsg->h.type != m.h.type) {
+-              /* got an unexpected message type in reply */
+-              ret = -EINVAL;
+-              goto release_msg;
+-      }
+-
+-      ret = -rmsg->u.component_disable_reply.status;
+-
+-release_msg:
+-
+-      vchi_held_msg_release(&rmsg_handle);
+-
+-      return ret;
+-}
+-
+-/* get version of mmal implementation */
+-static int get_version(struct vchiq_mmal_instance *instance,
+-                     u32 *major_out, u32 *minor_out)
+-{
+-      int ret;
+-      struct mmal_msg m;
+-      struct mmal_msg *rmsg;
+-      struct vchi_held_msg rmsg_handle;
+-
+-      m.h.type = MMAL_MSG_TYPE_GET_VERSION;
+-
+-      ret = send_synchronous_mmal_msg(instance, &m,
+-                                      sizeof(m.u.version),
+-                                      &rmsg, &rmsg_handle);
+-      if (ret)
+-              return ret;
+-
+-      if (rmsg->h.type != m.h.type) {
+-              /* got an unexpected message type in reply */
+-              ret = -EINVAL;
+-              goto release_msg;
+-      }
+-
+-      *major_out = rmsg->u.version.major;
+-      *minor_out = rmsg->u.version.minor;
+-
+-release_msg:
+-      vchi_held_msg_release(&rmsg_handle);
+-
+-      return ret;
+-}
+-
+-/* do a port action with a port as a parameter */
+-static int port_action_port(struct vchiq_mmal_instance *instance,
+-                          struct vchiq_mmal_port *port,
+-                          enum mmal_msg_port_action_type action_type)
+-{
+-      int ret;
+-      struct mmal_msg m;
+-      struct mmal_msg *rmsg;
+-      struct vchi_held_msg rmsg_handle;
+-
+-      m.h.type = MMAL_MSG_TYPE_PORT_ACTION;
+-      m.u.port_action_port.component_handle = port->component->handle;
+-      m.u.port_action_port.port_handle = port->handle;
+-      m.u.port_action_port.action = action_type;
+-
+-      port_to_mmal_msg(port, &m.u.port_action_port.port);
+-
+-      ret = send_synchronous_mmal_msg(instance, &m,
+-                                      sizeof(m.u.port_action_port),
+-                                      &rmsg, &rmsg_handle);
+-      if (ret)
+-              return ret;
+-
+-      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_ACTION) {
+-              /* got an unexpected message type in reply */
+-              ret = -EINVAL;
+-              goto release_msg;
+-      }
+-
+-      ret = -rmsg->u.port_action_reply.status;
+-
+-      pr_debug("%s:result:%d component:0x%x port:%d action:%s(%d)\n",
+-               __func__,
+-               ret, port->component->handle, port->handle,
+-               port_action_type_names[action_type], action_type);
+-
+-release_msg:
+-      vchi_held_msg_release(&rmsg_handle);
+-
+-      return ret;
+-}
+-
+-/* do a port action with handles as parameters */
+-static int port_action_handle(struct vchiq_mmal_instance *instance,
+-                            struct vchiq_mmal_port *port,
+-                            enum mmal_msg_port_action_type action_type,
+-                            u32 connect_component_handle,
+-                            u32 connect_port_handle)
+-{
+-      int ret;
+-      struct mmal_msg m;
+-      struct mmal_msg *rmsg;
+-      struct vchi_held_msg rmsg_handle;
+-
+-      m.h.type = MMAL_MSG_TYPE_PORT_ACTION;
+-
+-      m.u.port_action_handle.component_handle = port->component->handle;
+-      m.u.port_action_handle.port_handle = port->handle;
+-      m.u.port_action_handle.action = action_type;
+-
+-      m.u.port_action_handle.connect_component_handle =
+-          connect_component_handle;
+-      m.u.port_action_handle.connect_port_handle = connect_port_handle;
+-
+-      ret = send_synchronous_mmal_msg(instance, &m,
+-                                      sizeof(m.u.port_action_handle),
+-                                      &rmsg, &rmsg_handle);
+-      if (ret)
+-              return ret;
+-
+-      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_ACTION) {
+-              /* got an unexpected message type in reply */
+-              ret = -EINVAL;
+-              goto release_msg;
+-      }
+-
+-      ret = -rmsg->u.port_action_reply.status;
+-
+-      pr_debug("%s:result:%d component:0x%x port:%d action:%s(%d) connect component:0x%x connect port:%d\n",
+-               __func__,
+-               ret, port->component->handle, port->handle,
+-               port_action_type_names[action_type],
+-               action_type, connect_component_handle, connect_port_handle);
+-
+-release_msg:
+-      vchi_held_msg_release(&rmsg_handle);
+-
+-      return ret;
+-}
+-
+-static int port_parameter_set(struct vchiq_mmal_instance *instance,
+-                            struct vchiq_mmal_port *port,
+-                            u32 parameter_id, void *value, u32 value_size)
+-{
+-      int ret;
+-      struct mmal_msg m;
+-      struct mmal_msg *rmsg;
+-      struct vchi_held_msg rmsg_handle;
+-
+-      m.h.type = MMAL_MSG_TYPE_PORT_PARAMETER_SET;
+-
+-      m.u.port_parameter_set.component_handle = port->component->handle;
+-      m.u.port_parameter_set.port_handle = port->handle;
+-      m.u.port_parameter_set.id = parameter_id;
+-      m.u.port_parameter_set.size = (2 * sizeof(u32)) + value_size;
+-      memcpy(&m.u.port_parameter_set.value, value, value_size);
+-
+-      ret = send_synchronous_mmal_msg(instance, &m,
+-                                      (4 * sizeof(u32)) + value_size,
+-                                      &rmsg, &rmsg_handle);
+-      if (ret)
+-              return ret;
+-
+-      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_PARAMETER_SET) {
+-              /* got an unexpected message type in reply */
+-              ret = -EINVAL;
+-              goto release_msg;
+-      }
+-
+-      ret = -rmsg->u.port_parameter_set_reply.status;
+-
+-      pr_debug("%s:result:%d component:0x%x port:%d parameter:%d\n",
+-               __func__,
+-               ret, port->component->handle, port->handle, parameter_id);
+-
+-release_msg:
+-      vchi_held_msg_release(&rmsg_handle);
+-
+-      return ret;
+-}
+-
+-static int port_parameter_get(struct vchiq_mmal_instance *instance,
+-                            struct vchiq_mmal_port *port,
+-                            u32 parameter_id, void *value, u32 *value_size)
+-{
+-      int ret;
+-      struct mmal_msg m;
+-      struct mmal_msg *rmsg;
+-      struct vchi_held_msg rmsg_handle;
+-
+-      m.h.type = MMAL_MSG_TYPE_PORT_PARAMETER_GET;
+-
+-      m.u.port_parameter_get.component_handle = port->component->handle;
+-      m.u.port_parameter_get.port_handle = port->handle;
+-      m.u.port_parameter_get.id = parameter_id;
+-      m.u.port_parameter_get.size = (2 * sizeof(u32)) + *value_size;
+-
+-      ret = send_synchronous_mmal_msg(instance, &m,
+-                                      sizeof(struct
+-                                             mmal_msg_port_parameter_get),
+-                                      &rmsg, &rmsg_handle);
+-      if (ret)
+-              return ret;
+-
+-      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_PARAMETER_GET) {
+-              /* got an unexpected message type in reply */
+-              pr_err("Incorrect reply type %d\n", rmsg->h.type);
+-              ret = -EINVAL;
+-              goto release_msg;
+-      }
+-
+-      ret = -rmsg->u.port_parameter_get_reply.status;
+-      /* port_parameter_get_reply.size includes the header,
+-       * whilst *value_size doesn't.
+-       */
+-      rmsg->u.port_parameter_get_reply.size -= (2 * sizeof(u32));
+-
+-      if (ret || rmsg->u.port_parameter_get_reply.size > *value_size) {
+-              /* Copy only as much as we have space for
+-               * but report true size of parameter
+-               */
+-              memcpy(value, &rmsg->u.port_parameter_get_reply.value,
+-                     *value_size);
+-              *value_size = rmsg->u.port_parameter_get_reply.size;
+-      } else {
+-              memcpy(value, &rmsg->u.port_parameter_get_reply.value,
+-                     rmsg->u.port_parameter_get_reply.size);
+-      }
+-
+-      pr_debug("%s:result:%d component:0x%x port:%d parameter:%d\n", __func__,
+-               ret, port->component->handle, port->handle, parameter_id);
+-
+-release_msg:
+-      vchi_held_msg_release(&rmsg_handle);
+-
+-      return ret;
+-}
+-
+-/* disables a port and drains buffers from it */
+-static int port_disable(struct vchiq_mmal_instance *instance,
+-                      struct vchiq_mmal_port *port)
+-{
+-      int ret;
+-      struct list_head *q, *buf_head;
+-      unsigned long flags = 0;
+-
+-      if (!port->enabled)
+-              return 0;
+-
+-      port->enabled = 0;
+-
+-      ret = port_action_port(instance, port,
+-                             MMAL_MSG_PORT_ACTION_TYPE_DISABLE);
+-      if (ret == 0) {
+-              /*
+-               * Drain all queued buffers on port. This should only
+-               * apply to buffers that have been queued before the port
+-               * has been enabled. If the port has been enabled and buffers
+-               * passed, then the buffers should have been removed from this
+-               * list, and we should get the relevant callbacks via VCHIQ
+-               * to release the buffers.
+-               */
+-              spin_lock_irqsave(&port->slock, flags);
+-
+-              list_for_each_safe(buf_head, q, &port->buffers) {
+-                      struct mmal_buffer *mmalbuf;
+-
+-                      mmalbuf = list_entry(buf_head, struct mmal_buffer,
+-                                           list);
+-                      list_del(buf_head);
+-                      if (port->buffer_cb)
+-                              port->buffer_cb(instance,
+-                                              port, 0, mmalbuf, 0, 0,
+-                                              MMAL_TIME_UNKNOWN,
+-                                              MMAL_TIME_UNKNOWN);
+-              }
+-
+-              spin_unlock_irqrestore(&port->slock, flags);
+-
+-              ret = port_info_get(instance, port);
+-      }
+-
+-      return ret;
+-}
+-
+-/* enable a port */
+-static int port_enable(struct vchiq_mmal_instance *instance,
+-                     struct vchiq_mmal_port *port)
+-{
+-      unsigned int hdr_count;
+-      struct list_head *q, *buf_head;
+-      int ret;
+-
+-      if (port->enabled)
+-              return 0;
+-
+-      ret = port_action_port(instance, port,
+-                             MMAL_MSG_PORT_ACTION_TYPE_ENABLE);
+-      if (ret)
+-              goto done;
+-
+-      port->enabled = 1;
+-
+-      if (port->buffer_cb) {
+-              /* send buffer headers to videocore */
+-              hdr_count = 1;
+-              list_for_each_safe(buf_head, q, &port->buffers) {
+-                      struct mmal_buffer *mmalbuf;
+-
+-                      mmalbuf = list_entry(buf_head, struct mmal_buffer,
+-                                           list);
+-                      ret = buffer_from_host(instance, port, mmalbuf);
+-                      if (ret)
+-                              goto done;
+-
+-                      list_del(buf_head);
+-                      hdr_count++;
+-                      if (hdr_count > port->current_buffer.num)
+-                              break;
+-              }
+-      }
+-
+-      ret = port_info_get(instance, port);
+-
+-done:
+-      return ret;
+-}
+-
+-/* ------------------------------------------------------------------
+- * Exported API
+- *------------------------------------------------------------------
+- */
+-
+-int vchiq_mmal_port_set_format(struct vchiq_mmal_instance *instance,
+-                             struct vchiq_mmal_port *port)
+-{
+-      int ret;
+-
+-      if (mutex_lock_interruptible(&instance->vchiq_mutex))
+-              return -EINTR;
+-
+-      ret = port_info_set(instance, port);
+-      if (ret)
+-              goto release_unlock;
+-
+-      /* read what has actually been set */
+-      ret = port_info_get(instance, port);
+-
+-release_unlock:
+-      mutex_unlock(&instance->vchiq_mutex);
+-
+-      return ret;
+-}
+-
+-int vchiq_mmal_port_parameter_set(struct vchiq_mmal_instance *instance,
+-                                struct vchiq_mmal_port *port,
+-                                u32 parameter, void *value, u32 value_size)
+-{
+-      int ret;
+-
+-      if (mutex_lock_interruptible(&instance->vchiq_mutex))
+-              return -EINTR;
+-
+-      ret = port_parameter_set(instance, port, parameter, value, value_size);
+-
+-      mutex_unlock(&instance->vchiq_mutex);
+-
+-      return ret;
+-}
+-
+-int vchiq_mmal_port_parameter_get(struct vchiq_mmal_instance *instance,
+-                                struct vchiq_mmal_port *port,
+-                                u32 parameter, void *value, u32 *value_size)
+-{
+-      int ret;
+-
+-      if (mutex_lock_interruptible(&instance->vchiq_mutex))
+-              return -EINTR;
+-
+-      ret = port_parameter_get(instance, port, parameter, value, value_size);
+-
+-      mutex_unlock(&instance->vchiq_mutex);
+-
+-      return ret;
+-}
+-
+-/* enable a port
+- *
+- * enables a port and queues buffers for satisfying callbacks if we
+- * provide a callback handler
+- */
+-int vchiq_mmal_port_enable(struct vchiq_mmal_instance *instance,
+-                         struct vchiq_mmal_port *port,
+-                         vchiq_mmal_buffer_cb buffer_cb)
+-{
+-      int ret;
+-
+-      if (mutex_lock_interruptible(&instance->vchiq_mutex))
+-              return -EINTR;
+-
+-      /* already enabled - noop */
+-      if (port->enabled) {
+-              ret = 0;
+-              goto unlock;
+-      }
+-
+-      port->buffer_cb = buffer_cb;
+-
+-      ret = port_enable(instance, port);
+-
+-unlock:
+-      mutex_unlock(&instance->vchiq_mutex);
+-
+-      return ret;
+-}
+-
+-int vchiq_mmal_port_disable(struct vchiq_mmal_instance *instance,
+-                          struct vchiq_mmal_port *port)
+-{
+-      int ret;
+-
+-      if (mutex_lock_interruptible(&instance->vchiq_mutex))
+-              return -EINTR;
+-
+-      if (!port->enabled) {
+-              mutex_unlock(&instance->vchiq_mutex);
+-              return 0;
+-      }
+-
+-      ret = port_disable(instance, port);
+-
+-      mutex_unlock(&instance->vchiq_mutex);
+-
+-      return ret;
+-}
+-
+-/* ports will be connected in a tunneled manner so data buffers
+- * are not handled by client.
+- */
+-int vchiq_mmal_port_connect_tunnel(struct vchiq_mmal_instance *instance,
+-                                 struct vchiq_mmal_port *src,
+-                                 struct vchiq_mmal_port *dst)
+-{
+-      int ret;
+-
+-      if (mutex_lock_interruptible(&instance->vchiq_mutex))
+-              return -EINTR;
+-
+-      /* disconnect ports if connected */
+-      if (src->connected) {
+-              ret = port_disable(instance, src);
+-              if (ret) {
+-                      pr_err("failed disabling src port(%d)\n", ret);
+-                      goto release_unlock;
+-              }
+-
+-              /* do not need to disable the destination port as they
+-               * are connected and it is done automatically
+-               */
+-
+-              ret = port_action_handle(instance, src,
+-                                       MMAL_MSG_PORT_ACTION_TYPE_DISCONNECT,
+-                                       src->connected->component->handle,
+-                                       src->connected->handle);
+-              if (ret < 0) {
+-                      pr_err("failed disconnecting src port\n");
+-                      goto release_unlock;
+-              }
+-              src->connected->enabled = 0;
+-              src->connected = NULL;
+-      }
+-
+-      if (!dst) {
+-              /* do not make new connection */
+-              ret = 0;
+-              pr_debug("not making new connection\n");
+-              goto release_unlock;
+-      }
+-
+-      /* copy src port format to dst */
+-      dst->format.encoding = src->format.encoding;
+-      dst->es.video.width = src->es.video.width;
+-      dst->es.video.height = src->es.video.height;
+-      dst->es.video.crop.x = src->es.video.crop.x;
+-      dst->es.video.crop.y = src->es.video.crop.y;
+-      dst->es.video.crop.width = src->es.video.crop.width;
+-      dst->es.video.crop.height = src->es.video.crop.height;
+-      dst->es.video.frame_rate.num = src->es.video.frame_rate.num;
+-      dst->es.video.frame_rate.den = src->es.video.frame_rate.den;
+-
+-      /* set new format */
+-      ret = port_info_set(instance, dst);
+-      if (ret) {
+-              pr_debug("setting port info failed\n");
+-              goto release_unlock;
+-      }
+-
+-      /* read what has actually been set */
+-      ret = port_info_get(instance, dst);
+-      if (ret) {
+-              pr_debug("read back port info failed\n");
+-              goto release_unlock;
+-      }
+-
+-      /* connect two ports together */
+-      ret = port_action_handle(instance, src,
+-                               MMAL_MSG_PORT_ACTION_TYPE_CONNECT,
+-                               dst->component->handle, dst->handle);
+-      if (ret < 0) {
+-              pr_debug("connecting port %d:%d to %d:%d failed\n",
+-                       src->component->handle, src->handle,
+-                       dst->component->handle, dst->handle);
+-              goto release_unlock;
+-      }
+-      src->connected = dst;
+-
+-release_unlock:
+-
+-      mutex_unlock(&instance->vchiq_mutex);
+-
+-      return ret;
+-}
+-
+-int vchiq_mmal_submit_buffer(struct vchiq_mmal_instance *instance,
+-                           struct vchiq_mmal_port *port,
+-                           struct mmal_buffer *buffer)
+-{
+-      unsigned long flags = 0;
+-      int ret;
+-
+-      ret = buffer_from_host(instance, port, buffer);
+-      if (ret == -EINVAL) {
+-              /* Port is disabled. Queue for when it is enabled. */
+-              spin_lock_irqsave(&port->slock, flags);
+-              list_add_tail(&buffer->list, &port->buffers);
+-              spin_unlock_irqrestore(&port->slock, flags);
+-      }
+-
+-      return 0;
+-}
+-
+-int mmal_vchi_buffer_init(struct vchiq_mmal_instance *instance,
+-                        struct mmal_buffer *buf)
+-{
+-      struct mmal_msg_context *msg_context = get_msg_context(instance);
+-
+-      if (IS_ERR(msg_context))
+-              return (PTR_ERR(msg_context));
+-
+-      buf->msg_context = msg_context;
+-      return 0;
+-}
+-
+-int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf)
+-{
+-      struct mmal_msg_context *msg_context = buf->msg_context;
+-
+-      if (msg_context)
+-              release_msg_context(msg_context);
+-      buf->msg_context = NULL;
+-
+-      return 0;
+-}
+-
+-/* Initialise a mmal component and its ports
+- *
+- */
+-int vchiq_mmal_component_init(struct vchiq_mmal_instance *instance,
+-                            const char *name,
+-                            struct vchiq_mmal_component **component_out)
+-{
+-      int ret;
+-      int idx;                /* port index */
+-      struct vchiq_mmal_component *component;
+-
+-      if (mutex_lock_interruptible(&instance->vchiq_mutex))
+-              return -EINTR;
+-
+-      if (instance->component_idx == VCHIQ_MMAL_MAX_COMPONENTS) {
+-              ret = -EINVAL;  /* todo is this correct error? */
+-              goto unlock;
+-      }
+-
+-      component = &instance->component[instance->component_idx];
+-
+-      ret = create_component(instance, component, name);
+-      if (ret < 0) {
+-              pr_err("%s: failed to create component %d (Not enough GPU mem?)\n",
+-                     __func__, ret);
+-              goto unlock;
+-      }
+-
+-      /* ports info needs gathering */
+-      component->control.type = MMAL_PORT_TYPE_CONTROL;
+-      component->control.index = 0;
+-      component->control.component = component;
+-      spin_lock_init(&component->control.slock);
+-      INIT_LIST_HEAD(&component->control.buffers);
+-      ret = port_info_get(instance, &component->control);
+-      if (ret < 0)
+-              goto release_component;
+-
+-      for (idx = 0; idx < component->inputs; idx++) {
+-              component->input[idx].type = MMAL_PORT_TYPE_INPUT;
+-              component->input[idx].index = idx;
+-              component->input[idx].component = component;
+-              spin_lock_init(&component->input[idx].slock);
+-              INIT_LIST_HEAD(&component->input[idx].buffers);
+-              ret = port_info_get(instance, &component->input[idx]);
+-              if (ret < 0)
+-                      goto release_component;
+-      }
+-
+-      for (idx = 0; idx < component->outputs; idx++) {
+-              component->output[idx].type = MMAL_PORT_TYPE_OUTPUT;
+-              component->output[idx].index = idx;
+-              component->output[idx].component = component;
+-              spin_lock_init(&component->output[idx].slock);
+-              INIT_LIST_HEAD(&component->output[idx].buffers);
+-              ret = port_info_get(instance, &component->output[idx]);
+-              if (ret < 0)
+-                      goto release_component;
+-      }
+-
+-      for (idx = 0; idx < component->clocks; idx++) {
+-              component->clock[idx].type = MMAL_PORT_TYPE_CLOCK;
+-              component->clock[idx].index = idx;
+-              component->clock[idx].component = component;
+-              spin_lock_init(&component->clock[idx].slock);
+-              INIT_LIST_HEAD(&component->clock[idx].buffers);
+-              ret = port_info_get(instance, &component->clock[idx]);
+-              if (ret < 0)
+-                      goto release_component;
+-      }
+-
+-      instance->component_idx++;
+-
+-      *component_out = component;
+-
+-      mutex_unlock(&instance->vchiq_mutex);
+-
+-      return 0;
+-
+-release_component:
+-      destroy_component(instance, component);
+-unlock:
+-      mutex_unlock(&instance->vchiq_mutex);
+-
+-      return ret;
+-}
+-
+-/*
+- * cause a mmal component to be destroyed
+- */
+-int vchiq_mmal_component_finalise(struct vchiq_mmal_instance *instance,
+-                                struct vchiq_mmal_component *component)
+-{
+-      int ret;
+-
+-      if (mutex_lock_interruptible(&instance->vchiq_mutex))
+-              return -EINTR;
+-
+-      if (component->enabled)
+-              ret = disable_component(instance, component);
+-
+-      ret = destroy_component(instance, component);
+-
+-      mutex_unlock(&instance->vchiq_mutex);
+-
+-      return ret;
+-}
+-
+-/*
+- * cause a mmal component to be enabled
+- */
+-int vchiq_mmal_component_enable(struct vchiq_mmal_instance *instance,
+-                              struct vchiq_mmal_component *component)
+-{
+-      int ret;
+-
+-      if (mutex_lock_interruptible(&instance->vchiq_mutex))
+-              return -EINTR;
+-
+-      if (component->enabled) {
+-              mutex_unlock(&instance->vchiq_mutex);
+-              return 0;
+-      }
+-
+-      ret = enable_component(instance, component);
+-      if (ret == 0)
+-              component->enabled = true;
+-
+-      mutex_unlock(&instance->vchiq_mutex);
+-
+-      return ret;
+-}
+-
+-/*
+- * cause a mmal component to be enabled
+- */
+-int vchiq_mmal_component_disable(struct vchiq_mmal_instance *instance,
+-                               struct vchiq_mmal_component *component)
+-{
+-      int ret;
+-
+-      if (mutex_lock_interruptible(&instance->vchiq_mutex))
+-              return -EINTR;
+-
+-      if (!component->enabled) {
+-              mutex_unlock(&instance->vchiq_mutex);
+-              return 0;
+-      }
+-
+-      ret = disable_component(instance, component);
+-      if (ret == 0)
+-              component->enabled = 0;
+-
+-      mutex_unlock(&instance->vchiq_mutex);
+-
+-      return ret;
+-}
+-
+-int vchiq_mmal_version(struct vchiq_mmal_instance *instance,
+-                     u32 *major_out, u32 *minor_out)
+-{
+-      int ret;
+-
+-      if (mutex_lock_interruptible(&instance->vchiq_mutex))
+-              return -EINTR;
+-
+-      ret = get_version(instance, major_out, minor_out);
+-
+-      mutex_unlock(&instance->vchiq_mutex);
+-
+-      return ret;
+-}
+-
+-int vchiq_mmal_finalise(struct vchiq_mmal_instance *instance)
+-{
+-      int status = 0;
+-
+-      if (!instance)
+-              return -EINVAL;
+-
+-      if (mutex_lock_interruptible(&instance->vchiq_mutex))
+-              return -EINTR;
+-
+-      vchi_service_use(instance->handle);
+-
+-      status = vchi_service_close(instance->handle);
+-      if (status != 0)
+-              pr_err("mmal-vchiq: VCHIQ close failed\n");
+-
+-      mutex_unlock(&instance->vchiq_mutex);
+-
+-      flush_workqueue(instance->bulk_wq);
+-      destroy_workqueue(instance->bulk_wq);
+-
+-      vfree(instance->bulk_scratch);
+-
+-      idr_destroy(&instance->context_map);
+-
+-      kfree(instance);
+-
+-      return status;
+-}
+-
+-int vchiq_mmal_init(struct vchiq_mmal_instance **out_instance)
+-{
+-      int status;
+-      struct vchiq_mmal_instance *instance;
+-      static VCHI_INSTANCE_T vchi_instance;
+-      struct service_creation params = {
+-              .version                = VCHI_VERSION_EX(VC_MMAL_VER, VC_MMAL_MIN_VER),
+-              .service_id             = VC_MMAL_SERVER_NAME,
+-              .callback               = service_callback,
+-              .callback_param         = NULL,
+-      };
+-
+-      /* compile time checks to ensure structure size as they are
+-       * directly (de)serialised from memory.
+-       */
+-
+-      /* ensure the header structure has packed to the correct size */
+-      BUILD_BUG_ON(sizeof(struct mmal_msg_header) != 24);
+-
+-      /* ensure message structure does not exceed maximum length */
+-      BUILD_BUG_ON(sizeof(struct mmal_msg) > MMAL_MSG_MAX_SIZE);
+-
+-      /* mmal port struct is correct size */
+-      BUILD_BUG_ON(sizeof(struct mmal_port) != 64);
+-
+-      /* create a vchi instance */
+-      status = vchi_initialise(&vchi_instance);
+-      if (status) {
+-              pr_err("Failed to initialise VCHI instance (status=%d)\n",
+-                     status);
+-              return -EIO;
+-      }
+-
+-      status = vchi_connect(vchi_instance);
+-      if (status) {
+-              pr_err("Failed to connect VCHI instance (status=%d)\n", status);
+-              return -EIO;
+-      }
+-
+-      instance = kzalloc(sizeof(*instance), GFP_KERNEL);
+-
+-      if (!instance)
+-              return -ENOMEM;
+-
+-      mutex_init(&instance->vchiq_mutex);
+-
+-      instance->bulk_scratch = vmalloc(PAGE_SIZE);
+-
+-      mutex_init(&instance->context_map_lock);
+-      idr_init_base(&instance->context_map, 1);
+-
+-      params.callback_param = instance;
+-
+-      instance->bulk_wq = alloc_ordered_workqueue("mmal-vchiq",
+-                                                  WQ_MEM_RECLAIM);
+-      if (!instance->bulk_wq)
+-              goto err_free;
+-
+-      status = vchi_service_open(vchi_instance, &params, &instance->handle);
+-      if (status) {
+-              pr_err("Failed to open VCHI service connection (status=%d)\n",
+-                     status);
+-              goto err_close_services;
+-      }
+-
+-      vchi_service_release(instance->handle);
+-
+-      *out_instance = instance;
+-
+-      return 0;
+-
+-err_close_services:
+-      vchi_service_close(instance->handle);
+-      destroy_workqueue(instance->bulk_wq);
+-err_free:
+-      vfree(instance->bulk_scratch);
+-      kfree(instance);
+-      return -ENODEV;
+-}
+--- /dev/null
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -0,0 +1,1913 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * Broadcom BM2835 V4L2 driver
++ *
++ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
++ *
++ * Authors: Vincent Sanders @ Collabora
++ *          Dave Stevenson @ Broadcom
++ *            (now dave.stevenson@raspberrypi.org)
++ *          Simon Mellor @ Broadcom
++ *          Luke Diamand @ Broadcom
++ *
++ * V4L2 driver MMAL vchiq interface code
++ */
++
++#define pr_fmt(fmt) KBUILD_MODNAME ": " fmt
++
++#include <linux/errno.h>
++#include <linux/kernel.h>
++#include <linux/module.h>
++#include <linux/mutex.h>
++#include <linux/mm.h>
++#include <linux/slab.h>
++#include <linux/completion.h>
++#include <linux/vmalloc.h>
++#include <media/videobuf2-vmalloc.h>
++
++#include "mmal-common.h"
++#include "mmal-vchiq.h"
++#include "mmal-msg.h"
++
++#define USE_VCHIQ_ARM
++#include "interface/vchi/vchi.h"
++
++MODULE_DESCRIPTION("BCM2835 MMAL VCHIQ interface");
++MODULE_AUTHOR("Dave Stevenson, <dave.stevenson@raspberrypi.org>");
++MODULE_LICENSE("GPL");
++MODULE_VERSION("0.0.1");
++
++/* maximum number of components supported */
++#define VCHIQ_MMAL_MAX_COMPONENTS 4
++
++/*#define FULL_MSG_DUMP 1*/
++
++#ifdef DEBUG
++static const char *const msg_type_names[] = {
++      "UNKNOWN",
++      "QUIT",
++      "SERVICE_CLOSED",
++      "GET_VERSION",
++      "COMPONENT_CREATE",
++      "COMPONENT_DESTROY",
++      "COMPONENT_ENABLE",
++      "COMPONENT_DISABLE",
++      "PORT_INFO_GET",
++      "PORT_INFO_SET",
++      "PORT_ACTION",
++      "BUFFER_FROM_HOST",
++      "BUFFER_TO_HOST",
++      "GET_STATS",
++      "PORT_PARAMETER_SET",
++      "PORT_PARAMETER_GET",
++      "EVENT_TO_HOST",
++      "GET_CORE_STATS_FOR_PORT",
++      "OPAQUE_ALLOCATOR",
++      "CONSUME_MEM",
++      "LMK",
++      "OPAQUE_ALLOCATOR_DESC",
++      "DRM_GET_LHS32",
++      "DRM_GET_TIME",
++      "BUFFER_FROM_HOST_ZEROLEN",
++      "PORT_FLUSH",
++      "HOST_LOG",
++};
++#endif
++
++static const char *const port_action_type_names[] = {
++      "UNKNOWN",
++      "ENABLE",
++      "DISABLE",
++      "FLUSH",
++      "CONNECT",
++      "DISCONNECT",
++      "SET_REQUIREMENTS",
++};
++
++#if defined(DEBUG)
++#if defined(FULL_MSG_DUMP)
++#define DBG_DUMP_MSG(MSG, MSG_LEN, TITLE)                             \
++      do {                                                            \
++              pr_debug(TITLE" type:%s(%d) length:%d\n",               \
++                       msg_type_names[(MSG)->h.type],                 \
++                       (MSG)->h.type, (MSG_LEN));                     \
++              print_hex_dump(KERN_DEBUG, "<<h: ", DUMP_PREFIX_OFFSET, \
++                             16, 4, (MSG),                            \
++                             sizeof(struct mmal_msg_header), 1);      \
++              print_hex_dump(KERN_DEBUG, "<<p: ", DUMP_PREFIX_OFFSET, \
++                             16, 4,                                   \
++                             ((u8 *)(MSG)) + sizeof(struct mmal_msg_header),\
++                             (MSG_LEN) - sizeof(struct mmal_msg_header), 1); \
++      } while (0)
++#else
++#define DBG_DUMP_MSG(MSG, MSG_LEN, TITLE)                             \
++      {                                                               \
++              pr_debug(TITLE" type:%s(%d) length:%d\n",               \
++                       msg_type_names[(MSG)->h.type],                 \
++                       (MSG)->h.type, (MSG_LEN));                     \
++      }
++#endif
++#else
++#define DBG_DUMP_MSG(MSG, MSG_LEN, TITLE)
++#endif
++
++struct vchiq_mmal_instance;
++
++/* normal message context */
++struct mmal_msg_context {
++      struct vchiq_mmal_instance *instance;
++
++      /* Index in the context_map idr so that we can find the
++       * mmal_msg_context again when servicing the VCHI reply.
++       */
++      int handle;
++
++      union {
++              struct {
++                      /* work struct for buffer_cb callback */
++                      struct work_struct work;
++                      /* work struct for deferred callback */
++                      struct work_struct buffer_to_host_work;
++                      /* mmal instance */
++                      struct vchiq_mmal_instance *instance;
++                      /* mmal port */
++                      struct vchiq_mmal_port *port;
++                      /* actual buffer used to store bulk reply */
++                      struct mmal_buffer *buffer;
++                      /* amount of buffer used */
++                      unsigned long buffer_used;
++                      /* MMAL buffer flags */
++                      u32 mmal_flags;
++                      /* Presentation and Decode timestamps */
++                      s64 pts;
++                      s64 dts;
++
++                      int status;     /* context status */
++
++              } bulk;         /* bulk data */
++
++              struct {
++                      /* message handle to release */
++                      struct vchi_held_msg msg_handle;
++                      /* pointer to received message */
++                      struct mmal_msg *msg;
++                      /* received message length */
++                      u32 msg_len;
++                      /* completion upon reply */
++                      struct completion cmplt;
++              } sync;         /* synchronous response */
++      } u;
++
++};
++
++struct vchiq_mmal_instance {
++      VCHI_SERVICE_HANDLE_T handle;
++
++      /* ensure serialised access to service */
++      struct mutex vchiq_mutex;
++
++      /* vmalloc page to receive scratch bulk xfers into */
++      void *bulk_scratch;
++
++      struct idr context_map;
++      /* protect accesses to context_map */
++      struct mutex context_map_lock;
++
++      /* component to use next */
++      int component_idx;
++      struct vchiq_mmal_component component[VCHIQ_MMAL_MAX_COMPONENTS];
++
++      /* ordered workqueue to process all bulk operations */
++      struct workqueue_struct *bulk_wq;
++};
++
++static struct mmal_msg_context *
++get_msg_context(struct vchiq_mmal_instance *instance)
++{
++      struct mmal_msg_context *msg_context;
++      int handle;
++
++      /* todo: should this be allocated from a pool to avoid kzalloc */
++      msg_context = kzalloc(sizeof(*msg_context), GFP_KERNEL);
++
++      if (!msg_context)
++              return ERR_PTR(-ENOMEM);
++
++      /* Create an ID that will be passed along with our message so
++       * that when we service the VCHI reply, we can look up what
++       * message is being replied to.
++       */
++      mutex_lock(&instance->context_map_lock);
++      handle = idr_alloc(&instance->context_map, msg_context,
++                         0, 0, GFP_KERNEL);
++      mutex_unlock(&instance->context_map_lock);
++
++      if (handle < 0) {
++              kfree(msg_context);
++              return ERR_PTR(handle);
++      }
++
++      msg_context->instance = instance;
++      msg_context->handle = handle;
++
++      return msg_context;
++}
++
++static struct mmal_msg_context *
++lookup_msg_context(struct vchiq_mmal_instance *instance, int handle)
++{
++      return idr_find(&instance->context_map, handle);
++}
++
++static void
++release_msg_context(struct mmal_msg_context *msg_context)
++{
++      struct vchiq_mmal_instance *instance = msg_context->instance;
++
++      mutex_lock(&instance->context_map_lock);
++      idr_remove(&instance->context_map, msg_context->handle);
++      mutex_unlock(&instance->context_map_lock);
++      kfree(msg_context);
++}
++
++/* deals with receipt of event to host message */
++static void event_to_host_cb(struct vchiq_mmal_instance *instance,
++                           struct mmal_msg *msg, u32 msg_len)
++{
++      pr_debug("unhandled event\n");
++      pr_debug("component:%u port type:%d num:%d cmd:0x%x length:%d\n",
++               msg->u.event_to_host.client_component,
++               msg->u.event_to_host.port_type,
++               msg->u.event_to_host.port_num,
++               msg->u.event_to_host.cmd, msg->u.event_to_host.length);
++}
++
++/* workqueue scheduled callback
++ *
++ * we do this because it is important we do not call any other vchiq
++ * sync calls from witin the message delivery thread
++ */
++static void buffer_work_cb(struct work_struct *work)
++{
++      struct mmal_msg_context *msg_context =
++              container_of(work, struct mmal_msg_context, u.bulk.work);
++
++      atomic_dec(&msg_context->u.bulk.port->buffers_with_vpu);
++
++      msg_context->u.bulk.port->buffer_cb(msg_context->u.bulk.instance,
++                                          msg_context->u.bulk.port,
++                                          msg_context->u.bulk.status,
++                                          msg_context->u.bulk.buffer,
++                                          msg_context->u.bulk.buffer_used,
++                                          msg_context->u.bulk.mmal_flags,
++                                          msg_context->u.bulk.dts,
++                                          msg_context->u.bulk.pts);
++}
++
++/* workqueue scheduled callback to handle receiving buffers
++ *
++ * VCHI will allow up to 4 bulk receives to be scheduled before blocking.
++ * If we block in the service_callback context then we can't process the
++ * VCHI_CALLBACK_BULK_RECEIVED message that would otherwise allow the blocked
++ * vchi_bulk_queue_receive() call to complete.
++ */
++static void buffer_to_host_work_cb(struct work_struct *work)
++{
++      struct mmal_msg_context *msg_context =
++              container_of(work, struct mmal_msg_context,
++                           u.bulk.buffer_to_host_work);
++      struct vchiq_mmal_instance *instance = msg_context->instance;
++      unsigned long len = msg_context->u.bulk.buffer_used;
++      int ret;
++
++      if (!len)
++              /* Dummy receive to ensure the buffers remain in order */
++              len = 8;
++      /* queue the bulk submission */
++      vchi_service_use(instance->handle);
++      ret = vchi_bulk_queue_receive(instance->handle,
++                                    msg_context->u.bulk.buffer->buffer,
++                                    /* Actual receive needs to be a multiple
++                                     * of 4 bytes
++                                     */
++                                    (len + 3) & ~3,
++                                    VCHI_FLAGS_CALLBACK_WHEN_OP_COMPLETE |
++                                    VCHI_FLAGS_BLOCK_UNTIL_QUEUED,
++                                    msg_context);
++
++      vchi_service_release(instance->handle);
++
++      if (ret != 0)
++              pr_err("%s: ctx: %p, vchi_bulk_queue_receive failed %d\n",
++                     __func__, msg_context, ret);
++}
++
++/* enqueue a bulk receive for a given message context */
++static int bulk_receive(struct vchiq_mmal_instance *instance,
++                      struct mmal_msg *msg,
++                      struct mmal_msg_context *msg_context)
++{
++      unsigned long rd_len;
++
++      rd_len = msg->u.buffer_from_host.buffer_header.length;
++
++      if (!msg_context->u.bulk.buffer) {
++              pr_err("bulk.buffer not configured - error in buffer_from_host\n");
++
++              /* todo: this is a serious error, we should never have
++               * committed a buffer_to_host operation to the mmal
++               * port without the buffer to back it up (underflow
++               * handling) and there is no obvious way to deal with
++               * this - how is the mmal servie going to react when
++               * we fail to do the xfer and reschedule a buffer when
++               * it arrives? perhaps a starved flag to indicate a
++               * waiting bulk receive?
++               */
++
++              return -EINVAL;
++      }
++
++      /* ensure we do not overrun the available buffer */
++      if (rd_len > msg_context->u.bulk.buffer->buffer_size) {
++              rd_len = msg_context->u.bulk.buffer->buffer_size;
++              pr_warn("short read as not enough receive buffer space\n");
++              /* todo: is this the correct response, what happens to
++               * the rest of the message data?
++               */
++      }
++
++      /* store length */
++      msg_context->u.bulk.buffer_used = rd_len;
++      msg_context->u.bulk.dts = msg->u.buffer_from_host.buffer_header.dts;
++      msg_context->u.bulk.pts = msg->u.buffer_from_host.buffer_header.pts;
++
++      queue_work(msg_context->instance->bulk_wq,
++                 &msg_context->u.bulk.buffer_to_host_work);
++
++      return 0;
++}
++
++/* data in message, memcpy from packet into output buffer */
++static int inline_receive(struct vchiq_mmal_instance *instance,
++                        struct mmal_msg *msg,
++                        struct mmal_msg_context *msg_context)
++{
++      memcpy(msg_context->u.bulk.buffer->buffer,
++             msg->u.buffer_from_host.short_data,
++             msg->u.buffer_from_host.payload_in_message);
++
++      msg_context->u.bulk.buffer_used =
++          msg->u.buffer_from_host.payload_in_message;
++
++      return 0;
++}
++
++/* queue the buffer availability with MMAL_MSG_TYPE_BUFFER_FROM_HOST */
++static int
++buffer_from_host(struct vchiq_mmal_instance *instance,
++               struct vchiq_mmal_port *port, struct mmal_buffer *buf)
++{
++      struct mmal_msg_context *msg_context;
++      struct mmal_msg m;
++      int ret;
++
++      if (!port->enabled)
++              return -EINVAL;
++
++      pr_debug("instance:%p buffer:%p\n", instance->handle, buf);
++
++      /* get context */
++      if (!buf->msg_context) {
++              pr_err("%s: msg_context not allocated, buf %p\n", __func__,
++                     buf);
++              return -EINVAL;
++      }
++      msg_context = buf->msg_context;
++
++      /* store bulk message context for when data arrives */
++      msg_context->u.bulk.instance = instance;
++      msg_context->u.bulk.port = port;
++      msg_context->u.bulk.buffer = buf;
++      msg_context->u.bulk.buffer_used = 0;
++
++      /* initialise work structure ready to schedule callback */
++      INIT_WORK(&msg_context->u.bulk.work, buffer_work_cb);
++      INIT_WORK(&msg_context->u.bulk.buffer_to_host_work,
++                buffer_to_host_work_cb);
++
++      atomic_inc(&port->buffers_with_vpu);
++
++      /* prep the buffer from host message */
++      memset(&m, 0xbc, sizeof(m));    /* just to make debug clearer */
++
++      m.h.type = MMAL_MSG_TYPE_BUFFER_FROM_HOST;
++      m.h.magic = MMAL_MAGIC;
++      m.h.context = msg_context->handle;
++      m.h.status = 0;
++
++      /* drvbuf is our private data passed back */
++      m.u.buffer_from_host.drvbuf.magic = MMAL_MAGIC;
++      m.u.buffer_from_host.drvbuf.component_handle = port->component->handle;
++      m.u.buffer_from_host.drvbuf.port_handle = port->handle;
++      m.u.buffer_from_host.drvbuf.client_context = msg_context->handle;
++
++      /* buffer header */
++      m.u.buffer_from_host.buffer_header.cmd = 0;
++      m.u.buffer_from_host.buffer_header.data =
++              (u32)(unsigned long)buf->buffer;
++      m.u.buffer_from_host.buffer_header.alloc_size = buf->buffer_size;
++      m.u.buffer_from_host.buffer_header.length = 0;  /* nothing used yet */
++      m.u.buffer_from_host.buffer_header.offset = 0;  /* no offset */
++      m.u.buffer_from_host.buffer_header.flags = 0;   /* no flags */
++      m.u.buffer_from_host.buffer_header.pts = MMAL_TIME_UNKNOWN;
++      m.u.buffer_from_host.buffer_header.dts = MMAL_TIME_UNKNOWN;
++
++      /* clear buffer type sepecific data */
++      memset(&m.u.buffer_from_host.buffer_header_type_specific, 0,
++             sizeof(m.u.buffer_from_host.buffer_header_type_specific));
++
++      /* no payload in message */
++      m.u.buffer_from_host.payload_in_message = 0;
++
++      vchi_service_use(instance->handle);
++
++      ret = vchi_queue_kernel_message(instance->handle,
++                                      &m,
++                                      sizeof(struct mmal_msg_header) +
++                                      sizeof(m.u.buffer_from_host));
++
++      vchi_service_release(instance->handle);
++
++      return ret;
++}
++
++/* deals with receipt of buffer to host message */
++static void buffer_to_host_cb(struct vchiq_mmal_instance *instance,
++                            struct mmal_msg *msg, u32 msg_len)
++{
++      struct mmal_msg_context *msg_context;
++      u32 handle;
++
++      pr_debug("%s: instance:%p msg:%p msg_len:%d\n",
++               __func__, instance, msg, msg_len);
++
++      if (msg->u.buffer_from_host.drvbuf.magic == MMAL_MAGIC) {
++              handle = msg->u.buffer_from_host.drvbuf.client_context;
++              msg_context = lookup_msg_context(instance, handle);
++
++              if (!msg_context) {
++                      pr_err("drvbuf.client_context(%u) is invalid\n",
++                             handle);
++                      return;
++              }
++      } else {
++              pr_err("MMAL_MSG_TYPE_BUFFER_TO_HOST with bad magic\n");
++              return;
++      }
++
++      msg_context->u.bulk.mmal_flags =
++                              msg->u.buffer_from_host.buffer_header.flags;
++
++      if (msg->h.status != MMAL_MSG_STATUS_SUCCESS) {
++              /* message reception had an error */
++              pr_warn("error %d in reply\n", msg->h.status);
++
++              msg_context->u.bulk.status = msg->h.status;
++
++      } else if (msg->u.buffer_from_host.buffer_header.length == 0) {
++              /* empty buffer */
++              if (msg->u.buffer_from_host.buffer_header.flags &
++                  MMAL_BUFFER_HEADER_FLAG_EOS) {
++                      msg_context->u.bulk.status =
++                          bulk_receive(instance, msg, msg_context);
++                      if (msg_context->u.bulk.status == 0)
++                              return; /* successful bulk submission, bulk
++                                       * completion will trigger callback
++                                       */
++              } else {
++                      /* do callback with empty buffer - not EOS though */
++                      msg_context->u.bulk.status = 0;
++                      msg_context->u.bulk.buffer_used = 0;
++              }
++      } else if (msg->u.buffer_from_host.payload_in_message == 0) {
++              /* data is not in message, queue a bulk receive */
++              msg_context->u.bulk.status =
++                  bulk_receive(instance, msg, msg_context);
++              if (msg_context->u.bulk.status == 0)
++                      return; /* successful bulk submission, bulk
++                               * completion will trigger callback
++                               */
++
++              /* failed to submit buffer, this will end badly */
++              pr_err("error %d on bulk submission\n",
++                     msg_context->u.bulk.status);
++
++      } else if (msg->u.buffer_from_host.payload_in_message <=
++                 MMAL_VC_SHORT_DATA) {
++              /* data payload within message */
++              msg_context->u.bulk.status = inline_receive(instance, msg,
++                                                          msg_context);
++      } else {
++              pr_err("message with invalid short payload\n");
++
++              /* signal error */
++              msg_context->u.bulk.status = -EINVAL;
++              msg_context->u.bulk.buffer_used =
++                  msg->u.buffer_from_host.payload_in_message;
++      }
++
++      /* schedule the port callback */
++      schedule_work(&msg_context->u.bulk.work);
++}
++
++static void bulk_receive_cb(struct vchiq_mmal_instance *instance,
++                          struct mmal_msg_context *msg_context)
++{
++      msg_context->u.bulk.status = 0;
++
++      /* schedule the port callback */
++      schedule_work(&msg_context->u.bulk.work);
++}
++
++static void bulk_abort_cb(struct vchiq_mmal_instance *instance,
++                        struct mmal_msg_context *msg_context)
++{
++      pr_err("%s: bulk ABORTED msg_context:%p\n", __func__, msg_context);
++
++      msg_context->u.bulk.status = -EINTR;
++
++      schedule_work(&msg_context->u.bulk.work);
++}
++
++/* incoming event service callback */
++static void service_callback(void *param,
++                           const VCHI_CALLBACK_REASON_T reason,
++                           void *bulk_ctx)
++{
++      struct vchiq_mmal_instance *instance = param;
++      int status;
++      u32 msg_len;
++      struct mmal_msg *msg;
++      struct vchi_held_msg msg_handle;
++      struct mmal_msg_context *msg_context;
++
++      if (!instance) {
++              pr_err("Message callback passed NULL instance\n");
++              return;
++      }
++
++      switch (reason) {
++      case VCHI_CALLBACK_MSG_AVAILABLE:
++              status = vchi_msg_hold(instance->handle, (void **)&msg,
++                                     &msg_len, VCHI_FLAGS_NONE, &msg_handle);
++              if (status) {
++                      pr_err("Unable to dequeue a message (%d)\n", status);
++                      break;
++              }
++
++              DBG_DUMP_MSG(msg, msg_len, "<<< reply message");
++
++              /* handling is different for buffer messages */
++              switch (msg->h.type) {
++              case MMAL_MSG_TYPE_BUFFER_FROM_HOST:
++                      vchi_held_msg_release(&msg_handle);
++                      break;
++
++              case MMAL_MSG_TYPE_EVENT_TO_HOST:
++                      event_to_host_cb(instance, msg, msg_len);
++                      vchi_held_msg_release(&msg_handle);
++
++                      break;
++
++              case MMAL_MSG_TYPE_BUFFER_TO_HOST:
++                      buffer_to_host_cb(instance, msg, msg_len);
++                      vchi_held_msg_release(&msg_handle);
++                      break;
++
++              default:
++                      /* messages dependent on header context to complete */
++                      if (!msg->h.context) {
++                              pr_err("received message context was null!\n");
++                              vchi_held_msg_release(&msg_handle);
++                              break;
++                      }
++
++                      msg_context = lookup_msg_context(instance,
++                                                       msg->h.context);
++                      if (!msg_context) {
++                              pr_err("received invalid message context %u!\n",
++                                     msg->h.context);
++                              vchi_held_msg_release(&msg_handle);
++                              break;
++                      }
++
++                      /* fill in context values */
++                      msg_context->u.sync.msg_handle = msg_handle;
++                      msg_context->u.sync.msg = msg;
++                      msg_context->u.sync.msg_len = msg_len;
++
++                      /* todo: should this check (completion_done()
++                       * == 1) for no one waiting? or do we need a
++                       * flag to tell us the completion has been
++                       * interrupted so we can free the message and
++                       * its context. This probably also solves the
++                       * message arriving after interruption todo
++                       * below
++                       */
++
++                      /* complete message so caller knows it happened */
++                      complete(&msg_context->u.sync.cmplt);
++                      break;
++              }
++
++              break;
++
++      case VCHI_CALLBACK_BULK_RECEIVED:
++              bulk_receive_cb(instance, bulk_ctx);
++              break;
++
++      case VCHI_CALLBACK_BULK_RECEIVE_ABORTED:
++              bulk_abort_cb(instance, bulk_ctx);
++              break;
++
++      case VCHI_CALLBACK_SERVICE_CLOSED:
++              /* TODO: consider if this requires action if received when
++               * driver is not explicitly closing the service
++               */
++              break;
++
++      default:
++              pr_err("Received unhandled message reason %d\n", reason);
++              break;
++      }
++}
++
++static int send_synchronous_mmal_msg(struct vchiq_mmal_instance *instance,
++                                   struct mmal_msg *msg,
++                                   unsigned int payload_len,
++                                   struct mmal_msg **msg_out,
++                                   struct vchi_held_msg *msg_handle_out)
++{
++      struct mmal_msg_context *msg_context;
++      int ret;
++      unsigned long timeout;
++
++      /* payload size must not cause message to exceed max size */
++      if (payload_len >
++          (MMAL_MSG_MAX_SIZE - sizeof(struct mmal_msg_header))) {
++              pr_err("payload length %d exceeds max:%d\n", payload_len,
++                     (int)(MMAL_MSG_MAX_SIZE -
++                          sizeof(struct mmal_msg_header)));
++              return -EINVAL;
++      }
++
++      msg_context = get_msg_context(instance);
++      if (IS_ERR(msg_context))
++              return PTR_ERR(msg_context);
++
++      init_completion(&msg_context->u.sync.cmplt);
++
++      msg->h.magic = MMAL_MAGIC;
++      msg->h.context = msg_context->handle;
++      msg->h.status = 0;
++
++      DBG_DUMP_MSG(msg, (sizeof(struct mmal_msg_header) + payload_len),
++                   ">>> sync message");
++
++      vchi_service_use(instance->handle);
++
++      ret = vchi_queue_kernel_message(instance->handle,
++                                      msg,
++                                      sizeof(struct mmal_msg_header) +
++                                      payload_len);
++
++      vchi_service_release(instance->handle);
++
++      if (ret) {
++              pr_err("error %d queuing message\n", ret);
++              release_msg_context(msg_context);
++              return ret;
++      }
++
++      timeout = wait_for_completion_timeout(&msg_context->u.sync.cmplt,
++                                            3 * HZ);
++      if (timeout == 0) {
++              pr_err("timed out waiting for sync completion\n");
++              ret = -ETIME;
++              /* todo: what happens if the message arrives after aborting */
++              release_msg_context(msg_context);
++              return ret;
++      }
++
++      *msg_out = msg_context->u.sync.msg;
++      *msg_handle_out = msg_context->u.sync.msg_handle;
++      release_msg_context(msg_context);
++
++      return 0;
++}
++
++static void dump_port_info(struct vchiq_mmal_port *port)
++{
++      pr_debug("port handle:0x%x enabled:%d\n", port->handle, port->enabled);
++
++      pr_debug("buffer minimum num:%d size:%d align:%d\n",
++               port->minimum_buffer.num,
++               port->minimum_buffer.size, port->minimum_buffer.alignment);
++
++      pr_debug("buffer recommended num:%d size:%d align:%d\n",
++               port->recommended_buffer.num,
++               port->recommended_buffer.size,
++               port->recommended_buffer.alignment);
++
++      pr_debug("buffer current values num:%d size:%d align:%d\n",
++               port->current_buffer.num,
++               port->current_buffer.size, port->current_buffer.alignment);
++
++      pr_debug("elementary stream: type:%d encoding:0x%x variant:0x%x\n",
++               port->format.type,
++               port->format.encoding, port->format.encoding_variant);
++
++      pr_debug("                  bitrate:%d flags:0x%x\n",
++               port->format.bitrate, port->format.flags);
++
++      if (port->format.type == MMAL_ES_TYPE_VIDEO) {
++              pr_debug
++                  ("es video format: width:%d height:%d colourspace:0x%x\n",
++                   port->es.video.width, port->es.video.height,
++                   port->es.video.color_space);
++
++              pr_debug("               : crop xywh %d,%d,%d,%d\n",
++                       port->es.video.crop.x,
++                       port->es.video.crop.y,
++                       port->es.video.crop.width, port->es.video.crop.height);
++              pr_debug("               : framerate %d/%d  aspect %d/%d\n",
++                       port->es.video.frame_rate.num,
++                       port->es.video.frame_rate.den,
++                       port->es.video.par.num, port->es.video.par.den);
++      }
++}
++
++static void port_to_mmal_msg(struct vchiq_mmal_port *port, struct mmal_port *p)
++{
++      /* todo do readonly fields need setting at all? */
++      p->type = port->type;
++      p->index = port->index;
++      p->index_all = 0;
++      p->is_enabled = port->enabled;
++      p->buffer_num_min = port->minimum_buffer.num;
++      p->buffer_size_min = port->minimum_buffer.size;
++      p->buffer_alignment_min = port->minimum_buffer.alignment;
++      p->buffer_num_recommended = port->recommended_buffer.num;
++      p->buffer_size_recommended = port->recommended_buffer.size;
++
++      /* only three writable fields in a port */
++      p->buffer_num = port->current_buffer.num;
++      p->buffer_size = port->current_buffer.size;
++      p->userdata = (u32)(unsigned long)port;
++}
++
++static int port_info_set(struct vchiq_mmal_instance *instance,
++                       struct vchiq_mmal_port *port)
++{
++      int ret;
++      struct mmal_msg m;
++      struct mmal_msg *rmsg;
++      struct vchi_held_msg rmsg_handle;
++
++      pr_debug("setting port info port %p\n", port);
++      if (!port)
++              return -1;
++      dump_port_info(port);
++
++      m.h.type = MMAL_MSG_TYPE_PORT_INFO_SET;
++
++      m.u.port_info_set.component_handle = port->component->handle;
++      m.u.port_info_set.port_type = port->type;
++      m.u.port_info_set.port_index = port->index;
++
++      port_to_mmal_msg(port, &m.u.port_info_set.port);
++
++      /* elementary stream format setup */
++      m.u.port_info_set.format.type = port->format.type;
++      m.u.port_info_set.format.encoding = port->format.encoding;
++      m.u.port_info_set.format.encoding_variant =
++          port->format.encoding_variant;
++      m.u.port_info_set.format.bitrate = port->format.bitrate;
++      m.u.port_info_set.format.flags = port->format.flags;
++
++      memcpy(&m.u.port_info_set.es, &port->es,
++             sizeof(union mmal_es_specific_format));
++
++      m.u.port_info_set.format.extradata_size = port->format.extradata_size;
++      memcpy(&m.u.port_info_set.extradata, port->format.extradata,
++             port->format.extradata_size);
++
++      ret = send_synchronous_mmal_msg(instance, &m,
++                                      sizeof(m.u.port_info_set),
++                                      &rmsg, &rmsg_handle);
++      if (ret)
++              return ret;
++
++      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_INFO_SET) {
++              /* got an unexpected message type in reply */
++              ret = -EINVAL;
++              goto release_msg;
++      }
++
++      /* return operation status */
++      ret = -rmsg->u.port_info_get_reply.status;
++
++      pr_debug("%s:result:%d component:0x%x port:%d\n", __func__, ret,
++               port->component->handle, port->handle);
++
++release_msg:
++      vchi_held_msg_release(&rmsg_handle);
++
++      return ret;
++}
++
++/* use port info get message to retrieve port information */
++static int port_info_get(struct vchiq_mmal_instance *instance,
++                       struct vchiq_mmal_port *port)
++{
++      int ret;
++      struct mmal_msg m;
++      struct mmal_msg *rmsg;
++      struct vchi_held_msg rmsg_handle;
++
++      /* port info time */
++      m.h.type = MMAL_MSG_TYPE_PORT_INFO_GET;
++      m.u.port_info_get.component_handle = port->component->handle;
++      m.u.port_info_get.port_type = port->type;
++      m.u.port_info_get.index = port->index;
++
++      ret = send_synchronous_mmal_msg(instance, &m,
++                                      sizeof(m.u.port_info_get),
++                                      &rmsg, &rmsg_handle);
++      if (ret)
++              return ret;
++
++      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_INFO_GET) {
++              /* got an unexpected message type in reply */
++              ret = -EINVAL;
++              goto release_msg;
++      }
++
++      /* return operation status */
++      ret = -rmsg->u.port_info_get_reply.status;
++      if (ret != MMAL_MSG_STATUS_SUCCESS)
++              goto release_msg;
++
++      if (rmsg->u.port_info_get_reply.port.is_enabled == 0)
++              port->enabled = 0;
++      else
++              port->enabled = 1;
++
++      /* copy the values out of the message */
++      port->handle = rmsg->u.port_info_get_reply.port_handle;
++
++      /* port type and index cached to use on port info set because
++       * it does not use a port handle
++       */
++      port->type = rmsg->u.port_info_get_reply.port_type;
++      port->index = rmsg->u.port_info_get_reply.port_index;
++
++      port->minimum_buffer.num =
++          rmsg->u.port_info_get_reply.port.buffer_num_min;
++      port->minimum_buffer.size =
++          rmsg->u.port_info_get_reply.port.buffer_size_min;
++      port->minimum_buffer.alignment =
++          rmsg->u.port_info_get_reply.port.buffer_alignment_min;
++
++      port->recommended_buffer.alignment =
++          rmsg->u.port_info_get_reply.port.buffer_alignment_min;
++      port->recommended_buffer.num =
++          rmsg->u.port_info_get_reply.port.buffer_num_recommended;
++
++      port->current_buffer.num = rmsg->u.port_info_get_reply.port.buffer_num;
++      port->current_buffer.size =
++          rmsg->u.port_info_get_reply.port.buffer_size;
++
++      /* stream format */
++      port->format.type = rmsg->u.port_info_get_reply.format.type;
++      port->format.encoding = rmsg->u.port_info_get_reply.format.encoding;
++      port->format.encoding_variant =
++          rmsg->u.port_info_get_reply.format.encoding_variant;
++      port->format.bitrate = rmsg->u.port_info_get_reply.format.bitrate;
++      port->format.flags = rmsg->u.port_info_get_reply.format.flags;
++
++      /* elementary stream format */
++      memcpy(&port->es,
++             &rmsg->u.port_info_get_reply.es,
++             sizeof(union mmal_es_specific_format));
++      port->format.es = &port->es;
++
++      port->format.extradata_size =
++          rmsg->u.port_info_get_reply.format.extradata_size;
++      memcpy(port->format.extradata,
++             rmsg->u.port_info_get_reply.extradata,
++             port->format.extradata_size);
++
++      pr_debug("received port info\n");
++      dump_port_info(port);
++
++release_msg:
++
++      pr_debug("%s:result:%d component:0x%x port:%d\n",
++               __func__, ret, port->component->handle, port->handle);
++
++      vchi_held_msg_release(&rmsg_handle);
++
++      return ret;
++}
++
++/* create comonent on vc */
++static int create_component(struct vchiq_mmal_instance *instance,
++                          struct vchiq_mmal_component *component,
++                          const char *name)
++{
++      int ret;
++      struct mmal_msg m;
++      struct mmal_msg *rmsg;
++      struct vchi_held_msg rmsg_handle;
++
++      /* build component create message */
++      m.h.type = MMAL_MSG_TYPE_COMPONENT_CREATE;
++      m.u.component_create.client_component = (u32)(unsigned long)component;
++      strncpy(m.u.component_create.name, name,
++              sizeof(m.u.component_create.name));
++
++      ret = send_synchronous_mmal_msg(instance, &m,
++                                      sizeof(m.u.component_create),
++                                      &rmsg, &rmsg_handle);
++      if (ret)
++              return ret;
++
++      if (rmsg->h.type != m.h.type) {
++              /* got an unexpected message type in reply */
++              ret = -EINVAL;
++              goto release_msg;
++      }
++
++      ret = -rmsg->u.component_create_reply.status;
++      if (ret != MMAL_MSG_STATUS_SUCCESS)
++              goto release_msg;
++
++      /* a valid component response received */
++      component->handle = rmsg->u.component_create_reply.component_handle;
++      component->inputs = rmsg->u.component_create_reply.input_num;
++      component->outputs = rmsg->u.component_create_reply.output_num;
++      component->clocks = rmsg->u.component_create_reply.clock_num;
++
++      pr_debug("Component handle:0x%x in:%d out:%d clock:%d\n",
++               component->handle,
++               component->inputs, component->outputs, component->clocks);
++
++release_msg:
++      vchi_held_msg_release(&rmsg_handle);
++
++      return ret;
++}
++
++/* destroys a component on vc */
++static int destroy_component(struct vchiq_mmal_instance *instance,
++                           struct vchiq_mmal_component *component)
++{
++      int ret;
++      struct mmal_msg m;
++      struct mmal_msg *rmsg;
++      struct vchi_held_msg rmsg_handle;
++
++      m.h.type = MMAL_MSG_TYPE_COMPONENT_DESTROY;
++      m.u.component_destroy.component_handle = component->handle;
++
++      ret = send_synchronous_mmal_msg(instance, &m,
++                                      sizeof(m.u.component_destroy),
++                                      &rmsg, &rmsg_handle);
++      if (ret)
++              return ret;
++
++      if (rmsg->h.type != m.h.type) {
++              /* got an unexpected message type in reply */
++              ret = -EINVAL;
++              goto release_msg;
++      }
++
++      ret = -rmsg->u.component_destroy_reply.status;
++
++release_msg:
++
++      vchi_held_msg_release(&rmsg_handle);
++
++      return ret;
++}
++
++/* enable a component on vc */
++static int enable_component(struct vchiq_mmal_instance *instance,
++                          struct vchiq_mmal_component *component)
++{
++      int ret;
++      struct mmal_msg m;
++      struct mmal_msg *rmsg;
++      struct vchi_held_msg rmsg_handle;
++
++      m.h.type = MMAL_MSG_TYPE_COMPONENT_ENABLE;
++      m.u.component_enable.component_handle = component->handle;
++
++      ret = send_synchronous_mmal_msg(instance, &m,
++                                      sizeof(m.u.component_enable),
++                                      &rmsg, &rmsg_handle);
++      if (ret)
++              return ret;
++
++      if (rmsg->h.type != m.h.type) {
++              /* got an unexpected message type in reply */
++              ret = -EINVAL;
++              goto release_msg;
++      }
++
++      ret = -rmsg->u.component_enable_reply.status;
++
++release_msg:
++      vchi_held_msg_release(&rmsg_handle);
++
++      return ret;
++}
++
++/* disable a component on vc */
++static int disable_component(struct vchiq_mmal_instance *instance,
++                           struct vchiq_mmal_component *component)
++{
++      int ret;
++      struct mmal_msg m;
++      struct mmal_msg *rmsg;
++      struct vchi_held_msg rmsg_handle;
++
++      m.h.type = MMAL_MSG_TYPE_COMPONENT_DISABLE;
++      m.u.component_disable.component_handle = component->handle;
++
++      ret = send_synchronous_mmal_msg(instance, &m,
++                                      sizeof(m.u.component_disable),
++                                      &rmsg, &rmsg_handle);
++      if (ret)
++              return ret;
++
++      if (rmsg->h.type != m.h.type) {
++              /* got an unexpected message type in reply */
++              ret = -EINVAL;
++              goto release_msg;
++      }
++
++      ret = -rmsg->u.component_disable_reply.status;
++
++release_msg:
++
++      vchi_held_msg_release(&rmsg_handle);
++
++      return ret;
++}
++
++/* get version of mmal implementation */
++static int get_version(struct vchiq_mmal_instance *instance,
++                     u32 *major_out, u32 *minor_out)
++{
++      int ret;
++      struct mmal_msg m;
++      struct mmal_msg *rmsg;
++      struct vchi_held_msg rmsg_handle;
++
++      m.h.type = MMAL_MSG_TYPE_GET_VERSION;
++
++      ret = send_synchronous_mmal_msg(instance, &m,
++                                      sizeof(m.u.version),
++                                      &rmsg, &rmsg_handle);
++      if (ret)
++              return ret;
++
++      if (rmsg->h.type != m.h.type) {
++              /* got an unexpected message type in reply */
++              ret = -EINVAL;
++              goto release_msg;
++      }
++
++      *major_out = rmsg->u.version.major;
++      *minor_out = rmsg->u.version.minor;
++
++release_msg:
++      vchi_held_msg_release(&rmsg_handle);
++
++      return ret;
++}
++
++/* do a port action with a port as a parameter */
++static int port_action_port(struct vchiq_mmal_instance *instance,
++                          struct vchiq_mmal_port *port,
++                          enum mmal_msg_port_action_type action_type)
++{
++      int ret;
++      struct mmal_msg m;
++      struct mmal_msg *rmsg;
++      struct vchi_held_msg rmsg_handle;
++
++      m.h.type = MMAL_MSG_TYPE_PORT_ACTION;
++      m.u.port_action_port.component_handle = port->component->handle;
++      m.u.port_action_port.port_handle = port->handle;
++      m.u.port_action_port.action = action_type;
++
++      port_to_mmal_msg(port, &m.u.port_action_port.port);
++
++      ret = send_synchronous_mmal_msg(instance, &m,
++                                      sizeof(m.u.port_action_port),
++                                      &rmsg, &rmsg_handle);
++      if (ret)
++              return ret;
++
++      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_ACTION) {
++              /* got an unexpected message type in reply */
++              ret = -EINVAL;
++              goto release_msg;
++      }
++
++      ret = -rmsg->u.port_action_reply.status;
++
++      pr_debug("%s:result:%d component:0x%x port:%d action:%s(%d)\n",
++               __func__,
++               ret, port->component->handle, port->handle,
++               port_action_type_names[action_type], action_type);
++
++release_msg:
++      vchi_held_msg_release(&rmsg_handle);
++
++      return ret;
++}
++
++/* do a port action with handles as parameters */
++static int port_action_handle(struct vchiq_mmal_instance *instance,
++                            struct vchiq_mmal_port *port,
++                            enum mmal_msg_port_action_type action_type,
++                            u32 connect_component_handle,
++                            u32 connect_port_handle)
++{
++      int ret;
++      struct mmal_msg m;
++      struct mmal_msg *rmsg;
++      struct vchi_held_msg rmsg_handle;
++
++      m.h.type = MMAL_MSG_TYPE_PORT_ACTION;
++
++      m.u.port_action_handle.component_handle = port->component->handle;
++      m.u.port_action_handle.port_handle = port->handle;
++      m.u.port_action_handle.action = action_type;
++
++      m.u.port_action_handle.connect_component_handle =
++          connect_component_handle;
++      m.u.port_action_handle.connect_port_handle = connect_port_handle;
++
++      ret = send_synchronous_mmal_msg(instance, &m,
++                                      sizeof(m.u.port_action_handle),
++                                      &rmsg, &rmsg_handle);
++      if (ret)
++              return ret;
++
++      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_ACTION) {
++              /* got an unexpected message type in reply */
++              ret = -EINVAL;
++              goto release_msg;
++      }
++
++      ret = -rmsg->u.port_action_reply.status;
++
++      pr_debug("%s:result:%d component:0x%x port:%d action:%s(%d) connect component:0x%x connect port:%d\n",
++               __func__,
++               ret, port->component->handle, port->handle,
++               port_action_type_names[action_type],
++               action_type, connect_component_handle, connect_port_handle);
++
++release_msg:
++      vchi_held_msg_release(&rmsg_handle);
++
++      return ret;
++}
++
++static int port_parameter_set(struct vchiq_mmal_instance *instance,
++                            struct vchiq_mmal_port *port,
++                            u32 parameter_id, void *value, u32 value_size)
++{
++      int ret;
++      struct mmal_msg m;
++      struct mmal_msg *rmsg;
++      struct vchi_held_msg rmsg_handle;
++
++      m.h.type = MMAL_MSG_TYPE_PORT_PARAMETER_SET;
++
++      m.u.port_parameter_set.component_handle = port->component->handle;
++      m.u.port_parameter_set.port_handle = port->handle;
++      m.u.port_parameter_set.id = parameter_id;
++      m.u.port_parameter_set.size = (2 * sizeof(u32)) + value_size;
++      memcpy(&m.u.port_parameter_set.value, value, value_size);
++
++      ret = send_synchronous_mmal_msg(instance, &m,
++                                      (4 * sizeof(u32)) + value_size,
++                                      &rmsg, &rmsg_handle);
++      if (ret)
++              return ret;
++
++      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_PARAMETER_SET) {
++              /* got an unexpected message type in reply */
++              ret = -EINVAL;
++              goto release_msg;
++      }
++
++      ret = -rmsg->u.port_parameter_set_reply.status;
++
++      pr_debug("%s:result:%d component:0x%x port:%d parameter:%d\n",
++               __func__,
++               ret, port->component->handle, port->handle, parameter_id);
++
++release_msg:
++      vchi_held_msg_release(&rmsg_handle);
++
++      return ret;
++}
++
++static int port_parameter_get(struct vchiq_mmal_instance *instance,
++                            struct vchiq_mmal_port *port,
++                            u32 parameter_id, void *value, u32 *value_size)
++{
++      int ret;
++      struct mmal_msg m;
++      struct mmal_msg *rmsg;
++      struct vchi_held_msg rmsg_handle;
++
++      m.h.type = MMAL_MSG_TYPE_PORT_PARAMETER_GET;
++
++      m.u.port_parameter_get.component_handle = port->component->handle;
++      m.u.port_parameter_get.port_handle = port->handle;
++      m.u.port_parameter_get.id = parameter_id;
++      m.u.port_parameter_get.size = (2 * sizeof(u32)) + *value_size;
++
++      ret = send_synchronous_mmal_msg(instance, &m,
++                                      sizeof(struct
++                                             mmal_msg_port_parameter_get),
++                                      &rmsg, &rmsg_handle);
++      if (ret)
++              return ret;
++
++      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_PARAMETER_GET) {
++              /* got an unexpected message type in reply */
++              pr_err("Incorrect reply type %d\n", rmsg->h.type);
++              ret = -EINVAL;
++              goto release_msg;
++      }
++
++      ret = -rmsg->u.port_parameter_get_reply.status;
++      /* port_parameter_get_reply.size includes the header,
++       * whilst *value_size doesn't.
++       */
++      rmsg->u.port_parameter_get_reply.size -= (2 * sizeof(u32));
++
++      if (ret || rmsg->u.port_parameter_get_reply.size > *value_size) {
++              /* Copy only as much as we have space for
++               * but report true size of parameter
++               */
++              memcpy(value, &rmsg->u.port_parameter_get_reply.value,
++                     *value_size);
++              *value_size = rmsg->u.port_parameter_get_reply.size;
++      } else {
++              memcpy(value, &rmsg->u.port_parameter_get_reply.value,
++                     rmsg->u.port_parameter_get_reply.size);
++      }
++
++      pr_debug("%s:result:%d component:0x%x port:%d parameter:%d\n", __func__,
++               ret, port->component->handle, port->handle, parameter_id);
++
++release_msg:
++      vchi_held_msg_release(&rmsg_handle);
++
++      return ret;
++}
++
++/* disables a port and drains buffers from it */
++static int port_disable(struct vchiq_mmal_instance *instance,
++                      struct vchiq_mmal_port *port)
++{
++      int ret;
++      struct list_head *q, *buf_head;
++      unsigned long flags = 0;
++
++      if (!port->enabled)
++              return 0;
++
++      port->enabled = 0;
++
++      ret = port_action_port(instance, port,
++                             MMAL_MSG_PORT_ACTION_TYPE_DISABLE);
++      if (ret == 0) {
++              /*
++               * Drain all queued buffers on port. This should only
++               * apply to buffers that have been queued before the port
++               * has been enabled. If the port has been enabled and buffers
++               * passed, then the buffers should have been removed from this
++               * list, and we should get the relevant callbacks via VCHIQ
++               * to release the buffers.
++               */
++              spin_lock_irqsave(&port->slock, flags);
++
++              list_for_each_safe(buf_head, q, &port->buffers) {
++                      struct mmal_buffer *mmalbuf;
++
++                      mmalbuf = list_entry(buf_head, struct mmal_buffer,
++                                           list);
++                      list_del(buf_head);
++                      if (port->buffer_cb)
++                              port->buffer_cb(instance,
++                                              port, 0, mmalbuf, 0, 0,
++                                              MMAL_TIME_UNKNOWN,
++                                              MMAL_TIME_UNKNOWN);
++              }
++
++              spin_unlock_irqrestore(&port->slock, flags);
++
++              ret = port_info_get(instance, port);
++      }
++
++      return ret;
++}
++
++/* enable a port */
++static int port_enable(struct vchiq_mmal_instance *instance,
++                     struct vchiq_mmal_port *port)
++{
++      unsigned int hdr_count;
++      struct list_head *q, *buf_head;
++      int ret;
++
++      if (port->enabled)
++              return 0;
++
++      ret = port_action_port(instance, port,
++                             MMAL_MSG_PORT_ACTION_TYPE_ENABLE);
++      if (ret)
++              goto done;
++
++      port->enabled = 1;
++
++      if (port->buffer_cb) {
++              /* send buffer headers to videocore */
++              hdr_count = 1;
++              list_for_each_safe(buf_head, q, &port->buffers) {
++                      struct mmal_buffer *mmalbuf;
++
++                      mmalbuf = list_entry(buf_head, struct mmal_buffer,
++                                           list);
++                      ret = buffer_from_host(instance, port, mmalbuf);
++                      if (ret)
++                              goto done;
++
++                      list_del(buf_head);
++                      hdr_count++;
++                      if (hdr_count > port->current_buffer.num)
++                              break;
++              }
++      }
++
++      ret = port_info_get(instance, port);
++
++done:
++      return ret;
++}
++
++/* ------------------------------------------------------------------
++ * Exported API
++ *------------------------------------------------------------------
++ */
++
++int vchiq_mmal_port_set_format(struct vchiq_mmal_instance *instance,
++                             struct vchiq_mmal_port *port)
++{
++      int ret;
++
++      if (mutex_lock_interruptible(&instance->vchiq_mutex))
++              return -EINTR;
++
++      ret = port_info_set(instance, port);
++      if (ret)
++              goto release_unlock;
++
++      /* read what has actually been set */
++      ret = port_info_get(instance, port);
++
++release_unlock:
++      mutex_unlock(&instance->vchiq_mutex);
++
++      return ret;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_port_set_format);
++
++int vchiq_mmal_port_parameter_set(struct vchiq_mmal_instance *instance,
++                                struct vchiq_mmal_port *port,
++                                u32 parameter, void *value, u32 value_size)
++{
++      int ret;
++
++      if (mutex_lock_interruptible(&instance->vchiq_mutex))
++              return -EINTR;
++
++      ret = port_parameter_set(instance, port, parameter, value, value_size);
++
++      mutex_unlock(&instance->vchiq_mutex);
++
++      return ret;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_port_parameter_set);
++
++int vchiq_mmal_port_parameter_get(struct vchiq_mmal_instance *instance,
++                                struct vchiq_mmal_port *port,
++                                u32 parameter, void *value, u32 *value_size)
++{
++      int ret;
++
++      if (mutex_lock_interruptible(&instance->vchiq_mutex))
++              return -EINTR;
++
++      ret = port_parameter_get(instance, port, parameter, value, value_size);
++
++      mutex_unlock(&instance->vchiq_mutex);
++
++      return ret;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_port_parameter_get);
++
++/* enable a port
++ *
++ * enables a port and queues buffers for satisfying callbacks if we
++ * provide a callback handler
++ */
++int vchiq_mmal_port_enable(struct vchiq_mmal_instance *instance,
++                         struct vchiq_mmal_port *port,
++                         vchiq_mmal_buffer_cb buffer_cb)
++{
++      int ret;
++
++      if (mutex_lock_interruptible(&instance->vchiq_mutex))
++              return -EINTR;
++
++      /* already enabled - noop */
++      if (port->enabled) {
++              ret = 0;
++              goto unlock;
++      }
++
++      port->buffer_cb = buffer_cb;
++
++      ret = port_enable(instance, port);
++
++unlock:
++      mutex_unlock(&instance->vchiq_mutex);
++
++      return ret;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_port_enable);
++
++int vchiq_mmal_port_disable(struct vchiq_mmal_instance *instance,
++                          struct vchiq_mmal_port *port)
++{
++      int ret;
++
++      if (mutex_lock_interruptible(&instance->vchiq_mutex))
++              return -EINTR;
++
++      if (!port->enabled) {
++              mutex_unlock(&instance->vchiq_mutex);
++              return 0;
++      }
++
++      ret = port_disable(instance, port);
++
++      mutex_unlock(&instance->vchiq_mutex);
++
++      return ret;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_port_disable);
++
++/* ports will be connected in a tunneled manner so data buffers
++ * are not handled by client.
++ */
++int vchiq_mmal_port_connect_tunnel(struct vchiq_mmal_instance *instance,
++                                 struct vchiq_mmal_port *src,
++                                 struct vchiq_mmal_port *dst)
++{
++      int ret;
++
++      if (mutex_lock_interruptible(&instance->vchiq_mutex))
++              return -EINTR;
++
++      /* disconnect ports if connected */
++      if (src->connected) {
++              ret = port_disable(instance, src);
++              if (ret) {
++                      pr_err("failed disabling src port(%d)\n", ret);
++                      goto release_unlock;
++              }
++
++              /* do not need to disable the destination port as they
++               * are connected and it is done automatically
++               */
++
++              ret = port_action_handle(instance, src,
++                                       MMAL_MSG_PORT_ACTION_TYPE_DISCONNECT,
++                                       src->connected->component->handle,
++                                       src->connected->handle);
++              if (ret < 0) {
++                      pr_err("failed disconnecting src port\n");
++                      goto release_unlock;
++              }
++              src->connected->enabled = 0;
++              src->connected = NULL;
++      }
++
++      if (!dst) {
++              /* do not make new connection */
++              ret = 0;
++              pr_debug("not making new connection\n");
++              goto release_unlock;
++      }
++
++      /* copy src port format to dst */
++      dst->format.encoding = src->format.encoding;
++      dst->es.video.width = src->es.video.width;
++      dst->es.video.height = src->es.video.height;
++      dst->es.video.crop.x = src->es.video.crop.x;
++      dst->es.video.crop.y = src->es.video.crop.y;
++      dst->es.video.crop.width = src->es.video.crop.width;
++      dst->es.video.crop.height = src->es.video.crop.height;
++      dst->es.video.frame_rate.num = src->es.video.frame_rate.num;
++      dst->es.video.frame_rate.den = src->es.video.frame_rate.den;
++
++      /* set new format */
++      ret = port_info_set(instance, dst);
++      if (ret) {
++              pr_debug("setting port info failed\n");
++              goto release_unlock;
++      }
++
++      /* read what has actually been set */
++      ret = port_info_get(instance, dst);
++      if (ret) {
++              pr_debug("read back port info failed\n");
++              goto release_unlock;
++      }
++
++      /* connect two ports together */
++      ret = port_action_handle(instance, src,
++                               MMAL_MSG_PORT_ACTION_TYPE_CONNECT,
++                               dst->component->handle, dst->handle);
++      if (ret < 0) {
++              pr_debug("connecting port %d:%d to %d:%d failed\n",
++                       src->component->handle, src->handle,
++                       dst->component->handle, dst->handle);
++              goto release_unlock;
++      }
++      src->connected = dst;
++
++release_unlock:
++
++      mutex_unlock(&instance->vchiq_mutex);
++
++      return ret;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_port_connect_tunnel);
++
++int vchiq_mmal_submit_buffer(struct vchiq_mmal_instance *instance,
++                           struct vchiq_mmal_port *port,
++                           struct mmal_buffer *buffer)
++{
++      unsigned long flags = 0;
++      int ret;
++
++      ret = buffer_from_host(instance, port, buffer);
++      if (ret == -EINVAL) {
++              /* Port is disabled. Queue for when it is enabled. */
++              spin_lock_irqsave(&port->slock, flags);
++              list_add_tail(&buffer->list, &port->buffers);
++              spin_unlock_irqrestore(&port->slock, flags);
++      }
++
++      return 0;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_submit_buffer);
++
++int mmal_vchi_buffer_init(struct vchiq_mmal_instance *instance,
++                        struct mmal_buffer *buf)
++{
++      struct mmal_msg_context *msg_context = get_msg_context(instance);
++
++      if (IS_ERR(msg_context))
++              return (PTR_ERR(msg_context));
++
++      buf->msg_context = msg_context;
++      return 0;
++}
++EXPORT_SYMBOL_GPL(mmal_vchi_buffer_init);
++
++int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf)
++{
++      struct mmal_msg_context *msg_context = buf->msg_context;
++
++      if (msg_context)
++              release_msg_context(msg_context);
++      buf->msg_context = NULL;
++
++      return 0;
++}
++EXPORT_SYMBOL_GPL(mmal_vchi_buffer_cleanup);
++
++/* Initialise a mmal component and its ports
++ *
++ */
++int vchiq_mmal_component_init(struct vchiq_mmal_instance *instance,
++                            const char *name,
++                            struct vchiq_mmal_component **component_out)
++{
++      int ret;
++      int idx;                /* port index */
++      struct vchiq_mmal_component *component;
++
++      if (mutex_lock_interruptible(&instance->vchiq_mutex))
++              return -EINTR;
++
++      if (instance->component_idx == VCHIQ_MMAL_MAX_COMPONENTS) {
++              ret = -EINVAL;  /* todo is this correct error? */
++              goto unlock;
++      }
++
++      component = &instance->component[instance->component_idx];
++
++      ret = create_component(instance, component, name);
++      if (ret < 0) {
++              pr_err("%s: failed to create component %d (Not enough GPU mem?)\n",
++                     __func__, ret);
++              goto unlock;
++      }
++
++      /* ports info needs gathering */
++      component->control.type = MMAL_PORT_TYPE_CONTROL;
++      component->control.index = 0;
++      component->control.component = component;
++      spin_lock_init(&component->control.slock);
++      INIT_LIST_HEAD(&component->control.buffers);
++      ret = port_info_get(instance, &component->control);
++      if (ret < 0)
++              goto release_component;
++
++      for (idx = 0; idx < component->inputs; idx++) {
++              component->input[idx].type = MMAL_PORT_TYPE_INPUT;
++              component->input[idx].index = idx;
++              component->input[idx].component = component;
++              spin_lock_init(&component->input[idx].slock);
++              INIT_LIST_HEAD(&component->input[idx].buffers);
++              ret = port_info_get(instance, &component->input[idx]);
++              if (ret < 0)
++                      goto release_component;
++      }
++
++      for (idx = 0; idx < component->outputs; idx++) {
++              component->output[idx].type = MMAL_PORT_TYPE_OUTPUT;
++              component->output[idx].index = idx;
++              component->output[idx].component = component;
++              spin_lock_init(&component->output[idx].slock);
++              INIT_LIST_HEAD(&component->output[idx].buffers);
++              ret = port_info_get(instance, &component->output[idx]);
++              if (ret < 0)
++                      goto release_component;
++      }
++
++      for (idx = 0; idx < component->clocks; idx++) {
++              component->clock[idx].type = MMAL_PORT_TYPE_CLOCK;
++              component->clock[idx].index = idx;
++              component->clock[idx].component = component;
++              spin_lock_init(&component->clock[idx].slock);
++              INIT_LIST_HEAD(&component->clock[idx].buffers);
++              ret = port_info_get(instance, &component->clock[idx]);
++              if (ret < 0)
++                      goto release_component;
++      }
++
++      instance->component_idx++;
++
++      *component_out = component;
++
++      mutex_unlock(&instance->vchiq_mutex);
++
++      return 0;
++
++release_component:
++      destroy_component(instance, component);
++unlock:
++      mutex_unlock(&instance->vchiq_mutex);
++
++      return ret;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_component_init);
++
++/*
++ * cause a mmal component to be destroyed
++ */
++int vchiq_mmal_component_finalise(struct vchiq_mmal_instance *instance,
++                                struct vchiq_mmal_component *component)
++{
++      int ret;
++
++      if (mutex_lock_interruptible(&instance->vchiq_mutex))
++              return -EINTR;
++
++      if (component->enabled)
++              ret = disable_component(instance, component);
++
++      ret = destroy_component(instance, component);
++
++      mutex_unlock(&instance->vchiq_mutex);
++
++      return ret;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_component_finalise);
++
++/*
++ * cause a mmal component to be enabled
++ */
++int vchiq_mmal_component_enable(struct vchiq_mmal_instance *instance,
++                              struct vchiq_mmal_component *component)
++{
++      int ret;
++
++      if (mutex_lock_interruptible(&instance->vchiq_mutex))
++              return -EINTR;
++
++      if (component->enabled) {
++              mutex_unlock(&instance->vchiq_mutex);
++              return 0;
++      }
++
++      ret = enable_component(instance, component);
++      if (ret == 0)
++              component->enabled = true;
++
++      mutex_unlock(&instance->vchiq_mutex);
++
++      return ret;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_component_enable);
++
++/*
++ * cause a mmal component to be enabled
++ */
++int vchiq_mmal_component_disable(struct vchiq_mmal_instance *instance,
++                               struct vchiq_mmal_component *component)
++{
++      int ret;
++
++      if (mutex_lock_interruptible(&instance->vchiq_mutex))
++              return -EINTR;
++
++      if (!component->enabled) {
++              mutex_unlock(&instance->vchiq_mutex);
++              return 0;
++      }
++
++      ret = disable_component(instance, component);
++      if (ret == 0)
++              component->enabled = 0;
++
++      mutex_unlock(&instance->vchiq_mutex);
++
++      return ret;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_component_disable);
++
++int vchiq_mmal_version(struct vchiq_mmal_instance *instance,
++                     u32 *major_out, u32 *minor_out)
++{
++      int ret;
++
++      if (mutex_lock_interruptible(&instance->vchiq_mutex))
++              return -EINTR;
++
++      ret = get_version(instance, major_out, minor_out);
++
++      mutex_unlock(&instance->vchiq_mutex);
++
++      return ret;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_version);
++
++int vchiq_mmal_finalise(struct vchiq_mmal_instance *instance)
++{
++      int status = 0;
++
++      if (!instance)
++              return -EINVAL;
++
++      if (mutex_lock_interruptible(&instance->vchiq_mutex))
++              return -EINTR;
++
++      vchi_service_use(instance->handle);
++
++      status = vchi_service_close(instance->handle);
++      if (status != 0)
++              pr_err("mmal-vchiq: VCHIQ close failed\n");
++
++      mutex_unlock(&instance->vchiq_mutex);
++
++      flush_workqueue(instance->bulk_wq);
++      destroy_workqueue(instance->bulk_wq);
++
++      vfree(instance->bulk_scratch);
++
++      idr_destroy(&instance->context_map);
++
++      kfree(instance);
++
++      return status;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_finalise);
++
++int vchiq_mmal_init(struct vchiq_mmal_instance **out_instance)
++{
++      int status;
++      struct vchiq_mmal_instance *instance;
++      static VCHI_INSTANCE_T vchi_instance;
++      struct service_creation params = {
++              .version                = VCHI_VERSION_EX(VC_MMAL_VER, VC_MMAL_MIN_VER),
++              .service_id             = VC_MMAL_SERVER_NAME,
++              .callback               = service_callback,
++              .callback_param         = NULL,
++      };
++
++      /* compile time checks to ensure structure size as they are
++       * directly (de)serialised from memory.
++       */
++
++      /* ensure the header structure has packed to the correct size */
++      BUILD_BUG_ON(sizeof(struct mmal_msg_header) != 24);
++
++      /* ensure message structure does not exceed maximum length */
++      BUILD_BUG_ON(sizeof(struct mmal_msg) > MMAL_MSG_MAX_SIZE);
++
++      /* mmal port struct is correct size */
++      BUILD_BUG_ON(sizeof(struct mmal_port) != 64);
++
++      /* create a vchi instance */
++      status = vchi_initialise(&vchi_instance);
++      if (status) {
++              pr_err("Failed to initialise VCHI instance (status=%d)\n",
++                     status);
++              return -EIO;
++      }
++
++      status = vchi_connect(vchi_instance);
++      if (status) {
++              pr_err("Failed to connect VCHI instance (status=%d)\n", status);
++              return -EIO;
++      }
++
++      instance = kzalloc(sizeof(*instance), GFP_KERNEL);
++
++      if (!instance)
++              return -ENOMEM;
++
++      mutex_init(&instance->vchiq_mutex);
++
++      instance->bulk_scratch = vmalloc(PAGE_SIZE);
++
++      mutex_init(&instance->context_map_lock);
++      idr_init_base(&instance->context_map, 1);
++
++      params.callback_param = instance;
++
++      instance->bulk_wq = alloc_ordered_workqueue("mmal-vchiq",
++                                                  WQ_MEM_RECLAIM);
++      if (!instance->bulk_wq)
++              goto err_free;
++
++      status = vchi_service_open(vchi_instance, &params, &instance->handle);
++      if (status) {
++              pr_err("Failed to open VCHI service connection (status=%d)\n",
++                     status);
++              goto err_close_services;
++      }
++
++      vchi_service_release(instance->handle);
++
++      *out_instance = instance;
++
++      return 0;
++
++err_close_services:
++      vchi_service_close(instance->handle);
++      destroy_workqueue(instance->bulk_wq);
++err_free:
++      vfree(instance->bulk_scratch);
++      kfree(instance);
++      return -ENODEV;
++}
++EXPORT_SYMBOL_GPL(vchiq_mmal_init);
+--- a/drivers/staging/vc04_services/bcm2835-camera/mmal-common.h
++++ /dev/null
+@@ -1,60 +0,0 @@
+-/* SPDX-License-Identifier: GPL-2.0 */
+-/*
+- * Broadcom BM2835 V4L2 driver
+- *
+- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
+- *
+- * Authors: Vincent Sanders @ Collabora
+- *          Dave Stevenson @ Broadcom
+- *            (now dave.stevenson@raspberrypi.org)
+- *          Simon Mellor @ Broadcom
+- *          Luke Diamand @ Broadcom
+- *
+- * MMAL structures
+- *
+- */
+-#ifndef MMAL_COMMON_H
+-#define MMAL_COMMON_H
+-
+-#define MMAL_FOURCC(a, b, c, d) ((a) | (b << 8) | (c << 16) | (d << 24))
+-#define MMAL_MAGIC MMAL_FOURCC('m', 'm', 'a', 'l')
+-
+-/** Special value signalling that time is not known */
+-#define MMAL_TIME_UNKNOWN BIT_ULL(63)
+-
+-struct mmal_msg_context;
+-
+-/* mapping between v4l and mmal video modes */
+-struct mmal_fmt {
+-      u32   fourcc;          /* v4l2 format id */
+-      int   flags;           /* v4l2 flags field */
+-      u32   mmal;
+-      int   depth;
+-      u32   mmal_component;  /* MMAL component index to be used to encode */
+-      u32   ybbp;            /* depth of first Y plane for planar formats */
+-      bool  remove_padding;  /* Does the GPU have to remove padding,
+-                              * or can we do hide padding via bytesperline.
+-                              */
+-};
+-
+-/* buffer for one video frame */
+-struct mmal_buffer {
+-      /* v4l buffer data -- must be first */
+-      struct vb2_v4l2_buffer  vb;
+-
+-      /* list of buffers available */
+-      struct list_head        list;
+-
+-      void *buffer; /* buffer pointer */
+-      unsigned long buffer_size; /* size of allocated buffer */
+-
+-      struct mmal_msg_context *msg_context;
+-};
+-
+-/* */
+-struct mmal_colourfx {
+-      s32 enable;
+-      u32 u;
+-      u32 v;
+-};
+-#endif
+--- a/drivers/staging/vc04_services/bcm2835-camera/mmal-encodings.h
++++ /dev/null
+@@ -1,124 +0,0 @@
+-/* SPDX-License-Identifier: GPL-2.0 */
+-/*
+- * Broadcom BM2835 V4L2 driver
+- *
+- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
+- *
+- * Authors: Vincent Sanders @ Collabora
+- *          Dave Stevenson @ Broadcom
+- *            (now dave.stevenson@raspberrypi.org)
+- *          Simon Mellor @ Broadcom
+- *          Luke Diamand @ Broadcom
+- */
+-#ifndef MMAL_ENCODINGS_H
+-#define MMAL_ENCODINGS_H
+-
+-#define MMAL_ENCODING_H264             MMAL_FOURCC('H', '2', '6', '4')
+-#define MMAL_ENCODING_H263             MMAL_FOURCC('H', '2', '6', '3')
+-#define MMAL_ENCODING_MP4V             MMAL_FOURCC('M', 'P', '4', 'V')
+-#define MMAL_ENCODING_MP2V             MMAL_FOURCC('M', 'P', '2', 'V')
+-#define MMAL_ENCODING_MP1V             MMAL_FOURCC('M', 'P', '1', 'V')
+-#define MMAL_ENCODING_WMV3             MMAL_FOURCC('W', 'M', 'V', '3')
+-#define MMAL_ENCODING_WMV2             MMAL_FOURCC('W', 'M', 'V', '2')
+-#define MMAL_ENCODING_WMV1             MMAL_FOURCC('W', 'M', 'V', '1')
+-#define MMAL_ENCODING_WVC1             MMAL_FOURCC('W', 'V', 'C', '1')
+-#define MMAL_ENCODING_VP8              MMAL_FOURCC('V', 'P', '8', ' ')
+-#define MMAL_ENCODING_VP7              MMAL_FOURCC('V', 'P', '7', ' ')
+-#define MMAL_ENCODING_VP6              MMAL_FOURCC('V', 'P', '6', ' ')
+-#define MMAL_ENCODING_THEORA           MMAL_FOURCC('T', 'H', 'E', 'O')
+-#define MMAL_ENCODING_SPARK            MMAL_FOURCC('S', 'P', 'R', 'K')
+-#define MMAL_ENCODING_MJPEG            MMAL_FOURCC('M', 'J', 'P', 'G')
+-
+-#define MMAL_ENCODING_JPEG             MMAL_FOURCC('J', 'P', 'E', 'G')
+-#define MMAL_ENCODING_GIF              MMAL_FOURCC('G', 'I', 'F', ' ')
+-#define MMAL_ENCODING_PNG              MMAL_FOURCC('P', 'N', 'G', ' ')
+-#define MMAL_ENCODING_PPM              MMAL_FOURCC('P', 'P', 'M', ' ')
+-#define MMAL_ENCODING_TGA              MMAL_FOURCC('T', 'G', 'A', ' ')
+-#define MMAL_ENCODING_BMP              MMAL_FOURCC('B', 'M', 'P', ' ')
+-
+-#define MMAL_ENCODING_I420             MMAL_FOURCC('I', '4', '2', '0')
+-#define MMAL_ENCODING_I420_SLICE       MMAL_FOURCC('S', '4', '2', '0')
+-#define MMAL_ENCODING_YV12             MMAL_FOURCC('Y', 'V', '1', '2')
+-#define MMAL_ENCODING_I422             MMAL_FOURCC('I', '4', '2', '2')
+-#define MMAL_ENCODING_I422_SLICE       MMAL_FOURCC('S', '4', '2', '2')
+-#define MMAL_ENCODING_YUYV             MMAL_FOURCC('Y', 'U', 'Y', 'V')
+-#define MMAL_ENCODING_YVYU             MMAL_FOURCC('Y', 'V', 'Y', 'U')
+-#define MMAL_ENCODING_UYVY             MMAL_FOURCC('U', 'Y', 'V', 'Y')
+-#define MMAL_ENCODING_VYUY             MMAL_FOURCC('V', 'Y', 'U', 'Y')
+-#define MMAL_ENCODING_NV12             MMAL_FOURCC('N', 'V', '1', '2')
+-#define MMAL_ENCODING_NV21             MMAL_FOURCC('N', 'V', '2', '1')
+-#define MMAL_ENCODING_ARGB             MMAL_FOURCC('A', 'R', 'G', 'B')
+-#define MMAL_ENCODING_RGBA             MMAL_FOURCC('R', 'G', 'B', 'A')
+-#define MMAL_ENCODING_ABGR             MMAL_FOURCC('A', 'B', 'G', 'R')
+-#define MMAL_ENCODING_BGRA             MMAL_FOURCC('B', 'G', 'R', 'A')
+-#define MMAL_ENCODING_RGB16            MMAL_FOURCC('R', 'G', 'B', '2')
+-#define MMAL_ENCODING_RGB24            MMAL_FOURCC('R', 'G', 'B', '3')
+-#define MMAL_ENCODING_RGB32            MMAL_FOURCC('R', 'G', 'B', '4')
+-#define MMAL_ENCODING_BGR16            MMAL_FOURCC('B', 'G', 'R', '2')
+-#define MMAL_ENCODING_BGR24            MMAL_FOURCC('B', 'G', 'R', '3')
+-#define MMAL_ENCODING_BGR32            MMAL_FOURCC('B', 'G', 'R', '4')
+-
+-/** SAND Video (YUVUV128) format, native format understood by VideoCore.
+- * This format is *not* opaque - if requested you will receive full frames
+- * of YUV_UV video.
+- */
+-#define MMAL_ENCODING_YUVUV128         MMAL_FOURCC('S', 'A', 'N', 'D')
+-
+-/** VideoCore opaque image format, image handles are returned to
+- * the host but not the actual image data.
+- */
+-#define MMAL_ENCODING_OPAQUE           MMAL_FOURCC('O', 'P', 'Q', 'V')
+-
+-/** An EGL image handle
+- */
+-#define MMAL_ENCODING_EGL_IMAGE        MMAL_FOURCC('E', 'G', 'L', 'I')
+-
+-/* }@ */
+-
+-/** \name Pre-defined audio encodings */
+-/* @{ */
+-#define MMAL_ENCODING_PCM_UNSIGNED_BE  MMAL_FOURCC('P', 'C', 'M', 'U')
+-#define MMAL_ENCODING_PCM_UNSIGNED_LE  MMAL_FOURCC('p', 'c', 'm', 'u')
+-#define MMAL_ENCODING_PCM_SIGNED_BE    MMAL_FOURCC('P', 'C', 'M', 'S')
+-#define MMAL_ENCODING_PCM_SIGNED_LE    MMAL_FOURCC('p', 'c', 'm', 's')
+-#define MMAL_ENCODING_PCM_FLOAT_BE     MMAL_FOURCC('P', 'C', 'M', 'F')
+-#define MMAL_ENCODING_PCM_FLOAT_LE     MMAL_FOURCC('p', 'c', 'm', 'f')
+-
+-/* Pre-defined H264 encoding variants */
+-
+-/** ISO 14496-10 Annex B byte stream format */
+-#define MMAL_ENCODING_VARIANT_H264_DEFAULT   0
+-/** ISO 14496-15 AVC stream format */
+-#define MMAL_ENCODING_VARIANT_H264_AVC1      MMAL_FOURCC('A', 'V', 'C', '1')
+-/** Implicitly delineated NAL units without emulation prevention */
+-#define MMAL_ENCODING_VARIANT_H264_RAW       MMAL_FOURCC('R', 'A', 'W', ' ')
+-
+-/** \defgroup MmalColorSpace List of pre-defined video color spaces
+- * This defines a list of common color spaces. This list isn't exhaustive and
+- * is only provided as a convenience to avoid clients having to use FourCC
+- * codes directly. However components are allowed to define and use their own
+- * FourCC codes.
+- */
+-/* @{ */
+-
+-/** Unknown color space */
+-#define MMAL_COLOR_SPACE_UNKNOWN       0
+-/** ITU-R BT.601-5 [SDTV] */
+-#define MMAL_COLOR_SPACE_ITUR_BT601    MMAL_FOURCC('Y', '6', '0', '1')
+-/** ITU-R BT.709-3 [HDTV] */
+-#define MMAL_COLOR_SPACE_ITUR_BT709    MMAL_FOURCC('Y', '7', '0', '9')
+-/** JPEG JFIF */
+-#define MMAL_COLOR_SPACE_JPEG_JFIF     MMAL_FOURCC('Y', 'J', 'F', 'I')
+-/** Title 47 Code of Federal Regulations (2003) 73.682 (a) (20) */
+-#define MMAL_COLOR_SPACE_FCC           MMAL_FOURCC('Y', 'F', 'C', 'C')
+-/** Society of Motion Picture and Television Engineers 240M (1999) */
+-#define MMAL_COLOR_SPACE_SMPTE240M     MMAL_FOURCC('Y', '2', '4', '0')
+-/** ITU-R BT.470-2 System M */
+-#define MMAL_COLOR_SPACE_BT470_2_M     MMAL_FOURCC('Y', '_', '_', 'M')
+-/** ITU-R BT.470-2 System BG */
+-#define MMAL_COLOR_SPACE_BT470_2_BG    MMAL_FOURCC('Y', '_', 'B', 'G')
+-/** JPEG JFIF, but with 16..255 luma */
+-#define MMAL_COLOR_SPACE_JFIF_Y16_255  MMAL_FOURCC('Y', 'Y', '1', '6')
+-/* @} MmalColorSpace List */
+-
+-#endif /* MMAL_ENCODINGS_H */
+--- a/drivers/staging/vc04_services/bcm2835-camera/mmal-msg-common.h
++++ /dev/null
+@@ -1,48 +0,0 @@
+-/* SPDX-License-Identifier: GPL-2.0 */
+-/*
+- * Broadcom BM2835 V4L2 driver
+- *
+- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
+- *
+- * Authors: Vincent Sanders @ Collabora
+- *          Dave Stevenson @ Broadcom
+- *            (now dave.stevenson@raspberrypi.org)
+- *          Simon Mellor @ Broadcom
+- *          Luke Diamand @ Broadcom
+- */
+-
+-#ifndef MMAL_MSG_COMMON_H
+-#define MMAL_MSG_COMMON_H
+-
+-enum mmal_msg_status {
+-      MMAL_MSG_STATUS_SUCCESS = 0, /**< Success */
+-      MMAL_MSG_STATUS_ENOMEM,      /**< Out of memory */
+-      MMAL_MSG_STATUS_ENOSPC,      /**< Out of resources other than memory */
+-      MMAL_MSG_STATUS_EINVAL,      /**< Argument is invalid */
+-      MMAL_MSG_STATUS_ENOSYS,      /**< Function not implemented */
+-      MMAL_MSG_STATUS_ENOENT,      /**< No such file or directory */
+-      MMAL_MSG_STATUS_ENXIO,       /**< No such device or address */
+-      MMAL_MSG_STATUS_EIO,         /**< I/O error */
+-      MMAL_MSG_STATUS_ESPIPE,      /**< Illegal seek */
+-      MMAL_MSG_STATUS_ECORRUPT,    /**< Data is corrupt \attention */
+-      MMAL_MSG_STATUS_ENOTREADY,   /**< Component is not ready */
+-      MMAL_MSG_STATUS_ECONFIG,     /**< Component is not configured */
+-      MMAL_MSG_STATUS_EISCONN,     /**< Port is already connected */
+-      MMAL_MSG_STATUS_ENOTCONN,    /**< Port is disconnected */
+-      MMAL_MSG_STATUS_EAGAIN,      /**< Resource temporarily unavailable. */
+-      MMAL_MSG_STATUS_EFAULT,      /**< Bad address */
+-};
+-
+-struct mmal_rect {
+-      s32 x;      /**< x coordinate (from left) */
+-      s32 y;      /**< y coordinate (from top) */
+-      s32 width;  /**< width */
+-      s32 height; /**< height */
+-};
+-
+-struct mmal_rational {
+-      s32 num;    /**< Numerator */
+-      s32 den;    /**< Denominator */
+-};
+-
+-#endif /* MMAL_MSG_COMMON_H */
+--- a/drivers/staging/vc04_services/bcm2835-camera/mmal-msg-format.h
++++ /dev/null
+@@ -1,106 +0,0 @@
+-/* SPDX-License-Identifier: GPL-2.0 */
+-/*
+- * Broadcom BM2835 V4L2 driver
+- *
+- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
+- *
+- * Authors: Vincent Sanders @ Collabora
+- *          Dave Stevenson @ Broadcom
+- *            (now dave.stevenson@raspberrypi.org)
+- *          Simon Mellor @ Broadcom
+- *          Luke Diamand @ Broadcom
+- */
+-
+-#ifndef MMAL_MSG_FORMAT_H
+-#define MMAL_MSG_FORMAT_H
+-
+-#include "mmal-msg-common.h"
+-
+-/* MMAL_ES_FORMAT_T */
+-
+-struct mmal_audio_format {
+-      u32 channels;           /* Number of audio channels */
+-      u32 sample_rate;        /* Sample rate */
+-
+-      u32 bits_per_sample;    /* Bits per sample */
+-      u32 block_align;        /* Size of a block of data */
+-};
+-
+-struct mmal_video_format {
+-      u32 width;              /* Width of frame in pixels */
+-      u32 height;             /* Height of frame in rows of pixels */
+-      struct mmal_rect crop;  /* Visible region of the frame */
+-      struct mmal_rational frame_rate;        /* Frame rate */
+-      struct mmal_rational par;               /* Pixel aspect ratio */
+-
+-      /*
+-       * FourCC specifying the color space of the video stream. See the
+-       * MmalColorSpace "pre-defined color spaces" for some examples.
+-       */
+-      u32 color_space;
+-};
+-
+-struct mmal_subpicture_format {
+-      u32 x_offset;
+-      u32 y_offset;
+-};
+-
+-union mmal_es_specific_format {
+-      struct mmal_audio_format audio;
+-      struct mmal_video_format video;
+-      struct mmal_subpicture_format subpicture;
+-};
+-
+-/* Definition of an elementary stream format (MMAL_ES_FORMAT_T) */
+-struct mmal_es_format_local {
+-      u32 type;       /* enum mmal_es_type */
+-
+-      u32 encoding;   /* FourCC specifying encoding of the elementary
+-                       * stream.
+-                       */
+-      u32 encoding_variant;   /* FourCC specifying the specific
+-                               * encoding variant of the elementary
+-                               * stream.
+-                               */
+-
+-      union mmal_es_specific_format *es;      /* Type specific
+-                                               * information for the
+-                                               * elementary stream
+-                                               */
+-
+-      u32 bitrate;    /* Bitrate in bits per second */
+-      u32 flags;      /* Flags describing properties of the elementary
+-                       * stream.
+-                       */
+-
+-      u32 extradata_size;     /* Size of the codec specific data */
+-      u8  *extradata;         /* Codec specific data */
+-};
+-
+-/* Remote definition of an elementary stream format (MMAL_ES_FORMAT_T) */
+-struct mmal_es_format {
+-      u32 type;       /* enum mmal_es_type */
+-
+-      u32 encoding;   /* FourCC specifying encoding of the elementary
+-                       * stream.
+-                       */
+-      u32 encoding_variant;   /* FourCC specifying the specific
+-                               * encoding variant of the elementary
+-                               * stream.
+-                               */
+-
+-      u32 es; /* Type specific
+-               * information for the
+-               * elementary stream
+-               */
+-
+-      u32 bitrate;    /* Bitrate in bits per second */
+-      u32 flags;      /* Flags describing properties of the elementary
+-                       * stream.
+-                       */
+-
+-      u32 extradata_size;     /* Size of the codec specific data */
+-      u32 extradata;          /* Codec specific data */
+-};
+-
+-#endif /* MMAL_MSG_FORMAT_H */
+--- a/drivers/staging/vc04_services/bcm2835-camera/mmal-msg-port.h
++++ /dev/null
+@@ -1,109 +0,0 @@
+-/* SPDX-License-Identifier: GPL-2.0 */
+-/*
+- * Broadcom BM2835 V4L2 driver
+- *
+- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
+- *
+- * Authors: Vincent Sanders @ Collabora
+- *          Dave Stevenson @ Broadcom
+- *            (now dave.stevenson@raspberrypi.org)
+- *          Simon Mellor @ Broadcom
+- *          Luke Diamand @ Broadcom
+- */
+-
+-/* MMAL_PORT_TYPE_T */
+-enum mmal_port_type {
+-      MMAL_PORT_TYPE_UNKNOWN = 0,     /* Unknown port type */
+-      MMAL_PORT_TYPE_CONTROL,         /* Control port */
+-      MMAL_PORT_TYPE_INPUT,           /* Input port */
+-      MMAL_PORT_TYPE_OUTPUT,          /* Output port */
+-      MMAL_PORT_TYPE_CLOCK,           /* Clock port */
+-};
+-
+-/* The port is pass-through and doesn't need buffer headers allocated */
+-#define MMAL_PORT_CAPABILITY_PASSTHROUGH                       0x01
+-/*
+- *The port wants to allocate the buffer payloads.
+- * This signals a preference that payload allocation should be done
+- * on this port for efficiency reasons.
+- */
+-#define MMAL_PORT_CAPABILITY_ALLOCATION                        0x02
+-/*
+- * The port supports format change events.
+- * This applies to input ports and is used to let the client know
+- * whether the port supports being reconfigured via a format
+- * change event (i.e. without having to disable the port).
+- */
+-#define MMAL_PORT_CAPABILITY_SUPPORTS_EVENT_FORMAT_CHANGE      0x04
+-
+-/*
+- * mmal port structure (MMAL_PORT_T)
+- *
+- * most elements are informational only, the pointer values for
+- * interogation messages are generally provided as additional
+- * structures within the message. When used to set values only the
+- * buffer_num, buffer_size and userdata parameters are writable.
+- */
+-struct mmal_port {
+-      u32 priv;       /* Private member used by the framework */
+-      u32 name;       /* Port name. Used for debugging purposes (RO) */
+-
+-      u32 type;       /* Type of the port (RO) enum mmal_port_type */
+-      u16 index;      /* Index of the port in its type list (RO) */
+-      u16 index_all;  /* Index of the port in the list of all ports (RO) */
+-
+-      u32 is_enabled; /* Indicates whether the port is enabled or not (RO) */
+-      u32 format;     /* Format of the elementary stream */
+-
+-      u32 buffer_num_min;     /* Minimum number of buffers the port
+-                               *   requires (RO).  This is set by the
+-                               *   component.
+-                               */
+-
+-      u32 buffer_size_min;    /* Minimum size of buffers the port
+-                               * requires (RO).  This is set by the
+-                               * component.
+-                               */
+-
+-      u32 buffer_alignment_min;/* Minimum alignment requirement for
+-                                * the buffers (RO).  A value of
+-                                * zero means no special alignment
+-                                * requirements.  This is set by the
+-                                * component.
+-                                */
+-
+-      u32 buffer_num_recommended;     /* Number of buffers the port
+-                                       * recommends for optimal
+-                                       * performance (RO).  A value of
+-                                       * zero means no special
+-                                       * recommendation.  This is set
+-                                       * by the component.
+-                                       */
+-
+-      u32 buffer_size_recommended;    /* Size of buffers the port
+-                                       * recommends for optimal
+-                                       * performance (RO).  A value of
+-                                       * zero means no special
+-                                       * recommendation.  This is set
+-                                       * by the component.
+-                                       */
+-
+-      u32 buffer_num; /* Actual number of buffers the port will use.
+-                       * This is set by the client.
+-                       */
+-
+-      u32 buffer_size; /* Actual maximum size of the buffers that
+-                        * will be sent to the port. This is set by
+-                        * the client.
+-                        */
+-
+-      u32 component;  /* Component this port belongs to (Read Only) */
+-
+-      u32 userdata;   /* Field reserved for use by the client */
+-
+-      u32 capabilities;       /* Flags describing the capabilities of a
+-                               * port (RO).  Bitwise combination of \ref
+-                               * portcapabilities "Port capabilities"
+-                               * values.
+-                               */
+-};
+--- a/drivers/staging/vc04_services/bcm2835-camera/mmal-msg.h
++++ /dev/null
+@@ -1,406 +0,0 @@
+-/* SPDX-License-Identifier: GPL-2.0 */
+-/*
+- * Broadcom BM2835 V4L2 driver
+- *
+- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
+- *
+- * Authors: Vincent Sanders @ Collabora
+- *          Dave Stevenson @ Broadcom
+- *            (now dave.stevenson@raspberrypi.org)
+- *          Simon Mellor @ Broadcom
+- *          Luke Diamand @ Broadcom
+- */
+-
+-/*
+- * all the data structures which serialise the MMAL protocol. note
+- * these are directly mapped onto the recived message data.
+- *
+- * BEWARE: They seem to *assume* pointers are u32 and that there is no
+- * structure padding!
+- *
+- * NOTE: this implementation uses kernel types to ensure sizes. Rather
+- * than assigning values to enums to force their size the
+- * implementation uses fixed size types and not the enums (though the
+- * comments have the actual enum type
+- */
+-#ifndef MMAL_MSG_H
+-#define MMAL_MSG_H
+-
+-#define VC_MMAL_VER 15
+-#define VC_MMAL_MIN_VER 10
+-#define VC_MMAL_SERVER_NAME  MAKE_FOURCC("mmal")
+-
+-/* max total message size is 512 bytes */
+-#define MMAL_MSG_MAX_SIZE 512
+-/* with six 32bit header elements max payload is therefore 488 bytes */
+-#define MMAL_MSG_MAX_PAYLOAD 488
+-
+-#include "mmal-msg-common.h"
+-#include "mmal-msg-format.h"
+-#include "mmal-msg-port.h"
+-
+-enum mmal_msg_type {
+-      MMAL_MSG_TYPE_QUIT = 1,
+-      MMAL_MSG_TYPE_SERVICE_CLOSED,
+-      MMAL_MSG_TYPE_GET_VERSION,
+-      MMAL_MSG_TYPE_COMPONENT_CREATE,
+-      MMAL_MSG_TYPE_COMPONENT_DESTROY,        /* 5 */
+-      MMAL_MSG_TYPE_COMPONENT_ENABLE,
+-      MMAL_MSG_TYPE_COMPONENT_DISABLE,
+-      MMAL_MSG_TYPE_PORT_INFO_GET,
+-      MMAL_MSG_TYPE_PORT_INFO_SET,
+-      MMAL_MSG_TYPE_PORT_ACTION,              /* 10 */
+-      MMAL_MSG_TYPE_BUFFER_FROM_HOST,
+-      MMAL_MSG_TYPE_BUFFER_TO_HOST,
+-      MMAL_MSG_TYPE_GET_STATS,
+-      MMAL_MSG_TYPE_PORT_PARAMETER_SET,
+-      MMAL_MSG_TYPE_PORT_PARAMETER_GET,       /* 15 */
+-      MMAL_MSG_TYPE_EVENT_TO_HOST,
+-      MMAL_MSG_TYPE_GET_CORE_STATS_FOR_PORT,
+-      MMAL_MSG_TYPE_OPAQUE_ALLOCATOR,
+-      MMAL_MSG_TYPE_CONSUME_MEM,
+-      MMAL_MSG_TYPE_LMK,                      /* 20 */
+-      MMAL_MSG_TYPE_OPAQUE_ALLOCATOR_DESC,
+-      MMAL_MSG_TYPE_DRM_GET_LHS32,
+-      MMAL_MSG_TYPE_DRM_GET_TIME,
+-      MMAL_MSG_TYPE_BUFFER_FROM_HOST_ZEROLEN,
+-      MMAL_MSG_TYPE_PORT_FLUSH,               /* 25 */
+-      MMAL_MSG_TYPE_HOST_LOG,
+-      MMAL_MSG_TYPE_MSG_LAST
+-};
+-
+-/* port action request messages differ depending on the action type */
+-enum mmal_msg_port_action_type {
+-      MMAL_MSG_PORT_ACTION_TYPE_UNKNOWN = 0,  /* Unknown action */
+-      MMAL_MSG_PORT_ACTION_TYPE_ENABLE,       /* Enable a port */
+-      MMAL_MSG_PORT_ACTION_TYPE_DISABLE,      /* Disable a port */
+-      MMAL_MSG_PORT_ACTION_TYPE_FLUSH,        /* Flush a port */
+-      MMAL_MSG_PORT_ACTION_TYPE_CONNECT,      /* Connect ports */
+-      MMAL_MSG_PORT_ACTION_TYPE_DISCONNECT,   /* Disconnect ports */
+-      MMAL_MSG_PORT_ACTION_TYPE_SET_REQUIREMENTS, /* Set buffer requirements*/
+-};
+-
+-struct mmal_msg_header {
+-      u32 magic;
+-      u32 type;       /* enum mmal_msg_type */
+-
+-      /* Opaque handle to the control service */
+-      u32 control_service;
+-
+-      u32 context;    /* a u32 per message context */
+-      u32 status;     /* The status of the vchiq operation */
+-      u32 padding;
+-};
+-
+-/* Send from VC to host to report version */
+-struct mmal_msg_version {
+-      u32 flags;
+-      u32 major;
+-      u32 minor;
+-      u32 minimum;
+-};
+-
+-/* request to VC to create component */
+-struct mmal_msg_component_create {
+-      u32 client_component;   /* component context */
+-      char name[128];
+-      u32 pid;                /* For debug */
+-};
+-
+-/* reply from VC to component creation request */
+-struct mmal_msg_component_create_reply {
+-      u32 status;     /* enum mmal_msg_status - how does this differ to
+-                       * the one in the header?
+-                       */
+-      u32 component_handle; /* VideoCore handle for component */
+-      u32 input_num;        /* Number of input ports */
+-      u32 output_num;       /* Number of output ports */
+-      u32 clock_num;        /* Number of clock ports */
+-};
+-
+-/* request to VC to destroy a component */
+-struct mmal_msg_component_destroy {
+-      u32 component_handle;
+-};
+-
+-struct mmal_msg_component_destroy_reply {
+-      u32 status; /* The component destruction status */
+-};
+-
+-/* request and reply to VC to enable a component */
+-struct mmal_msg_component_enable {
+-      u32 component_handle;
+-};
+-
+-struct mmal_msg_component_enable_reply {
+-      u32 status; /* The component enable status */
+-};
+-
+-/* request and reply to VC to disable a component */
+-struct mmal_msg_component_disable {
+-      u32 component_handle;
+-};
+-
+-struct mmal_msg_component_disable_reply {
+-      u32 status; /* The component disable status */
+-};
+-
+-/* request to VC to get port information */
+-struct mmal_msg_port_info_get {
+-      u32 component_handle;  /* component handle port is associated with */
+-      u32 port_type;         /* enum mmal_msg_port_type */
+-      u32 index;             /* port index to query */
+-};
+-
+-/* reply from VC to get port info request */
+-struct mmal_msg_port_info_get_reply {
+-      u32 status;             /* enum mmal_msg_status */
+-      u32 component_handle;   /* component handle port is associated with */
+-      u32 port_type;          /* enum mmal_msg_port_type */
+-      u32 port_index;         /* port indexed in query */
+-      s32 found;              /* unused */
+-      u32 port_handle;        /* Handle to use for this port */
+-      struct mmal_port port;
+-      struct mmal_es_format format; /* elementary stream format */
+-      union mmal_es_specific_format es; /* es type specific data */
+-      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE]; /* es extra data */
+-};
+-
+-/* request to VC to set port information */
+-struct mmal_msg_port_info_set {
+-      u32 component_handle;
+-      u32 port_type;          /* enum mmal_msg_port_type */
+-      u32 port_index;         /* port indexed in query */
+-      struct mmal_port port;
+-      struct mmal_es_format format;
+-      union mmal_es_specific_format es;
+-      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE];
+-};
+-
+-/* reply from VC to port info set request */
+-struct mmal_msg_port_info_set_reply {
+-      u32 status;
+-      u32 component_handle;   /* component handle port is associated with */
+-      u32 port_type;          /* enum mmal_msg_port_type */
+-      u32 index;              /* port indexed in query */
+-      s32 found;              /* unused */
+-      u32 port_handle;        /* Handle to use for this port */
+-      struct mmal_port port;
+-      struct mmal_es_format format;
+-      union mmal_es_specific_format es;
+-      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE];
+-};
+-
+-/* port action requests that take a mmal_port as a parameter */
+-struct mmal_msg_port_action_port {
+-      u32 component_handle;
+-      u32 port_handle;
+-      u32 action;             /* enum mmal_msg_port_action_type */
+-      struct mmal_port port;
+-};
+-
+-/* port action requests that take handles as a parameter */
+-struct mmal_msg_port_action_handle {
+-      u32 component_handle;
+-      u32 port_handle;
+-      u32 action;             /* enum mmal_msg_port_action_type */
+-      u32 connect_component_handle;
+-      u32 connect_port_handle;
+-};
+-
+-struct mmal_msg_port_action_reply {
+-      u32 status;     /* The port action operation status */
+-};
+-
+-/* MMAL buffer transfer */
+-
+-/* Size of space reserved in a buffer message for short messages. */
+-#define MMAL_VC_SHORT_DATA 128
+-
+-/* Signals that the current payload is the end of the stream of data */
+-#define MMAL_BUFFER_HEADER_FLAG_EOS                    BIT(0)
+-/* Signals that the start of the current payload starts a frame */
+-#define MMAL_BUFFER_HEADER_FLAG_FRAME_START            BIT(1)
+-/* Signals that the end of the current payload ends a frame */
+-#define MMAL_BUFFER_HEADER_FLAG_FRAME_END              BIT(2)
+-/* Signals that the current payload contains only complete frames (>1) */
+-#define MMAL_BUFFER_HEADER_FLAG_FRAME                  \
+-      (MMAL_BUFFER_HEADER_FLAG_FRAME_START | \
+-       MMAL_BUFFER_HEADER_FLAG_FRAME_END)
+-/* Signals that the current payload is a keyframe (i.e. self decodable) */
+-#define MMAL_BUFFER_HEADER_FLAG_KEYFRAME               BIT(3)
+-/*
+- * Signals a discontinuity in the stream of data (e.g. after a seek).
+- * Can be used for instance by a decoder to reset its state
+- */
+-#define MMAL_BUFFER_HEADER_FLAG_DISCONTINUITY          BIT(4)
+-/*
+- * Signals a buffer containing some kind of config data for the component
+- * (e.g. codec config data)
+- */
+-#define MMAL_BUFFER_HEADER_FLAG_CONFIG                 BIT(5)
+-/* Signals an encrypted payload */
+-#define MMAL_BUFFER_HEADER_FLAG_ENCRYPTED              BIT(6)
+-/* Signals a buffer containing side information */
+-#define MMAL_BUFFER_HEADER_FLAG_CODECSIDEINFO          BIT(7)
+-/*
+- * Signals a buffer which is the snapshot/postview image from a stills
+- * capture
+- */
+-#define MMAL_BUFFER_HEADER_FLAGS_SNAPSHOT              BIT(8)
+-/* Signals a buffer which contains data known to be corrupted */
+-#define MMAL_BUFFER_HEADER_FLAG_CORRUPTED              BIT(9)
+-/* Signals that a buffer failed to be transmitted */
+-#define MMAL_BUFFER_HEADER_FLAG_TRANSMISSION_FAILED    BIT(10)
+-
+-struct mmal_driver_buffer {
+-      u32 magic;
+-      u32 component_handle;
+-      u32 port_handle;
+-      u32 client_context;
+-};
+-
+-/* buffer header */
+-struct mmal_buffer_header {
+-      u32 next;       /* next header */
+-      u32 priv;       /* framework private data */
+-      u32 cmd;
+-      u32 data;
+-      u32 alloc_size;
+-      u32 length;
+-      u32 offset;
+-      u32 flags;
+-      s64 pts;
+-      s64 dts;
+-      u32 type;
+-      u32 user_data;
+-};
+-
+-struct mmal_buffer_header_type_specific {
+-      union {
+-              struct {
+-              u32 planes;
+-              u32 offset[4];
+-              u32 pitch[4];
+-              u32 flags;
+-              } video;
+-      } u;
+-};
+-
+-struct mmal_msg_buffer_from_host {
+-      /*
+-       *The front 32 bytes of the buffer header are copied
+-       * back to us in the reply to allow for context. This
+-       * area is used to store two mmal_driver_buffer structures to
+-       * allow for multiple concurrent service users.
+-       */
+-      /* control data */
+-      struct mmal_driver_buffer drvbuf;
+-
+-      /* referenced control data for passthrough buffer management */
+-      struct mmal_driver_buffer drvbuf_ref;
+-      struct mmal_buffer_header buffer_header; /* buffer header itself */
+-      struct mmal_buffer_header_type_specific buffer_header_type_specific;
+-      s32 is_zero_copy;
+-      s32 has_reference;
+-
+-      /* allows short data to be xfered in control message */
+-      u32 payload_in_message;
+-      u8 short_data[MMAL_VC_SHORT_DATA];
+-};
+-
+-/* port parameter setting */
+-
+-#define MMAL_WORKER_PORT_PARAMETER_SPACE      96
+-
+-struct mmal_msg_port_parameter_set {
+-      u32 component_handle;   /* component */
+-      u32 port_handle;        /* port */
+-      u32 id;                 /* Parameter ID  */
+-      u32 size;               /* Parameter size */
+-      u32 value[MMAL_WORKER_PORT_PARAMETER_SPACE];
+-};
+-
+-struct mmal_msg_port_parameter_set_reply {
+-      u32 status;     /* enum mmal_msg_status todo: how does this
+-                       * differ to the one in the header?
+-                       */
+-};
+-
+-/* port parameter getting */
+-
+-struct mmal_msg_port_parameter_get {
+-      u32 component_handle;   /* component */
+-      u32 port_handle;        /* port */
+-      u32 id;                 /* Parameter ID  */
+-      u32 size;               /* Parameter size */
+-};
+-
+-struct mmal_msg_port_parameter_get_reply {
+-      u32 status;             /* Status of mmal_port_parameter_get call */
+-      u32 id;                 /* Parameter ID  */
+-      u32 size;               /* Parameter size */
+-      u32 value[MMAL_WORKER_PORT_PARAMETER_SPACE];
+-};
+-
+-/* event messages */
+-#define MMAL_WORKER_EVENT_SPACE 256
+-
+-struct mmal_msg_event_to_host {
+-      u32 client_component;   /* component context */
+-
+-      u32 port_type;
+-      u32 port_num;
+-
+-      u32 cmd;
+-      u32 length;
+-      u8 data[MMAL_WORKER_EVENT_SPACE];
+-      u32 delayed_buffer;
+-};
+-
+-/* all mmal messages are serialised through this structure */
+-struct mmal_msg {
+-      /* header */
+-      struct mmal_msg_header h;
+-      /* payload */
+-      union {
+-              struct mmal_msg_version version;
+-
+-              struct mmal_msg_component_create component_create;
+-              struct mmal_msg_component_create_reply component_create_reply;
+-
+-              struct mmal_msg_component_destroy component_destroy;
+-              struct mmal_msg_component_destroy_reply component_destroy_reply;
+-
+-              struct mmal_msg_component_enable component_enable;
+-              struct mmal_msg_component_enable_reply component_enable_reply;
+-
+-              struct mmal_msg_component_disable component_disable;
+-              struct mmal_msg_component_disable_reply component_disable_reply;
+-
+-              struct mmal_msg_port_info_get port_info_get;
+-              struct mmal_msg_port_info_get_reply port_info_get_reply;
+-
+-              struct mmal_msg_port_info_set port_info_set;
+-              struct mmal_msg_port_info_set_reply port_info_set_reply;
+-
+-              struct mmal_msg_port_action_port port_action_port;
+-              struct mmal_msg_port_action_handle port_action_handle;
+-              struct mmal_msg_port_action_reply port_action_reply;
+-
+-              struct mmal_msg_buffer_from_host buffer_from_host;
+-
+-              struct mmal_msg_port_parameter_set port_parameter_set;
+-              struct mmal_msg_port_parameter_set_reply
+-                      port_parameter_set_reply;
+-              struct mmal_msg_port_parameter_get
+-                      port_parameter_get;
+-              struct mmal_msg_port_parameter_get_reply
+-                      port_parameter_get_reply;
+-
+-              struct mmal_msg_event_to_host event_to_host;
+-
+-              u8 payload[MMAL_MSG_MAX_PAYLOAD];
+-      } u;
+-};
+-#endif
+--- a/drivers/staging/vc04_services/bcm2835-camera/mmal-parameters.h
++++ /dev/null
+@@ -1,755 +0,0 @@
+-/* SPDX-License-Identifier: GPL-2.0 */
+-/*
+- * Broadcom BM2835 V4L2 driver
+- *
+- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
+- *
+- * Authors: Vincent Sanders @ Collabora
+- *          Dave Stevenson @ Broadcom
+- *            (now dave.stevenson@raspberrypi.org)
+- *          Simon Mellor @ Broadcom
+- *          Luke Diamand @ Broadcom
+- */
+-
+-/* common parameters */
+-
+-/** @name Parameter groups
+- * Parameters are divided into groups, and then allocated sequentially within
+- * a group using an enum.
+- * @{
+- */
+-
+-#ifndef MMAL_PARAMETERS_H
+-#define MMAL_PARAMETERS_H
+-
+-/** Common parameter ID group, used with many types of component. */
+-#define MMAL_PARAMETER_GROUP_COMMON            (0 << 16)
+-/** Camera-specific parameter ID group. */
+-#define MMAL_PARAMETER_GROUP_CAMERA            (1 << 16)
+-/** Video-specific parameter ID group. */
+-#define MMAL_PARAMETER_GROUP_VIDEO             (2 << 16)
+-/** Audio-specific parameter ID group. */
+-#define MMAL_PARAMETER_GROUP_AUDIO             (3 << 16)
+-/** Clock-specific parameter ID group. */
+-#define MMAL_PARAMETER_GROUP_CLOCK             (4 << 16)
+-/** Miracast-specific parameter ID group. */
+-#define MMAL_PARAMETER_GROUP_MIRACAST       (5 << 16)
+-
+-/* Common parameters */
+-enum mmal_parameter_common_type {
+-              /**< Never a valid parameter ID */
+-      MMAL_PARAMETER_UNUSED = MMAL_PARAMETER_GROUP_COMMON,
+-
+-              /**< MMAL_PARAMETER_ENCODING_T */
+-      MMAL_PARAMETER_SUPPORTED_ENCODINGS,
+-              /**< MMAL_PARAMETER_URI_T */
+-      MMAL_PARAMETER_URI,
+-              /** MMAL_PARAMETER_CHANGE_EVENT_REQUEST_T */
+-      MMAL_PARAMETER_CHANGE_EVENT_REQUEST,
+-              /** MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_ZERO_COPY,
+-              /**< MMAL_PARAMETER_BUFFER_REQUIREMENTS_T */
+-      MMAL_PARAMETER_BUFFER_REQUIREMENTS,
+-              /**< MMAL_PARAMETER_STATISTICS_T */
+-      MMAL_PARAMETER_STATISTICS,
+-              /**< MMAL_PARAMETER_CORE_STATISTICS_T */
+-      MMAL_PARAMETER_CORE_STATISTICS,
+-              /**< MMAL_PARAMETER_MEM_USAGE_T */
+-      MMAL_PARAMETER_MEM_USAGE,
+-              /**< MMAL_PARAMETER_UINT32_T */
+-      MMAL_PARAMETER_BUFFER_FLAG_FILTER,
+-              /**< MMAL_PARAMETER_SEEK_T */
+-      MMAL_PARAMETER_SEEK,
+-              /**< MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_POWERMON_ENABLE,
+-              /**< MMAL_PARAMETER_LOGGING_T */
+-      MMAL_PARAMETER_LOGGING,
+-              /**< MMAL_PARAMETER_UINT64_T */
+-      MMAL_PARAMETER_SYSTEM_TIME,
+-              /**< MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_NO_IMAGE_PADDING,
+-};
+-
+-/* camera parameters */
+-
+-enum mmal_parameter_camera_type {
+-      /* 0 */
+-              /** @ref MMAL_PARAMETER_THUMBNAIL_CONFIG_T */
+-      MMAL_PARAMETER_THUMBNAIL_CONFIGURATION =
+-              MMAL_PARAMETER_GROUP_CAMERA,
+-              /**< Unused? */
+-      MMAL_PARAMETER_CAPTURE_QUALITY,
+-              /**< @ref MMAL_PARAMETER_INT32_T */
+-      MMAL_PARAMETER_ROTATION,
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_EXIF_DISABLE,
+-              /**< @ref MMAL_PARAMETER_EXIF_T */
+-      MMAL_PARAMETER_EXIF,
+-              /**< @ref MMAL_PARAM_AWBMODE_T */
+-      MMAL_PARAMETER_AWB_MODE,
+-              /**< @ref MMAL_PARAMETER_IMAGEFX_T */
+-      MMAL_PARAMETER_IMAGE_EFFECT,
+-              /**< @ref MMAL_PARAMETER_COLOURFX_T */
+-      MMAL_PARAMETER_COLOUR_EFFECT,
+-              /**< @ref MMAL_PARAMETER_FLICKERAVOID_T */
+-      MMAL_PARAMETER_FLICKER_AVOID,
+-              /**< @ref MMAL_PARAMETER_FLASH_T */
+-      MMAL_PARAMETER_FLASH,
+-              /**< @ref MMAL_PARAMETER_REDEYE_T */
+-      MMAL_PARAMETER_REDEYE,
+-              /**< @ref MMAL_PARAMETER_FOCUS_T */
+-      MMAL_PARAMETER_FOCUS,
+-              /**< Unused? */
+-      MMAL_PARAMETER_FOCAL_LENGTHS,
+-              /**< @ref MMAL_PARAMETER_INT32_T */
+-      MMAL_PARAMETER_EXPOSURE_COMP,
+-              /**< @ref MMAL_PARAMETER_SCALEFACTOR_T */
+-      MMAL_PARAMETER_ZOOM,
+-              /**< @ref MMAL_PARAMETER_MIRROR_T */
+-      MMAL_PARAMETER_MIRROR,
+-
+-      /* 0x10 */
+-              /**< @ref MMAL_PARAMETER_UINT32_T */
+-      MMAL_PARAMETER_CAMERA_NUM,
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_CAPTURE,
+-              /**< @ref MMAL_PARAMETER_EXPOSUREMODE_T */
+-      MMAL_PARAMETER_EXPOSURE_MODE,
+-              /**< @ref MMAL_PARAMETER_EXPOSUREMETERINGMODE_T */
+-      MMAL_PARAMETER_EXP_METERING_MODE,
+-              /**< @ref MMAL_PARAMETER_FOCUS_STATUS_T */
+-      MMAL_PARAMETER_FOCUS_STATUS,
+-              /**< @ref MMAL_PARAMETER_CAMERA_CONFIG_T */
+-      MMAL_PARAMETER_CAMERA_CONFIG,
+-              /**< @ref MMAL_PARAMETER_CAPTURE_STATUS_T */
+-      MMAL_PARAMETER_CAPTURE_STATUS,
+-              /**< @ref MMAL_PARAMETER_FACE_TRACK_T */
+-      MMAL_PARAMETER_FACE_TRACK,
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_DRAW_BOX_FACES_AND_FOCUS,
+-              /**< @ref MMAL_PARAMETER_UINT32_T */
+-      MMAL_PARAMETER_JPEG_Q_FACTOR,
+-              /**< @ref MMAL_PARAMETER_FRAME_RATE_T */
+-      MMAL_PARAMETER_FRAME_RATE,
+-              /**< @ref MMAL_PARAMETER_CAMERA_STC_MODE_T */
+-      MMAL_PARAMETER_USE_STC,
+-              /**< @ref MMAL_PARAMETER_CAMERA_INFO_T */
+-      MMAL_PARAMETER_CAMERA_INFO,
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_VIDEO_STABILISATION,
+-              /**< @ref MMAL_PARAMETER_FACE_TRACK_RESULTS_T */
+-      MMAL_PARAMETER_FACE_TRACK_RESULTS,
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_ENABLE_RAW_CAPTURE,
+-
+-      /* 0x20 */
+-              /**< @ref MMAL_PARAMETER_URI_T */
+-      MMAL_PARAMETER_DPF_FILE,
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_ENABLE_DPF_FILE,
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_DPF_FAIL_IS_FATAL,
+-              /**< @ref MMAL_PARAMETER_CAPTUREMODE_T */
+-      MMAL_PARAMETER_CAPTURE_MODE,
+-              /**< @ref MMAL_PARAMETER_FOCUS_REGIONS_T */
+-      MMAL_PARAMETER_FOCUS_REGIONS,
+-              /**< @ref MMAL_PARAMETER_INPUT_CROP_T */
+-      MMAL_PARAMETER_INPUT_CROP,
+-              /**< @ref MMAL_PARAMETER_SENSOR_INFORMATION_T */
+-      MMAL_PARAMETER_SENSOR_INFORMATION,
+-              /**< @ref MMAL_PARAMETER_FLASH_SELECT_T */
+-      MMAL_PARAMETER_FLASH_SELECT,
+-              /**< @ref MMAL_PARAMETER_FIELD_OF_VIEW_T */
+-      MMAL_PARAMETER_FIELD_OF_VIEW,
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_HIGH_DYNAMIC_RANGE,
+-              /**< @ref MMAL_PARAMETER_DRC_T */
+-      MMAL_PARAMETER_DYNAMIC_RANGE_COMPRESSION,
+-              /**< @ref MMAL_PARAMETER_ALGORITHM_CONTROL_T */
+-      MMAL_PARAMETER_ALGORITHM_CONTROL,
+-              /**< @ref MMAL_PARAMETER_RATIONAL_T */
+-      MMAL_PARAMETER_SHARPNESS,
+-              /**< @ref MMAL_PARAMETER_RATIONAL_T */
+-      MMAL_PARAMETER_CONTRAST,
+-              /**< @ref MMAL_PARAMETER_RATIONAL_T */
+-      MMAL_PARAMETER_BRIGHTNESS,
+-              /**< @ref MMAL_PARAMETER_RATIONAL_T */
+-      MMAL_PARAMETER_SATURATION,
+-
+-      /* 0x30 */
+-              /**< @ref MMAL_PARAMETER_UINT32_T */
+-      MMAL_PARAMETER_ISO,
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_ANTISHAKE,
+-              /** @ref MMAL_PARAMETER_IMAGEFX_PARAMETERS_T */
+-      MMAL_PARAMETER_IMAGE_EFFECT_PARAMETERS,
+-              /** @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_CAMERA_BURST_CAPTURE,
+-              /** @ref MMAL_PARAMETER_UINT32_T */
+-      MMAL_PARAMETER_CAMERA_MIN_ISO,
+-              /** @ref MMAL_PARAMETER_CAMERA_USE_CASE_T */
+-      MMAL_PARAMETER_CAMERA_USE_CASE,
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_CAPTURE_STATS_PASS,
+-              /** @ref MMAL_PARAMETER_UINT32_T */
+-      MMAL_PARAMETER_CAMERA_CUSTOM_SENSOR_CONFIG,
+-              /** @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_ENABLE_REGISTER_FILE,
+-              /** @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_REGISTER_FAIL_IS_FATAL,
+-              /** @ref MMAL_PARAMETER_CONFIGFILE_T */
+-      MMAL_PARAMETER_CONFIGFILE_REGISTERS,
+-              /** @ref MMAL_PARAMETER_CONFIGFILE_CHUNK_T */
+-      MMAL_PARAMETER_CONFIGFILE_CHUNK_REGISTERS,
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_JPEG_ATTACH_LOG,
+-              /**< @ref MMAL_PARAMETER_ZEROSHUTTERLAG_T */
+-      MMAL_PARAMETER_ZERO_SHUTTER_LAG,
+-              /**< @ref MMAL_PARAMETER_FPS_RANGE_T */
+-      MMAL_PARAMETER_FPS_RANGE,
+-              /**< @ref MMAL_PARAMETER_INT32_T */
+-      MMAL_PARAMETER_CAPTURE_EXPOSURE_COMP,
+-
+-      /* 0x40 */
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_SW_SHARPEN_DISABLE,
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_FLASH_REQUIRED,
+-              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_SW_SATURATION_DISABLE,
+-              /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
+-      MMAL_PARAMETER_SHUTTER_SPEED,
+-              /**< Takes a @ref MMAL_PARAMETER_AWB_GAINS_T */
+-      MMAL_PARAMETER_CUSTOM_AWB_GAINS,
+-};
+-
+-struct mmal_parameter_rational {
+-      s32 num;    /**< Numerator */
+-      s32 den;    /**< Denominator */
+-};
+-
+-enum mmal_parameter_camera_config_timestamp_mode {
+-      MMAL_PARAM_TIMESTAMP_MODE_ZERO = 0, /* Always timestamp frames as 0 */
+-      MMAL_PARAM_TIMESTAMP_MODE_RAW_STC,  /* Use the raw STC value
+-                                           * for the frame timestamp
+-                                           */
+-      MMAL_PARAM_TIMESTAMP_MODE_RESET_STC, /* Use the STC timestamp
+-                                            * but subtract the
+-                                            * timestamp of the first
+-                                            * frame sent to give a
+-                                            * zero based timestamp.
+-                                            */
+-};
+-
+-struct mmal_parameter_fps_range {
+-      /**< Low end of the permitted framerate range */
+-      struct mmal_parameter_rational  fps_low;
+-      /**< High end of the permitted framerate range */
+-      struct mmal_parameter_rational  fps_high;
+-};
+-
+-/* camera configuration parameter */
+-struct mmal_parameter_camera_config {
+-      /* Parameters for setting up the image pools */
+-      u32 max_stills_w; /* Max size of stills capture */
+-      u32 max_stills_h;
+-      u32 stills_yuv422; /* Allow YUV422 stills capture */
+-      u32 one_shot_stills; /* Continuous or one shot stills captures. */
+-
+-      u32 max_preview_video_w; /* Max size of the preview or video
+-                                * capture frames
+-                                */
+-      u32 max_preview_video_h;
+-      u32 num_preview_video_frames;
+-
+-      /** Sets the height of the circular buffer for stills capture. */
+-      u32 stills_capture_circular_buffer_height;
+-
+-      /** Allows preview/encode to resume as fast as possible after the stills
+-       * input frame has been received, and then processes the still frame in
+-       * the background whilst preview/encode has resumed.
+-       * Actual mode is controlled by MMAL_PARAMETER_CAPTURE_MODE.
+-       */
+-      u32 fast_preview_resume;
+-
+-      /** Selects algorithm for timestamping frames if
+-       * there is no clock component connected.
+-       * enum mmal_parameter_camera_config_timestamp_mode
+-       */
+-      s32 use_stc_timestamp;
+-};
+-
+-enum mmal_parameter_exposuremode {
+-      MMAL_PARAM_EXPOSUREMODE_OFF,
+-      MMAL_PARAM_EXPOSUREMODE_AUTO,
+-      MMAL_PARAM_EXPOSUREMODE_NIGHT,
+-      MMAL_PARAM_EXPOSUREMODE_NIGHTPREVIEW,
+-      MMAL_PARAM_EXPOSUREMODE_BACKLIGHT,
+-      MMAL_PARAM_EXPOSUREMODE_SPOTLIGHT,
+-      MMAL_PARAM_EXPOSUREMODE_SPORTS,
+-      MMAL_PARAM_EXPOSUREMODE_SNOW,
+-      MMAL_PARAM_EXPOSUREMODE_BEACH,
+-      MMAL_PARAM_EXPOSUREMODE_VERYLONG,
+-      MMAL_PARAM_EXPOSUREMODE_FIXEDFPS,
+-      MMAL_PARAM_EXPOSUREMODE_ANTISHAKE,
+-      MMAL_PARAM_EXPOSUREMODE_FIREWORKS,
+-};
+-
+-enum mmal_parameter_exposuremeteringmode {
+-      MMAL_PARAM_EXPOSUREMETERINGMODE_AVERAGE,
+-      MMAL_PARAM_EXPOSUREMETERINGMODE_SPOT,
+-      MMAL_PARAM_EXPOSUREMETERINGMODE_BACKLIT,
+-      MMAL_PARAM_EXPOSUREMETERINGMODE_MATRIX,
+-};
+-
+-enum mmal_parameter_awbmode {
+-      MMAL_PARAM_AWBMODE_OFF,
+-      MMAL_PARAM_AWBMODE_AUTO,
+-      MMAL_PARAM_AWBMODE_SUNLIGHT,
+-      MMAL_PARAM_AWBMODE_CLOUDY,
+-      MMAL_PARAM_AWBMODE_SHADE,
+-      MMAL_PARAM_AWBMODE_TUNGSTEN,
+-      MMAL_PARAM_AWBMODE_FLUORESCENT,
+-      MMAL_PARAM_AWBMODE_INCANDESCENT,
+-      MMAL_PARAM_AWBMODE_FLASH,
+-      MMAL_PARAM_AWBMODE_HORIZON,
+-};
+-
+-enum mmal_parameter_imagefx {
+-      MMAL_PARAM_IMAGEFX_NONE,
+-      MMAL_PARAM_IMAGEFX_NEGATIVE,
+-      MMAL_PARAM_IMAGEFX_SOLARIZE,
+-      MMAL_PARAM_IMAGEFX_POSTERIZE,
+-      MMAL_PARAM_IMAGEFX_WHITEBOARD,
+-      MMAL_PARAM_IMAGEFX_BLACKBOARD,
+-      MMAL_PARAM_IMAGEFX_SKETCH,
+-      MMAL_PARAM_IMAGEFX_DENOISE,
+-      MMAL_PARAM_IMAGEFX_EMBOSS,
+-      MMAL_PARAM_IMAGEFX_OILPAINT,
+-      MMAL_PARAM_IMAGEFX_HATCH,
+-      MMAL_PARAM_IMAGEFX_GPEN,
+-      MMAL_PARAM_IMAGEFX_PASTEL,
+-      MMAL_PARAM_IMAGEFX_WATERCOLOUR,
+-      MMAL_PARAM_IMAGEFX_FILM,
+-      MMAL_PARAM_IMAGEFX_BLUR,
+-      MMAL_PARAM_IMAGEFX_SATURATION,
+-      MMAL_PARAM_IMAGEFX_COLOURSWAP,
+-      MMAL_PARAM_IMAGEFX_WASHEDOUT,
+-      MMAL_PARAM_IMAGEFX_POSTERISE,
+-      MMAL_PARAM_IMAGEFX_COLOURPOINT,
+-      MMAL_PARAM_IMAGEFX_COLOURBALANCE,
+-      MMAL_PARAM_IMAGEFX_CARTOON,
+-};
+-
+-enum MMAL_PARAM_FLICKERAVOID_T {
+-      MMAL_PARAM_FLICKERAVOID_OFF,
+-      MMAL_PARAM_FLICKERAVOID_AUTO,
+-      MMAL_PARAM_FLICKERAVOID_50HZ,
+-      MMAL_PARAM_FLICKERAVOID_60HZ,
+-      MMAL_PARAM_FLICKERAVOID_MAX = 0x7FFFFFFF
+-};
+-
+-struct mmal_parameter_awbgains {
+-      struct mmal_parameter_rational r_gain;  /**< Red gain */
+-      struct mmal_parameter_rational b_gain;  /**< Blue gain */
+-};
+-
+-/** Manner of video rate control */
+-enum mmal_parameter_rate_control_mode {
+-      MMAL_VIDEO_RATECONTROL_DEFAULT,
+-      MMAL_VIDEO_RATECONTROL_VARIABLE,
+-      MMAL_VIDEO_RATECONTROL_CONSTANT,
+-      MMAL_VIDEO_RATECONTROL_VARIABLE_SKIP_FRAMES,
+-      MMAL_VIDEO_RATECONTROL_CONSTANT_SKIP_FRAMES
+-};
+-
+-enum mmal_video_profile {
+-      MMAL_VIDEO_PROFILE_H263_BASELINE,
+-      MMAL_VIDEO_PROFILE_H263_H320CODING,
+-      MMAL_VIDEO_PROFILE_H263_BACKWARDCOMPATIBLE,
+-      MMAL_VIDEO_PROFILE_H263_ISWV2,
+-      MMAL_VIDEO_PROFILE_H263_ISWV3,
+-      MMAL_VIDEO_PROFILE_H263_HIGHCOMPRESSION,
+-      MMAL_VIDEO_PROFILE_H263_INTERNET,
+-      MMAL_VIDEO_PROFILE_H263_INTERLACE,
+-      MMAL_VIDEO_PROFILE_H263_HIGHLATENCY,
+-      MMAL_VIDEO_PROFILE_MP4V_SIMPLE,
+-      MMAL_VIDEO_PROFILE_MP4V_SIMPLESCALABLE,
+-      MMAL_VIDEO_PROFILE_MP4V_CORE,
+-      MMAL_VIDEO_PROFILE_MP4V_MAIN,
+-      MMAL_VIDEO_PROFILE_MP4V_NBIT,
+-      MMAL_VIDEO_PROFILE_MP4V_SCALABLETEXTURE,
+-      MMAL_VIDEO_PROFILE_MP4V_SIMPLEFACE,
+-      MMAL_VIDEO_PROFILE_MP4V_SIMPLEFBA,
+-      MMAL_VIDEO_PROFILE_MP4V_BASICANIMATED,
+-      MMAL_VIDEO_PROFILE_MP4V_HYBRID,
+-      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDREALTIME,
+-      MMAL_VIDEO_PROFILE_MP4V_CORESCALABLE,
+-      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDCODING,
+-      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDCORE,
+-      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDSCALABLE,
+-      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDSIMPLE,
+-      MMAL_VIDEO_PROFILE_H264_BASELINE,
+-      MMAL_VIDEO_PROFILE_H264_MAIN,
+-      MMAL_VIDEO_PROFILE_H264_EXTENDED,
+-      MMAL_VIDEO_PROFILE_H264_HIGH,
+-      MMAL_VIDEO_PROFILE_H264_HIGH10,
+-      MMAL_VIDEO_PROFILE_H264_HIGH422,
+-      MMAL_VIDEO_PROFILE_H264_HIGH444,
+-      MMAL_VIDEO_PROFILE_H264_CONSTRAINED_BASELINE,
+-      MMAL_VIDEO_PROFILE_DUMMY = 0x7FFFFFFF
+-};
+-
+-enum mmal_video_level {
+-      MMAL_VIDEO_LEVEL_H263_10,
+-      MMAL_VIDEO_LEVEL_H263_20,
+-      MMAL_VIDEO_LEVEL_H263_30,
+-      MMAL_VIDEO_LEVEL_H263_40,
+-      MMAL_VIDEO_LEVEL_H263_45,
+-      MMAL_VIDEO_LEVEL_H263_50,
+-      MMAL_VIDEO_LEVEL_H263_60,
+-      MMAL_VIDEO_LEVEL_H263_70,
+-      MMAL_VIDEO_LEVEL_MP4V_0,
+-      MMAL_VIDEO_LEVEL_MP4V_0b,
+-      MMAL_VIDEO_LEVEL_MP4V_1,
+-      MMAL_VIDEO_LEVEL_MP4V_2,
+-      MMAL_VIDEO_LEVEL_MP4V_3,
+-      MMAL_VIDEO_LEVEL_MP4V_4,
+-      MMAL_VIDEO_LEVEL_MP4V_4a,
+-      MMAL_VIDEO_LEVEL_MP4V_5,
+-      MMAL_VIDEO_LEVEL_MP4V_6,
+-      MMAL_VIDEO_LEVEL_H264_1,
+-      MMAL_VIDEO_LEVEL_H264_1b,
+-      MMAL_VIDEO_LEVEL_H264_11,
+-      MMAL_VIDEO_LEVEL_H264_12,
+-      MMAL_VIDEO_LEVEL_H264_13,
+-      MMAL_VIDEO_LEVEL_H264_2,
+-      MMAL_VIDEO_LEVEL_H264_21,
+-      MMAL_VIDEO_LEVEL_H264_22,
+-      MMAL_VIDEO_LEVEL_H264_3,
+-      MMAL_VIDEO_LEVEL_H264_31,
+-      MMAL_VIDEO_LEVEL_H264_32,
+-      MMAL_VIDEO_LEVEL_H264_4,
+-      MMAL_VIDEO_LEVEL_H264_41,
+-      MMAL_VIDEO_LEVEL_H264_42,
+-      MMAL_VIDEO_LEVEL_H264_5,
+-      MMAL_VIDEO_LEVEL_H264_51,
+-      MMAL_VIDEO_LEVEL_DUMMY = 0x7FFFFFFF
+-};
+-
+-struct mmal_parameter_video_profile {
+-      enum mmal_video_profile profile;
+-      enum mmal_video_level level;
+-};
+-
+-/* video parameters */
+-
+-enum mmal_parameter_video_type {
+-      /** @ref MMAL_DISPLAYREGION_T */
+-      MMAL_PARAMETER_DISPLAYREGION = MMAL_PARAMETER_GROUP_VIDEO,
+-
+-      /** @ref MMAL_PARAMETER_VIDEO_PROFILE_T */
+-      MMAL_PARAMETER_SUPPORTED_PROFILES,
+-
+-      /** @ref MMAL_PARAMETER_VIDEO_PROFILE_T */
+-      MMAL_PARAMETER_PROFILE,
+-
+-      /** @ref MMAL_PARAMETER_UINT32_T */
+-      MMAL_PARAMETER_INTRAPERIOD,
+-
+-      /** @ref MMAL_PARAMETER_VIDEO_RATECONTROL_T */
+-      MMAL_PARAMETER_RATECONTROL,
+-
+-      /** @ref MMAL_PARAMETER_VIDEO_NALUNITFORMAT_T */
+-      MMAL_PARAMETER_NALUNITFORMAT,
+-
+-      /** @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_MINIMISE_FRAGMENTATION,
+-
+-      /** @ref MMAL_PARAMETER_UINT32_T.
+-       * Setting the value to zero resets to the default (one slice per
+-       * frame).
+-       */
+-      MMAL_PARAMETER_MB_ROWS_PER_SLICE,
+-
+-      /** @ref MMAL_PARAMETER_VIDEO_LEVEL_EXTENSION_T */
+-      MMAL_PARAMETER_VIDEO_LEVEL_EXTENSION,
+-
+-      /** @ref MMAL_PARAMETER_VIDEO_EEDE_ENABLE_T */
+-      MMAL_PARAMETER_VIDEO_EEDE_ENABLE,
+-
+-      /** @ref MMAL_PARAMETER_VIDEO_EEDE_LOSSRATE_T */
+-      MMAL_PARAMETER_VIDEO_EEDE_LOSSRATE,
+-
+-      /** @ref MMAL_PARAMETER_BOOLEAN_T. Request an I-frame. */
+-      MMAL_PARAMETER_VIDEO_REQUEST_I_FRAME,
+-      /** @ref MMAL_PARAMETER_VIDEO_INTRA_REFRESH_T */
+-      MMAL_PARAMETER_VIDEO_INTRA_REFRESH,
+-
+-      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
+-      MMAL_PARAMETER_VIDEO_IMMUTABLE_INPUT,
+-
+-      /** @ref MMAL_PARAMETER_UINT32_T. Run-time bit rate control */
+-      MMAL_PARAMETER_VIDEO_BIT_RATE,
+-
+-      /** @ref MMAL_PARAMETER_FRAME_RATE_T */
+-      MMAL_PARAMETER_VIDEO_FRAME_RATE,
+-
+-      /** @ref MMAL_PARAMETER_UINT32_T. */
+-      MMAL_PARAMETER_VIDEO_ENCODE_MIN_QUANT,
+-
+-      /** @ref MMAL_PARAMETER_UINT32_T. */
+-      MMAL_PARAMETER_VIDEO_ENCODE_MAX_QUANT,
+-
+-      /** @ref MMAL_PARAMETER_VIDEO_ENCODE_RC_MODEL_T. */
+-      MMAL_PARAMETER_VIDEO_ENCODE_RC_MODEL,
+-
+-      MMAL_PARAMETER_EXTRA_BUFFERS, /**< @ref MMAL_PARAMETER_UINT32_T. */
+-      /** @ref MMAL_PARAMETER_UINT32_T.
+-       * Changing this parameter from the default can reduce frame rate
+-       * because image buffers need to be re-pitched.
+-       */
+-      MMAL_PARAMETER_VIDEO_ALIGN_HORIZ,
+-
+-      /** @ref MMAL_PARAMETER_UINT32_T.
+-       * Changing this parameter from the default can reduce frame rate
+-       * because image buffers need to be re-pitched.
+-       */
+-      MMAL_PARAMETER_VIDEO_ALIGN_VERT,
+-
+-      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
+-      MMAL_PARAMETER_VIDEO_DROPPABLE_PFRAMES,
+-
+-      /** @ref MMAL_PARAMETER_UINT32_T. */
+-      MMAL_PARAMETER_VIDEO_ENCODE_INITIAL_QUANT,
+-
+-      /**< @ref MMAL_PARAMETER_UINT32_T. */
+-      MMAL_PARAMETER_VIDEO_ENCODE_QP_P,
+-
+-      /**< @ref MMAL_PARAMETER_UINT32_T. */
+-      MMAL_PARAMETER_VIDEO_ENCODE_RC_SLICE_DQUANT,
+-
+-      /** @ref MMAL_PARAMETER_UINT32_T */
+-      MMAL_PARAMETER_VIDEO_ENCODE_FRAME_LIMIT_BITS,
+-
+-      /** @ref MMAL_PARAMETER_UINT32_T. */
+-      MMAL_PARAMETER_VIDEO_ENCODE_PEAK_RATE,
+-
+-      /* H264 specific parameters */
+-
+-      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
+-      MMAL_PARAMETER_VIDEO_ENCODE_H264_DISABLE_CABAC,
+-
+-      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
+-      MMAL_PARAMETER_VIDEO_ENCODE_H264_LOW_LATENCY,
+-
+-      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
+-      MMAL_PARAMETER_VIDEO_ENCODE_H264_AU_DELIMITERS,
+-
+-      /** @ref MMAL_PARAMETER_UINT32_T. */
+-      MMAL_PARAMETER_VIDEO_ENCODE_H264_DEBLOCK_IDC,
+-
+-      /** @ref MMAL_PARAMETER_VIDEO_ENCODER_H264_MB_INTRA_MODES_T. */
+-      MMAL_PARAMETER_VIDEO_ENCODE_H264_MB_INTRA_MODE,
+-
+-      /** @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_VIDEO_ENCODE_HEADER_ON_OPEN,
+-
+-      /** @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_VIDEO_ENCODE_PRECODE_FOR_QP,
+-
+-      /** @ref MMAL_PARAMETER_VIDEO_DRM_INIT_INFO_T. */
+-      MMAL_PARAMETER_VIDEO_DRM_INIT_INFO,
+-
+-      /** @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_VIDEO_TIMESTAMP_FIFO,
+-
+-      /** @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_VIDEO_DECODE_ERROR_CONCEALMENT,
+-
+-      /** @ref MMAL_PARAMETER_VIDEO_DRM_PROTECT_BUFFER_T. */
+-      MMAL_PARAMETER_VIDEO_DRM_PROTECT_BUFFER,
+-
+-      /** @ref MMAL_PARAMETER_BYTES_T */
+-      MMAL_PARAMETER_VIDEO_DECODE_CONFIG_VD3,
+-
+-      /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_VIDEO_ENCODE_H264_VCL_HRD_PARAMETERS,
+-
+-      /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_VIDEO_ENCODE_H264_LOW_DELAY_HRD_FLAG,
+-
+-      /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_VIDEO_ENCODE_INLINE_HEADER
+-};
+-
+-/** Valid mirror modes */
+-enum mmal_parameter_mirror {
+-      MMAL_PARAM_MIRROR_NONE,
+-      MMAL_PARAM_MIRROR_VERTICAL,
+-      MMAL_PARAM_MIRROR_HORIZONTAL,
+-      MMAL_PARAM_MIRROR_BOTH,
+-};
+-
+-enum mmal_parameter_displaytransform {
+-      MMAL_DISPLAY_ROT0 = 0,
+-      MMAL_DISPLAY_MIRROR_ROT0 = 1,
+-      MMAL_DISPLAY_MIRROR_ROT180 = 2,
+-      MMAL_DISPLAY_ROT180 = 3,
+-      MMAL_DISPLAY_MIRROR_ROT90 = 4,
+-      MMAL_DISPLAY_ROT270 = 5,
+-      MMAL_DISPLAY_ROT90 = 6,
+-      MMAL_DISPLAY_MIRROR_ROT270 = 7,
+-};
+-
+-enum mmal_parameter_displaymode {
+-      MMAL_DISPLAY_MODE_FILL = 0,
+-      MMAL_DISPLAY_MODE_LETTERBOX = 1,
+-};
+-
+-enum mmal_parameter_displayset {
+-      MMAL_DISPLAY_SET_NONE = 0,
+-      MMAL_DISPLAY_SET_NUM = 1,
+-      MMAL_DISPLAY_SET_FULLSCREEN = 2,
+-      MMAL_DISPLAY_SET_TRANSFORM = 4,
+-      MMAL_DISPLAY_SET_DEST_RECT = 8,
+-      MMAL_DISPLAY_SET_SRC_RECT = 0x10,
+-      MMAL_DISPLAY_SET_MODE = 0x20,
+-      MMAL_DISPLAY_SET_PIXEL = 0x40,
+-      MMAL_DISPLAY_SET_NOASPECT = 0x80,
+-      MMAL_DISPLAY_SET_LAYER = 0x100,
+-      MMAL_DISPLAY_SET_COPYPROTECT = 0x200,
+-      MMAL_DISPLAY_SET_ALPHA = 0x400,
+-};
+-
+-/* rectangle, used lots so it gets its own struct */
+-struct vchiq_mmal_rect {
+-      s32 x;
+-      s32 y;
+-      s32 width;
+-      s32 height;
+-};
+-
+-struct mmal_parameter_displayregion {
+-      /** Bitfield that indicates which fields are set and should be
+-       * used. All other fields will maintain their current value.
+-       * \ref MMAL_DISPLAYSET_T defines the bits that can be
+-       * combined.
+-       */
+-      u32 set;
+-
+-      /** Describes the display output device, with 0 typically
+-       * being a directly connected LCD display.  The actual values
+-       * will depend on the hardware.  Code using hard-wired numbers
+-       * (e.g. 2) is certain to fail.
+-       */
+-
+-      u32 display_num;
+-      /** Indicates that we are using the full device screen area,
+-       * rather than a window of the display.  If zero, then
+-       * dest_rect is used to specify a region of the display to
+-       * use.
+-       */
+-
+-      s32 fullscreen;
+-      /** Indicates any rotation or flipping used to map frames onto
+-       * the natural display orientation.
+-       */
+-      u32 transform; /* enum mmal_parameter_displaytransform */
+-
+-      /** Where to display the frame within the screen, if
+-       * fullscreen is zero.
+-       */
+-      struct vchiq_mmal_rect dest_rect;
+-
+-      /** Indicates which area of the frame to display. If all
+-       * values are zero, the whole frame will be used.
+-       */
+-      struct vchiq_mmal_rect src_rect;
+-
+-      /** If set to non-zero, indicates that any display scaling
+-       * should disregard the aspect ratio of the frame region being
+-       * displayed.
+-       */
+-      s32 noaspect;
+-
+-      /** Indicates how the image should be scaled to fit the
+-       * display. \code MMAL_DISPLAY_MODE_FILL \endcode indicates
+-       * that the image should fill the screen by potentially
+-       * cropping the frames.  Setting \code mode \endcode to \code
+-       * MMAL_DISPLAY_MODE_LETTERBOX \endcode indicates that all the
+-       * source region should be displayed and black bars added if
+-       * necessary.
+-       */
+-      u32 mode; /* enum mmal_parameter_displaymode */
+-
+-      /** If non-zero, defines the width of a source pixel relative
+-       * to \code pixel_y \endcode.  If zero, then pixels default to
+-       * being square.
+-       */
+-      u32 pixel_x;
+-
+-      /** If non-zero, defines the height of a source pixel relative
+-       * to \code pixel_x \endcode.  If zero, then pixels default to
+-       * being square.
+-       */
+-      u32 pixel_y;
+-
+-      /** Sets the relative depth of the images, with greater values
+-       * being in front of smaller values.
+-       */
+-      u32 layer;
+-
+-      /** Set to non-zero to ensure copy protection is used on
+-       * output.
+-       */
+-      s32 copyprotect_required;
+-
+-      /** Level of opacity of the layer, where zero is fully
+-       * transparent and 255 is fully opaque.
+-       */
+-      u32 alpha;
+-};
+-
+-#define MMAL_MAX_IMAGEFX_PARAMETERS 5
+-
+-struct mmal_parameter_imagefx_parameters {
+-      enum mmal_parameter_imagefx effect;
+-      u32 num_effect_params;
+-      u32 effect_parameter[MMAL_MAX_IMAGEFX_PARAMETERS];
+-};
+-
+-#define MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS 4
+-#define MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES 2
+-#define MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN 16
+-
+-struct mmal_parameter_camera_info_camera_t {
+-      u32    port_id;
+-      u32    max_width;
+-      u32    max_height;
+-      u32    lens_present;
+-      u8     camera_name[MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN];
+-};
+-
+-enum mmal_parameter_camera_info_flash_type_t {
+-      /* Make values explicit to ensure they match values in config ini */
+-      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_XENON = 0,
+-      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_LED   = 1,
+-      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_OTHER = 2,
+-      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_MAX = 0x7FFFFFFF
+-};
+-
+-struct mmal_parameter_camera_info_flash_t {
+-      enum mmal_parameter_camera_info_flash_type_t flash_type;
+-};
+-
+-struct mmal_parameter_camera_info_t {
+-      u32                            num_cameras;
+-      u32                            num_flashes;
+-      struct mmal_parameter_camera_info_camera_t
+-                              cameras[MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS];
+-      struct mmal_parameter_camera_info_flash_t
+-                              flashes[MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES];
+-};
+-
+-#endif
+--- a/drivers/staging/vc04_services/bcm2835-camera/mmal-vchiq.h
++++ /dev/null
+@@ -1,166 +0,0 @@
+-/* SPDX-License-Identifier: GPL-2.0 */
+-/*
+- * Broadcom BM2835 V4L2 driver
+- *
+- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
+- *
+- * Authors: Vincent Sanders @ Collabora
+- *          Dave Stevenson @ Broadcom
+- *            (now dave.stevenson@raspberrypi.org)
+- *          Simon Mellor @ Broadcom
+- *          Luke Diamand @ Broadcom
+- *
+- * MMAL interface to VCHIQ message passing
+- */
+-
+-#ifndef MMAL_VCHIQ_H
+-#define MMAL_VCHIQ_H
+-
+-#include "mmal-msg-format.h"
+-
+-#define MAX_PORT_COUNT 4
+-
+-/* Maximum size of the format extradata. */
+-#define MMAL_FORMAT_EXTRADATA_MAX_SIZE 128
+-
+-struct vchiq_mmal_instance;
+-
+-enum vchiq_mmal_es_type {
+-      MMAL_ES_TYPE_UNKNOWN,     /**< Unknown elementary stream type */
+-      MMAL_ES_TYPE_CONTROL,     /**< Elementary stream of control commands */
+-      MMAL_ES_TYPE_AUDIO,       /**< Audio elementary stream */
+-      MMAL_ES_TYPE_VIDEO,       /**< Video elementary stream */
+-      MMAL_ES_TYPE_SUBPICTURE   /**< Sub-picture elementary stream */
+-};
+-
+-struct vchiq_mmal_port_buffer {
+-      unsigned int num; /* number of buffers */
+-      u32 size; /* size of buffers */
+-      u32 alignment; /* alignment of buffers */
+-};
+-
+-struct vchiq_mmal_port;
+-
+-typedef void (*vchiq_mmal_buffer_cb)(
+-              struct vchiq_mmal_instance  *instance,
+-              struct vchiq_mmal_port *port,
+-              int status, struct mmal_buffer *buffer,
+-              unsigned long length, u32 mmal_flags, s64 dts, s64 pts);
+-
+-struct vchiq_mmal_port {
+-      u32 enabled:1;
+-      u32 handle;
+-      u32 type; /* port type, cached to use on port info set */
+-      u32 index; /* port index, cached to use on port info set */
+-
+-      /* component port belongs to, allows simple deref */
+-      struct vchiq_mmal_component *component;
+-
+-      struct vchiq_mmal_port *connected; /* port connected to */
+-
+-      /* buffer info */
+-      struct vchiq_mmal_port_buffer minimum_buffer;
+-      struct vchiq_mmal_port_buffer recommended_buffer;
+-      struct vchiq_mmal_port_buffer current_buffer;
+-
+-      /* stream format */
+-      struct mmal_es_format_local format;
+-      /* elementary stream format */
+-      union mmal_es_specific_format es;
+-
+-      /* data buffers to fill */
+-      struct list_head buffers;
+-      /* lock to serialise adding and removing buffers from list */
+-      spinlock_t slock;
+-
+-      /* Count of buffers the VPU has yet to return */
+-      atomic_t buffers_with_vpu;
+-      /* callback on buffer completion */
+-      vchiq_mmal_buffer_cb buffer_cb;
+-      /* callback context */
+-      void *cb_ctx;
+-};
+-
+-struct vchiq_mmal_component {
+-      u32 enabled:1;
+-      u32 handle;  /* VideoCore handle for component */
+-      u32 inputs;  /* Number of input ports */
+-      u32 outputs; /* Number of output ports */
+-      u32 clocks;  /* Number of clock ports */
+-      struct vchiq_mmal_port control; /* control port */
+-      struct vchiq_mmal_port input[MAX_PORT_COUNT]; /* input ports */
+-      struct vchiq_mmal_port output[MAX_PORT_COUNT]; /* output ports */
+-      struct vchiq_mmal_port clock[MAX_PORT_COUNT]; /* clock ports */
+-};
+-
+-int vchiq_mmal_init(struct vchiq_mmal_instance **out_instance);
+-int vchiq_mmal_finalise(struct vchiq_mmal_instance *instance);
+-
+-/* Initialise a mmal component and its ports
+- *
+- */
+-int vchiq_mmal_component_init(
+-              struct vchiq_mmal_instance *instance,
+-              const char *name,
+-              struct vchiq_mmal_component **component_out);
+-
+-int vchiq_mmal_component_finalise(
+-              struct vchiq_mmal_instance *instance,
+-              struct vchiq_mmal_component *component);
+-
+-int vchiq_mmal_component_enable(
+-              struct vchiq_mmal_instance *instance,
+-              struct vchiq_mmal_component *component);
+-
+-int vchiq_mmal_component_disable(
+-              struct vchiq_mmal_instance *instance,
+-              struct vchiq_mmal_component *component);
+-
+-/* enable a mmal port
+- *
+- * enables a port and if a buffer callback provided enque buffer
+- * headers as appropriate for the port.
+- */
+-int vchiq_mmal_port_enable(
+-              struct vchiq_mmal_instance *instance,
+-              struct vchiq_mmal_port *port,
+-              vchiq_mmal_buffer_cb buffer_cb);
+-
+-/* disable a port
+- *
+- * disable a port will dequeue any pending buffers
+- */
+-int vchiq_mmal_port_disable(struct vchiq_mmal_instance *instance,
+-                          struct vchiq_mmal_port *port);
+-
+-int vchiq_mmal_port_parameter_set(struct vchiq_mmal_instance *instance,
+-                                struct vchiq_mmal_port *port,
+-                                u32 parameter,
+-                                void *value,
+-                                u32 value_size);
+-
+-int vchiq_mmal_port_parameter_get(struct vchiq_mmal_instance *instance,
+-                                struct vchiq_mmal_port *port,
+-                                u32 parameter,
+-                                void *value,
+-                                u32 *value_size);
+-
+-int vchiq_mmal_port_set_format(struct vchiq_mmal_instance *instance,
+-                             struct vchiq_mmal_port *port);
+-
+-int vchiq_mmal_port_connect_tunnel(struct vchiq_mmal_instance *instance,
+-                                 struct vchiq_mmal_port *src,
+-                                 struct vchiq_mmal_port *dst);
+-
+-int vchiq_mmal_version(struct vchiq_mmal_instance *instance,
+-                     u32 *major_out,
+-                     u32 *minor_out);
+-
+-int vchiq_mmal_submit_buffer(struct vchiq_mmal_instance *instance,
+-                           struct vchiq_mmal_port *port,
+-                           struct mmal_buffer *buf);
+-
+-int mmal_vchi_buffer_init(struct vchiq_mmal_instance *instance,
+-                        struct mmal_buffer *buf);
+-int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf);
+-#endif /* MMAL_VCHIQ_H */
+--- /dev/null
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
+@@ -0,0 +1,60 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Broadcom BM2835 V4L2 driver
++ *
++ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
++ *
++ * Authors: Vincent Sanders @ Collabora
++ *          Dave Stevenson @ Broadcom
++ *            (now dave.stevenson@raspberrypi.org)
++ *          Simon Mellor @ Broadcom
++ *          Luke Diamand @ Broadcom
++ *
++ * MMAL structures
++ *
++ */
++#ifndef MMAL_COMMON_H
++#define MMAL_COMMON_H
++
++#define MMAL_FOURCC(a, b, c, d) ((a) | (b << 8) | (c << 16) | (d << 24))
++#define MMAL_MAGIC MMAL_FOURCC('m', 'm', 'a', 'l')
++
++/** Special value signalling that time is not known */
++#define MMAL_TIME_UNKNOWN BIT_ULL(63)
++
++struct mmal_msg_context;
++
++/* mapping between v4l and mmal video modes */
++struct mmal_fmt {
++      u32   fourcc;          /* v4l2 format id */
++      int   flags;           /* v4l2 flags field */
++      u32   mmal;
++      int   depth;
++      u32   mmal_component;  /* MMAL component index to be used to encode */
++      u32   ybbp;            /* depth of first Y plane for planar formats */
++      bool  remove_padding;  /* Does the GPU have to remove padding,
++                              * or can we do hide padding via bytesperline.
++                              */
++};
++
++/* buffer for one video frame */
++struct mmal_buffer {
++      /* v4l buffer data -- must be first */
++      struct vb2_v4l2_buffer  vb;
++
++      /* list of buffers available */
++      struct list_head        list;
++
++      void *buffer; /* buffer pointer */
++      unsigned long buffer_size; /* size of allocated buffer */
++
++      struct mmal_msg_context *msg_context;
++};
++
++/* */
++struct mmal_colourfx {
++      s32 enable;
++      u32 u;
++      u32 v;
++};
++#endif
+--- /dev/null
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
+@@ -0,0 +1,124 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Broadcom BM2835 V4L2 driver
++ *
++ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
++ *
++ * Authors: Vincent Sanders @ Collabora
++ *          Dave Stevenson @ Broadcom
++ *            (now dave.stevenson@raspberrypi.org)
++ *          Simon Mellor @ Broadcom
++ *          Luke Diamand @ Broadcom
++ */
++#ifndef MMAL_ENCODINGS_H
++#define MMAL_ENCODINGS_H
++
++#define MMAL_ENCODING_H264             MMAL_FOURCC('H', '2', '6', '4')
++#define MMAL_ENCODING_H263             MMAL_FOURCC('H', '2', '6', '3')
++#define MMAL_ENCODING_MP4V             MMAL_FOURCC('M', 'P', '4', 'V')
++#define MMAL_ENCODING_MP2V             MMAL_FOURCC('M', 'P', '2', 'V')
++#define MMAL_ENCODING_MP1V             MMAL_FOURCC('M', 'P', '1', 'V')
++#define MMAL_ENCODING_WMV3             MMAL_FOURCC('W', 'M', 'V', '3')
++#define MMAL_ENCODING_WMV2             MMAL_FOURCC('W', 'M', 'V', '2')
++#define MMAL_ENCODING_WMV1             MMAL_FOURCC('W', 'M', 'V', '1')
++#define MMAL_ENCODING_WVC1             MMAL_FOURCC('W', 'V', 'C', '1')
++#define MMAL_ENCODING_VP8              MMAL_FOURCC('V', 'P', '8', ' ')
++#define MMAL_ENCODING_VP7              MMAL_FOURCC('V', 'P', '7', ' ')
++#define MMAL_ENCODING_VP6              MMAL_FOURCC('V', 'P', '6', ' ')
++#define MMAL_ENCODING_THEORA           MMAL_FOURCC('T', 'H', 'E', 'O')
++#define MMAL_ENCODING_SPARK            MMAL_FOURCC('S', 'P', 'R', 'K')
++#define MMAL_ENCODING_MJPEG            MMAL_FOURCC('M', 'J', 'P', 'G')
++
++#define MMAL_ENCODING_JPEG             MMAL_FOURCC('J', 'P', 'E', 'G')
++#define MMAL_ENCODING_GIF              MMAL_FOURCC('G', 'I', 'F', ' ')
++#define MMAL_ENCODING_PNG              MMAL_FOURCC('P', 'N', 'G', ' ')
++#define MMAL_ENCODING_PPM              MMAL_FOURCC('P', 'P', 'M', ' ')
++#define MMAL_ENCODING_TGA              MMAL_FOURCC('T', 'G', 'A', ' ')
++#define MMAL_ENCODING_BMP              MMAL_FOURCC('B', 'M', 'P', ' ')
++
++#define MMAL_ENCODING_I420             MMAL_FOURCC('I', '4', '2', '0')
++#define MMAL_ENCODING_I420_SLICE       MMAL_FOURCC('S', '4', '2', '0')
++#define MMAL_ENCODING_YV12             MMAL_FOURCC('Y', 'V', '1', '2')
++#define MMAL_ENCODING_I422             MMAL_FOURCC('I', '4', '2', '2')
++#define MMAL_ENCODING_I422_SLICE       MMAL_FOURCC('S', '4', '2', '2')
++#define MMAL_ENCODING_YUYV             MMAL_FOURCC('Y', 'U', 'Y', 'V')
++#define MMAL_ENCODING_YVYU             MMAL_FOURCC('Y', 'V', 'Y', 'U')
++#define MMAL_ENCODING_UYVY             MMAL_FOURCC('U', 'Y', 'V', 'Y')
++#define MMAL_ENCODING_VYUY             MMAL_FOURCC('V', 'Y', 'U', 'Y')
++#define MMAL_ENCODING_NV12             MMAL_FOURCC('N', 'V', '1', '2')
++#define MMAL_ENCODING_NV21             MMAL_FOURCC('N', 'V', '2', '1')
++#define MMAL_ENCODING_ARGB             MMAL_FOURCC('A', 'R', 'G', 'B')
++#define MMAL_ENCODING_RGBA             MMAL_FOURCC('R', 'G', 'B', 'A')
++#define MMAL_ENCODING_ABGR             MMAL_FOURCC('A', 'B', 'G', 'R')
++#define MMAL_ENCODING_BGRA             MMAL_FOURCC('B', 'G', 'R', 'A')
++#define MMAL_ENCODING_RGB16            MMAL_FOURCC('R', 'G', 'B', '2')
++#define MMAL_ENCODING_RGB24            MMAL_FOURCC('R', 'G', 'B', '3')
++#define MMAL_ENCODING_RGB32            MMAL_FOURCC('R', 'G', 'B', '4')
++#define MMAL_ENCODING_BGR16            MMAL_FOURCC('B', 'G', 'R', '2')
++#define MMAL_ENCODING_BGR24            MMAL_FOURCC('B', 'G', 'R', '3')
++#define MMAL_ENCODING_BGR32            MMAL_FOURCC('B', 'G', 'R', '4')
++
++/** SAND Video (YUVUV128) format, native format understood by VideoCore.
++ * This format is *not* opaque - if requested you will receive full frames
++ * of YUV_UV video.
++ */
++#define MMAL_ENCODING_YUVUV128         MMAL_FOURCC('S', 'A', 'N', 'D')
++
++/** VideoCore opaque image format, image handles are returned to
++ * the host but not the actual image data.
++ */
++#define MMAL_ENCODING_OPAQUE           MMAL_FOURCC('O', 'P', 'Q', 'V')
++
++/** An EGL image handle
++ */
++#define MMAL_ENCODING_EGL_IMAGE        MMAL_FOURCC('E', 'G', 'L', 'I')
++
++/* }@ */
++
++/** \name Pre-defined audio encodings */
++/* @{ */
++#define MMAL_ENCODING_PCM_UNSIGNED_BE  MMAL_FOURCC('P', 'C', 'M', 'U')
++#define MMAL_ENCODING_PCM_UNSIGNED_LE  MMAL_FOURCC('p', 'c', 'm', 'u')
++#define MMAL_ENCODING_PCM_SIGNED_BE    MMAL_FOURCC('P', 'C', 'M', 'S')
++#define MMAL_ENCODING_PCM_SIGNED_LE    MMAL_FOURCC('p', 'c', 'm', 's')
++#define MMAL_ENCODING_PCM_FLOAT_BE     MMAL_FOURCC('P', 'C', 'M', 'F')
++#define MMAL_ENCODING_PCM_FLOAT_LE     MMAL_FOURCC('p', 'c', 'm', 'f')
++
++/* Pre-defined H264 encoding variants */
++
++/** ISO 14496-10 Annex B byte stream format */
++#define MMAL_ENCODING_VARIANT_H264_DEFAULT   0
++/** ISO 14496-15 AVC stream format */
++#define MMAL_ENCODING_VARIANT_H264_AVC1      MMAL_FOURCC('A', 'V', 'C', '1')
++/** Implicitly delineated NAL units without emulation prevention */
++#define MMAL_ENCODING_VARIANT_H264_RAW       MMAL_FOURCC('R', 'A', 'W', ' ')
++
++/** \defgroup MmalColorSpace List of pre-defined video color spaces
++ * This defines a list of common color spaces. This list isn't exhaustive and
++ * is only provided as a convenience to avoid clients having to use FourCC
++ * codes directly. However components are allowed to define and use their own
++ * FourCC codes.
++ */
++/* @{ */
++
++/** Unknown color space */
++#define MMAL_COLOR_SPACE_UNKNOWN       0
++/** ITU-R BT.601-5 [SDTV] */
++#define MMAL_COLOR_SPACE_ITUR_BT601    MMAL_FOURCC('Y', '6', '0', '1')
++/** ITU-R BT.709-3 [HDTV] */
++#define MMAL_COLOR_SPACE_ITUR_BT709    MMAL_FOURCC('Y', '7', '0', '9')
++/** JPEG JFIF */
++#define MMAL_COLOR_SPACE_JPEG_JFIF     MMAL_FOURCC('Y', 'J', 'F', 'I')
++/** Title 47 Code of Federal Regulations (2003) 73.682 (a) (20) */
++#define MMAL_COLOR_SPACE_FCC           MMAL_FOURCC('Y', 'F', 'C', 'C')
++/** Society of Motion Picture and Television Engineers 240M (1999) */
++#define MMAL_COLOR_SPACE_SMPTE240M     MMAL_FOURCC('Y', '2', '4', '0')
++/** ITU-R BT.470-2 System M */
++#define MMAL_COLOR_SPACE_BT470_2_M     MMAL_FOURCC('Y', '_', '_', 'M')
++/** ITU-R BT.470-2 System BG */
++#define MMAL_COLOR_SPACE_BT470_2_BG    MMAL_FOURCC('Y', '_', 'B', 'G')
++/** JPEG JFIF, but with 16..255 luma */
++#define MMAL_COLOR_SPACE_JFIF_Y16_255  MMAL_FOURCC('Y', 'Y', '1', '6')
++/* @} MmalColorSpace List */
++
++#endif /* MMAL_ENCODINGS_H */
+--- /dev/null
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-msg-common.h
+@@ -0,0 +1,48 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Broadcom BM2835 V4L2 driver
++ *
++ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
++ *
++ * Authors: Vincent Sanders @ Collabora
++ *          Dave Stevenson @ Broadcom
++ *            (now dave.stevenson@raspberrypi.org)
++ *          Simon Mellor @ Broadcom
++ *          Luke Diamand @ Broadcom
++ */
++
++#ifndef MMAL_MSG_COMMON_H
++#define MMAL_MSG_COMMON_H
++
++enum mmal_msg_status {
++      MMAL_MSG_STATUS_SUCCESS = 0, /**< Success */
++      MMAL_MSG_STATUS_ENOMEM,      /**< Out of memory */
++      MMAL_MSG_STATUS_ENOSPC,      /**< Out of resources other than memory */
++      MMAL_MSG_STATUS_EINVAL,      /**< Argument is invalid */
++      MMAL_MSG_STATUS_ENOSYS,      /**< Function not implemented */
++      MMAL_MSG_STATUS_ENOENT,      /**< No such file or directory */
++      MMAL_MSG_STATUS_ENXIO,       /**< No such device or address */
++      MMAL_MSG_STATUS_EIO,         /**< I/O error */
++      MMAL_MSG_STATUS_ESPIPE,      /**< Illegal seek */
++      MMAL_MSG_STATUS_ECORRUPT,    /**< Data is corrupt \attention */
++      MMAL_MSG_STATUS_ENOTREADY,   /**< Component is not ready */
++      MMAL_MSG_STATUS_ECONFIG,     /**< Component is not configured */
++      MMAL_MSG_STATUS_EISCONN,     /**< Port is already connected */
++      MMAL_MSG_STATUS_ENOTCONN,    /**< Port is disconnected */
++      MMAL_MSG_STATUS_EAGAIN,      /**< Resource temporarily unavailable. */
++      MMAL_MSG_STATUS_EFAULT,      /**< Bad address */
++};
++
++struct mmal_rect {
++      s32 x;      /**< x coordinate (from left) */
++      s32 y;      /**< y coordinate (from top) */
++      s32 width;  /**< width */
++      s32 height; /**< height */
++};
++
++struct mmal_rational {
++      s32 num;    /**< Numerator */
++      s32 den;    /**< Denominator */
++};
++
++#endif /* MMAL_MSG_COMMON_H */
+--- /dev/null
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-msg-format.h
+@@ -0,0 +1,106 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Broadcom BM2835 V4L2 driver
++ *
++ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
++ *
++ * Authors: Vincent Sanders @ Collabora
++ *          Dave Stevenson @ Broadcom
++ *            (now dave.stevenson@raspberrypi.org)
++ *          Simon Mellor @ Broadcom
++ *          Luke Diamand @ Broadcom
++ */
++
++#ifndef MMAL_MSG_FORMAT_H
++#define MMAL_MSG_FORMAT_H
++
++#include "mmal-msg-common.h"
++
++/* MMAL_ES_FORMAT_T */
++
++struct mmal_audio_format {
++      u32 channels;           /* Number of audio channels */
++      u32 sample_rate;        /* Sample rate */
++
++      u32 bits_per_sample;    /* Bits per sample */
++      u32 block_align;        /* Size of a block of data */
++};
++
++struct mmal_video_format {
++      u32 width;              /* Width of frame in pixels */
++      u32 height;             /* Height of frame in rows of pixels */
++      struct mmal_rect crop;  /* Visible region of the frame */
++      struct mmal_rational frame_rate;        /* Frame rate */
++      struct mmal_rational par;               /* Pixel aspect ratio */
++
++      /*
++       * FourCC specifying the color space of the video stream. See the
++       * MmalColorSpace "pre-defined color spaces" for some examples.
++       */
++      u32 color_space;
++};
++
++struct mmal_subpicture_format {
++      u32 x_offset;
++      u32 y_offset;
++};
++
++union mmal_es_specific_format {
++      struct mmal_audio_format audio;
++      struct mmal_video_format video;
++      struct mmal_subpicture_format subpicture;
++};
++
++/* Definition of an elementary stream format (MMAL_ES_FORMAT_T) */
++struct mmal_es_format_local {
++      u32 type;       /* enum mmal_es_type */
++
++      u32 encoding;   /* FourCC specifying encoding of the elementary
++                       * stream.
++                       */
++      u32 encoding_variant;   /* FourCC specifying the specific
++                               * encoding variant of the elementary
++                               * stream.
++                               */
++
++      union mmal_es_specific_format *es;      /* Type specific
++                                               * information for the
++                                               * elementary stream
++                                               */
++
++      u32 bitrate;    /* Bitrate in bits per second */
++      u32 flags;      /* Flags describing properties of the elementary
++                       * stream.
++                       */
++
++      u32 extradata_size;     /* Size of the codec specific data */
++      u8  *extradata;         /* Codec specific data */
++};
++
++/* Remote definition of an elementary stream format (MMAL_ES_FORMAT_T) */
++struct mmal_es_format {
++      u32 type;       /* enum mmal_es_type */
++
++      u32 encoding;   /* FourCC specifying encoding of the elementary
++                       * stream.
++                       */
++      u32 encoding_variant;   /* FourCC specifying the specific
++                               * encoding variant of the elementary
++                               * stream.
++                               */
++
++      u32 es; /* Type specific
++               * information for the
++               * elementary stream
++               */
++
++      u32 bitrate;    /* Bitrate in bits per second */
++      u32 flags;      /* Flags describing properties of the elementary
++                       * stream.
++                       */
++
++      u32 extradata_size;     /* Size of the codec specific data */
++      u32 extradata;          /* Codec specific data */
++};
++
++#endif /* MMAL_MSG_FORMAT_H */
+--- /dev/null
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-msg-port.h
+@@ -0,0 +1,109 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Broadcom BM2835 V4L2 driver
++ *
++ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
++ *
++ * Authors: Vincent Sanders @ Collabora
++ *          Dave Stevenson @ Broadcom
++ *            (now dave.stevenson@raspberrypi.org)
++ *          Simon Mellor @ Broadcom
++ *          Luke Diamand @ Broadcom
++ */
++
++/* MMAL_PORT_TYPE_T */
++enum mmal_port_type {
++      MMAL_PORT_TYPE_UNKNOWN = 0,     /* Unknown port type */
++      MMAL_PORT_TYPE_CONTROL,         /* Control port */
++      MMAL_PORT_TYPE_INPUT,           /* Input port */
++      MMAL_PORT_TYPE_OUTPUT,          /* Output port */
++      MMAL_PORT_TYPE_CLOCK,           /* Clock port */
++};
++
++/* The port is pass-through and doesn't need buffer headers allocated */
++#define MMAL_PORT_CAPABILITY_PASSTHROUGH                       0x01
++/*
++ *The port wants to allocate the buffer payloads.
++ * This signals a preference that payload allocation should be done
++ * on this port for efficiency reasons.
++ */
++#define MMAL_PORT_CAPABILITY_ALLOCATION                        0x02
++/*
++ * The port supports format change events.
++ * This applies to input ports and is used to let the client know
++ * whether the port supports being reconfigured via a format
++ * change event (i.e. without having to disable the port).
++ */
++#define MMAL_PORT_CAPABILITY_SUPPORTS_EVENT_FORMAT_CHANGE      0x04
++
++/*
++ * mmal port structure (MMAL_PORT_T)
++ *
++ * most elements are informational only, the pointer values for
++ * interogation messages are generally provided as additional
++ * structures within the message. When used to set values only the
++ * buffer_num, buffer_size and userdata parameters are writable.
++ */
++struct mmal_port {
++      u32 priv;       /* Private member used by the framework */
++      u32 name;       /* Port name. Used for debugging purposes (RO) */
++
++      u32 type;       /* Type of the port (RO) enum mmal_port_type */
++      u16 index;      /* Index of the port in its type list (RO) */
++      u16 index_all;  /* Index of the port in the list of all ports (RO) */
++
++      u32 is_enabled; /* Indicates whether the port is enabled or not (RO) */
++      u32 format;     /* Format of the elementary stream */
++
++      u32 buffer_num_min;     /* Minimum number of buffers the port
++                               *   requires (RO).  This is set by the
++                               *   component.
++                               */
++
++      u32 buffer_size_min;    /* Minimum size of buffers the port
++                               * requires (RO).  This is set by the
++                               * component.
++                               */
++
++      u32 buffer_alignment_min;/* Minimum alignment requirement for
++                                * the buffers (RO).  A value of
++                                * zero means no special alignment
++                                * requirements.  This is set by the
++                                * component.
++                                */
++
++      u32 buffer_num_recommended;     /* Number of buffers the port
++                                       * recommends for optimal
++                                       * performance (RO).  A value of
++                                       * zero means no special
++                                       * recommendation.  This is set
++                                       * by the component.
++                                       */
++
++      u32 buffer_size_recommended;    /* Size of buffers the port
++                                       * recommends for optimal
++                                       * performance (RO).  A value of
++                                       * zero means no special
++                                       * recommendation.  This is set
++                                       * by the component.
++                                       */
++
++      u32 buffer_num; /* Actual number of buffers the port will use.
++                       * This is set by the client.
++                       */
++
++      u32 buffer_size; /* Actual maximum size of the buffers that
++                        * will be sent to the port. This is set by
++                        * the client.
++                        */
++
++      u32 component;  /* Component this port belongs to (Read Only) */
++
++      u32 userdata;   /* Field reserved for use by the client */
++
++      u32 capabilities;       /* Flags describing the capabilities of a
++                               * port (RO).  Bitwise combination of \ref
++                               * portcapabilities "Port capabilities"
++                               * values.
++                               */
++};
+--- /dev/null
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-msg.h
+@@ -0,0 +1,406 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Broadcom BM2835 V4L2 driver
++ *
++ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
++ *
++ * Authors: Vincent Sanders @ Collabora
++ *          Dave Stevenson @ Broadcom
++ *            (now dave.stevenson@raspberrypi.org)
++ *          Simon Mellor @ Broadcom
++ *          Luke Diamand @ Broadcom
++ */
++
++/*
++ * all the data structures which serialise the MMAL protocol. note
++ * these are directly mapped onto the recived message data.
++ *
++ * BEWARE: They seem to *assume* pointers are u32 and that there is no
++ * structure padding!
++ *
++ * NOTE: this implementation uses kernel types to ensure sizes. Rather
++ * than assigning values to enums to force their size the
++ * implementation uses fixed size types and not the enums (though the
++ * comments have the actual enum type
++ */
++#ifndef MMAL_MSG_H
++#define MMAL_MSG_H
++
++#define VC_MMAL_VER 15
++#define VC_MMAL_MIN_VER 10
++#define VC_MMAL_SERVER_NAME  MAKE_FOURCC("mmal")
++
++/* max total message size is 512 bytes */
++#define MMAL_MSG_MAX_SIZE 512
++/* with six 32bit header elements max payload is therefore 488 bytes */
++#define MMAL_MSG_MAX_PAYLOAD 488
++
++#include "mmal-msg-common.h"
++#include "mmal-msg-format.h"
++#include "mmal-msg-port.h"
++
++enum mmal_msg_type {
++      MMAL_MSG_TYPE_QUIT = 1,
++      MMAL_MSG_TYPE_SERVICE_CLOSED,
++      MMAL_MSG_TYPE_GET_VERSION,
++      MMAL_MSG_TYPE_COMPONENT_CREATE,
++      MMAL_MSG_TYPE_COMPONENT_DESTROY,        /* 5 */
++      MMAL_MSG_TYPE_COMPONENT_ENABLE,
++      MMAL_MSG_TYPE_COMPONENT_DISABLE,
++      MMAL_MSG_TYPE_PORT_INFO_GET,
++      MMAL_MSG_TYPE_PORT_INFO_SET,
++      MMAL_MSG_TYPE_PORT_ACTION,              /* 10 */
++      MMAL_MSG_TYPE_BUFFER_FROM_HOST,
++      MMAL_MSG_TYPE_BUFFER_TO_HOST,
++      MMAL_MSG_TYPE_GET_STATS,
++      MMAL_MSG_TYPE_PORT_PARAMETER_SET,
++      MMAL_MSG_TYPE_PORT_PARAMETER_GET,       /* 15 */
++      MMAL_MSG_TYPE_EVENT_TO_HOST,
++      MMAL_MSG_TYPE_GET_CORE_STATS_FOR_PORT,
++      MMAL_MSG_TYPE_OPAQUE_ALLOCATOR,
++      MMAL_MSG_TYPE_CONSUME_MEM,
++      MMAL_MSG_TYPE_LMK,                      /* 20 */
++      MMAL_MSG_TYPE_OPAQUE_ALLOCATOR_DESC,
++      MMAL_MSG_TYPE_DRM_GET_LHS32,
++      MMAL_MSG_TYPE_DRM_GET_TIME,
++      MMAL_MSG_TYPE_BUFFER_FROM_HOST_ZEROLEN,
++      MMAL_MSG_TYPE_PORT_FLUSH,               /* 25 */
++      MMAL_MSG_TYPE_HOST_LOG,
++      MMAL_MSG_TYPE_MSG_LAST
++};
++
++/* port action request messages differ depending on the action type */
++enum mmal_msg_port_action_type {
++      MMAL_MSG_PORT_ACTION_TYPE_UNKNOWN = 0,  /* Unknown action */
++      MMAL_MSG_PORT_ACTION_TYPE_ENABLE,       /* Enable a port */
++      MMAL_MSG_PORT_ACTION_TYPE_DISABLE,      /* Disable a port */
++      MMAL_MSG_PORT_ACTION_TYPE_FLUSH,        /* Flush a port */
++      MMAL_MSG_PORT_ACTION_TYPE_CONNECT,      /* Connect ports */
++      MMAL_MSG_PORT_ACTION_TYPE_DISCONNECT,   /* Disconnect ports */
++      MMAL_MSG_PORT_ACTION_TYPE_SET_REQUIREMENTS, /* Set buffer requirements*/
++};
++
++struct mmal_msg_header {
++      u32 magic;
++      u32 type;       /* enum mmal_msg_type */
++
++      /* Opaque handle to the control service */
++      u32 control_service;
++
++      u32 context;    /* a u32 per message context */
++      u32 status;     /* The status of the vchiq operation */
++      u32 padding;
++};
++
++/* Send from VC to host to report version */
++struct mmal_msg_version {
++      u32 flags;
++      u32 major;
++      u32 minor;
++      u32 minimum;
++};
++
++/* request to VC to create component */
++struct mmal_msg_component_create {
++      u32 client_component;   /* component context */
++      char name[128];
++      u32 pid;                /* For debug */
++};
++
++/* reply from VC to component creation request */
++struct mmal_msg_component_create_reply {
++      u32 status;     /* enum mmal_msg_status - how does this differ to
++                       * the one in the header?
++                       */
++      u32 component_handle; /* VideoCore handle for component */
++      u32 input_num;        /* Number of input ports */
++      u32 output_num;       /* Number of output ports */
++      u32 clock_num;        /* Number of clock ports */
++};
++
++/* request to VC to destroy a component */
++struct mmal_msg_component_destroy {
++      u32 component_handle;
++};
++
++struct mmal_msg_component_destroy_reply {
++      u32 status; /* The component destruction status */
++};
++
++/* request and reply to VC to enable a component */
++struct mmal_msg_component_enable {
++      u32 component_handle;
++};
++
++struct mmal_msg_component_enable_reply {
++      u32 status; /* The component enable status */
++};
++
++/* request and reply to VC to disable a component */
++struct mmal_msg_component_disable {
++      u32 component_handle;
++};
++
++struct mmal_msg_component_disable_reply {
++      u32 status; /* The component disable status */
++};
++
++/* request to VC to get port information */
++struct mmal_msg_port_info_get {
++      u32 component_handle;  /* component handle port is associated with */
++      u32 port_type;         /* enum mmal_msg_port_type */
++      u32 index;             /* port index to query */
++};
++
++/* reply from VC to get port info request */
++struct mmal_msg_port_info_get_reply {
++      u32 status;             /* enum mmal_msg_status */
++      u32 component_handle;   /* component handle port is associated with */
++      u32 port_type;          /* enum mmal_msg_port_type */
++      u32 port_index;         /* port indexed in query */
++      s32 found;              /* unused */
++      u32 port_handle;        /* Handle to use for this port */
++      struct mmal_port port;
++      struct mmal_es_format format; /* elementary stream format */
++      union mmal_es_specific_format es; /* es type specific data */
++      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE]; /* es extra data */
++};
++
++/* request to VC to set port information */
++struct mmal_msg_port_info_set {
++      u32 component_handle;
++      u32 port_type;          /* enum mmal_msg_port_type */
++      u32 port_index;         /* port indexed in query */
++      struct mmal_port port;
++      struct mmal_es_format format;
++      union mmal_es_specific_format es;
++      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE];
++};
++
++/* reply from VC to port info set request */
++struct mmal_msg_port_info_set_reply {
++      u32 status;
++      u32 component_handle;   /* component handle port is associated with */
++      u32 port_type;          /* enum mmal_msg_port_type */
++      u32 index;              /* port indexed in query */
++      s32 found;              /* unused */
++      u32 port_handle;        /* Handle to use for this port */
++      struct mmal_port port;
++      struct mmal_es_format format;
++      union mmal_es_specific_format es;
++      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE];
++};
++
++/* port action requests that take a mmal_port as a parameter */
++struct mmal_msg_port_action_port {
++      u32 component_handle;
++      u32 port_handle;
++      u32 action;             /* enum mmal_msg_port_action_type */
++      struct mmal_port port;
++};
++
++/* port action requests that take handles as a parameter */
++struct mmal_msg_port_action_handle {
++      u32 component_handle;
++      u32 port_handle;
++      u32 action;             /* enum mmal_msg_port_action_type */
++      u32 connect_component_handle;
++      u32 connect_port_handle;
++};
++
++struct mmal_msg_port_action_reply {
++      u32 status;     /* The port action operation status */
++};
++
++/* MMAL buffer transfer */
++
++/* Size of space reserved in a buffer message for short messages. */
++#define MMAL_VC_SHORT_DATA 128
++
++/* Signals that the current payload is the end of the stream of data */
++#define MMAL_BUFFER_HEADER_FLAG_EOS                    BIT(0)
++/* Signals that the start of the current payload starts a frame */
++#define MMAL_BUFFER_HEADER_FLAG_FRAME_START            BIT(1)
++/* Signals that the end of the current payload ends a frame */
++#define MMAL_BUFFER_HEADER_FLAG_FRAME_END              BIT(2)
++/* Signals that the current payload contains only complete frames (>1) */
++#define MMAL_BUFFER_HEADER_FLAG_FRAME                  \
++      (MMAL_BUFFER_HEADER_FLAG_FRAME_START | \
++       MMAL_BUFFER_HEADER_FLAG_FRAME_END)
++/* Signals that the current payload is a keyframe (i.e. self decodable) */
++#define MMAL_BUFFER_HEADER_FLAG_KEYFRAME               BIT(3)
++/*
++ * Signals a discontinuity in the stream of data (e.g. after a seek).
++ * Can be used for instance by a decoder to reset its state
++ */
++#define MMAL_BUFFER_HEADER_FLAG_DISCONTINUITY          BIT(4)
++/*
++ * Signals a buffer containing some kind of config data for the component
++ * (e.g. codec config data)
++ */
++#define MMAL_BUFFER_HEADER_FLAG_CONFIG                 BIT(5)
++/* Signals an encrypted payload */
++#define MMAL_BUFFER_HEADER_FLAG_ENCRYPTED              BIT(6)
++/* Signals a buffer containing side information */
++#define MMAL_BUFFER_HEADER_FLAG_CODECSIDEINFO          BIT(7)
++/*
++ * Signals a buffer which is the snapshot/postview image from a stills
++ * capture
++ */
++#define MMAL_BUFFER_HEADER_FLAGS_SNAPSHOT              BIT(8)
++/* Signals a buffer which contains data known to be corrupted */
++#define MMAL_BUFFER_HEADER_FLAG_CORRUPTED              BIT(9)
++/* Signals that a buffer failed to be transmitted */
++#define MMAL_BUFFER_HEADER_FLAG_TRANSMISSION_FAILED    BIT(10)
++
++struct mmal_driver_buffer {
++      u32 magic;
++      u32 component_handle;
++      u32 port_handle;
++      u32 client_context;
++};
++
++/* buffer header */
++struct mmal_buffer_header {
++      u32 next;       /* next header */
++      u32 priv;       /* framework private data */
++      u32 cmd;
++      u32 data;
++      u32 alloc_size;
++      u32 length;
++      u32 offset;
++      u32 flags;
++      s64 pts;
++      s64 dts;
++      u32 type;
++      u32 user_data;
++};
++
++struct mmal_buffer_header_type_specific {
++      union {
++              struct {
++              u32 planes;
++              u32 offset[4];
++              u32 pitch[4];
++              u32 flags;
++              } video;
++      } u;
++};
++
++struct mmal_msg_buffer_from_host {
++      /*
++       *The front 32 bytes of the buffer header are copied
++       * back to us in the reply to allow for context. This
++       * area is used to store two mmal_driver_buffer structures to
++       * allow for multiple concurrent service users.
++       */
++      /* control data */
++      struct mmal_driver_buffer drvbuf;
++
++      /* referenced control data for passthrough buffer management */
++      struct mmal_driver_buffer drvbuf_ref;
++      struct mmal_buffer_header buffer_header; /* buffer header itself */
++      struct mmal_buffer_header_type_specific buffer_header_type_specific;
++      s32 is_zero_copy;
++      s32 has_reference;
++
++      /* allows short data to be xfered in control message */
++      u32 payload_in_message;
++      u8 short_data[MMAL_VC_SHORT_DATA];
++};
++
++/* port parameter setting */
++
++#define MMAL_WORKER_PORT_PARAMETER_SPACE      96
++
++struct mmal_msg_port_parameter_set {
++      u32 component_handle;   /* component */
++      u32 port_handle;        /* port */
++      u32 id;                 /* Parameter ID  */
++      u32 size;               /* Parameter size */
++      u32 value[MMAL_WORKER_PORT_PARAMETER_SPACE];
++};
++
++struct mmal_msg_port_parameter_set_reply {
++      u32 status;     /* enum mmal_msg_status todo: how does this
++                       * differ to the one in the header?
++                       */
++};
++
++/* port parameter getting */
++
++struct mmal_msg_port_parameter_get {
++      u32 component_handle;   /* component */
++      u32 port_handle;        /* port */
++      u32 id;                 /* Parameter ID  */
++      u32 size;               /* Parameter size */
++};
++
++struct mmal_msg_port_parameter_get_reply {
++      u32 status;             /* Status of mmal_port_parameter_get call */
++      u32 id;                 /* Parameter ID  */
++      u32 size;               /* Parameter size */
++      u32 value[MMAL_WORKER_PORT_PARAMETER_SPACE];
++};
++
++/* event messages */
++#define MMAL_WORKER_EVENT_SPACE 256
++
++struct mmal_msg_event_to_host {
++      u32 client_component;   /* component context */
++
++      u32 port_type;
++      u32 port_num;
++
++      u32 cmd;
++      u32 length;
++      u8 data[MMAL_WORKER_EVENT_SPACE];
++      u32 delayed_buffer;
++};
++
++/* all mmal messages are serialised through this structure */
++struct mmal_msg {
++      /* header */
++      struct mmal_msg_header h;
++      /* payload */
++      union {
++              struct mmal_msg_version version;
++
++              struct mmal_msg_component_create component_create;
++              struct mmal_msg_component_create_reply component_create_reply;
++
++              struct mmal_msg_component_destroy component_destroy;
++              struct mmal_msg_component_destroy_reply component_destroy_reply;
++
++              struct mmal_msg_component_enable component_enable;
++              struct mmal_msg_component_enable_reply component_enable_reply;
++
++              struct mmal_msg_component_disable component_disable;
++              struct mmal_msg_component_disable_reply component_disable_reply;
++
++              struct mmal_msg_port_info_get port_info_get;
++              struct mmal_msg_port_info_get_reply port_info_get_reply;
++
++              struct mmal_msg_port_info_set port_info_set;
++              struct mmal_msg_port_info_set_reply port_info_set_reply;
++
++              struct mmal_msg_port_action_port port_action_port;
++              struct mmal_msg_port_action_handle port_action_handle;
++              struct mmal_msg_port_action_reply port_action_reply;
++
++              struct mmal_msg_buffer_from_host buffer_from_host;
++
++              struct mmal_msg_port_parameter_set port_parameter_set;
++              struct mmal_msg_port_parameter_set_reply
++                      port_parameter_set_reply;
++              struct mmal_msg_port_parameter_get
++                      port_parameter_get;
++              struct mmal_msg_port_parameter_get_reply
++                      port_parameter_get_reply;
++
++              struct mmal_msg_event_to_host event_to_host;
++
++              u8 payload[MMAL_MSG_MAX_PAYLOAD];
++      } u;
++};
++#endif
+--- /dev/null
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
+@@ -0,0 +1,755 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Broadcom BM2835 V4L2 driver
++ *
++ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
++ *
++ * Authors: Vincent Sanders @ Collabora
++ *          Dave Stevenson @ Broadcom
++ *            (now dave.stevenson@raspberrypi.org)
++ *          Simon Mellor @ Broadcom
++ *          Luke Diamand @ Broadcom
++ */
++
++/* common parameters */
++
++/** @name Parameter groups
++ * Parameters are divided into groups, and then allocated sequentially within
++ * a group using an enum.
++ * @{
++ */
++
++#ifndef MMAL_PARAMETERS_H
++#define MMAL_PARAMETERS_H
++
++/** Common parameter ID group, used with many types of component. */
++#define MMAL_PARAMETER_GROUP_COMMON            (0 << 16)
++/** Camera-specific parameter ID group. */
++#define MMAL_PARAMETER_GROUP_CAMERA            (1 << 16)
++/** Video-specific parameter ID group. */
++#define MMAL_PARAMETER_GROUP_VIDEO             (2 << 16)
++/** Audio-specific parameter ID group. */
++#define MMAL_PARAMETER_GROUP_AUDIO             (3 << 16)
++/** Clock-specific parameter ID group. */
++#define MMAL_PARAMETER_GROUP_CLOCK             (4 << 16)
++/** Miracast-specific parameter ID group. */
++#define MMAL_PARAMETER_GROUP_MIRACAST       (5 << 16)
++
++/* Common parameters */
++enum mmal_parameter_common_type {
++              /**< Never a valid parameter ID */
++      MMAL_PARAMETER_UNUSED = MMAL_PARAMETER_GROUP_COMMON,
++
++              /**< MMAL_PARAMETER_ENCODING_T */
++      MMAL_PARAMETER_SUPPORTED_ENCODINGS,
++              /**< MMAL_PARAMETER_URI_T */
++      MMAL_PARAMETER_URI,
++              /** MMAL_PARAMETER_CHANGE_EVENT_REQUEST_T */
++      MMAL_PARAMETER_CHANGE_EVENT_REQUEST,
++              /** MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_ZERO_COPY,
++              /**< MMAL_PARAMETER_BUFFER_REQUIREMENTS_T */
++      MMAL_PARAMETER_BUFFER_REQUIREMENTS,
++              /**< MMAL_PARAMETER_STATISTICS_T */
++      MMAL_PARAMETER_STATISTICS,
++              /**< MMAL_PARAMETER_CORE_STATISTICS_T */
++      MMAL_PARAMETER_CORE_STATISTICS,
++              /**< MMAL_PARAMETER_MEM_USAGE_T */
++      MMAL_PARAMETER_MEM_USAGE,
++              /**< MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_BUFFER_FLAG_FILTER,
++              /**< MMAL_PARAMETER_SEEK_T */
++      MMAL_PARAMETER_SEEK,
++              /**< MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_POWERMON_ENABLE,
++              /**< MMAL_PARAMETER_LOGGING_T */
++      MMAL_PARAMETER_LOGGING,
++              /**< MMAL_PARAMETER_UINT64_T */
++      MMAL_PARAMETER_SYSTEM_TIME,
++              /**< MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_NO_IMAGE_PADDING,
++};
++
++/* camera parameters */
++
++enum mmal_parameter_camera_type {
++      /* 0 */
++              /** @ref MMAL_PARAMETER_THUMBNAIL_CONFIG_T */
++      MMAL_PARAMETER_THUMBNAIL_CONFIGURATION =
++              MMAL_PARAMETER_GROUP_CAMERA,
++              /**< Unused? */
++      MMAL_PARAMETER_CAPTURE_QUALITY,
++              /**< @ref MMAL_PARAMETER_INT32_T */
++      MMAL_PARAMETER_ROTATION,
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_EXIF_DISABLE,
++              /**< @ref MMAL_PARAMETER_EXIF_T */
++      MMAL_PARAMETER_EXIF,
++              /**< @ref MMAL_PARAM_AWBMODE_T */
++      MMAL_PARAMETER_AWB_MODE,
++              /**< @ref MMAL_PARAMETER_IMAGEFX_T */
++      MMAL_PARAMETER_IMAGE_EFFECT,
++              /**< @ref MMAL_PARAMETER_COLOURFX_T */
++      MMAL_PARAMETER_COLOUR_EFFECT,
++              /**< @ref MMAL_PARAMETER_FLICKERAVOID_T */
++      MMAL_PARAMETER_FLICKER_AVOID,
++              /**< @ref MMAL_PARAMETER_FLASH_T */
++      MMAL_PARAMETER_FLASH,
++              /**< @ref MMAL_PARAMETER_REDEYE_T */
++      MMAL_PARAMETER_REDEYE,
++              /**< @ref MMAL_PARAMETER_FOCUS_T */
++      MMAL_PARAMETER_FOCUS,
++              /**< Unused? */
++      MMAL_PARAMETER_FOCAL_LENGTHS,
++              /**< @ref MMAL_PARAMETER_INT32_T */
++      MMAL_PARAMETER_EXPOSURE_COMP,
++              /**< @ref MMAL_PARAMETER_SCALEFACTOR_T */
++      MMAL_PARAMETER_ZOOM,
++              /**< @ref MMAL_PARAMETER_MIRROR_T */
++      MMAL_PARAMETER_MIRROR,
++
++      /* 0x10 */
++              /**< @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_CAMERA_NUM,
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_CAPTURE,
++              /**< @ref MMAL_PARAMETER_EXPOSUREMODE_T */
++      MMAL_PARAMETER_EXPOSURE_MODE,
++              /**< @ref MMAL_PARAMETER_EXPOSUREMETERINGMODE_T */
++      MMAL_PARAMETER_EXP_METERING_MODE,
++              /**< @ref MMAL_PARAMETER_FOCUS_STATUS_T */
++      MMAL_PARAMETER_FOCUS_STATUS,
++              /**< @ref MMAL_PARAMETER_CAMERA_CONFIG_T */
++      MMAL_PARAMETER_CAMERA_CONFIG,
++              /**< @ref MMAL_PARAMETER_CAPTURE_STATUS_T */
++      MMAL_PARAMETER_CAPTURE_STATUS,
++              /**< @ref MMAL_PARAMETER_FACE_TRACK_T */
++      MMAL_PARAMETER_FACE_TRACK,
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_DRAW_BOX_FACES_AND_FOCUS,
++              /**< @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_JPEG_Q_FACTOR,
++              /**< @ref MMAL_PARAMETER_FRAME_RATE_T */
++      MMAL_PARAMETER_FRAME_RATE,
++              /**< @ref MMAL_PARAMETER_CAMERA_STC_MODE_T */
++      MMAL_PARAMETER_USE_STC,
++              /**< @ref MMAL_PARAMETER_CAMERA_INFO_T */
++      MMAL_PARAMETER_CAMERA_INFO,
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_VIDEO_STABILISATION,
++              /**< @ref MMAL_PARAMETER_FACE_TRACK_RESULTS_T */
++      MMAL_PARAMETER_FACE_TRACK_RESULTS,
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_ENABLE_RAW_CAPTURE,
++
++      /* 0x20 */
++              /**< @ref MMAL_PARAMETER_URI_T */
++      MMAL_PARAMETER_DPF_FILE,
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_ENABLE_DPF_FILE,
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_DPF_FAIL_IS_FATAL,
++              /**< @ref MMAL_PARAMETER_CAPTUREMODE_T */
++      MMAL_PARAMETER_CAPTURE_MODE,
++              /**< @ref MMAL_PARAMETER_FOCUS_REGIONS_T */
++      MMAL_PARAMETER_FOCUS_REGIONS,
++              /**< @ref MMAL_PARAMETER_INPUT_CROP_T */
++      MMAL_PARAMETER_INPUT_CROP,
++              /**< @ref MMAL_PARAMETER_SENSOR_INFORMATION_T */
++      MMAL_PARAMETER_SENSOR_INFORMATION,
++              /**< @ref MMAL_PARAMETER_FLASH_SELECT_T */
++      MMAL_PARAMETER_FLASH_SELECT,
++              /**< @ref MMAL_PARAMETER_FIELD_OF_VIEW_T */
++      MMAL_PARAMETER_FIELD_OF_VIEW,
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_HIGH_DYNAMIC_RANGE,
++              /**< @ref MMAL_PARAMETER_DRC_T */
++      MMAL_PARAMETER_DYNAMIC_RANGE_COMPRESSION,
++              /**< @ref MMAL_PARAMETER_ALGORITHM_CONTROL_T */
++      MMAL_PARAMETER_ALGORITHM_CONTROL,
++              /**< @ref MMAL_PARAMETER_RATIONAL_T */
++      MMAL_PARAMETER_SHARPNESS,
++              /**< @ref MMAL_PARAMETER_RATIONAL_T */
++      MMAL_PARAMETER_CONTRAST,
++              /**< @ref MMAL_PARAMETER_RATIONAL_T */
++      MMAL_PARAMETER_BRIGHTNESS,
++              /**< @ref MMAL_PARAMETER_RATIONAL_T */
++      MMAL_PARAMETER_SATURATION,
++
++      /* 0x30 */
++              /**< @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_ISO,
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_ANTISHAKE,
++              /** @ref MMAL_PARAMETER_IMAGEFX_PARAMETERS_T */
++      MMAL_PARAMETER_IMAGE_EFFECT_PARAMETERS,
++              /** @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_CAMERA_BURST_CAPTURE,
++              /** @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_CAMERA_MIN_ISO,
++              /** @ref MMAL_PARAMETER_CAMERA_USE_CASE_T */
++      MMAL_PARAMETER_CAMERA_USE_CASE,
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_CAPTURE_STATS_PASS,
++              /** @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_CAMERA_CUSTOM_SENSOR_CONFIG,
++              /** @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_ENABLE_REGISTER_FILE,
++              /** @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_REGISTER_FAIL_IS_FATAL,
++              /** @ref MMAL_PARAMETER_CONFIGFILE_T */
++      MMAL_PARAMETER_CONFIGFILE_REGISTERS,
++              /** @ref MMAL_PARAMETER_CONFIGFILE_CHUNK_T */
++      MMAL_PARAMETER_CONFIGFILE_CHUNK_REGISTERS,
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_JPEG_ATTACH_LOG,
++              /**< @ref MMAL_PARAMETER_ZEROSHUTTERLAG_T */
++      MMAL_PARAMETER_ZERO_SHUTTER_LAG,
++              /**< @ref MMAL_PARAMETER_FPS_RANGE_T */
++      MMAL_PARAMETER_FPS_RANGE,
++              /**< @ref MMAL_PARAMETER_INT32_T */
++      MMAL_PARAMETER_CAPTURE_EXPOSURE_COMP,
++
++      /* 0x40 */
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_SW_SHARPEN_DISABLE,
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_FLASH_REQUIRED,
++              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_SW_SATURATION_DISABLE,
++              /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_SHUTTER_SPEED,
++              /**< Takes a @ref MMAL_PARAMETER_AWB_GAINS_T */
++      MMAL_PARAMETER_CUSTOM_AWB_GAINS,
++};
++
++struct mmal_parameter_rational {
++      s32 num;    /**< Numerator */
++      s32 den;    /**< Denominator */
++};
++
++enum mmal_parameter_camera_config_timestamp_mode {
++      MMAL_PARAM_TIMESTAMP_MODE_ZERO = 0, /* Always timestamp frames as 0 */
++      MMAL_PARAM_TIMESTAMP_MODE_RAW_STC,  /* Use the raw STC value
++                                           * for the frame timestamp
++                                           */
++      MMAL_PARAM_TIMESTAMP_MODE_RESET_STC, /* Use the STC timestamp
++                                            * but subtract the
++                                            * timestamp of the first
++                                            * frame sent to give a
++                                            * zero based timestamp.
++                                            */
++};
++
++struct mmal_parameter_fps_range {
++      /**< Low end of the permitted framerate range */
++      struct mmal_parameter_rational  fps_low;
++      /**< High end of the permitted framerate range */
++      struct mmal_parameter_rational  fps_high;
++};
++
++/* camera configuration parameter */
++struct mmal_parameter_camera_config {
++      /* Parameters for setting up the image pools */
++      u32 max_stills_w; /* Max size of stills capture */
++      u32 max_stills_h;
++      u32 stills_yuv422; /* Allow YUV422 stills capture */
++      u32 one_shot_stills; /* Continuous or one shot stills captures. */
++
++      u32 max_preview_video_w; /* Max size of the preview or video
++                                * capture frames
++                                */
++      u32 max_preview_video_h;
++      u32 num_preview_video_frames;
++
++      /** Sets the height of the circular buffer for stills capture. */
++      u32 stills_capture_circular_buffer_height;
++
++      /** Allows preview/encode to resume as fast as possible after the stills
++       * input frame has been received, and then processes the still frame in
++       * the background whilst preview/encode has resumed.
++       * Actual mode is controlled by MMAL_PARAMETER_CAPTURE_MODE.
++       */
++      u32 fast_preview_resume;
++
++      /** Selects algorithm for timestamping frames if
++       * there is no clock component connected.
++       * enum mmal_parameter_camera_config_timestamp_mode
++       */
++      s32 use_stc_timestamp;
++};
++
++enum mmal_parameter_exposuremode {
++      MMAL_PARAM_EXPOSUREMODE_OFF,
++      MMAL_PARAM_EXPOSUREMODE_AUTO,
++      MMAL_PARAM_EXPOSUREMODE_NIGHT,
++      MMAL_PARAM_EXPOSUREMODE_NIGHTPREVIEW,
++      MMAL_PARAM_EXPOSUREMODE_BACKLIGHT,
++      MMAL_PARAM_EXPOSUREMODE_SPOTLIGHT,
++      MMAL_PARAM_EXPOSUREMODE_SPORTS,
++      MMAL_PARAM_EXPOSUREMODE_SNOW,
++      MMAL_PARAM_EXPOSUREMODE_BEACH,
++      MMAL_PARAM_EXPOSUREMODE_VERYLONG,
++      MMAL_PARAM_EXPOSUREMODE_FIXEDFPS,
++      MMAL_PARAM_EXPOSUREMODE_ANTISHAKE,
++      MMAL_PARAM_EXPOSUREMODE_FIREWORKS,
++};
++
++enum mmal_parameter_exposuremeteringmode {
++      MMAL_PARAM_EXPOSUREMETERINGMODE_AVERAGE,
++      MMAL_PARAM_EXPOSUREMETERINGMODE_SPOT,
++      MMAL_PARAM_EXPOSUREMETERINGMODE_BACKLIT,
++      MMAL_PARAM_EXPOSUREMETERINGMODE_MATRIX,
++};
++
++enum mmal_parameter_awbmode {
++      MMAL_PARAM_AWBMODE_OFF,
++      MMAL_PARAM_AWBMODE_AUTO,
++      MMAL_PARAM_AWBMODE_SUNLIGHT,
++      MMAL_PARAM_AWBMODE_CLOUDY,
++      MMAL_PARAM_AWBMODE_SHADE,
++      MMAL_PARAM_AWBMODE_TUNGSTEN,
++      MMAL_PARAM_AWBMODE_FLUORESCENT,
++      MMAL_PARAM_AWBMODE_INCANDESCENT,
++      MMAL_PARAM_AWBMODE_FLASH,
++      MMAL_PARAM_AWBMODE_HORIZON,
++};
++
++enum mmal_parameter_imagefx {
++      MMAL_PARAM_IMAGEFX_NONE,
++      MMAL_PARAM_IMAGEFX_NEGATIVE,
++      MMAL_PARAM_IMAGEFX_SOLARIZE,
++      MMAL_PARAM_IMAGEFX_POSTERIZE,
++      MMAL_PARAM_IMAGEFX_WHITEBOARD,
++      MMAL_PARAM_IMAGEFX_BLACKBOARD,
++      MMAL_PARAM_IMAGEFX_SKETCH,
++      MMAL_PARAM_IMAGEFX_DENOISE,
++      MMAL_PARAM_IMAGEFX_EMBOSS,
++      MMAL_PARAM_IMAGEFX_OILPAINT,
++      MMAL_PARAM_IMAGEFX_HATCH,
++      MMAL_PARAM_IMAGEFX_GPEN,
++      MMAL_PARAM_IMAGEFX_PASTEL,
++      MMAL_PARAM_IMAGEFX_WATERCOLOUR,
++      MMAL_PARAM_IMAGEFX_FILM,
++      MMAL_PARAM_IMAGEFX_BLUR,
++      MMAL_PARAM_IMAGEFX_SATURATION,
++      MMAL_PARAM_IMAGEFX_COLOURSWAP,
++      MMAL_PARAM_IMAGEFX_WASHEDOUT,
++      MMAL_PARAM_IMAGEFX_POSTERISE,
++      MMAL_PARAM_IMAGEFX_COLOURPOINT,
++      MMAL_PARAM_IMAGEFX_COLOURBALANCE,
++      MMAL_PARAM_IMAGEFX_CARTOON,
++};
++
++enum MMAL_PARAM_FLICKERAVOID_T {
++      MMAL_PARAM_FLICKERAVOID_OFF,
++      MMAL_PARAM_FLICKERAVOID_AUTO,
++      MMAL_PARAM_FLICKERAVOID_50HZ,
++      MMAL_PARAM_FLICKERAVOID_60HZ,
++      MMAL_PARAM_FLICKERAVOID_MAX = 0x7FFFFFFF
++};
++
++struct mmal_parameter_awbgains {
++      struct mmal_parameter_rational r_gain;  /**< Red gain */
++      struct mmal_parameter_rational b_gain;  /**< Blue gain */
++};
++
++/** Manner of video rate control */
++enum mmal_parameter_rate_control_mode {
++      MMAL_VIDEO_RATECONTROL_DEFAULT,
++      MMAL_VIDEO_RATECONTROL_VARIABLE,
++      MMAL_VIDEO_RATECONTROL_CONSTANT,
++      MMAL_VIDEO_RATECONTROL_VARIABLE_SKIP_FRAMES,
++      MMAL_VIDEO_RATECONTROL_CONSTANT_SKIP_FRAMES
++};
++
++enum mmal_video_profile {
++      MMAL_VIDEO_PROFILE_H263_BASELINE,
++      MMAL_VIDEO_PROFILE_H263_H320CODING,
++      MMAL_VIDEO_PROFILE_H263_BACKWARDCOMPATIBLE,
++      MMAL_VIDEO_PROFILE_H263_ISWV2,
++      MMAL_VIDEO_PROFILE_H263_ISWV3,
++      MMAL_VIDEO_PROFILE_H263_HIGHCOMPRESSION,
++      MMAL_VIDEO_PROFILE_H263_INTERNET,
++      MMAL_VIDEO_PROFILE_H263_INTERLACE,
++      MMAL_VIDEO_PROFILE_H263_HIGHLATENCY,
++      MMAL_VIDEO_PROFILE_MP4V_SIMPLE,
++      MMAL_VIDEO_PROFILE_MP4V_SIMPLESCALABLE,
++      MMAL_VIDEO_PROFILE_MP4V_CORE,
++      MMAL_VIDEO_PROFILE_MP4V_MAIN,
++      MMAL_VIDEO_PROFILE_MP4V_NBIT,
++      MMAL_VIDEO_PROFILE_MP4V_SCALABLETEXTURE,
++      MMAL_VIDEO_PROFILE_MP4V_SIMPLEFACE,
++      MMAL_VIDEO_PROFILE_MP4V_SIMPLEFBA,
++      MMAL_VIDEO_PROFILE_MP4V_BASICANIMATED,
++      MMAL_VIDEO_PROFILE_MP4V_HYBRID,
++      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDREALTIME,
++      MMAL_VIDEO_PROFILE_MP4V_CORESCALABLE,
++      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDCODING,
++      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDCORE,
++      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDSCALABLE,
++      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDSIMPLE,
++      MMAL_VIDEO_PROFILE_H264_BASELINE,
++      MMAL_VIDEO_PROFILE_H264_MAIN,
++      MMAL_VIDEO_PROFILE_H264_EXTENDED,
++      MMAL_VIDEO_PROFILE_H264_HIGH,
++      MMAL_VIDEO_PROFILE_H264_HIGH10,
++      MMAL_VIDEO_PROFILE_H264_HIGH422,
++      MMAL_VIDEO_PROFILE_H264_HIGH444,
++      MMAL_VIDEO_PROFILE_H264_CONSTRAINED_BASELINE,
++      MMAL_VIDEO_PROFILE_DUMMY = 0x7FFFFFFF
++};
++
++enum mmal_video_level {
++      MMAL_VIDEO_LEVEL_H263_10,
++      MMAL_VIDEO_LEVEL_H263_20,
++      MMAL_VIDEO_LEVEL_H263_30,
++      MMAL_VIDEO_LEVEL_H263_40,
++      MMAL_VIDEO_LEVEL_H263_45,
++      MMAL_VIDEO_LEVEL_H263_50,
++      MMAL_VIDEO_LEVEL_H263_60,
++      MMAL_VIDEO_LEVEL_H263_70,
++      MMAL_VIDEO_LEVEL_MP4V_0,
++      MMAL_VIDEO_LEVEL_MP4V_0b,
++      MMAL_VIDEO_LEVEL_MP4V_1,
++      MMAL_VIDEO_LEVEL_MP4V_2,
++      MMAL_VIDEO_LEVEL_MP4V_3,
++      MMAL_VIDEO_LEVEL_MP4V_4,
++      MMAL_VIDEO_LEVEL_MP4V_4a,
++      MMAL_VIDEO_LEVEL_MP4V_5,
++      MMAL_VIDEO_LEVEL_MP4V_6,
++      MMAL_VIDEO_LEVEL_H264_1,
++      MMAL_VIDEO_LEVEL_H264_1b,
++      MMAL_VIDEO_LEVEL_H264_11,
++      MMAL_VIDEO_LEVEL_H264_12,
++      MMAL_VIDEO_LEVEL_H264_13,
++      MMAL_VIDEO_LEVEL_H264_2,
++      MMAL_VIDEO_LEVEL_H264_21,
++      MMAL_VIDEO_LEVEL_H264_22,
++      MMAL_VIDEO_LEVEL_H264_3,
++      MMAL_VIDEO_LEVEL_H264_31,
++      MMAL_VIDEO_LEVEL_H264_32,
++      MMAL_VIDEO_LEVEL_H264_4,
++      MMAL_VIDEO_LEVEL_H264_41,
++      MMAL_VIDEO_LEVEL_H264_42,
++      MMAL_VIDEO_LEVEL_H264_5,
++      MMAL_VIDEO_LEVEL_H264_51,
++      MMAL_VIDEO_LEVEL_DUMMY = 0x7FFFFFFF
++};
++
++struct mmal_parameter_video_profile {
++      enum mmal_video_profile profile;
++      enum mmal_video_level level;
++};
++
++/* video parameters */
++
++enum mmal_parameter_video_type {
++      /** @ref MMAL_DISPLAYREGION_T */
++      MMAL_PARAMETER_DISPLAYREGION = MMAL_PARAMETER_GROUP_VIDEO,
++
++      /** @ref MMAL_PARAMETER_VIDEO_PROFILE_T */
++      MMAL_PARAMETER_SUPPORTED_PROFILES,
++
++      /** @ref MMAL_PARAMETER_VIDEO_PROFILE_T */
++      MMAL_PARAMETER_PROFILE,
++
++      /** @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_INTRAPERIOD,
++
++      /** @ref MMAL_PARAMETER_VIDEO_RATECONTROL_T */
++      MMAL_PARAMETER_RATECONTROL,
++
++      /** @ref MMAL_PARAMETER_VIDEO_NALUNITFORMAT_T */
++      MMAL_PARAMETER_NALUNITFORMAT,
++
++      /** @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_MINIMISE_FRAGMENTATION,
++
++      /** @ref MMAL_PARAMETER_UINT32_T.
++       * Setting the value to zero resets to the default (one slice per
++       * frame).
++       */
++      MMAL_PARAMETER_MB_ROWS_PER_SLICE,
++
++      /** @ref MMAL_PARAMETER_VIDEO_LEVEL_EXTENSION_T */
++      MMAL_PARAMETER_VIDEO_LEVEL_EXTENSION,
++
++      /** @ref MMAL_PARAMETER_VIDEO_EEDE_ENABLE_T */
++      MMAL_PARAMETER_VIDEO_EEDE_ENABLE,
++
++      /** @ref MMAL_PARAMETER_VIDEO_EEDE_LOSSRATE_T */
++      MMAL_PARAMETER_VIDEO_EEDE_LOSSRATE,
++
++      /** @ref MMAL_PARAMETER_BOOLEAN_T. Request an I-frame. */
++      MMAL_PARAMETER_VIDEO_REQUEST_I_FRAME,
++      /** @ref MMAL_PARAMETER_VIDEO_INTRA_REFRESH_T */
++      MMAL_PARAMETER_VIDEO_INTRA_REFRESH,
++
++      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
++      MMAL_PARAMETER_VIDEO_IMMUTABLE_INPUT,
++
++      /** @ref MMAL_PARAMETER_UINT32_T. Run-time bit rate control */
++      MMAL_PARAMETER_VIDEO_BIT_RATE,
++
++      /** @ref MMAL_PARAMETER_FRAME_RATE_T */
++      MMAL_PARAMETER_VIDEO_FRAME_RATE,
++
++      /** @ref MMAL_PARAMETER_UINT32_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_MIN_QUANT,
++
++      /** @ref MMAL_PARAMETER_UINT32_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_MAX_QUANT,
++
++      /** @ref MMAL_PARAMETER_VIDEO_ENCODE_RC_MODEL_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_RC_MODEL,
++
++      MMAL_PARAMETER_EXTRA_BUFFERS, /**< @ref MMAL_PARAMETER_UINT32_T. */
++      /** @ref MMAL_PARAMETER_UINT32_T.
++       * Changing this parameter from the default can reduce frame rate
++       * because image buffers need to be re-pitched.
++       */
++      MMAL_PARAMETER_VIDEO_ALIGN_HORIZ,
++
++      /** @ref MMAL_PARAMETER_UINT32_T.
++       * Changing this parameter from the default can reduce frame rate
++       * because image buffers need to be re-pitched.
++       */
++      MMAL_PARAMETER_VIDEO_ALIGN_VERT,
++
++      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
++      MMAL_PARAMETER_VIDEO_DROPPABLE_PFRAMES,
++
++      /** @ref MMAL_PARAMETER_UINT32_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_INITIAL_QUANT,
++
++      /**< @ref MMAL_PARAMETER_UINT32_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_QP_P,
++
++      /**< @ref MMAL_PARAMETER_UINT32_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_RC_SLICE_DQUANT,
++
++      /** @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_VIDEO_ENCODE_FRAME_LIMIT_BITS,
++
++      /** @ref MMAL_PARAMETER_UINT32_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_PEAK_RATE,
++
++      /* H264 specific parameters */
++
++      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_H264_DISABLE_CABAC,
++
++      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_H264_LOW_LATENCY,
++
++      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_H264_AU_DELIMITERS,
++
++      /** @ref MMAL_PARAMETER_UINT32_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_H264_DEBLOCK_IDC,
++
++      /** @ref MMAL_PARAMETER_VIDEO_ENCODER_H264_MB_INTRA_MODES_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_H264_MB_INTRA_MODE,
++
++      /** @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_VIDEO_ENCODE_HEADER_ON_OPEN,
++
++      /** @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_VIDEO_ENCODE_PRECODE_FOR_QP,
++
++      /** @ref MMAL_PARAMETER_VIDEO_DRM_INIT_INFO_T. */
++      MMAL_PARAMETER_VIDEO_DRM_INIT_INFO,
++
++      /** @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_VIDEO_TIMESTAMP_FIFO,
++
++      /** @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_VIDEO_DECODE_ERROR_CONCEALMENT,
++
++      /** @ref MMAL_PARAMETER_VIDEO_DRM_PROTECT_BUFFER_T. */
++      MMAL_PARAMETER_VIDEO_DRM_PROTECT_BUFFER,
++
++      /** @ref MMAL_PARAMETER_BYTES_T */
++      MMAL_PARAMETER_VIDEO_DECODE_CONFIG_VD3,
++
++      /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_VIDEO_ENCODE_H264_VCL_HRD_PARAMETERS,
++
++      /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_VIDEO_ENCODE_H264_LOW_DELAY_HRD_FLAG,
++
++      /**< @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_VIDEO_ENCODE_INLINE_HEADER
++};
++
++/** Valid mirror modes */
++enum mmal_parameter_mirror {
++      MMAL_PARAM_MIRROR_NONE,
++      MMAL_PARAM_MIRROR_VERTICAL,
++      MMAL_PARAM_MIRROR_HORIZONTAL,
++      MMAL_PARAM_MIRROR_BOTH,
++};
++
++enum mmal_parameter_displaytransform {
++      MMAL_DISPLAY_ROT0 = 0,
++      MMAL_DISPLAY_MIRROR_ROT0 = 1,
++      MMAL_DISPLAY_MIRROR_ROT180 = 2,
++      MMAL_DISPLAY_ROT180 = 3,
++      MMAL_DISPLAY_MIRROR_ROT90 = 4,
++      MMAL_DISPLAY_ROT270 = 5,
++      MMAL_DISPLAY_ROT90 = 6,
++      MMAL_DISPLAY_MIRROR_ROT270 = 7,
++};
++
++enum mmal_parameter_displaymode {
++      MMAL_DISPLAY_MODE_FILL = 0,
++      MMAL_DISPLAY_MODE_LETTERBOX = 1,
++};
++
++enum mmal_parameter_displayset {
++      MMAL_DISPLAY_SET_NONE = 0,
++      MMAL_DISPLAY_SET_NUM = 1,
++      MMAL_DISPLAY_SET_FULLSCREEN = 2,
++      MMAL_DISPLAY_SET_TRANSFORM = 4,
++      MMAL_DISPLAY_SET_DEST_RECT = 8,
++      MMAL_DISPLAY_SET_SRC_RECT = 0x10,
++      MMAL_DISPLAY_SET_MODE = 0x20,
++      MMAL_DISPLAY_SET_PIXEL = 0x40,
++      MMAL_DISPLAY_SET_NOASPECT = 0x80,
++      MMAL_DISPLAY_SET_LAYER = 0x100,
++      MMAL_DISPLAY_SET_COPYPROTECT = 0x200,
++      MMAL_DISPLAY_SET_ALPHA = 0x400,
++};
++
++/* rectangle, used lots so it gets its own struct */
++struct vchiq_mmal_rect {
++      s32 x;
++      s32 y;
++      s32 width;
++      s32 height;
++};
++
++struct mmal_parameter_displayregion {
++      /** Bitfield that indicates which fields are set and should be
++       * used. All other fields will maintain their current value.
++       * \ref MMAL_DISPLAYSET_T defines the bits that can be
++       * combined.
++       */
++      u32 set;
++
++      /** Describes the display output device, with 0 typically
++       * being a directly connected LCD display.  The actual values
++       * will depend on the hardware.  Code using hard-wired numbers
++       * (e.g. 2) is certain to fail.
++       */
++
++      u32 display_num;
++      /** Indicates that we are using the full device screen area,
++       * rather than a window of the display.  If zero, then
++       * dest_rect is used to specify a region of the display to
++       * use.
++       */
++
++      s32 fullscreen;
++      /** Indicates any rotation or flipping used to map frames onto
++       * the natural display orientation.
++       */
++      u32 transform; /* enum mmal_parameter_displaytransform */
++
++      /** Where to display the frame within the screen, if
++       * fullscreen is zero.
++       */
++      struct vchiq_mmal_rect dest_rect;
++
++      /** Indicates which area of the frame to display. If all
++       * values are zero, the whole frame will be used.
++       */
++      struct vchiq_mmal_rect src_rect;
++
++      /** If set to non-zero, indicates that any display scaling
++       * should disregard the aspect ratio of the frame region being
++       * displayed.
++       */
++      s32 noaspect;
++
++      /** Indicates how the image should be scaled to fit the
++       * display. \code MMAL_DISPLAY_MODE_FILL \endcode indicates
++       * that the image should fill the screen by potentially
++       * cropping the frames.  Setting \code mode \endcode to \code
++       * MMAL_DISPLAY_MODE_LETTERBOX \endcode indicates that all the
++       * source region should be displayed and black bars added if
++       * necessary.
++       */
++      u32 mode; /* enum mmal_parameter_displaymode */
++
++      /** If non-zero, defines the width of a source pixel relative
++       * to \code pixel_y \endcode.  If zero, then pixels default to
++       * being square.
++       */
++      u32 pixel_x;
++
++      /** If non-zero, defines the height of a source pixel relative
++       * to \code pixel_x \endcode.  If zero, then pixels default to
++       * being square.
++       */
++      u32 pixel_y;
++
++      /** Sets the relative depth of the images, with greater values
++       * being in front of smaller values.
++       */
++      u32 layer;
++
++      /** Set to non-zero to ensure copy protection is used on
++       * output.
++       */
++      s32 copyprotect_required;
++
++      /** Level of opacity of the layer, where zero is fully
++       * transparent and 255 is fully opaque.
++       */
++      u32 alpha;
++};
++
++#define MMAL_MAX_IMAGEFX_PARAMETERS 5
++
++struct mmal_parameter_imagefx_parameters {
++      enum mmal_parameter_imagefx effect;
++      u32 num_effect_params;
++      u32 effect_parameter[MMAL_MAX_IMAGEFX_PARAMETERS];
++};
++
++#define MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS 4
++#define MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES 2
++#define MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN 16
++
++struct mmal_parameter_camera_info_camera_t {
++      u32    port_id;
++      u32    max_width;
++      u32    max_height;
++      u32    lens_present;
++      u8     camera_name[MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN];
++};
++
++enum mmal_parameter_camera_info_flash_type_t {
++      /* Make values explicit to ensure they match values in config ini */
++      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_XENON = 0,
++      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_LED   = 1,
++      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_OTHER = 2,
++      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_MAX = 0x7FFFFFFF
++};
++
++struct mmal_parameter_camera_info_flash_t {
++      enum mmal_parameter_camera_info_flash_type_t flash_type;
++};
++
++struct mmal_parameter_camera_info_t {
++      u32                            num_cameras;
++      u32                            num_flashes;
++      struct mmal_parameter_camera_info_camera_t
++                              cameras[MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS];
++      struct mmal_parameter_camera_info_flash_t
++                              flashes[MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES];
++};
++
++#endif
+--- /dev/null
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
+@@ -0,0 +1,166 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Broadcom BM2835 V4L2 driver
++ *
++ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
++ *
++ * Authors: Vincent Sanders @ Collabora
++ *          Dave Stevenson @ Broadcom
++ *            (now dave.stevenson@raspberrypi.org)
++ *          Simon Mellor @ Broadcom
++ *          Luke Diamand @ Broadcom
++ *
++ * MMAL interface to VCHIQ message passing
++ */
++
++#ifndef MMAL_VCHIQ_H
++#define MMAL_VCHIQ_H
++
++#include "mmal-msg-format.h"
++
++#define MAX_PORT_COUNT 4
++
++/* Maximum size of the format extradata. */
++#define MMAL_FORMAT_EXTRADATA_MAX_SIZE 128
++
++struct vchiq_mmal_instance;
++
++enum vchiq_mmal_es_type {
++      MMAL_ES_TYPE_UNKNOWN,     /**< Unknown elementary stream type */
++      MMAL_ES_TYPE_CONTROL,     /**< Elementary stream of control commands */
++      MMAL_ES_TYPE_AUDIO,       /**< Audio elementary stream */
++      MMAL_ES_TYPE_VIDEO,       /**< Video elementary stream */
++      MMAL_ES_TYPE_SUBPICTURE   /**< Sub-picture elementary stream */
++};
++
++struct vchiq_mmal_port_buffer {
++      unsigned int num; /* number of buffers */
++      u32 size; /* size of buffers */
++      u32 alignment; /* alignment of buffers */
++};
++
++struct vchiq_mmal_port;
++
++typedef void (*vchiq_mmal_buffer_cb)(
++              struct vchiq_mmal_instance  *instance,
++              struct vchiq_mmal_port *port,
++              int status, struct mmal_buffer *buffer,
++              unsigned long length, u32 mmal_flags, s64 dts, s64 pts);
++
++struct vchiq_mmal_port {
++      u32 enabled:1;
++      u32 handle;
++      u32 type; /* port type, cached to use on port info set */
++      u32 index; /* port index, cached to use on port info set */
++
++      /* component port belongs to, allows simple deref */
++      struct vchiq_mmal_component *component;
++
++      struct vchiq_mmal_port *connected; /* port connected to */
++
++      /* buffer info */
++      struct vchiq_mmal_port_buffer minimum_buffer;
++      struct vchiq_mmal_port_buffer recommended_buffer;
++      struct vchiq_mmal_port_buffer current_buffer;
++
++      /* stream format */
++      struct mmal_es_format_local format;
++      /* elementary stream format */
++      union mmal_es_specific_format es;
++
++      /* data buffers to fill */
++      struct list_head buffers;
++      /* lock to serialise adding and removing buffers from list */
++      spinlock_t slock;
++
++      /* Count of buffers the VPU has yet to return */
++      atomic_t buffers_with_vpu;
++      /* callback on buffer completion */
++      vchiq_mmal_buffer_cb buffer_cb;
++      /* callback context */
++      void *cb_ctx;
++};
++
++struct vchiq_mmal_component {
++      u32 enabled:1;
++      u32 handle;  /* VideoCore handle for component */
++      u32 inputs;  /* Number of input ports */
++      u32 outputs; /* Number of output ports */
++      u32 clocks;  /* Number of clock ports */
++      struct vchiq_mmal_port control; /* control port */
++      struct vchiq_mmal_port input[MAX_PORT_COUNT]; /* input ports */
++      struct vchiq_mmal_port output[MAX_PORT_COUNT]; /* output ports */
++      struct vchiq_mmal_port clock[MAX_PORT_COUNT]; /* clock ports */
++};
++
++int vchiq_mmal_init(struct vchiq_mmal_instance **out_instance);
++int vchiq_mmal_finalise(struct vchiq_mmal_instance *instance);
++
++/* Initialise a mmal component and its ports
++ *
++ */
++int vchiq_mmal_component_init(
++              struct vchiq_mmal_instance *instance,
++              const char *name,
++              struct vchiq_mmal_component **component_out);
++
++int vchiq_mmal_component_finalise(
++              struct vchiq_mmal_instance *instance,
++              struct vchiq_mmal_component *component);
++
++int vchiq_mmal_component_enable(
++              struct vchiq_mmal_instance *instance,
++              struct vchiq_mmal_component *component);
++
++int vchiq_mmal_component_disable(
++              struct vchiq_mmal_instance *instance,
++              struct vchiq_mmal_component *component);
++
++/* enable a mmal port
++ *
++ * enables a port and if a buffer callback provided enque buffer
++ * headers as appropriate for the port.
++ */
++int vchiq_mmal_port_enable(
++              struct vchiq_mmal_instance *instance,
++              struct vchiq_mmal_port *port,
++              vchiq_mmal_buffer_cb buffer_cb);
++
++/* disable a port
++ *
++ * disable a port will dequeue any pending buffers
++ */
++int vchiq_mmal_port_disable(struct vchiq_mmal_instance *instance,
++                          struct vchiq_mmal_port *port);
++
++int vchiq_mmal_port_parameter_set(struct vchiq_mmal_instance *instance,
++                                struct vchiq_mmal_port *port,
++                                u32 parameter,
++                                void *value,
++                                u32 value_size);
++
++int vchiq_mmal_port_parameter_get(struct vchiq_mmal_instance *instance,
++                                struct vchiq_mmal_port *port,
++                                u32 parameter,
++                                void *value,
++                                u32 *value_size);
++
++int vchiq_mmal_port_set_format(struct vchiq_mmal_instance *instance,
++                             struct vchiq_mmal_port *port);
++
++int vchiq_mmal_port_connect_tunnel(struct vchiq_mmal_instance *instance,
++                                 struct vchiq_mmal_port *src,
++                                 struct vchiq_mmal_port *dst);
++
++int vchiq_mmal_version(struct vchiq_mmal_instance *instance,
++                     u32 *major_out,
++                     u32 *minor_out);
++
++int vchiq_mmal_submit_buffer(struct vchiq_mmal_instance *instance,
++                           struct vchiq_mmal_port *port,
++                           struct mmal_buffer *buf);
++
++int mmal_vchi_buffer_init(struct vchiq_mmal_instance *instance,
++                        struct mmal_buffer *buf);
++int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf);
++#endif /* MMAL_VCHIQ_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0165-staging-bcm2835-camera-Ensure-timestamps-never-go-ba.patch b/target/linux/bcm27xx/patches-5.4/950-0165-staging-bcm2835-camera-Ensure-timestamps-never-go-ba.patch
deleted file mode 100644 (file)
index c9e1887..0000000
+++ /dev/null
@@ -1,38 +0,0 @@
-From fd3a6710bbcf875c85e6a2f3513c6eb4c46adeaa Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 24 Jul 2018 12:08:29 +0100
-Subject: [PATCH] staging: bcm2835-camera: Ensure timestamps never go
- backwards.
-
-There is an awkward situation with H264 header bytes. Currently
-they are returned with a PTS of 0 because they aren't associated
-with a timestamped frame to encode. These are handled by either
-returning the timestamp of the last buffer to have been received,
-or in the case of the first buffer the timestamp taken at
-start_streaming.
-This results in a race where the current frame may have started
-before we take the start time, which results in the first encoded
-frame having an earlier timestamp than the header bytes.
-
-Ensure that we never return a negative delta to the user by checking
-against the previous timestamp.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../staging/vc04_services/bcm2835-camera/bcm2835-camera.c    | 5 +++++
- 1 file changed, 5 insertions(+)
-
---- a/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
-+++ b/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
-@@ -380,6 +380,11 @@ static void buffer_cb(struct vchiq_mmal_
-                        ktime_to_ns(dev->capture.kernel_start_ts),
-                        dev->capture.vc_start_timestamp, pts,
-                        ktime_to_ns(timestamp));
-+              if (timestamp < dev->capture.last_timestamp) {
-+                      v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
-+                               "Negative delta - using last time\n");
-+                      timestamp = dev->capture.last_timestamp;
-+              }
-               buf->vb.vb2_buf.timestamp = ktime_to_ns(timestamp);
-       } else {
-               if (dev->capture.last_timestamp) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0165-staging-mmal-vchiq-Allocate-and-free-components-as-r.patch b/target/linux/bcm27xx/patches-5.4/950-0165-staging-mmal-vchiq-Allocate-and-free-components-as-r.patch
new file mode 100644 (file)
index 0000000..53a6c07
--- /dev/null
@@ -0,0 +1,107 @@
+From 238065901c1b476a5b093f1710773322b3344847 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 24 Sep 2018 16:51:13 +0100
+Subject: [PATCH] staging: mmal-vchiq: Allocate and free components as
+ required
+
+The existing code assumed that there would only ever be 4 components,
+and never freed the entries once used.
+Allow arbitrary creation and destruction of components.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../vc04_services/vchiq-mmal/mmal-vchiq.c     | 29 ++++++++++++-------
+ .../vc04_services/vchiq-mmal/mmal-vchiq.h     |  1 +
+ 2 files changed, 20 insertions(+), 10 deletions(-)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -37,8 +37,11 @@ MODULE_AUTHOR("Dave Stevenson, <dave.ste
+ MODULE_LICENSE("GPL");
+ MODULE_VERSION("0.0.1");
+-/* maximum number of components supported */
+-#define VCHIQ_MMAL_MAX_COMPONENTS 4
++/*
++ * maximum number of components supported.
++ * This matches the maximum permitted by default on the VPU
++ */
++#define VCHIQ_MMAL_MAX_COMPONENTS 64
+ /*#define FULL_MSG_DUMP 1*/
+@@ -173,8 +176,6 @@ struct vchiq_mmal_instance {
+       /* protect accesses to context_map */
+       struct mutex context_map_lock;
+-      /* component to use next */
+-      int component_idx;
+       struct vchiq_mmal_component component[VCHIQ_MMAL_MAX_COMPONENTS];
+       /* ordered workqueue to process all bulk operations */
+@@ -1631,18 +1632,24 @@ int vchiq_mmal_component_init(struct vch
+ {
+       int ret;
+       int idx;                /* port index */
+-      struct vchiq_mmal_component *component;
++      struct vchiq_mmal_component *component = NULL;
+       if (mutex_lock_interruptible(&instance->vchiq_mutex))
+               return -EINTR;
+-      if (instance->component_idx == VCHIQ_MMAL_MAX_COMPONENTS) {
++      for (idx = 0; idx < VCHIQ_MMAL_MAX_COMPONENTS; idx++) {
++              if (!instance->component[idx].in_use) {
++                      component = &instance->component[idx];
++                      component->in_use = 1;
++                      break;
++              }
++      }
++
++      if (!component) {
+               ret = -EINVAL;  /* todo is this correct error? */
+               goto unlock;
+       }
+-      component = &instance->component[instance->component_idx];
+-
+       ret = create_component(instance, component, name);
+       if (ret < 0) {
+               pr_err("%s: failed to create component %d (Not enough GPU mem?)\n",
+@@ -1693,8 +1700,6 @@ int vchiq_mmal_component_init(struct vch
+                       goto release_component;
+       }
+-      instance->component_idx++;
+-
+       *component_out = component;
+       mutex_unlock(&instance->vchiq_mutex);
+@@ -1704,6 +1709,8 @@ int vchiq_mmal_component_init(struct vch
+ release_component:
+       destroy_component(instance, component);
+ unlock:
++      if (component)
++              component->in_use = 0;
+       mutex_unlock(&instance->vchiq_mutex);
+       return ret;
+@@ -1726,6 +1733,8 @@ int vchiq_mmal_component_finalise(struct
+       ret = destroy_component(instance, component);
++      component->in_use = 0;
++
+       mutex_unlock(&instance->vchiq_mutex);
+       return ret;
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
+@@ -82,6 +82,7 @@ struct vchiq_mmal_port {
+ };
+ struct vchiq_mmal_component {
++      u32 in_use:1;
+       u32 enabled:1;
+       u32 handle;  /* VideoCore handle for component */
+       u32 inputs;  /* Number of input ports */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0166-staging-mmal-vchiq-Avoid-use-of-bool-in-structures.patch b/target/linux/bcm27xx/patches-5.4/950-0166-staging-mmal-vchiq-Avoid-use-of-bool-in-structures.patch
new file mode 100644 (file)
index 0000000..31f7c8a
--- /dev/null
@@ -0,0 +1,24 @@
+From 98ba3ab478e0628304379673a6fa0a02e8db2166 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 29 Oct 2018 16:20:46 +0000
+Subject: [PATCH] staging: mmal-vchiq: Avoid use of bool in structures
+
+Fixes up a checkpatch error "Avoid using bool structure members
+because of possible alignment issues".
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -1759,7 +1759,7 @@ int vchiq_mmal_component_enable(struct v
+       ret = enable_component(instance, component);
+       if (ret == 0)
+-              component->enabled = true;
++              component->enabled = 1;
+       mutex_unlock(&instance->vchiq_mutex);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0166-staging-vc04_services-Split-vchiq-mmal-into-a-module.patch b/target/linux/bcm27xx/patches-5.4/950-0166-staging-vc04_services-Split-vchiq-mmal-into-a-module.patch
deleted file mode 100644 (file)
index 278d57b..0000000
+++ /dev/null
@@ -1,7518 +0,0 @@
-From 85961f2d8f646488acb86f996c78a1f9ad57cb0a Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 24 Sep 2018 16:30:37 +0100
-Subject: [PATCH] staging: vc04_services: Split vchiq-mmal into a
- module
-
-In preparation for adding a video codec V4L2 module which also
-wants to use vchiq-mmal functions, split it out into an
-independent module.
-The minimum number of changes have been made to achieve this
-(eg straight moves where possible) so existing checkpatch
-errors will still be present.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/staging/vc04_services/Kconfig         |  1 +
- drivers/staging/vc04_services/Makefile        |  1 +
- .../vc04_services/bcm2835-camera/Kconfig      |  2 +-
- .../vc04_services/bcm2835-camera/Makefile     |  5 +++--
- .../staging/vc04_services/vchiq-mmal/Kconfig  |  7 ++++++
- .../staging/vc04_services/vchiq-mmal/Makefile |  8 +++++++
- .../mmal-common.h                             |  0
- .../mmal-encodings.h                          |  0
- .../mmal-msg-common.h                         |  0
- .../mmal-msg-format.h                         |  0
- .../mmal-msg-port.h                           |  0
- .../{bcm2835-camera => vchiq-mmal}/mmal-msg.h |  0
- .../mmal-parameters.h                         |  0
- .../mmal-vchiq.c                              | 22 +++++++++++++++++++
- .../mmal-vchiq.h                              |  0
- 15 files changed, 43 insertions(+), 3 deletions(-)
- create mode 100644 drivers/staging/vc04_services/vchiq-mmal/Kconfig
- create mode 100644 drivers/staging/vc04_services/vchiq-mmal/Makefile
- rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-common.h (100%)
- rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-encodings.h (100%)
- rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-msg-common.h (100%)
- rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-msg-format.h (100%)
- rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-msg-port.h (100%)
- rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-msg.h (100%)
- rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-parameters.h (100%)
- rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-vchiq.c (98%)
- rename drivers/staging/vc04_services/{bcm2835-camera => vchiq-mmal}/mmal-vchiq.h (100%)
-
---- a/drivers/staging/vc04_services/Kconfig
-+++ b/drivers/staging/vc04_services/Kconfig
-@@ -22,6 +22,7 @@ config BCM2835_VCHIQ
- source "drivers/staging/vc04_services/bcm2835-audio/Kconfig"
- source "drivers/staging/vc04_services/bcm2835-camera/Kconfig"
-+source "drivers/staging/vc04_services/vchiq-mmal/Kconfig"
- endif
---- a/drivers/staging/vc04_services/Makefile
-+++ b/drivers/staging/vc04_services/Makefile
-@@ -12,6 +12,7 @@ vchiq-objs := \
- obj-$(CONFIG_SND_BCM2835)     += bcm2835-audio/
- obj-$(CONFIG_VIDEO_BCM2835)   += bcm2835-camera/
-+obj-$(CONFIG_BCM2835_VCHIQ_MMAL) += vchiq-mmal/
- ccflags-y += -Idrivers/staging/vc04_services -D__VCCOREVER__=0x04000000
---- a/drivers/staging/vc04_services/bcm2835-camera/Kconfig
-+++ b/drivers/staging/vc04_services/bcm2835-camera/Kconfig
-@@ -3,7 +3,7 @@ config VIDEO_BCM2835
-       tristate "BCM2835 Camera"
-       depends on MEDIA_SUPPORT
-       depends on VIDEO_V4L2 && (ARCH_BCM2835 || COMPILE_TEST)
--      select BCM2835_VCHIQ
-+      select BCM2835_VCHIQ_MMAL
-       select VIDEOBUF2_VMALLOC
-       select BTREE
-       help
---- a/drivers/staging/vc04_services/bcm2835-camera/Makefile
-+++ b/drivers/staging/vc04_services/bcm2835-camera/Makefile
-@@ -1,11 +1,12 @@
- # SPDX-License-Identifier: GPL-2.0
- bcm2835-v4l2-$(CONFIG_VIDEO_BCM2835) := \
-       bcm2835-camera.o \
--      controls.o \
--      mmal-vchiq.o
-+      controls.o
- obj-$(CONFIG_VIDEO_BCM2835) += bcm2835-v4l2.o
- ccflags-y += \
-       -I $(srctree)/$(src)/.. \
-+      -Idrivers/staging/vc04_services \
-+      -Idrivers/staging/vc04_services/vchiq-mmal \
-       -D__VCCOREVER__=0x04000000
---- /dev/null
-+++ b/drivers/staging/vc04_services/vchiq-mmal/Kconfig
-@@ -0,0 +1,7 @@
-+config BCM2835_VCHIQ_MMAL
-+      tristate "BCM2835 MMAL VCHIQ service"
-+      depends on (ARCH_BCM2835 || COMPILE_TEST)
-+      select BCM2835_VCHIQ
-+      help
-+        Enables the MMAL API over VCHIQ as used for the
-+        majority of the multimedia services on VideoCore.
---- /dev/null
-+++ b/drivers/staging/vc04_services/vchiq-mmal/Makefile
-@@ -0,0 +1,8 @@
-+# SPDX-License-Identifier: GPL-2.0
-+bcm2835-mmal-vchiq-objs := mmal-vchiq.o
-+
-+obj-$(CONFIG_BCM2835_VCHIQ_MMAL) += bcm2835-mmal-vchiq.o
-+
-+ccflags-y += \
-+      -Idrivers/staging/vc04_services \
-+      -D__VCCOREVER__=0x04000000
---- a/drivers/staging/vc04_services/bcm2835-camera/mmal-vchiq.c
-+++ /dev/null
-@@ -1,1891 +0,0 @@
--// SPDX-License-Identifier: GPL-2.0
--/*
-- * Broadcom BM2835 V4L2 driver
-- *
-- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-- *
-- * Authors: Vincent Sanders @ Collabora
-- *          Dave Stevenson @ Broadcom
-- *            (now dave.stevenson@raspberrypi.org)
-- *          Simon Mellor @ Broadcom
-- *          Luke Diamand @ Broadcom
-- *
-- * V4L2 driver MMAL vchiq interface code
-- */
--
--#define pr_fmt(fmt) KBUILD_MODNAME ": " fmt
--
--#include <linux/errno.h>
--#include <linux/kernel.h>
--#include <linux/mutex.h>
--#include <linux/mm.h>
--#include <linux/slab.h>
--#include <linux/completion.h>
--#include <linux/vmalloc.h>
--#include <media/videobuf2-vmalloc.h>
--
--#include "mmal-common.h"
--#include "mmal-vchiq.h"
--#include "mmal-msg.h"
--
--#define USE_VCHIQ_ARM
--#include "interface/vchi/vchi.h"
--
--/* maximum number of components supported */
--#define VCHIQ_MMAL_MAX_COMPONENTS 4
--
--/*#define FULL_MSG_DUMP 1*/
--
--#ifdef DEBUG
--static const char *const msg_type_names[] = {
--      "UNKNOWN",
--      "QUIT",
--      "SERVICE_CLOSED",
--      "GET_VERSION",
--      "COMPONENT_CREATE",
--      "COMPONENT_DESTROY",
--      "COMPONENT_ENABLE",
--      "COMPONENT_DISABLE",
--      "PORT_INFO_GET",
--      "PORT_INFO_SET",
--      "PORT_ACTION",
--      "BUFFER_FROM_HOST",
--      "BUFFER_TO_HOST",
--      "GET_STATS",
--      "PORT_PARAMETER_SET",
--      "PORT_PARAMETER_GET",
--      "EVENT_TO_HOST",
--      "GET_CORE_STATS_FOR_PORT",
--      "OPAQUE_ALLOCATOR",
--      "CONSUME_MEM",
--      "LMK",
--      "OPAQUE_ALLOCATOR_DESC",
--      "DRM_GET_LHS32",
--      "DRM_GET_TIME",
--      "BUFFER_FROM_HOST_ZEROLEN",
--      "PORT_FLUSH",
--      "HOST_LOG",
--};
--#endif
--
--static const char *const port_action_type_names[] = {
--      "UNKNOWN",
--      "ENABLE",
--      "DISABLE",
--      "FLUSH",
--      "CONNECT",
--      "DISCONNECT",
--      "SET_REQUIREMENTS",
--};
--
--#if defined(DEBUG)
--#if defined(FULL_MSG_DUMP)
--#define DBG_DUMP_MSG(MSG, MSG_LEN, TITLE)                             \
--      do {                                                            \
--              pr_debug(TITLE" type:%s(%d) length:%d\n",               \
--                       msg_type_names[(MSG)->h.type],                 \
--                       (MSG)->h.type, (MSG_LEN));                     \
--              print_hex_dump(KERN_DEBUG, "<<h: ", DUMP_PREFIX_OFFSET, \
--                             16, 4, (MSG),                            \
--                             sizeof(struct mmal_msg_header), 1);      \
--              print_hex_dump(KERN_DEBUG, "<<p: ", DUMP_PREFIX_OFFSET, \
--                             16, 4,                                   \
--                             ((u8 *)(MSG)) + sizeof(struct mmal_msg_header),\
--                             (MSG_LEN) - sizeof(struct mmal_msg_header), 1); \
--      } while (0)
--#else
--#define DBG_DUMP_MSG(MSG, MSG_LEN, TITLE)                             \
--      {                                                               \
--              pr_debug(TITLE" type:%s(%d) length:%d\n",               \
--                       msg_type_names[(MSG)->h.type],                 \
--                       (MSG)->h.type, (MSG_LEN));                     \
--      }
--#endif
--#else
--#define DBG_DUMP_MSG(MSG, MSG_LEN, TITLE)
--#endif
--
--struct vchiq_mmal_instance;
--
--/* normal message context */
--struct mmal_msg_context {
--      struct vchiq_mmal_instance *instance;
--
--      /* Index in the context_map idr so that we can find the
--       * mmal_msg_context again when servicing the VCHI reply.
--       */
--      int handle;
--
--      union {
--              struct {
--                      /* work struct for buffer_cb callback */
--                      struct work_struct work;
--                      /* work struct for deferred callback */
--                      struct work_struct buffer_to_host_work;
--                      /* mmal instance */
--                      struct vchiq_mmal_instance *instance;
--                      /* mmal port */
--                      struct vchiq_mmal_port *port;
--                      /* actual buffer used to store bulk reply */
--                      struct mmal_buffer *buffer;
--                      /* amount of buffer used */
--                      unsigned long buffer_used;
--                      /* MMAL buffer flags */
--                      u32 mmal_flags;
--                      /* Presentation and Decode timestamps */
--                      s64 pts;
--                      s64 dts;
--
--                      int status;     /* context status */
--
--              } bulk;         /* bulk data */
--
--              struct {
--                      /* message handle to release */
--                      struct vchi_held_msg msg_handle;
--                      /* pointer to received message */
--                      struct mmal_msg *msg;
--                      /* received message length */
--                      u32 msg_len;
--                      /* completion upon reply */
--                      struct completion cmplt;
--              } sync;         /* synchronous response */
--      } u;
--
--};
--
--struct vchiq_mmal_instance {
--      VCHI_SERVICE_HANDLE_T handle;
--
--      /* ensure serialised access to service */
--      struct mutex vchiq_mutex;
--
--      /* vmalloc page to receive scratch bulk xfers into */
--      void *bulk_scratch;
--
--      struct idr context_map;
--      /* protect accesses to context_map */
--      struct mutex context_map_lock;
--
--      /* component to use next */
--      int component_idx;
--      struct vchiq_mmal_component component[VCHIQ_MMAL_MAX_COMPONENTS];
--
--      /* ordered workqueue to process all bulk operations */
--      struct workqueue_struct *bulk_wq;
--};
--
--static struct mmal_msg_context *
--get_msg_context(struct vchiq_mmal_instance *instance)
--{
--      struct mmal_msg_context *msg_context;
--      int handle;
--
--      /* todo: should this be allocated from a pool to avoid kzalloc */
--      msg_context = kzalloc(sizeof(*msg_context), GFP_KERNEL);
--
--      if (!msg_context)
--              return ERR_PTR(-ENOMEM);
--
--      /* Create an ID that will be passed along with our message so
--       * that when we service the VCHI reply, we can look up what
--       * message is being replied to.
--       */
--      mutex_lock(&instance->context_map_lock);
--      handle = idr_alloc(&instance->context_map, msg_context,
--                         0, 0, GFP_KERNEL);
--      mutex_unlock(&instance->context_map_lock);
--
--      if (handle < 0) {
--              kfree(msg_context);
--              return ERR_PTR(handle);
--      }
--
--      msg_context->instance = instance;
--      msg_context->handle = handle;
--
--      return msg_context;
--}
--
--static struct mmal_msg_context *
--lookup_msg_context(struct vchiq_mmal_instance *instance, int handle)
--{
--      return idr_find(&instance->context_map, handle);
--}
--
--static void
--release_msg_context(struct mmal_msg_context *msg_context)
--{
--      struct vchiq_mmal_instance *instance = msg_context->instance;
--
--      mutex_lock(&instance->context_map_lock);
--      idr_remove(&instance->context_map, msg_context->handle);
--      mutex_unlock(&instance->context_map_lock);
--      kfree(msg_context);
--}
--
--/* deals with receipt of event to host message */
--static void event_to_host_cb(struct vchiq_mmal_instance *instance,
--                           struct mmal_msg *msg, u32 msg_len)
--{
--      pr_debug("unhandled event\n");
--      pr_debug("component:%u port type:%d num:%d cmd:0x%x length:%d\n",
--               msg->u.event_to_host.client_component,
--               msg->u.event_to_host.port_type,
--               msg->u.event_to_host.port_num,
--               msg->u.event_to_host.cmd, msg->u.event_to_host.length);
--}
--
--/* workqueue scheduled callback
-- *
-- * we do this because it is important we do not call any other vchiq
-- * sync calls from witin the message delivery thread
-- */
--static void buffer_work_cb(struct work_struct *work)
--{
--      struct mmal_msg_context *msg_context =
--              container_of(work, struct mmal_msg_context, u.bulk.work);
--
--      atomic_dec(&msg_context->u.bulk.port->buffers_with_vpu);
--
--      msg_context->u.bulk.port->buffer_cb(msg_context->u.bulk.instance,
--                                          msg_context->u.bulk.port,
--                                          msg_context->u.bulk.status,
--                                          msg_context->u.bulk.buffer,
--                                          msg_context->u.bulk.buffer_used,
--                                          msg_context->u.bulk.mmal_flags,
--                                          msg_context->u.bulk.dts,
--                                          msg_context->u.bulk.pts);
--}
--
--/* workqueue scheduled callback to handle receiving buffers
-- *
-- * VCHI will allow up to 4 bulk receives to be scheduled before blocking.
-- * If we block in the service_callback context then we can't process the
-- * VCHI_CALLBACK_BULK_RECEIVED message that would otherwise allow the blocked
-- * vchi_bulk_queue_receive() call to complete.
-- */
--static void buffer_to_host_work_cb(struct work_struct *work)
--{
--      struct mmal_msg_context *msg_context =
--              container_of(work, struct mmal_msg_context,
--                           u.bulk.buffer_to_host_work);
--      struct vchiq_mmal_instance *instance = msg_context->instance;
--      unsigned long len = msg_context->u.bulk.buffer_used;
--      int ret;
--
--      if (!len)
--              /* Dummy receive to ensure the buffers remain in order */
--              len = 8;
--      /* queue the bulk submission */
--      vchi_service_use(instance->handle);
--      ret = vchi_bulk_queue_receive(instance->handle,
--                                    msg_context->u.bulk.buffer->buffer,
--                                    /* Actual receive needs to be a multiple
--                                     * of 4 bytes
--                                     */
--                                    (len + 3) & ~3,
--                                    VCHI_FLAGS_CALLBACK_WHEN_OP_COMPLETE |
--                                    VCHI_FLAGS_BLOCK_UNTIL_QUEUED,
--                                    msg_context);
--
--      vchi_service_release(instance->handle);
--
--      if (ret != 0)
--              pr_err("%s: ctx: %p, vchi_bulk_queue_receive failed %d\n",
--                     __func__, msg_context, ret);
--}
--
--/* enqueue a bulk receive for a given message context */
--static int bulk_receive(struct vchiq_mmal_instance *instance,
--                      struct mmal_msg *msg,
--                      struct mmal_msg_context *msg_context)
--{
--      unsigned long rd_len;
--
--      rd_len = msg->u.buffer_from_host.buffer_header.length;
--
--      if (!msg_context->u.bulk.buffer) {
--              pr_err("bulk.buffer not configured - error in buffer_from_host\n");
--
--              /* todo: this is a serious error, we should never have
--               * committed a buffer_to_host operation to the mmal
--               * port without the buffer to back it up (underflow
--               * handling) and there is no obvious way to deal with
--               * this - how is the mmal servie going to react when
--               * we fail to do the xfer and reschedule a buffer when
--               * it arrives? perhaps a starved flag to indicate a
--               * waiting bulk receive?
--               */
--
--              return -EINVAL;
--      }
--
--      /* ensure we do not overrun the available buffer */
--      if (rd_len > msg_context->u.bulk.buffer->buffer_size) {
--              rd_len = msg_context->u.bulk.buffer->buffer_size;
--              pr_warn("short read as not enough receive buffer space\n");
--              /* todo: is this the correct response, what happens to
--               * the rest of the message data?
--               */
--      }
--
--      /* store length */
--      msg_context->u.bulk.buffer_used = rd_len;
--      msg_context->u.bulk.dts = msg->u.buffer_from_host.buffer_header.dts;
--      msg_context->u.bulk.pts = msg->u.buffer_from_host.buffer_header.pts;
--
--      queue_work(msg_context->instance->bulk_wq,
--                 &msg_context->u.bulk.buffer_to_host_work);
--
--      return 0;
--}
--
--/* data in message, memcpy from packet into output buffer */
--static int inline_receive(struct vchiq_mmal_instance *instance,
--                        struct mmal_msg *msg,
--                        struct mmal_msg_context *msg_context)
--{
--      memcpy(msg_context->u.bulk.buffer->buffer,
--             msg->u.buffer_from_host.short_data,
--             msg->u.buffer_from_host.payload_in_message);
--
--      msg_context->u.bulk.buffer_used =
--          msg->u.buffer_from_host.payload_in_message;
--
--      return 0;
--}
--
--/* queue the buffer availability with MMAL_MSG_TYPE_BUFFER_FROM_HOST */
--static int
--buffer_from_host(struct vchiq_mmal_instance *instance,
--               struct vchiq_mmal_port *port, struct mmal_buffer *buf)
--{
--      struct mmal_msg_context *msg_context;
--      struct mmal_msg m;
--      int ret;
--
--      if (!port->enabled)
--              return -EINVAL;
--
--      pr_debug("instance:%p buffer:%p\n", instance->handle, buf);
--
--      /* get context */
--      if (!buf->msg_context) {
--              pr_err("%s: msg_context not allocated, buf %p\n", __func__,
--                     buf);
--              return -EINVAL;
--      }
--      msg_context = buf->msg_context;
--
--      /* store bulk message context for when data arrives */
--      msg_context->u.bulk.instance = instance;
--      msg_context->u.bulk.port = port;
--      msg_context->u.bulk.buffer = buf;
--      msg_context->u.bulk.buffer_used = 0;
--
--      /* initialise work structure ready to schedule callback */
--      INIT_WORK(&msg_context->u.bulk.work, buffer_work_cb);
--      INIT_WORK(&msg_context->u.bulk.buffer_to_host_work,
--                buffer_to_host_work_cb);
--
--      atomic_inc(&port->buffers_with_vpu);
--
--      /* prep the buffer from host message */
--      memset(&m, 0xbc, sizeof(m));    /* just to make debug clearer */
--
--      m.h.type = MMAL_MSG_TYPE_BUFFER_FROM_HOST;
--      m.h.magic = MMAL_MAGIC;
--      m.h.context = msg_context->handle;
--      m.h.status = 0;
--
--      /* drvbuf is our private data passed back */
--      m.u.buffer_from_host.drvbuf.magic = MMAL_MAGIC;
--      m.u.buffer_from_host.drvbuf.component_handle = port->component->handle;
--      m.u.buffer_from_host.drvbuf.port_handle = port->handle;
--      m.u.buffer_from_host.drvbuf.client_context = msg_context->handle;
--
--      /* buffer header */
--      m.u.buffer_from_host.buffer_header.cmd = 0;
--      m.u.buffer_from_host.buffer_header.data =
--              (u32)(unsigned long)buf->buffer;
--      m.u.buffer_from_host.buffer_header.alloc_size = buf->buffer_size;
--      m.u.buffer_from_host.buffer_header.length = 0;  /* nothing used yet */
--      m.u.buffer_from_host.buffer_header.offset = 0;  /* no offset */
--      m.u.buffer_from_host.buffer_header.flags = 0;   /* no flags */
--      m.u.buffer_from_host.buffer_header.pts = MMAL_TIME_UNKNOWN;
--      m.u.buffer_from_host.buffer_header.dts = MMAL_TIME_UNKNOWN;
--
--      /* clear buffer type sepecific data */
--      memset(&m.u.buffer_from_host.buffer_header_type_specific, 0,
--             sizeof(m.u.buffer_from_host.buffer_header_type_specific));
--
--      /* no payload in message */
--      m.u.buffer_from_host.payload_in_message = 0;
--
--      vchi_service_use(instance->handle);
--
--      ret = vchi_queue_kernel_message(instance->handle,
--                                      &m,
--                                      sizeof(struct mmal_msg_header) +
--                                      sizeof(m.u.buffer_from_host));
--
--      vchi_service_release(instance->handle);
--
--      return ret;
--}
--
--/* deals with receipt of buffer to host message */
--static void buffer_to_host_cb(struct vchiq_mmal_instance *instance,
--                            struct mmal_msg *msg, u32 msg_len)
--{
--      struct mmal_msg_context *msg_context;
--      u32 handle;
--
--      pr_debug("%s: instance:%p msg:%p msg_len:%d\n",
--               __func__, instance, msg, msg_len);
--
--      if (msg->u.buffer_from_host.drvbuf.magic == MMAL_MAGIC) {
--              handle = msg->u.buffer_from_host.drvbuf.client_context;
--              msg_context = lookup_msg_context(instance, handle);
--
--              if (!msg_context) {
--                      pr_err("drvbuf.client_context(%u) is invalid\n",
--                             handle);
--                      return;
--              }
--      } else {
--              pr_err("MMAL_MSG_TYPE_BUFFER_TO_HOST with bad magic\n");
--              return;
--      }
--
--      msg_context->u.bulk.mmal_flags =
--                              msg->u.buffer_from_host.buffer_header.flags;
--
--      if (msg->h.status != MMAL_MSG_STATUS_SUCCESS) {
--              /* message reception had an error */
--              pr_warn("error %d in reply\n", msg->h.status);
--
--              msg_context->u.bulk.status = msg->h.status;
--
--      } else if (msg->u.buffer_from_host.buffer_header.length == 0) {
--              /* empty buffer */
--              if (msg->u.buffer_from_host.buffer_header.flags &
--                  MMAL_BUFFER_HEADER_FLAG_EOS) {
--                      msg_context->u.bulk.status =
--                          bulk_receive(instance, msg, msg_context);
--                      if (msg_context->u.bulk.status == 0)
--                              return; /* successful bulk submission, bulk
--                                       * completion will trigger callback
--                                       */
--              } else {
--                      /* do callback with empty buffer - not EOS though */
--                      msg_context->u.bulk.status = 0;
--                      msg_context->u.bulk.buffer_used = 0;
--              }
--      } else if (msg->u.buffer_from_host.payload_in_message == 0) {
--              /* data is not in message, queue a bulk receive */
--              msg_context->u.bulk.status =
--                  bulk_receive(instance, msg, msg_context);
--              if (msg_context->u.bulk.status == 0)
--                      return; /* successful bulk submission, bulk
--                               * completion will trigger callback
--                               */
--
--              /* failed to submit buffer, this will end badly */
--              pr_err("error %d on bulk submission\n",
--                     msg_context->u.bulk.status);
--
--      } else if (msg->u.buffer_from_host.payload_in_message <=
--                 MMAL_VC_SHORT_DATA) {
--              /* data payload within message */
--              msg_context->u.bulk.status = inline_receive(instance, msg,
--                                                          msg_context);
--      } else {
--              pr_err("message with invalid short payload\n");
--
--              /* signal error */
--              msg_context->u.bulk.status = -EINVAL;
--              msg_context->u.bulk.buffer_used =
--                  msg->u.buffer_from_host.payload_in_message;
--      }
--
--      /* schedule the port callback */
--      schedule_work(&msg_context->u.bulk.work);
--}
--
--static void bulk_receive_cb(struct vchiq_mmal_instance *instance,
--                          struct mmal_msg_context *msg_context)
--{
--      msg_context->u.bulk.status = 0;
--
--      /* schedule the port callback */
--      schedule_work(&msg_context->u.bulk.work);
--}
--
--static void bulk_abort_cb(struct vchiq_mmal_instance *instance,
--                        struct mmal_msg_context *msg_context)
--{
--      pr_err("%s: bulk ABORTED msg_context:%p\n", __func__, msg_context);
--
--      msg_context->u.bulk.status = -EINTR;
--
--      schedule_work(&msg_context->u.bulk.work);
--}
--
--/* incoming event service callback */
--static void service_callback(void *param,
--                           const VCHI_CALLBACK_REASON_T reason,
--                           void *bulk_ctx)
--{
--      struct vchiq_mmal_instance *instance = param;
--      int status;
--      u32 msg_len;
--      struct mmal_msg *msg;
--      struct vchi_held_msg msg_handle;
--      struct mmal_msg_context *msg_context;
--
--      if (!instance) {
--              pr_err("Message callback passed NULL instance\n");
--              return;
--      }
--
--      switch (reason) {
--      case VCHI_CALLBACK_MSG_AVAILABLE:
--              status = vchi_msg_hold(instance->handle, (void **)&msg,
--                                     &msg_len, VCHI_FLAGS_NONE, &msg_handle);
--              if (status) {
--                      pr_err("Unable to dequeue a message (%d)\n", status);
--                      break;
--              }
--
--              DBG_DUMP_MSG(msg, msg_len, "<<< reply message");
--
--              /* handling is different for buffer messages */
--              switch (msg->h.type) {
--              case MMAL_MSG_TYPE_BUFFER_FROM_HOST:
--                      vchi_held_msg_release(&msg_handle);
--                      break;
--
--              case MMAL_MSG_TYPE_EVENT_TO_HOST:
--                      event_to_host_cb(instance, msg, msg_len);
--                      vchi_held_msg_release(&msg_handle);
--
--                      break;
--
--              case MMAL_MSG_TYPE_BUFFER_TO_HOST:
--                      buffer_to_host_cb(instance, msg, msg_len);
--                      vchi_held_msg_release(&msg_handle);
--                      break;
--
--              default:
--                      /* messages dependent on header context to complete */
--                      if (!msg->h.context) {
--                              pr_err("received message context was null!\n");
--                              vchi_held_msg_release(&msg_handle);
--                              break;
--                      }
--
--                      msg_context = lookup_msg_context(instance,
--                                                       msg->h.context);
--                      if (!msg_context) {
--                              pr_err("received invalid message context %u!\n",
--                                     msg->h.context);
--                              vchi_held_msg_release(&msg_handle);
--                              break;
--                      }
--
--                      /* fill in context values */
--                      msg_context->u.sync.msg_handle = msg_handle;
--                      msg_context->u.sync.msg = msg;
--                      msg_context->u.sync.msg_len = msg_len;
--
--                      /* todo: should this check (completion_done()
--                       * == 1) for no one waiting? or do we need a
--                       * flag to tell us the completion has been
--                       * interrupted so we can free the message and
--                       * its context. This probably also solves the
--                       * message arriving after interruption todo
--                       * below
--                       */
--
--                      /* complete message so caller knows it happened */
--                      complete(&msg_context->u.sync.cmplt);
--                      break;
--              }
--
--              break;
--
--      case VCHI_CALLBACK_BULK_RECEIVED:
--              bulk_receive_cb(instance, bulk_ctx);
--              break;
--
--      case VCHI_CALLBACK_BULK_RECEIVE_ABORTED:
--              bulk_abort_cb(instance, bulk_ctx);
--              break;
--
--      case VCHI_CALLBACK_SERVICE_CLOSED:
--              /* TODO: consider if this requires action if received when
--               * driver is not explicitly closing the service
--               */
--              break;
--
--      default:
--              pr_err("Received unhandled message reason %d\n", reason);
--              break;
--      }
--}
--
--static int send_synchronous_mmal_msg(struct vchiq_mmal_instance *instance,
--                                   struct mmal_msg *msg,
--                                   unsigned int payload_len,
--                                   struct mmal_msg **msg_out,
--                                   struct vchi_held_msg *msg_handle_out)
--{
--      struct mmal_msg_context *msg_context;
--      int ret;
--      unsigned long timeout;
--
--      /* payload size must not cause message to exceed max size */
--      if (payload_len >
--          (MMAL_MSG_MAX_SIZE - sizeof(struct mmal_msg_header))) {
--              pr_err("payload length %d exceeds max:%d\n", payload_len,
--                     (int)(MMAL_MSG_MAX_SIZE -
--                          sizeof(struct mmal_msg_header)));
--              return -EINVAL;
--      }
--
--      msg_context = get_msg_context(instance);
--      if (IS_ERR(msg_context))
--              return PTR_ERR(msg_context);
--
--      init_completion(&msg_context->u.sync.cmplt);
--
--      msg->h.magic = MMAL_MAGIC;
--      msg->h.context = msg_context->handle;
--      msg->h.status = 0;
--
--      DBG_DUMP_MSG(msg, (sizeof(struct mmal_msg_header) + payload_len),
--                   ">>> sync message");
--
--      vchi_service_use(instance->handle);
--
--      ret = vchi_queue_kernel_message(instance->handle,
--                                      msg,
--                                      sizeof(struct mmal_msg_header) +
--                                      payload_len);
--
--      vchi_service_release(instance->handle);
--
--      if (ret) {
--              pr_err("error %d queuing message\n", ret);
--              release_msg_context(msg_context);
--              return ret;
--      }
--
--      timeout = wait_for_completion_timeout(&msg_context->u.sync.cmplt,
--                                            3 * HZ);
--      if (timeout == 0) {
--              pr_err("timed out waiting for sync completion\n");
--              ret = -ETIME;
--              /* todo: what happens if the message arrives after aborting */
--              release_msg_context(msg_context);
--              return ret;
--      }
--
--      *msg_out = msg_context->u.sync.msg;
--      *msg_handle_out = msg_context->u.sync.msg_handle;
--      release_msg_context(msg_context);
--
--      return 0;
--}
--
--static void dump_port_info(struct vchiq_mmal_port *port)
--{
--      pr_debug("port handle:0x%x enabled:%d\n", port->handle, port->enabled);
--
--      pr_debug("buffer minimum num:%d size:%d align:%d\n",
--               port->minimum_buffer.num,
--               port->minimum_buffer.size, port->minimum_buffer.alignment);
--
--      pr_debug("buffer recommended num:%d size:%d align:%d\n",
--               port->recommended_buffer.num,
--               port->recommended_buffer.size,
--               port->recommended_buffer.alignment);
--
--      pr_debug("buffer current values num:%d size:%d align:%d\n",
--               port->current_buffer.num,
--               port->current_buffer.size, port->current_buffer.alignment);
--
--      pr_debug("elementary stream: type:%d encoding:0x%x variant:0x%x\n",
--               port->format.type,
--               port->format.encoding, port->format.encoding_variant);
--
--      pr_debug("                  bitrate:%d flags:0x%x\n",
--               port->format.bitrate, port->format.flags);
--
--      if (port->format.type == MMAL_ES_TYPE_VIDEO) {
--              pr_debug
--                  ("es video format: width:%d height:%d colourspace:0x%x\n",
--                   port->es.video.width, port->es.video.height,
--                   port->es.video.color_space);
--
--              pr_debug("               : crop xywh %d,%d,%d,%d\n",
--                       port->es.video.crop.x,
--                       port->es.video.crop.y,
--                       port->es.video.crop.width, port->es.video.crop.height);
--              pr_debug("               : framerate %d/%d  aspect %d/%d\n",
--                       port->es.video.frame_rate.num,
--                       port->es.video.frame_rate.den,
--                       port->es.video.par.num, port->es.video.par.den);
--      }
--}
--
--static void port_to_mmal_msg(struct vchiq_mmal_port *port, struct mmal_port *p)
--{
--      /* todo do readonly fields need setting at all? */
--      p->type = port->type;
--      p->index = port->index;
--      p->index_all = 0;
--      p->is_enabled = port->enabled;
--      p->buffer_num_min = port->minimum_buffer.num;
--      p->buffer_size_min = port->minimum_buffer.size;
--      p->buffer_alignment_min = port->minimum_buffer.alignment;
--      p->buffer_num_recommended = port->recommended_buffer.num;
--      p->buffer_size_recommended = port->recommended_buffer.size;
--
--      /* only three writable fields in a port */
--      p->buffer_num = port->current_buffer.num;
--      p->buffer_size = port->current_buffer.size;
--      p->userdata = (u32)(unsigned long)port;
--}
--
--static int port_info_set(struct vchiq_mmal_instance *instance,
--                       struct vchiq_mmal_port *port)
--{
--      int ret;
--      struct mmal_msg m;
--      struct mmal_msg *rmsg;
--      struct vchi_held_msg rmsg_handle;
--
--      pr_debug("setting port info port %p\n", port);
--      if (!port)
--              return -1;
--      dump_port_info(port);
--
--      m.h.type = MMAL_MSG_TYPE_PORT_INFO_SET;
--
--      m.u.port_info_set.component_handle = port->component->handle;
--      m.u.port_info_set.port_type = port->type;
--      m.u.port_info_set.port_index = port->index;
--
--      port_to_mmal_msg(port, &m.u.port_info_set.port);
--
--      /* elementary stream format setup */
--      m.u.port_info_set.format.type = port->format.type;
--      m.u.port_info_set.format.encoding = port->format.encoding;
--      m.u.port_info_set.format.encoding_variant =
--          port->format.encoding_variant;
--      m.u.port_info_set.format.bitrate = port->format.bitrate;
--      m.u.port_info_set.format.flags = port->format.flags;
--
--      memcpy(&m.u.port_info_set.es, &port->es,
--             sizeof(union mmal_es_specific_format));
--
--      m.u.port_info_set.format.extradata_size = port->format.extradata_size;
--      memcpy(&m.u.port_info_set.extradata, port->format.extradata,
--             port->format.extradata_size);
--
--      ret = send_synchronous_mmal_msg(instance, &m,
--                                      sizeof(m.u.port_info_set),
--                                      &rmsg, &rmsg_handle);
--      if (ret)
--              return ret;
--
--      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_INFO_SET) {
--              /* got an unexpected message type in reply */
--              ret = -EINVAL;
--              goto release_msg;
--      }
--
--      /* return operation status */
--      ret = -rmsg->u.port_info_get_reply.status;
--
--      pr_debug("%s:result:%d component:0x%x port:%d\n", __func__, ret,
--               port->component->handle, port->handle);
--
--release_msg:
--      vchi_held_msg_release(&rmsg_handle);
--
--      return ret;
--}
--
--/* use port info get message to retrieve port information */
--static int port_info_get(struct vchiq_mmal_instance *instance,
--                       struct vchiq_mmal_port *port)
--{
--      int ret;
--      struct mmal_msg m;
--      struct mmal_msg *rmsg;
--      struct vchi_held_msg rmsg_handle;
--
--      /* port info time */
--      m.h.type = MMAL_MSG_TYPE_PORT_INFO_GET;
--      m.u.port_info_get.component_handle = port->component->handle;
--      m.u.port_info_get.port_type = port->type;
--      m.u.port_info_get.index = port->index;
--
--      ret = send_synchronous_mmal_msg(instance, &m,
--                                      sizeof(m.u.port_info_get),
--                                      &rmsg, &rmsg_handle);
--      if (ret)
--              return ret;
--
--      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_INFO_GET) {
--              /* got an unexpected message type in reply */
--              ret = -EINVAL;
--              goto release_msg;
--      }
--
--      /* return operation status */
--      ret = -rmsg->u.port_info_get_reply.status;
--      if (ret != MMAL_MSG_STATUS_SUCCESS)
--              goto release_msg;
--
--      if (rmsg->u.port_info_get_reply.port.is_enabled == 0)
--              port->enabled = 0;
--      else
--              port->enabled = 1;
--
--      /* copy the values out of the message */
--      port->handle = rmsg->u.port_info_get_reply.port_handle;
--
--      /* port type and index cached to use on port info set because
--       * it does not use a port handle
--       */
--      port->type = rmsg->u.port_info_get_reply.port_type;
--      port->index = rmsg->u.port_info_get_reply.port_index;
--
--      port->minimum_buffer.num =
--          rmsg->u.port_info_get_reply.port.buffer_num_min;
--      port->minimum_buffer.size =
--          rmsg->u.port_info_get_reply.port.buffer_size_min;
--      port->minimum_buffer.alignment =
--          rmsg->u.port_info_get_reply.port.buffer_alignment_min;
--
--      port->recommended_buffer.alignment =
--          rmsg->u.port_info_get_reply.port.buffer_alignment_min;
--      port->recommended_buffer.num =
--          rmsg->u.port_info_get_reply.port.buffer_num_recommended;
--
--      port->current_buffer.num = rmsg->u.port_info_get_reply.port.buffer_num;
--      port->current_buffer.size =
--          rmsg->u.port_info_get_reply.port.buffer_size;
--
--      /* stream format */
--      port->format.type = rmsg->u.port_info_get_reply.format.type;
--      port->format.encoding = rmsg->u.port_info_get_reply.format.encoding;
--      port->format.encoding_variant =
--          rmsg->u.port_info_get_reply.format.encoding_variant;
--      port->format.bitrate = rmsg->u.port_info_get_reply.format.bitrate;
--      port->format.flags = rmsg->u.port_info_get_reply.format.flags;
--
--      /* elementary stream format */
--      memcpy(&port->es,
--             &rmsg->u.port_info_get_reply.es,
--             sizeof(union mmal_es_specific_format));
--      port->format.es = &port->es;
--
--      port->format.extradata_size =
--          rmsg->u.port_info_get_reply.format.extradata_size;
--      memcpy(port->format.extradata,
--             rmsg->u.port_info_get_reply.extradata,
--             port->format.extradata_size);
--
--      pr_debug("received port info\n");
--      dump_port_info(port);
--
--release_msg:
--
--      pr_debug("%s:result:%d component:0x%x port:%d\n",
--               __func__, ret, port->component->handle, port->handle);
--
--      vchi_held_msg_release(&rmsg_handle);
--
--      return ret;
--}
--
--/* create comonent on vc */
--static int create_component(struct vchiq_mmal_instance *instance,
--                          struct vchiq_mmal_component *component,
--                          const char *name)
--{
--      int ret;
--      struct mmal_msg m;
--      struct mmal_msg *rmsg;
--      struct vchi_held_msg rmsg_handle;
--
--      /* build component create message */
--      m.h.type = MMAL_MSG_TYPE_COMPONENT_CREATE;
--      m.u.component_create.client_component = (u32)(unsigned long)component;
--      strncpy(m.u.component_create.name, name,
--              sizeof(m.u.component_create.name));
--
--      ret = send_synchronous_mmal_msg(instance, &m,
--                                      sizeof(m.u.component_create),
--                                      &rmsg, &rmsg_handle);
--      if (ret)
--              return ret;
--
--      if (rmsg->h.type != m.h.type) {
--              /* got an unexpected message type in reply */
--              ret = -EINVAL;
--              goto release_msg;
--      }
--
--      ret = -rmsg->u.component_create_reply.status;
--      if (ret != MMAL_MSG_STATUS_SUCCESS)
--              goto release_msg;
--
--      /* a valid component response received */
--      component->handle = rmsg->u.component_create_reply.component_handle;
--      component->inputs = rmsg->u.component_create_reply.input_num;
--      component->outputs = rmsg->u.component_create_reply.output_num;
--      component->clocks = rmsg->u.component_create_reply.clock_num;
--
--      pr_debug("Component handle:0x%x in:%d out:%d clock:%d\n",
--               component->handle,
--               component->inputs, component->outputs, component->clocks);
--
--release_msg:
--      vchi_held_msg_release(&rmsg_handle);
--
--      return ret;
--}
--
--/* destroys a component on vc */
--static int destroy_component(struct vchiq_mmal_instance *instance,
--                           struct vchiq_mmal_component *component)
--{
--      int ret;
--      struct mmal_msg m;
--      struct mmal_msg *rmsg;
--      struct vchi_held_msg rmsg_handle;
--
--      m.h.type = MMAL_MSG_TYPE_COMPONENT_DESTROY;
--      m.u.component_destroy.component_handle = component->handle;
--
--      ret = send_synchronous_mmal_msg(instance, &m,
--                                      sizeof(m.u.component_destroy),
--                                      &rmsg, &rmsg_handle);
--      if (ret)
--              return ret;
--
--      if (rmsg->h.type != m.h.type) {
--              /* got an unexpected message type in reply */
--              ret = -EINVAL;
--              goto release_msg;
--      }
--
--      ret = -rmsg->u.component_destroy_reply.status;
--
--release_msg:
--
--      vchi_held_msg_release(&rmsg_handle);
--
--      return ret;
--}
--
--/* enable a component on vc */
--static int enable_component(struct vchiq_mmal_instance *instance,
--                          struct vchiq_mmal_component *component)
--{
--      int ret;
--      struct mmal_msg m;
--      struct mmal_msg *rmsg;
--      struct vchi_held_msg rmsg_handle;
--
--      m.h.type = MMAL_MSG_TYPE_COMPONENT_ENABLE;
--      m.u.component_enable.component_handle = component->handle;
--
--      ret = send_synchronous_mmal_msg(instance, &m,
--                                      sizeof(m.u.component_enable),
--                                      &rmsg, &rmsg_handle);
--      if (ret)
--              return ret;
--
--      if (rmsg->h.type != m.h.type) {
--              /* got an unexpected message type in reply */
--              ret = -EINVAL;
--              goto release_msg;
--      }
--
--      ret = -rmsg->u.component_enable_reply.status;
--
--release_msg:
--      vchi_held_msg_release(&rmsg_handle);
--
--      return ret;
--}
--
--/* disable a component on vc */
--static int disable_component(struct vchiq_mmal_instance *instance,
--                           struct vchiq_mmal_component *component)
--{
--      int ret;
--      struct mmal_msg m;
--      struct mmal_msg *rmsg;
--      struct vchi_held_msg rmsg_handle;
--
--      m.h.type = MMAL_MSG_TYPE_COMPONENT_DISABLE;
--      m.u.component_disable.component_handle = component->handle;
--
--      ret = send_synchronous_mmal_msg(instance, &m,
--                                      sizeof(m.u.component_disable),
--                                      &rmsg, &rmsg_handle);
--      if (ret)
--              return ret;
--
--      if (rmsg->h.type != m.h.type) {
--              /* got an unexpected message type in reply */
--              ret = -EINVAL;
--              goto release_msg;
--      }
--
--      ret = -rmsg->u.component_disable_reply.status;
--
--release_msg:
--
--      vchi_held_msg_release(&rmsg_handle);
--
--      return ret;
--}
--
--/* get version of mmal implementation */
--static int get_version(struct vchiq_mmal_instance *instance,
--                     u32 *major_out, u32 *minor_out)
--{
--      int ret;
--      struct mmal_msg m;
--      struct mmal_msg *rmsg;
--      struct vchi_held_msg rmsg_handle;
--
--      m.h.type = MMAL_MSG_TYPE_GET_VERSION;
--
--      ret = send_synchronous_mmal_msg(instance, &m,
--                                      sizeof(m.u.version),
--                                      &rmsg, &rmsg_handle);
--      if (ret)
--              return ret;
--
--      if (rmsg->h.type != m.h.type) {
--              /* got an unexpected message type in reply */
--              ret = -EINVAL;
--              goto release_msg;
--      }
--
--      *major_out = rmsg->u.version.major;
--      *minor_out = rmsg->u.version.minor;
--
--release_msg:
--      vchi_held_msg_release(&rmsg_handle);
--
--      return ret;
--}
--
--/* do a port action with a port as a parameter */
--static int port_action_port(struct vchiq_mmal_instance *instance,
--                          struct vchiq_mmal_port *port,
--                          enum mmal_msg_port_action_type action_type)
--{
--      int ret;
--      struct mmal_msg m;
--      struct mmal_msg *rmsg;
--      struct vchi_held_msg rmsg_handle;
--
--      m.h.type = MMAL_MSG_TYPE_PORT_ACTION;
--      m.u.port_action_port.component_handle = port->component->handle;
--      m.u.port_action_port.port_handle = port->handle;
--      m.u.port_action_port.action = action_type;
--
--      port_to_mmal_msg(port, &m.u.port_action_port.port);
--
--      ret = send_synchronous_mmal_msg(instance, &m,
--                                      sizeof(m.u.port_action_port),
--                                      &rmsg, &rmsg_handle);
--      if (ret)
--              return ret;
--
--      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_ACTION) {
--              /* got an unexpected message type in reply */
--              ret = -EINVAL;
--              goto release_msg;
--      }
--
--      ret = -rmsg->u.port_action_reply.status;
--
--      pr_debug("%s:result:%d component:0x%x port:%d action:%s(%d)\n",
--               __func__,
--               ret, port->component->handle, port->handle,
--               port_action_type_names[action_type], action_type);
--
--release_msg:
--      vchi_held_msg_release(&rmsg_handle);
--
--      return ret;
--}
--
--/* do a port action with handles as parameters */
--static int port_action_handle(struct vchiq_mmal_instance *instance,
--                            struct vchiq_mmal_port *port,
--                            enum mmal_msg_port_action_type action_type,
--                            u32 connect_component_handle,
--                            u32 connect_port_handle)
--{
--      int ret;
--      struct mmal_msg m;
--      struct mmal_msg *rmsg;
--      struct vchi_held_msg rmsg_handle;
--
--      m.h.type = MMAL_MSG_TYPE_PORT_ACTION;
--
--      m.u.port_action_handle.component_handle = port->component->handle;
--      m.u.port_action_handle.port_handle = port->handle;
--      m.u.port_action_handle.action = action_type;
--
--      m.u.port_action_handle.connect_component_handle =
--          connect_component_handle;
--      m.u.port_action_handle.connect_port_handle = connect_port_handle;
--
--      ret = send_synchronous_mmal_msg(instance, &m,
--                                      sizeof(m.u.port_action_handle),
--                                      &rmsg, &rmsg_handle);
--      if (ret)
--              return ret;
--
--      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_ACTION) {
--              /* got an unexpected message type in reply */
--              ret = -EINVAL;
--              goto release_msg;
--      }
--
--      ret = -rmsg->u.port_action_reply.status;
--
--      pr_debug("%s:result:%d component:0x%x port:%d action:%s(%d) connect component:0x%x connect port:%d\n",
--               __func__,
--               ret, port->component->handle, port->handle,
--               port_action_type_names[action_type],
--               action_type, connect_component_handle, connect_port_handle);
--
--release_msg:
--      vchi_held_msg_release(&rmsg_handle);
--
--      return ret;
--}
--
--static int port_parameter_set(struct vchiq_mmal_instance *instance,
--                            struct vchiq_mmal_port *port,
--                            u32 parameter_id, void *value, u32 value_size)
--{
--      int ret;
--      struct mmal_msg m;
--      struct mmal_msg *rmsg;
--      struct vchi_held_msg rmsg_handle;
--
--      m.h.type = MMAL_MSG_TYPE_PORT_PARAMETER_SET;
--
--      m.u.port_parameter_set.component_handle = port->component->handle;
--      m.u.port_parameter_set.port_handle = port->handle;
--      m.u.port_parameter_set.id = parameter_id;
--      m.u.port_parameter_set.size = (2 * sizeof(u32)) + value_size;
--      memcpy(&m.u.port_parameter_set.value, value, value_size);
--
--      ret = send_synchronous_mmal_msg(instance, &m,
--                                      (4 * sizeof(u32)) + value_size,
--                                      &rmsg, &rmsg_handle);
--      if (ret)
--              return ret;
--
--      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_PARAMETER_SET) {
--              /* got an unexpected message type in reply */
--              ret = -EINVAL;
--              goto release_msg;
--      }
--
--      ret = -rmsg->u.port_parameter_set_reply.status;
--
--      pr_debug("%s:result:%d component:0x%x port:%d parameter:%d\n",
--               __func__,
--               ret, port->component->handle, port->handle, parameter_id);
--
--release_msg:
--      vchi_held_msg_release(&rmsg_handle);
--
--      return ret;
--}
--
--static int port_parameter_get(struct vchiq_mmal_instance *instance,
--                            struct vchiq_mmal_port *port,
--                            u32 parameter_id, void *value, u32 *value_size)
--{
--      int ret;
--      struct mmal_msg m;
--      struct mmal_msg *rmsg;
--      struct vchi_held_msg rmsg_handle;
--
--      m.h.type = MMAL_MSG_TYPE_PORT_PARAMETER_GET;
--
--      m.u.port_parameter_get.component_handle = port->component->handle;
--      m.u.port_parameter_get.port_handle = port->handle;
--      m.u.port_parameter_get.id = parameter_id;
--      m.u.port_parameter_get.size = (2 * sizeof(u32)) + *value_size;
--
--      ret = send_synchronous_mmal_msg(instance, &m,
--                                      sizeof(struct
--                                             mmal_msg_port_parameter_get),
--                                      &rmsg, &rmsg_handle);
--      if (ret)
--              return ret;
--
--      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_PARAMETER_GET) {
--              /* got an unexpected message type in reply */
--              pr_err("Incorrect reply type %d\n", rmsg->h.type);
--              ret = -EINVAL;
--              goto release_msg;
--      }
--
--      ret = -rmsg->u.port_parameter_get_reply.status;
--      /* port_parameter_get_reply.size includes the header,
--       * whilst *value_size doesn't.
--       */
--      rmsg->u.port_parameter_get_reply.size -= (2 * sizeof(u32));
--
--      if (ret || rmsg->u.port_parameter_get_reply.size > *value_size) {
--              /* Copy only as much as we have space for
--               * but report true size of parameter
--               */
--              memcpy(value, &rmsg->u.port_parameter_get_reply.value,
--                     *value_size);
--              *value_size = rmsg->u.port_parameter_get_reply.size;
--      } else {
--              memcpy(value, &rmsg->u.port_parameter_get_reply.value,
--                     rmsg->u.port_parameter_get_reply.size);
--      }
--
--      pr_debug("%s:result:%d component:0x%x port:%d parameter:%d\n", __func__,
--               ret, port->component->handle, port->handle, parameter_id);
--
--release_msg:
--      vchi_held_msg_release(&rmsg_handle);
--
--      return ret;
--}
--
--/* disables a port and drains buffers from it */
--static int port_disable(struct vchiq_mmal_instance *instance,
--                      struct vchiq_mmal_port *port)
--{
--      int ret;
--      struct list_head *q, *buf_head;
--      unsigned long flags = 0;
--
--      if (!port->enabled)
--              return 0;
--
--      port->enabled = 0;
--
--      ret = port_action_port(instance, port,
--                             MMAL_MSG_PORT_ACTION_TYPE_DISABLE);
--      if (ret == 0) {
--              /*
--               * Drain all queued buffers on port. This should only
--               * apply to buffers that have been queued before the port
--               * has been enabled. If the port has been enabled and buffers
--               * passed, then the buffers should have been removed from this
--               * list, and we should get the relevant callbacks via VCHIQ
--               * to release the buffers.
--               */
--              spin_lock_irqsave(&port->slock, flags);
--
--              list_for_each_safe(buf_head, q, &port->buffers) {
--                      struct mmal_buffer *mmalbuf;
--
--                      mmalbuf = list_entry(buf_head, struct mmal_buffer,
--                                           list);
--                      list_del(buf_head);
--                      if (port->buffer_cb)
--                              port->buffer_cb(instance,
--                                              port, 0, mmalbuf, 0, 0,
--                                              MMAL_TIME_UNKNOWN,
--                                              MMAL_TIME_UNKNOWN);
--              }
--
--              spin_unlock_irqrestore(&port->slock, flags);
--
--              ret = port_info_get(instance, port);
--      }
--
--      return ret;
--}
--
--/* enable a port */
--static int port_enable(struct vchiq_mmal_instance *instance,
--                     struct vchiq_mmal_port *port)
--{
--      unsigned int hdr_count;
--      struct list_head *q, *buf_head;
--      int ret;
--
--      if (port->enabled)
--              return 0;
--
--      ret = port_action_port(instance, port,
--                             MMAL_MSG_PORT_ACTION_TYPE_ENABLE);
--      if (ret)
--              goto done;
--
--      port->enabled = 1;
--
--      if (port->buffer_cb) {
--              /* send buffer headers to videocore */
--              hdr_count = 1;
--              list_for_each_safe(buf_head, q, &port->buffers) {
--                      struct mmal_buffer *mmalbuf;
--
--                      mmalbuf = list_entry(buf_head, struct mmal_buffer,
--                                           list);
--                      ret = buffer_from_host(instance, port, mmalbuf);
--                      if (ret)
--                              goto done;
--
--                      list_del(buf_head);
--                      hdr_count++;
--                      if (hdr_count > port->current_buffer.num)
--                              break;
--              }
--      }
--
--      ret = port_info_get(instance, port);
--
--done:
--      return ret;
--}
--
--/* ------------------------------------------------------------------
-- * Exported API
-- *------------------------------------------------------------------
-- */
--
--int vchiq_mmal_port_set_format(struct vchiq_mmal_instance *instance,
--                             struct vchiq_mmal_port *port)
--{
--      int ret;
--
--      if (mutex_lock_interruptible(&instance->vchiq_mutex))
--              return -EINTR;
--
--      ret = port_info_set(instance, port);
--      if (ret)
--              goto release_unlock;
--
--      /* read what has actually been set */
--      ret = port_info_get(instance, port);
--
--release_unlock:
--      mutex_unlock(&instance->vchiq_mutex);
--
--      return ret;
--}
--
--int vchiq_mmal_port_parameter_set(struct vchiq_mmal_instance *instance,
--                                struct vchiq_mmal_port *port,
--                                u32 parameter, void *value, u32 value_size)
--{
--      int ret;
--
--      if (mutex_lock_interruptible(&instance->vchiq_mutex))
--              return -EINTR;
--
--      ret = port_parameter_set(instance, port, parameter, value, value_size);
--
--      mutex_unlock(&instance->vchiq_mutex);
--
--      return ret;
--}
--
--int vchiq_mmal_port_parameter_get(struct vchiq_mmal_instance *instance,
--                                struct vchiq_mmal_port *port,
--                                u32 parameter, void *value, u32 *value_size)
--{
--      int ret;
--
--      if (mutex_lock_interruptible(&instance->vchiq_mutex))
--              return -EINTR;
--
--      ret = port_parameter_get(instance, port, parameter, value, value_size);
--
--      mutex_unlock(&instance->vchiq_mutex);
--
--      return ret;
--}
--
--/* enable a port
-- *
-- * enables a port and queues buffers for satisfying callbacks if we
-- * provide a callback handler
-- */
--int vchiq_mmal_port_enable(struct vchiq_mmal_instance *instance,
--                         struct vchiq_mmal_port *port,
--                         vchiq_mmal_buffer_cb buffer_cb)
--{
--      int ret;
--
--      if (mutex_lock_interruptible(&instance->vchiq_mutex))
--              return -EINTR;
--
--      /* already enabled - noop */
--      if (port->enabled) {
--              ret = 0;
--              goto unlock;
--      }
--
--      port->buffer_cb = buffer_cb;
--
--      ret = port_enable(instance, port);
--
--unlock:
--      mutex_unlock(&instance->vchiq_mutex);
--
--      return ret;
--}
--
--int vchiq_mmal_port_disable(struct vchiq_mmal_instance *instance,
--                          struct vchiq_mmal_port *port)
--{
--      int ret;
--
--      if (mutex_lock_interruptible(&instance->vchiq_mutex))
--              return -EINTR;
--
--      if (!port->enabled) {
--              mutex_unlock(&instance->vchiq_mutex);
--              return 0;
--      }
--
--      ret = port_disable(instance, port);
--
--      mutex_unlock(&instance->vchiq_mutex);
--
--      return ret;
--}
--
--/* ports will be connected in a tunneled manner so data buffers
-- * are not handled by client.
-- */
--int vchiq_mmal_port_connect_tunnel(struct vchiq_mmal_instance *instance,
--                                 struct vchiq_mmal_port *src,
--                                 struct vchiq_mmal_port *dst)
--{
--      int ret;
--
--      if (mutex_lock_interruptible(&instance->vchiq_mutex))
--              return -EINTR;
--
--      /* disconnect ports if connected */
--      if (src->connected) {
--              ret = port_disable(instance, src);
--              if (ret) {
--                      pr_err("failed disabling src port(%d)\n", ret);
--                      goto release_unlock;
--              }
--
--              /* do not need to disable the destination port as they
--               * are connected and it is done automatically
--               */
--
--              ret = port_action_handle(instance, src,
--                                       MMAL_MSG_PORT_ACTION_TYPE_DISCONNECT,
--                                       src->connected->component->handle,
--                                       src->connected->handle);
--              if (ret < 0) {
--                      pr_err("failed disconnecting src port\n");
--                      goto release_unlock;
--              }
--              src->connected->enabled = 0;
--              src->connected = NULL;
--      }
--
--      if (!dst) {
--              /* do not make new connection */
--              ret = 0;
--              pr_debug("not making new connection\n");
--              goto release_unlock;
--      }
--
--      /* copy src port format to dst */
--      dst->format.encoding = src->format.encoding;
--      dst->es.video.width = src->es.video.width;
--      dst->es.video.height = src->es.video.height;
--      dst->es.video.crop.x = src->es.video.crop.x;
--      dst->es.video.crop.y = src->es.video.crop.y;
--      dst->es.video.crop.width = src->es.video.crop.width;
--      dst->es.video.crop.height = src->es.video.crop.height;
--      dst->es.video.frame_rate.num = src->es.video.frame_rate.num;
--      dst->es.video.frame_rate.den = src->es.video.frame_rate.den;
--
--      /* set new format */
--      ret = port_info_set(instance, dst);
--      if (ret) {
--              pr_debug("setting port info failed\n");
--              goto release_unlock;
--      }
--
--      /* read what has actually been set */
--      ret = port_info_get(instance, dst);
--      if (ret) {
--              pr_debug("read back port info failed\n");
--              goto release_unlock;
--      }
--
--      /* connect two ports together */
--      ret = port_action_handle(instance, src,
--                               MMAL_MSG_PORT_ACTION_TYPE_CONNECT,
--                               dst->component->handle, dst->handle);
--      if (ret < 0) {
--              pr_debug("connecting port %d:%d to %d:%d failed\n",
--                       src->component->handle, src->handle,
--                       dst->component->handle, dst->handle);
--              goto release_unlock;
--      }
--      src->connected = dst;
--
--release_unlock:
--
--      mutex_unlock(&instance->vchiq_mutex);
--
--      return ret;
--}
--
--int vchiq_mmal_submit_buffer(struct vchiq_mmal_instance *instance,
--                           struct vchiq_mmal_port *port,
--                           struct mmal_buffer *buffer)
--{
--      unsigned long flags = 0;
--      int ret;
--
--      ret = buffer_from_host(instance, port, buffer);
--      if (ret == -EINVAL) {
--              /* Port is disabled. Queue for when it is enabled. */
--              spin_lock_irqsave(&port->slock, flags);
--              list_add_tail(&buffer->list, &port->buffers);
--              spin_unlock_irqrestore(&port->slock, flags);
--      }
--
--      return 0;
--}
--
--int mmal_vchi_buffer_init(struct vchiq_mmal_instance *instance,
--                        struct mmal_buffer *buf)
--{
--      struct mmal_msg_context *msg_context = get_msg_context(instance);
--
--      if (IS_ERR(msg_context))
--              return (PTR_ERR(msg_context));
--
--      buf->msg_context = msg_context;
--      return 0;
--}
--
--int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf)
--{
--      struct mmal_msg_context *msg_context = buf->msg_context;
--
--      if (msg_context)
--              release_msg_context(msg_context);
--      buf->msg_context = NULL;
--
--      return 0;
--}
--
--/* Initialise a mmal component and its ports
-- *
-- */
--int vchiq_mmal_component_init(struct vchiq_mmal_instance *instance,
--                            const char *name,
--                            struct vchiq_mmal_component **component_out)
--{
--      int ret;
--      int idx;                /* port index */
--      struct vchiq_mmal_component *component;
--
--      if (mutex_lock_interruptible(&instance->vchiq_mutex))
--              return -EINTR;
--
--      if (instance->component_idx == VCHIQ_MMAL_MAX_COMPONENTS) {
--              ret = -EINVAL;  /* todo is this correct error? */
--              goto unlock;
--      }
--
--      component = &instance->component[instance->component_idx];
--
--      ret = create_component(instance, component, name);
--      if (ret < 0) {
--              pr_err("%s: failed to create component %d (Not enough GPU mem?)\n",
--                     __func__, ret);
--              goto unlock;
--      }
--
--      /* ports info needs gathering */
--      component->control.type = MMAL_PORT_TYPE_CONTROL;
--      component->control.index = 0;
--      component->control.component = component;
--      spin_lock_init(&component->control.slock);
--      INIT_LIST_HEAD(&component->control.buffers);
--      ret = port_info_get(instance, &component->control);
--      if (ret < 0)
--              goto release_component;
--
--      for (idx = 0; idx < component->inputs; idx++) {
--              component->input[idx].type = MMAL_PORT_TYPE_INPUT;
--              component->input[idx].index = idx;
--              component->input[idx].component = component;
--              spin_lock_init(&component->input[idx].slock);
--              INIT_LIST_HEAD(&component->input[idx].buffers);
--              ret = port_info_get(instance, &component->input[idx]);
--              if (ret < 0)
--                      goto release_component;
--      }
--
--      for (idx = 0; idx < component->outputs; idx++) {
--              component->output[idx].type = MMAL_PORT_TYPE_OUTPUT;
--              component->output[idx].index = idx;
--              component->output[idx].component = component;
--              spin_lock_init(&component->output[idx].slock);
--              INIT_LIST_HEAD(&component->output[idx].buffers);
--              ret = port_info_get(instance, &component->output[idx]);
--              if (ret < 0)
--                      goto release_component;
--      }
--
--      for (idx = 0; idx < component->clocks; idx++) {
--              component->clock[idx].type = MMAL_PORT_TYPE_CLOCK;
--              component->clock[idx].index = idx;
--              component->clock[idx].component = component;
--              spin_lock_init(&component->clock[idx].slock);
--              INIT_LIST_HEAD(&component->clock[idx].buffers);
--              ret = port_info_get(instance, &component->clock[idx]);
--              if (ret < 0)
--                      goto release_component;
--      }
--
--      instance->component_idx++;
--
--      *component_out = component;
--
--      mutex_unlock(&instance->vchiq_mutex);
--
--      return 0;
--
--release_component:
--      destroy_component(instance, component);
--unlock:
--      mutex_unlock(&instance->vchiq_mutex);
--
--      return ret;
--}
--
--/*
-- * cause a mmal component to be destroyed
-- */
--int vchiq_mmal_component_finalise(struct vchiq_mmal_instance *instance,
--                                struct vchiq_mmal_component *component)
--{
--      int ret;
--
--      if (mutex_lock_interruptible(&instance->vchiq_mutex))
--              return -EINTR;
--
--      if (component->enabled)
--              ret = disable_component(instance, component);
--
--      ret = destroy_component(instance, component);
--
--      mutex_unlock(&instance->vchiq_mutex);
--
--      return ret;
--}
--
--/*
-- * cause a mmal component to be enabled
-- */
--int vchiq_mmal_component_enable(struct vchiq_mmal_instance *instance,
--                              struct vchiq_mmal_component *component)
--{
--      int ret;
--
--      if (mutex_lock_interruptible(&instance->vchiq_mutex))
--              return -EINTR;
--
--      if (component->enabled) {
--              mutex_unlock(&instance->vchiq_mutex);
--              return 0;
--      }
--
--      ret = enable_component(instance, component);
--      if (ret == 0)
--              component->enabled = true;
--
--      mutex_unlock(&instance->vchiq_mutex);
--
--      return ret;
--}
--
--/*
-- * cause a mmal component to be enabled
-- */
--int vchiq_mmal_component_disable(struct vchiq_mmal_instance *instance,
--                               struct vchiq_mmal_component *component)
--{
--      int ret;
--
--      if (mutex_lock_interruptible(&instance->vchiq_mutex))
--              return -EINTR;
--
--      if (!component->enabled) {
--              mutex_unlock(&instance->vchiq_mutex);
--              return 0;
--      }
--
--      ret = disable_component(instance, component);
--      if (ret == 0)
--              component->enabled = 0;
--
--      mutex_unlock(&instance->vchiq_mutex);
--
--      return ret;
--}
--
--int vchiq_mmal_version(struct vchiq_mmal_instance *instance,
--                     u32 *major_out, u32 *minor_out)
--{
--      int ret;
--
--      if (mutex_lock_interruptible(&instance->vchiq_mutex))
--              return -EINTR;
--
--      ret = get_version(instance, major_out, minor_out);
--
--      mutex_unlock(&instance->vchiq_mutex);
--
--      return ret;
--}
--
--int vchiq_mmal_finalise(struct vchiq_mmal_instance *instance)
--{
--      int status = 0;
--
--      if (!instance)
--              return -EINVAL;
--
--      if (mutex_lock_interruptible(&instance->vchiq_mutex))
--              return -EINTR;
--
--      vchi_service_use(instance->handle);
--
--      status = vchi_service_close(instance->handle);
--      if (status != 0)
--              pr_err("mmal-vchiq: VCHIQ close failed\n");
--
--      mutex_unlock(&instance->vchiq_mutex);
--
--      flush_workqueue(instance->bulk_wq);
--      destroy_workqueue(instance->bulk_wq);
--
--      vfree(instance->bulk_scratch);
--
--      idr_destroy(&instance->context_map);
--
--      kfree(instance);
--
--      return status;
--}
--
--int vchiq_mmal_init(struct vchiq_mmal_instance **out_instance)
--{
--      int status;
--      struct vchiq_mmal_instance *instance;
--      static VCHI_INSTANCE_T vchi_instance;
--      struct service_creation params = {
--              .version                = VCHI_VERSION_EX(VC_MMAL_VER, VC_MMAL_MIN_VER),
--              .service_id             = VC_MMAL_SERVER_NAME,
--              .callback               = service_callback,
--              .callback_param         = NULL,
--      };
--
--      /* compile time checks to ensure structure size as they are
--       * directly (de)serialised from memory.
--       */
--
--      /* ensure the header structure has packed to the correct size */
--      BUILD_BUG_ON(sizeof(struct mmal_msg_header) != 24);
--
--      /* ensure message structure does not exceed maximum length */
--      BUILD_BUG_ON(sizeof(struct mmal_msg) > MMAL_MSG_MAX_SIZE);
--
--      /* mmal port struct is correct size */
--      BUILD_BUG_ON(sizeof(struct mmal_port) != 64);
--
--      /* create a vchi instance */
--      status = vchi_initialise(&vchi_instance);
--      if (status) {
--              pr_err("Failed to initialise VCHI instance (status=%d)\n",
--                     status);
--              return -EIO;
--      }
--
--      status = vchi_connect(vchi_instance);
--      if (status) {
--              pr_err("Failed to connect VCHI instance (status=%d)\n", status);
--              return -EIO;
--      }
--
--      instance = kzalloc(sizeof(*instance), GFP_KERNEL);
--
--      if (!instance)
--              return -ENOMEM;
--
--      mutex_init(&instance->vchiq_mutex);
--
--      instance->bulk_scratch = vmalloc(PAGE_SIZE);
--
--      mutex_init(&instance->context_map_lock);
--      idr_init_base(&instance->context_map, 1);
--
--      params.callback_param = instance;
--
--      instance->bulk_wq = alloc_ordered_workqueue("mmal-vchiq",
--                                                  WQ_MEM_RECLAIM);
--      if (!instance->bulk_wq)
--              goto err_free;
--
--      status = vchi_service_open(vchi_instance, &params, &instance->handle);
--      if (status) {
--              pr_err("Failed to open VCHI service connection (status=%d)\n",
--                     status);
--              goto err_close_services;
--      }
--
--      vchi_service_release(instance->handle);
--
--      *out_instance = instance;
--
--      return 0;
--
--err_close_services:
--      vchi_service_close(instance->handle);
--      destroy_workqueue(instance->bulk_wq);
--err_free:
--      vfree(instance->bulk_scratch);
--      kfree(instance);
--      return -ENODEV;
--}
---- /dev/null
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -0,0 +1,1913 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * Broadcom BM2835 V4L2 driver
-+ *
-+ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-+ *
-+ * Authors: Vincent Sanders @ Collabora
-+ *          Dave Stevenson @ Broadcom
-+ *            (now dave.stevenson@raspberrypi.org)
-+ *          Simon Mellor @ Broadcom
-+ *          Luke Diamand @ Broadcom
-+ *
-+ * V4L2 driver MMAL vchiq interface code
-+ */
-+
-+#define pr_fmt(fmt) KBUILD_MODNAME ": " fmt
-+
-+#include <linux/errno.h>
-+#include <linux/kernel.h>
-+#include <linux/module.h>
-+#include <linux/mutex.h>
-+#include <linux/mm.h>
-+#include <linux/slab.h>
-+#include <linux/completion.h>
-+#include <linux/vmalloc.h>
-+#include <media/videobuf2-vmalloc.h>
-+
-+#include "mmal-common.h"
-+#include "mmal-vchiq.h"
-+#include "mmal-msg.h"
-+
-+#define USE_VCHIQ_ARM
-+#include "interface/vchi/vchi.h"
-+
-+MODULE_DESCRIPTION("BCM2835 MMAL VCHIQ interface");
-+MODULE_AUTHOR("Dave Stevenson, <dave.stevenson@raspberrypi.org>");
-+MODULE_LICENSE("GPL");
-+MODULE_VERSION("0.0.1");
-+
-+/* maximum number of components supported */
-+#define VCHIQ_MMAL_MAX_COMPONENTS 4
-+
-+/*#define FULL_MSG_DUMP 1*/
-+
-+#ifdef DEBUG
-+static const char *const msg_type_names[] = {
-+      "UNKNOWN",
-+      "QUIT",
-+      "SERVICE_CLOSED",
-+      "GET_VERSION",
-+      "COMPONENT_CREATE",
-+      "COMPONENT_DESTROY",
-+      "COMPONENT_ENABLE",
-+      "COMPONENT_DISABLE",
-+      "PORT_INFO_GET",
-+      "PORT_INFO_SET",
-+      "PORT_ACTION",
-+      "BUFFER_FROM_HOST",
-+      "BUFFER_TO_HOST",
-+      "GET_STATS",
-+      "PORT_PARAMETER_SET",
-+      "PORT_PARAMETER_GET",
-+      "EVENT_TO_HOST",
-+      "GET_CORE_STATS_FOR_PORT",
-+      "OPAQUE_ALLOCATOR",
-+      "CONSUME_MEM",
-+      "LMK",
-+      "OPAQUE_ALLOCATOR_DESC",
-+      "DRM_GET_LHS32",
-+      "DRM_GET_TIME",
-+      "BUFFER_FROM_HOST_ZEROLEN",
-+      "PORT_FLUSH",
-+      "HOST_LOG",
-+};
-+#endif
-+
-+static const char *const port_action_type_names[] = {
-+      "UNKNOWN",
-+      "ENABLE",
-+      "DISABLE",
-+      "FLUSH",
-+      "CONNECT",
-+      "DISCONNECT",
-+      "SET_REQUIREMENTS",
-+};
-+
-+#if defined(DEBUG)
-+#if defined(FULL_MSG_DUMP)
-+#define DBG_DUMP_MSG(MSG, MSG_LEN, TITLE)                             \
-+      do {                                                            \
-+              pr_debug(TITLE" type:%s(%d) length:%d\n",               \
-+                       msg_type_names[(MSG)->h.type],                 \
-+                       (MSG)->h.type, (MSG_LEN));                     \
-+              print_hex_dump(KERN_DEBUG, "<<h: ", DUMP_PREFIX_OFFSET, \
-+                             16, 4, (MSG),                            \
-+                             sizeof(struct mmal_msg_header), 1);      \
-+              print_hex_dump(KERN_DEBUG, "<<p: ", DUMP_PREFIX_OFFSET, \
-+                             16, 4,                                   \
-+                             ((u8 *)(MSG)) + sizeof(struct mmal_msg_header),\
-+                             (MSG_LEN) - sizeof(struct mmal_msg_header), 1); \
-+      } while (0)
-+#else
-+#define DBG_DUMP_MSG(MSG, MSG_LEN, TITLE)                             \
-+      {                                                               \
-+              pr_debug(TITLE" type:%s(%d) length:%d\n",               \
-+                       msg_type_names[(MSG)->h.type],                 \
-+                       (MSG)->h.type, (MSG_LEN));                     \
-+      }
-+#endif
-+#else
-+#define DBG_DUMP_MSG(MSG, MSG_LEN, TITLE)
-+#endif
-+
-+struct vchiq_mmal_instance;
-+
-+/* normal message context */
-+struct mmal_msg_context {
-+      struct vchiq_mmal_instance *instance;
-+
-+      /* Index in the context_map idr so that we can find the
-+       * mmal_msg_context again when servicing the VCHI reply.
-+       */
-+      int handle;
-+
-+      union {
-+              struct {
-+                      /* work struct for buffer_cb callback */
-+                      struct work_struct work;
-+                      /* work struct for deferred callback */
-+                      struct work_struct buffer_to_host_work;
-+                      /* mmal instance */
-+                      struct vchiq_mmal_instance *instance;
-+                      /* mmal port */
-+                      struct vchiq_mmal_port *port;
-+                      /* actual buffer used to store bulk reply */
-+                      struct mmal_buffer *buffer;
-+                      /* amount of buffer used */
-+                      unsigned long buffer_used;
-+                      /* MMAL buffer flags */
-+                      u32 mmal_flags;
-+                      /* Presentation and Decode timestamps */
-+                      s64 pts;
-+                      s64 dts;
-+
-+                      int status;     /* context status */
-+
-+              } bulk;         /* bulk data */
-+
-+              struct {
-+                      /* message handle to release */
-+                      struct vchi_held_msg msg_handle;
-+                      /* pointer to received message */
-+                      struct mmal_msg *msg;
-+                      /* received message length */
-+                      u32 msg_len;
-+                      /* completion upon reply */
-+                      struct completion cmplt;
-+              } sync;         /* synchronous response */
-+      } u;
-+
-+};
-+
-+struct vchiq_mmal_instance {
-+      VCHI_SERVICE_HANDLE_T handle;
-+
-+      /* ensure serialised access to service */
-+      struct mutex vchiq_mutex;
-+
-+      /* vmalloc page to receive scratch bulk xfers into */
-+      void *bulk_scratch;
-+
-+      struct idr context_map;
-+      /* protect accesses to context_map */
-+      struct mutex context_map_lock;
-+
-+      /* component to use next */
-+      int component_idx;
-+      struct vchiq_mmal_component component[VCHIQ_MMAL_MAX_COMPONENTS];
-+
-+      /* ordered workqueue to process all bulk operations */
-+      struct workqueue_struct *bulk_wq;
-+};
-+
-+static struct mmal_msg_context *
-+get_msg_context(struct vchiq_mmal_instance *instance)
-+{
-+      struct mmal_msg_context *msg_context;
-+      int handle;
-+
-+      /* todo: should this be allocated from a pool to avoid kzalloc */
-+      msg_context = kzalloc(sizeof(*msg_context), GFP_KERNEL);
-+
-+      if (!msg_context)
-+              return ERR_PTR(-ENOMEM);
-+
-+      /* Create an ID that will be passed along with our message so
-+       * that when we service the VCHI reply, we can look up what
-+       * message is being replied to.
-+       */
-+      mutex_lock(&instance->context_map_lock);
-+      handle = idr_alloc(&instance->context_map, msg_context,
-+                         0, 0, GFP_KERNEL);
-+      mutex_unlock(&instance->context_map_lock);
-+
-+      if (handle < 0) {
-+              kfree(msg_context);
-+              return ERR_PTR(handle);
-+      }
-+
-+      msg_context->instance = instance;
-+      msg_context->handle = handle;
-+
-+      return msg_context;
-+}
-+
-+static struct mmal_msg_context *
-+lookup_msg_context(struct vchiq_mmal_instance *instance, int handle)
-+{
-+      return idr_find(&instance->context_map, handle);
-+}
-+
-+static void
-+release_msg_context(struct mmal_msg_context *msg_context)
-+{
-+      struct vchiq_mmal_instance *instance = msg_context->instance;
-+
-+      mutex_lock(&instance->context_map_lock);
-+      idr_remove(&instance->context_map, msg_context->handle);
-+      mutex_unlock(&instance->context_map_lock);
-+      kfree(msg_context);
-+}
-+
-+/* deals with receipt of event to host message */
-+static void event_to_host_cb(struct vchiq_mmal_instance *instance,
-+                           struct mmal_msg *msg, u32 msg_len)
-+{
-+      pr_debug("unhandled event\n");
-+      pr_debug("component:%u port type:%d num:%d cmd:0x%x length:%d\n",
-+               msg->u.event_to_host.client_component,
-+               msg->u.event_to_host.port_type,
-+               msg->u.event_to_host.port_num,
-+               msg->u.event_to_host.cmd, msg->u.event_to_host.length);
-+}
-+
-+/* workqueue scheduled callback
-+ *
-+ * we do this because it is important we do not call any other vchiq
-+ * sync calls from witin the message delivery thread
-+ */
-+static void buffer_work_cb(struct work_struct *work)
-+{
-+      struct mmal_msg_context *msg_context =
-+              container_of(work, struct mmal_msg_context, u.bulk.work);
-+
-+      atomic_dec(&msg_context->u.bulk.port->buffers_with_vpu);
-+
-+      msg_context->u.bulk.port->buffer_cb(msg_context->u.bulk.instance,
-+                                          msg_context->u.bulk.port,
-+                                          msg_context->u.bulk.status,
-+                                          msg_context->u.bulk.buffer,
-+                                          msg_context->u.bulk.buffer_used,
-+                                          msg_context->u.bulk.mmal_flags,
-+                                          msg_context->u.bulk.dts,
-+                                          msg_context->u.bulk.pts);
-+}
-+
-+/* workqueue scheduled callback to handle receiving buffers
-+ *
-+ * VCHI will allow up to 4 bulk receives to be scheduled before blocking.
-+ * If we block in the service_callback context then we can't process the
-+ * VCHI_CALLBACK_BULK_RECEIVED message that would otherwise allow the blocked
-+ * vchi_bulk_queue_receive() call to complete.
-+ */
-+static void buffer_to_host_work_cb(struct work_struct *work)
-+{
-+      struct mmal_msg_context *msg_context =
-+              container_of(work, struct mmal_msg_context,
-+                           u.bulk.buffer_to_host_work);
-+      struct vchiq_mmal_instance *instance = msg_context->instance;
-+      unsigned long len = msg_context->u.bulk.buffer_used;
-+      int ret;
-+
-+      if (!len)
-+              /* Dummy receive to ensure the buffers remain in order */
-+              len = 8;
-+      /* queue the bulk submission */
-+      vchi_service_use(instance->handle);
-+      ret = vchi_bulk_queue_receive(instance->handle,
-+                                    msg_context->u.bulk.buffer->buffer,
-+                                    /* Actual receive needs to be a multiple
-+                                     * of 4 bytes
-+                                     */
-+                                    (len + 3) & ~3,
-+                                    VCHI_FLAGS_CALLBACK_WHEN_OP_COMPLETE |
-+                                    VCHI_FLAGS_BLOCK_UNTIL_QUEUED,
-+                                    msg_context);
-+
-+      vchi_service_release(instance->handle);
-+
-+      if (ret != 0)
-+              pr_err("%s: ctx: %p, vchi_bulk_queue_receive failed %d\n",
-+                     __func__, msg_context, ret);
-+}
-+
-+/* enqueue a bulk receive for a given message context */
-+static int bulk_receive(struct vchiq_mmal_instance *instance,
-+                      struct mmal_msg *msg,
-+                      struct mmal_msg_context *msg_context)
-+{
-+      unsigned long rd_len;
-+
-+      rd_len = msg->u.buffer_from_host.buffer_header.length;
-+
-+      if (!msg_context->u.bulk.buffer) {
-+              pr_err("bulk.buffer not configured - error in buffer_from_host\n");
-+
-+              /* todo: this is a serious error, we should never have
-+               * committed a buffer_to_host operation to the mmal
-+               * port without the buffer to back it up (underflow
-+               * handling) and there is no obvious way to deal with
-+               * this - how is the mmal servie going to react when
-+               * we fail to do the xfer and reschedule a buffer when
-+               * it arrives? perhaps a starved flag to indicate a
-+               * waiting bulk receive?
-+               */
-+
-+              return -EINVAL;
-+      }
-+
-+      /* ensure we do not overrun the available buffer */
-+      if (rd_len > msg_context->u.bulk.buffer->buffer_size) {
-+              rd_len = msg_context->u.bulk.buffer->buffer_size;
-+              pr_warn("short read as not enough receive buffer space\n");
-+              /* todo: is this the correct response, what happens to
-+               * the rest of the message data?
-+               */
-+      }
-+
-+      /* store length */
-+      msg_context->u.bulk.buffer_used = rd_len;
-+      msg_context->u.bulk.dts = msg->u.buffer_from_host.buffer_header.dts;
-+      msg_context->u.bulk.pts = msg->u.buffer_from_host.buffer_header.pts;
-+
-+      queue_work(msg_context->instance->bulk_wq,
-+                 &msg_context->u.bulk.buffer_to_host_work);
-+
-+      return 0;
-+}
-+
-+/* data in message, memcpy from packet into output buffer */
-+static int inline_receive(struct vchiq_mmal_instance *instance,
-+                        struct mmal_msg *msg,
-+                        struct mmal_msg_context *msg_context)
-+{
-+      memcpy(msg_context->u.bulk.buffer->buffer,
-+             msg->u.buffer_from_host.short_data,
-+             msg->u.buffer_from_host.payload_in_message);
-+
-+      msg_context->u.bulk.buffer_used =
-+          msg->u.buffer_from_host.payload_in_message;
-+
-+      return 0;
-+}
-+
-+/* queue the buffer availability with MMAL_MSG_TYPE_BUFFER_FROM_HOST */
-+static int
-+buffer_from_host(struct vchiq_mmal_instance *instance,
-+               struct vchiq_mmal_port *port, struct mmal_buffer *buf)
-+{
-+      struct mmal_msg_context *msg_context;
-+      struct mmal_msg m;
-+      int ret;
-+
-+      if (!port->enabled)
-+              return -EINVAL;
-+
-+      pr_debug("instance:%p buffer:%p\n", instance->handle, buf);
-+
-+      /* get context */
-+      if (!buf->msg_context) {
-+              pr_err("%s: msg_context not allocated, buf %p\n", __func__,
-+                     buf);
-+              return -EINVAL;
-+      }
-+      msg_context = buf->msg_context;
-+
-+      /* store bulk message context for when data arrives */
-+      msg_context->u.bulk.instance = instance;
-+      msg_context->u.bulk.port = port;
-+      msg_context->u.bulk.buffer = buf;
-+      msg_context->u.bulk.buffer_used = 0;
-+
-+      /* initialise work structure ready to schedule callback */
-+      INIT_WORK(&msg_context->u.bulk.work, buffer_work_cb);
-+      INIT_WORK(&msg_context->u.bulk.buffer_to_host_work,
-+                buffer_to_host_work_cb);
-+
-+      atomic_inc(&port->buffers_with_vpu);
-+
-+      /* prep the buffer from host message */
-+      memset(&m, 0xbc, sizeof(m));    /* just to make debug clearer */
-+
-+      m.h.type = MMAL_MSG_TYPE_BUFFER_FROM_HOST;
-+      m.h.magic = MMAL_MAGIC;
-+      m.h.context = msg_context->handle;
-+      m.h.status = 0;
-+
-+      /* drvbuf is our private data passed back */
-+      m.u.buffer_from_host.drvbuf.magic = MMAL_MAGIC;
-+      m.u.buffer_from_host.drvbuf.component_handle = port->component->handle;
-+      m.u.buffer_from_host.drvbuf.port_handle = port->handle;
-+      m.u.buffer_from_host.drvbuf.client_context = msg_context->handle;
-+
-+      /* buffer header */
-+      m.u.buffer_from_host.buffer_header.cmd = 0;
-+      m.u.buffer_from_host.buffer_header.data =
-+              (u32)(unsigned long)buf->buffer;
-+      m.u.buffer_from_host.buffer_header.alloc_size = buf->buffer_size;
-+      m.u.buffer_from_host.buffer_header.length = 0;  /* nothing used yet */
-+      m.u.buffer_from_host.buffer_header.offset = 0;  /* no offset */
-+      m.u.buffer_from_host.buffer_header.flags = 0;   /* no flags */
-+      m.u.buffer_from_host.buffer_header.pts = MMAL_TIME_UNKNOWN;
-+      m.u.buffer_from_host.buffer_header.dts = MMAL_TIME_UNKNOWN;
-+
-+      /* clear buffer type sepecific data */
-+      memset(&m.u.buffer_from_host.buffer_header_type_specific, 0,
-+             sizeof(m.u.buffer_from_host.buffer_header_type_specific));
-+
-+      /* no payload in message */
-+      m.u.buffer_from_host.payload_in_message = 0;
-+
-+      vchi_service_use(instance->handle);
-+
-+      ret = vchi_queue_kernel_message(instance->handle,
-+                                      &m,
-+                                      sizeof(struct mmal_msg_header) +
-+                                      sizeof(m.u.buffer_from_host));
-+
-+      vchi_service_release(instance->handle);
-+
-+      return ret;
-+}
-+
-+/* deals with receipt of buffer to host message */
-+static void buffer_to_host_cb(struct vchiq_mmal_instance *instance,
-+                            struct mmal_msg *msg, u32 msg_len)
-+{
-+      struct mmal_msg_context *msg_context;
-+      u32 handle;
-+
-+      pr_debug("%s: instance:%p msg:%p msg_len:%d\n",
-+               __func__, instance, msg, msg_len);
-+
-+      if (msg->u.buffer_from_host.drvbuf.magic == MMAL_MAGIC) {
-+              handle = msg->u.buffer_from_host.drvbuf.client_context;
-+              msg_context = lookup_msg_context(instance, handle);
-+
-+              if (!msg_context) {
-+                      pr_err("drvbuf.client_context(%u) is invalid\n",
-+                             handle);
-+                      return;
-+              }
-+      } else {
-+              pr_err("MMAL_MSG_TYPE_BUFFER_TO_HOST with bad magic\n");
-+              return;
-+      }
-+
-+      msg_context->u.bulk.mmal_flags =
-+                              msg->u.buffer_from_host.buffer_header.flags;
-+
-+      if (msg->h.status != MMAL_MSG_STATUS_SUCCESS) {
-+              /* message reception had an error */
-+              pr_warn("error %d in reply\n", msg->h.status);
-+
-+              msg_context->u.bulk.status = msg->h.status;
-+
-+      } else if (msg->u.buffer_from_host.buffer_header.length == 0) {
-+              /* empty buffer */
-+              if (msg->u.buffer_from_host.buffer_header.flags &
-+                  MMAL_BUFFER_HEADER_FLAG_EOS) {
-+                      msg_context->u.bulk.status =
-+                          bulk_receive(instance, msg, msg_context);
-+                      if (msg_context->u.bulk.status == 0)
-+                              return; /* successful bulk submission, bulk
-+                                       * completion will trigger callback
-+                                       */
-+              } else {
-+                      /* do callback with empty buffer - not EOS though */
-+                      msg_context->u.bulk.status = 0;
-+                      msg_context->u.bulk.buffer_used = 0;
-+              }
-+      } else if (msg->u.buffer_from_host.payload_in_message == 0) {
-+              /* data is not in message, queue a bulk receive */
-+              msg_context->u.bulk.status =
-+                  bulk_receive(instance, msg, msg_context);
-+              if (msg_context->u.bulk.status == 0)
-+                      return; /* successful bulk submission, bulk
-+                               * completion will trigger callback
-+                               */
-+
-+              /* failed to submit buffer, this will end badly */
-+              pr_err("error %d on bulk submission\n",
-+                     msg_context->u.bulk.status);
-+
-+      } else if (msg->u.buffer_from_host.payload_in_message <=
-+                 MMAL_VC_SHORT_DATA) {
-+              /* data payload within message */
-+              msg_context->u.bulk.status = inline_receive(instance, msg,
-+                                                          msg_context);
-+      } else {
-+              pr_err("message with invalid short payload\n");
-+
-+              /* signal error */
-+              msg_context->u.bulk.status = -EINVAL;
-+              msg_context->u.bulk.buffer_used =
-+                  msg->u.buffer_from_host.payload_in_message;
-+      }
-+
-+      /* schedule the port callback */
-+      schedule_work(&msg_context->u.bulk.work);
-+}
-+
-+static void bulk_receive_cb(struct vchiq_mmal_instance *instance,
-+                          struct mmal_msg_context *msg_context)
-+{
-+      msg_context->u.bulk.status = 0;
-+
-+      /* schedule the port callback */
-+      schedule_work(&msg_context->u.bulk.work);
-+}
-+
-+static void bulk_abort_cb(struct vchiq_mmal_instance *instance,
-+                        struct mmal_msg_context *msg_context)
-+{
-+      pr_err("%s: bulk ABORTED msg_context:%p\n", __func__, msg_context);
-+
-+      msg_context->u.bulk.status = -EINTR;
-+
-+      schedule_work(&msg_context->u.bulk.work);
-+}
-+
-+/* incoming event service callback */
-+static void service_callback(void *param,
-+                           const VCHI_CALLBACK_REASON_T reason,
-+                           void *bulk_ctx)
-+{
-+      struct vchiq_mmal_instance *instance = param;
-+      int status;
-+      u32 msg_len;
-+      struct mmal_msg *msg;
-+      struct vchi_held_msg msg_handle;
-+      struct mmal_msg_context *msg_context;
-+
-+      if (!instance) {
-+              pr_err("Message callback passed NULL instance\n");
-+              return;
-+      }
-+
-+      switch (reason) {
-+      case VCHI_CALLBACK_MSG_AVAILABLE:
-+              status = vchi_msg_hold(instance->handle, (void **)&msg,
-+                                     &msg_len, VCHI_FLAGS_NONE, &msg_handle);
-+              if (status) {
-+                      pr_err("Unable to dequeue a message (%d)\n", status);
-+                      break;
-+              }
-+
-+              DBG_DUMP_MSG(msg, msg_len, "<<< reply message");
-+
-+              /* handling is different for buffer messages */
-+              switch (msg->h.type) {
-+              case MMAL_MSG_TYPE_BUFFER_FROM_HOST:
-+                      vchi_held_msg_release(&msg_handle);
-+                      break;
-+
-+              case MMAL_MSG_TYPE_EVENT_TO_HOST:
-+                      event_to_host_cb(instance, msg, msg_len);
-+                      vchi_held_msg_release(&msg_handle);
-+
-+                      break;
-+
-+              case MMAL_MSG_TYPE_BUFFER_TO_HOST:
-+                      buffer_to_host_cb(instance, msg, msg_len);
-+                      vchi_held_msg_release(&msg_handle);
-+                      break;
-+
-+              default:
-+                      /* messages dependent on header context to complete */
-+                      if (!msg->h.context) {
-+                              pr_err("received message context was null!\n");
-+                              vchi_held_msg_release(&msg_handle);
-+                              break;
-+                      }
-+
-+                      msg_context = lookup_msg_context(instance,
-+                                                       msg->h.context);
-+                      if (!msg_context) {
-+                              pr_err("received invalid message context %u!\n",
-+                                     msg->h.context);
-+                              vchi_held_msg_release(&msg_handle);
-+                              break;
-+                      }
-+
-+                      /* fill in context values */
-+                      msg_context->u.sync.msg_handle = msg_handle;
-+                      msg_context->u.sync.msg = msg;
-+                      msg_context->u.sync.msg_len = msg_len;
-+
-+                      /* todo: should this check (completion_done()
-+                       * == 1) for no one waiting? or do we need a
-+                       * flag to tell us the completion has been
-+                       * interrupted so we can free the message and
-+                       * its context. This probably also solves the
-+                       * message arriving after interruption todo
-+                       * below
-+                       */
-+
-+                      /* complete message so caller knows it happened */
-+                      complete(&msg_context->u.sync.cmplt);
-+                      break;
-+              }
-+
-+              break;
-+
-+      case VCHI_CALLBACK_BULK_RECEIVED:
-+              bulk_receive_cb(instance, bulk_ctx);
-+              break;
-+
-+      case VCHI_CALLBACK_BULK_RECEIVE_ABORTED:
-+              bulk_abort_cb(instance, bulk_ctx);
-+              break;
-+
-+      case VCHI_CALLBACK_SERVICE_CLOSED:
-+              /* TODO: consider if this requires action if received when
-+               * driver is not explicitly closing the service
-+               */
-+              break;
-+
-+      default:
-+              pr_err("Received unhandled message reason %d\n", reason);
-+              break;
-+      }
-+}
-+
-+static int send_synchronous_mmal_msg(struct vchiq_mmal_instance *instance,
-+                                   struct mmal_msg *msg,
-+                                   unsigned int payload_len,
-+                                   struct mmal_msg **msg_out,
-+                                   struct vchi_held_msg *msg_handle_out)
-+{
-+      struct mmal_msg_context *msg_context;
-+      int ret;
-+      unsigned long timeout;
-+
-+      /* payload size must not cause message to exceed max size */
-+      if (payload_len >
-+          (MMAL_MSG_MAX_SIZE - sizeof(struct mmal_msg_header))) {
-+              pr_err("payload length %d exceeds max:%d\n", payload_len,
-+                     (int)(MMAL_MSG_MAX_SIZE -
-+                          sizeof(struct mmal_msg_header)));
-+              return -EINVAL;
-+      }
-+
-+      msg_context = get_msg_context(instance);
-+      if (IS_ERR(msg_context))
-+              return PTR_ERR(msg_context);
-+
-+      init_completion(&msg_context->u.sync.cmplt);
-+
-+      msg->h.magic = MMAL_MAGIC;
-+      msg->h.context = msg_context->handle;
-+      msg->h.status = 0;
-+
-+      DBG_DUMP_MSG(msg, (sizeof(struct mmal_msg_header) + payload_len),
-+                   ">>> sync message");
-+
-+      vchi_service_use(instance->handle);
-+
-+      ret = vchi_queue_kernel_message(instance->handle,
-+                                      msg,
-+                                      sizeof(struct mmal_msg_header) +
-+                                      payload_len);
-+
-+      vchi_service_release(instance->handle);
-+
-+      if (ret) {
-+              pr_err("error %d queuing message\n", ret);
-+              release_msg_context(msg_context);
-+              return ret;
-+      }
-+
-+      timeout = wait_for_completion_timeout(&msg_context->u.sync.cmplt,
-+                                            3 * HZ);
-+      if (timeout == 0) {
-+              pr_err("timed out waiting for sync completion\n");
-+              ret = -ETIME;
-+              /* todo: what happens if the message arrives after aborting */
-+              release_msg_context(msg_context);
-+              return ret;
-+      }
-+
-+      *msg_out = msg_context->u.sync.msg;
-+      *msg_handle_out = msg_context->u.sync.msg_handle;
-+      release_msg_context(msg_context);
-+
-+      return 0;
-+}
-+
-+static void dump_port_info(struct vchiq_mmal_port *port)
-+{
-+      pr_debug("port handle:0x%x enabled:%d\n", port->handle, port->enabled);
-+
-+      pr_debug("buffer minimum num:%d size:%d align:%d\n",
-+               port->minimum_buffer.num,
-+               port->minimum_buffer.size, port->minimum_buffer.alignment);
-+
-+      pr_debug("buffer recommended num:%d size:%d align:%d\n",
-+               port->recommended_buffer.num,
-+               port->recommended_buffer.size,
-+               port->recommended_buffer.alignment);
-+
-+      pr_debug("buffer current values num:%d size:%d align:%d\n",
-+               port->current_buffer.num,
-+               port->current_buffer.size, port->current_buffer.alignment);
-+
-+      pr_debug("elementary stream: type:%d encoding:0x%x variant:0x%x\n",
-+               port->format.type,
-+               port->format.encoding, port->format.encoding_variant);
-+
-+      pr_debug("                  bitrate:%d flags:0x%x\n",
-+               port->format.bitrate, port->format.flags);
-+
-+      if (port->format.type == MMAL_ES_TYPE_VIDEO) {
-+              pr_debug
-+                  ("es video format: width:%d height:%d colourspace:0x%x\n",
-+                   port->es.video.width, port->es.video.height,
-+                   port->es.video.color_space);
-+
-+              pr_debug("               : crop xywh %d,%d,%d,%d\n",
-+                       port->es.video.crop.x,
-+                       port->es.video.crop.y,
-+                       port->es.video.crop.width, port->es.video.crop.height);
-+              pr_debug("               : framerate %d/%d  aspect %d/%d\n",
-+                       port->es.video.frame_rate.num,
-+                       port->es.video.frame_rate.den,
-+                       port->es.video.par.num, port->es.video.par.den);
-+      }
-+}
-+
-+static void port_to_mmal_msg(struct vchiq_mmal_port *port, struct mmal_port *p)
-+{
-+      /* todo do readonly fields need setting at all? */
-+      p->type = port->type;
-+      p->index = port->index;
-+      p->index_all = 0;
-+      p->is_enabled = port->enabled;
-+      p->buffer_num_min = port->minimum_buffer.num;
-+      p->buffer_size_min = port->minimum_buffer.size;
-+      p->buffer_alignment_min = port->minimum_buffer.alignment;
-+      p->buffer_num_recommended = port->recommended_buffer.num;
-+      p->buffer_size_recommended = port->recommended_buffer.size;
-+
-+      /* only three writable fields in a port */
-+      p->buffer_num = port->current_buffer.num;
-+      p->buffer_size = port->current_buffer.size;
-+      p->userdata = (u32)(unsigned long)port;
-+}
-+
-+static int port_info_set(struct vchiq_mmal_instance *instance,
-+                       struct vchiq_mmal_port *port)
-+{
-+      int ret;
-+      struct mmal_msg m;
-+      struct mmal_msg *rmsg;
-+      struct vchi_held_msg rmsg_handle;
-+
-+      pr_debug("setting port info port %p\n", port);
-+      if (!port)
-+              return -1;
-+      dump_port_info(port);
-+
-+      m.h.type = MMAL_MSG_TYPE_PORT_INFO_SET;
-+
-+      m.u.port_info_set.component_handle = port->component->handle;
-+      m.u.port_info_set.port_type = port->type;
-+      m.u.port_info_set.port_index = port->index;
-+
-+      port_to_mmal_msg(port, &m.u.port_info_set.port);
-+
-+      /* elementary stream format setup */
-+      m.u.port_info_set.format.type = port->format.type;
-+      m.u.port_info_set.format.encoding = port->format.encoding;
-+      m.u.port_info_set.format.encoding_variant =
-+          port->format.encoding_variant;
-+      m.u.port_info_set.format.bitrate = port->format.bitrate;
-+      m.u.port_info_set.format.flags = port->format.flags;
-+
-+      memcpy(&m.u.port_info_set.es, &port->es,
-+             sizeof(union mmal_es_specific_format));
-+
-+      m.u.port_info_set.format.extradata_size = port->format.extradata_size;
-+      memcpy(&m.u.port_info_set.extradata, port->format.extradata,
-+             port->format.extradata_size);
-+
-+      ret = send_synchronous_mmal_msg(instance, &m,
-+                                      sizeof(m.u.port_info_set),
-+                                      &rmsg, &rmsg_handle);
-+      if (ret)
-+              return ret;
-+
-+      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_INFO_SET) {
-+              /* got an unexpected message type in reply */
-+              ret = -EINVAL;
-+              goto release_msg;
-+      }
-+
-+      /* return operation status */
-+      ret = -rmsg->u.port_info_get_reply.status;
-+
-+      pr_debug("%s:result:%d component:0x%x port:%d\n", __func__, ret,
-+               port->component->handle, port->handle);
-+
-+release_msg:
-+      vchi_held_msg_release(&rmsg_handle);
-+
-+      return ret;
-+}
-+
-+/* use port info get message to retrieve port information */
-+static int port_info_get(struct vchiq_mmal_instance *instance,
-+                       struct vchiq_mmal_port *port)
-+{
-+      int ret;
-+      struct mmal_msg m;
-+      struct mmal_msg *rmsg;
-+      struct vchi_held_msg rmsg_handle;
-+
-+      /* port info time */
-+      m.h.type = MMAL_MSG_TYPE_PORT_INFO_GET;
-+      m.u.port_info_get.component_handle = port->component->handle;
-+      m.u.port_info_get.port_type = port->type;
-+      m.u.port_info_get.index = port->index;
-+
-+      ret = send_synchronous_mmal_msg(instance, &m,
-+                                      sizeof(m.u.port_info_get),
-+                                      &rmsg, &rmsg_handle);
-+      if (ret)
-+              return ret;
-+
-+      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_INFO_GET) {
-+              /* got an unexpected message type in reply */
-+              ret = -EINVAL;
-+              goto release_msg;
-+      }
-+
-+      /* return operation status */
-+      ret = -rmsg->u.port_info_get_reply.status;
-+      if (ret != MMAL_MSG_STATUS_SUCCESS)
-+              goto release_msg;
-+
-+      if (rmsg->u.port_info_get_reply.port.is_enabled == 0)
-+              port->enabled = 0;
-+      else
-+              port->enabled = 1;
-+
-+      /* copy the values out of the message */
-+      port->handle = rmsg->u.port_info_get_reply.port_handle;
-+
-+      /* port type and index cached to use on port info set because
-+       * it does not use a port handle
-+       */
-+      port->type = rmsg->u.port_info_get_reply.port_type;
-+      port->index = rmsg->u.port_info_get_reply.port_index;
-+
-+      port->minimum_buffer.num =
-+          rmsg->u.port_info_get_reply.port.buffer_num_min;
-+      port->minimum_buffer.size =
-+          rmsg->u.port_info_get_reply.port.buffer_size_min;
-+      port->minimum_buffer.alignment =
-+          rmsg->u.port_info_get_reply.port.buffer_alignment_min;
-+
-+      port->recommended_buffer.alignment =
-+          rmsg->u.port_info_get_reply.port.buffer_alignment_min;
-+      port->recommended_buffer.num =
-+          rmsg->u.port_info_get_reply.port.buffer_num_recommended;
-+
-+      port->current_buffer.num = rmsg->u.port_info_get_reply.port.buffer_num;
-+      port->current_buffer.size =
-+          rmsg->u.port_info_get_reply.port.buffer_size;
-+
-+      /* stream format */
-+      port->format.type = rmsg->u.port_info_get_reply.format.type;
-+      port->format.encoding = rmsg->u.port_info_get_reply.format.encoding;
-+      port->format.encoding_variant =
-+          rmsg->u.port_info_get_reply.format.encoding_variant;
-+      port->format.bitrate = rmsg->u.port_info_get_reply.format.bitrate;
-+      port->format.flags = rmsg->u.port_info_get_reply.format.flags;
-+
-+      /* elementary stream format */
-+      memcpy(&port->es,
-+             &rmsg->u.port_info_get_reply.es,
-+             sizeof(union mmal_es_specific_format));
-+      port->format.es = &port->es;
-+
-+      port->format.extradata_size =
-+          rmsg->u.port_info_get_reply.format.extradata_size;
-+      memcpy(port->format.extradata,
-+             rmsg->u.port_info_get_reply.extradata,
-+             port->format.extradata_size);
-+
-+      pr_debug("received port info\n");
-+      dump_port_info(port);
-+
-+release_msg:
-+
-+      pr_debug("%s:result:%d component:0x%x port:%d\n",
-+               __func__, ret, port->component->handle, port->handle);
-+
-+      vchi_held_msg_release(&rmsg_handle);
-+
-+      return ret;
-+}
-+
-+/* create comonent on vc */
-+static int create_component(struct vchiq_mmal_instance *instance,
-+                          struct vchiq_mmal_component *component,
-+                          const char *name)
-+{
-+      int ret;
-+      struct mmal_msg m;
-+      struct mmal_msg *rmsg;
-+      struct vchi_held_msg rmsg_handle;
-+
-+      /* build component create message */
-+      m.h.type = MMAL_MSG_TYPE_COMPONENT_CREATE;
-+      m.u.component_create.client_component = (u32)(unsigned long)component;
-+      strncpy(m.u.component_create.name, name,
-+              sizeof(m.u.component_create.name));
-+
-+      ret = send_synchronous_mmal_msg(instance, &m,
-+                                      sizeof(m.u.component_create),
-+                                      &rmsg, &rmsg_handle);
-+      if (ret)
-+              return ret;
-+
-+      if (rmsg->h.type != m.h.type) {
-+              /* got an unexpected message type in reply */
-+              ret = -EINVAL;
-+              goto release_msg;
-+      }
-+
-+      ret = -rmsg->u.component_create_reply.status;
-+      if (ret != MMAL_MSG_STATUS_SUCCESS)
-+              goto release_msg;
-+
-+      /* a valid component response received */
-+      component->handle = rmsg->u.component_create_reply.component_handle;
-+      component->inputs = rmsg->u.component_create_reply.input_num;
-+      component->outputs = rmsg->u.component_create_reply.output_num;
-+      component->clocks = rmsg->u.component_create_reply.clock_num;
-+
-+      pr_debug("Component handle:0x%x in:%d out:%d clock:%d\n",
-+               component->handle,
-+               component->inputs, component->outputs, component->clocks);
-+
-+release_msg:
-+      vchi_held_msg_release(&rmsg_handle);
-+
-+      return ret;
-+}
-+
-+/* destroys a component on vc */
-+static int destroy_component(struct vchiq_mmal_instance *instance,
-+                           struct vchiq_mmal_component *component)
-+{
-+      int ret;
-+      struct mmal_msg m;
-+      struct mmal_msg *rmsg;
-+      struct vchi_held_msg rmsg_handle;
-+
-+      m.h.type = MMAL_MSG_TYPE_COMPONENT_DESTROY;
-+      m.u.component_destroy.component_handle = component->handle;
-+
-+      ret = send_synchronous_mmal_msg(instance, &m,
-+                                      sizeof(m.u.component_destroy),
-+                                      &rmsg, &rmsg_handle);
-+      if (ret)
-+              return ret;
-+
-+      if (rmsg->h.type != m.h.type) {
-+              /* got an unexpected message type in reply */
-+              ret = -EINVAL;
-+              goto release_msg;
-+      }
-+
-+      ret = -rmsg->u.component_destroy_reply.status;
-+
-+release_msg:
-+
-+      vchi_held_msg_release(&rmsg_handle);
-+
-+      return ret;
-+}
-+
-+/* enable a component on vc */
-+static int enable_component(struct vchiq_mmal_instance *instance,
-+                          struct vchiq_mmal_component *component)
-+{
-+      int ret;
-+      struct mmal_msg m;
-+      struct mmal_msg *rmsg;
-+      struct vchi_held_msg rmsg_handle;
-+
-+      m.h.type = MMAL_MSG_TYPE_COMPONENT_ENABLE;
-+      m.u.component_enable.component_handle = component->handle;
-+
-+      ret = send_synchronous_mmal_msg(instance, &m,
-+                                      sizeof(m.u.component_enable),
-+                                      &rmsg, &rmsg_handle);
-+      if (ret)
-+              return ret;
-+
-+      if (rmsg->h.type != m.h.type) {
-+              /* got an unexpected message type in reply */
-+              ret = -EINVAL;
-+              goto release_msg;
-+      }
-+
-+      ret = -rmsg->u.component_enable_reply.status;
-+
-+release_msg:
-+      vchi_held_msg_release(&rmsg_handle);
-+
-+      return ret;
-+}
-+
-+/* disable a component on vc */
-+static int disable_component(struct vchiq_mmal_instance *instance,
-+                           struct vchiq_mmal_component *component)
-+{
-+      int ret;
-+      struct mmal_msg m;
-+      struct mmal_msg *rmsg;
-+      struct vchi_held_msg rmsg_handle;
-+
-+      m.h.type = MMAL_MSG_TYPE_COMPONENT_DISABLE;
-+      m.u.component_disable.component_handle = component->handle;
-+
-+      ret = send_synchronous_mmal_msg(instance, &m,
-+                                      sizeof(m.u.component_disable),
-+                                      &rmsg, &rmsg_handle);
-+      if (ret)
-+              return ret;
-+
-+      if (rmsg->h.type != m.h.type) {
-+              /* got an unexpected message type in reply */
-+              ret = -EINVAL;
-+              goto release_msg;
-+      }
-+
-+      ret = -rmsg->u.component_disable_reply.status;
-+
-+release_msg:
-+
-+      vchi_held_msg_release(&rmsg_handle);
-+
-+      return ret;
-+}
-+
-+/* get version of mmal implementation */
-+static int get_version(struct vchiq_mmal_instance *instance,
-+                     u32 *major_out, u32 *minor_out)
-+{
-+      int ret;
-+      struct mmal_msg m;
-+      struct mmal_msg *rmsg;
-+      struct vchi_held_msg rmsg_handle;
-+
-+      m.h.type = MMAL_MSG_TYPE_GET_VERSION;
-+
-+      ret = send_synchronous_mmal_msg(instance, &m,
-+                                      sizeof(m.u.version),
-+                                      &rmsg, &rmsg_handle);
-+      if (ret)
-+              return ret;
-+
-+      if (rmsg->h.type != m.h.type) {
-+              /* got an unexpected message type in reply */
-+              ret = -EINVAL;
-+              goto release_msg;
-+      }
-+
-+      *major_out = rmsg->u.version.major;
-+      *minor_out = rmsg->u.version.minor;
-+
-+release_msg:
-+      vchi_held_msg_release(&rmsg_handle);
-+
-+      return ret;
-+}
-+
-+/* do a port action with a port as a parameter */
-+static int port_action_port(struct vchiq_mmal_instance *instance,
-+                          struct vchiq_mmal_port *port,
-+                          enum mmal_msg_port_action_type action_type)
-+{
-+      int ret;
-+      struct mmal_msg m;
-+      struct mmal_msg *rmsg;
-+      struct vchi_held_msg rmsg_handle;
-+
-+      m.h.type = MMAL_MSG_TYPE_PORT_ACTION;
-+      m.u.port_action_port.component_handle = port->component->handle;
-+      m.u.port_action_port.port_handle = port->handle;
-+      m.u.port_action_port.action = action_type;
-+
-+      port_to_mmal_msg(port, &m.u.port_action_port.port);
-+
-+      ret = send_synchronous_mmal_msg(instance, &m,
-+                                      sizeof(m.u.port_action_port),
-+                                      &rmsg, &rmsg_handle);
-+      if (ret)
-+              return ret;
-+
-+      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_ACTION) {
-+              /* got an unexpected message type in reply */
-+              ret = -EINVAL;
-+              goto release_msg;
-+      }
-+
-+      ret = -rmsg->u.port_action_reply.status;
-+
-+      pr_debug("%s:result:%d component:0x%x port:%d action:%s(%d)\n",
-+               __func__,
-+               ret, port->component->handle, port->handle,
-+               port_action_type_names[action_type], action_type);
-+
-+release_msg:
-+      vchi_held_msg_release(&rmsg_handle);
-+
-+      return ret;
-+}
-+
-+/* do a port action with handles as parameters */
-+static int port_action_handle(struct vchiq_mmal_instance *instance,
-+                            struct vchiq_mmal_port *port,
-+                            enum mmal_msg_port_action_type action_type,
-+                            u32 connect_component_handle,
-+                            u32 connect_port_handle)
-+{
-+      int ret;
-+      struct mmal_msg m;
-+      struct mmal_msg *rmsg;
-+      struct vchi_held_msg rmsg_handle;
-+
-+      m.h.type = MMAL_MSG_TYPE_PORT_ACTION;
-+
-+      m.u.port_action_handle.component_handle = port->component->handle;
-+      m.u.port_action_handle.port_handle = port->handle;
-+      m.u.port_action_handle.action = action_type;
-+
-+      m.u.port_action_handle.connect_component_handle =
-+          connect_component_handle;
-+      m.u.port_action_handle.connect_port_handle = connect_port_handle;
-+
-+      ret = send_synchronous_mmal_msg(instance, &m,
-+                                      sizeof(m.u.port_action_handle),
-+                                      &rmsg, &rmsg_handle);
-+      if (ret)
-+              return ret;
-+
-+      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_ACTION) {
-+              /* got an unexpected message type in reply */
-+              ret = -EINVAL;
-+              goto release_msg;
-+      }
-+
-+      ret = -rmsg->u.port_action_reply.status;
-+
-+      pr_debug("%s:result:%d component:0x%x port:%d action:%s(%d) connect component:0x%x connect port:%d\n",
-+               __func__,
-+               ret, port->component->handle, port->handle,
-+               port_action_type_names[action_type],
-+               action_type, connect_component_handle, connect_port_handle);
-+
-+release_msg:
-+      vchi_held_msg_release(&rmsg_handle);
-+
-+      return ret;
-+}
-+
-+static int port_parameter_set(struct vchiq_mmal_instance *instance,
-+                            struct vchiq_mmal_port *port,
-+                            u32 parameter_id, void *value, u32 value_size)
-+{
-+      int ret;
-+      struct mmal_msg m;
-+      struct mmal_msg *rmsg;
-+      struct vchi_held_msg rmsg_handle;
-+
-+      m.h.type = MMAL_MSG_TYPE_PORT_PARAMETER_SET;
-+
-+      m.u.port_parameter_set.component_handle = port->component->handle;
-+      m.u.port_parameter_set.port_handle = port->handle;
-+      m.u.port_parameter_set.id = parameter_id;
-+      m.u.port_parameter_set.size = (2 * sizeof(u32)) + value_size;
-+      memcpy(&m.u.port_parameter_set.value, value, value_size);
-+
-+      ret = send_synchronous_mmal_msg(instance, &m,
-+                                      (4 * sizeof(u32)) + value_size,
-+                                      &rmsg, &rmsg_handle);
-+      if (ret)
-+              return ret;
-+
-+      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_PARAMETER_SET) {
-+              /* got an unexpected message type in reply */
-+              ret = -EINVAL;
-+              goto release_msg;
-+      }
-+
-+      ret = -rmsg->u.port_parameter_set_reply.status;
-+
-+      pr_debug("%s:result:%d component:0x%x port:%d parameter:%d\n",
-+               __func__,
-+               ret, port->component->handle, port->handle, parameter_id);
-+
-+release_msg:
-+      vchi_held_msg_release(&rmsg_handle);
-+
-+      return ret;
-+}
-+
-+static int port_parameter_get(struct vchiq_mmal_instance *instance,
-+                            struct vchiq_mmal_port *port,
-+                            u32 parameter_id, void *value, u32 *value_size)
-+{
-+      int ret;
-+      struct mmal_msg m;
-+      struct mmal_msg *rmsg;
-+      struct vchi_held_msg rmsg_handle;
-+
-+      m.h.type = MMAL_MSG_TYPE_PORT_PARAMETER_GET;
-+
-+      m.u.port_parameter_get.component_handle = port->component->handle;
-+      m.u.port_parameter_get.port_handle = port->handle;
-+      m.u.port_parameter_get.id = parameter_id;
-+      m.u.port_parameter_get.size = (2 * sizeof(u32)) + *value_size;
-+
-+      ret = send_synchronous_mmal_msg(instance, &m,
-+                                      sizeof(struct
-+                                             mmal_msg_port_parameter_get),
-+                                      &rmsg, &rmsg_handle);
-+      if (ret)
-+              return ret;
-+
-+      if (rmsg->h.type != MMAL_MSG_TYPE_PORT_PARAMETER_GET) {
-+              /* got an unexpected message type in reply */
-+              pr_err("Incorrect reply type %d\n", rmsg->h.type);
-+              ret = -EINVAL;
-+              goto release_msg;
-+      }
-+
-+      ret = -rmsg->u.port_parameter_get_reply.status;
-+      /* port_parameter_get_reply.size includes the header,
-+       * whilst *value_size doesn't.
-+       */
-+      rmsg->u.port_parameter_get_reply.size -= (2 * sizeof(u32));
-+
-+      if (ret || rmsg->u.port_parameter_get_reply.size > *value_size) {
-+              /* Copy only as much as we have space for
-+               * but report true size of parameter
-+               */
-+              memcpy(value, &rmsg->u.port_parameter_get_reply.value,
-+                     *value_size);
-+              *value_size = rmsg->u.port_parameter_get_reply.size;
-+      } else {
-+              memcpy(value, &rmsg->u.port_parameter_get_reply.value,
-+                     rmsg->u.port_parameter_get_reply.size);
-+      }
-+
-+      pr_debug("%s:result:%d component:0x%x port:%d parameter:%d\n", __func__,
-+               ret, port->component->handle, port->handle, parameter_id);
-+
-+release_msg:
-+      vchi_held_msg_release(&rmsg_handle);
-+
-+      return ret;
-+}
-+
-+/* disables a port and drains buffers from it */
-+static int port_disable(struct vchiq_mmal_instance *instance,
-+                      struct vchiq_mmal_port *port)
-+{
-+      int ret;
-+      struct list_head *q, *buf_head;
-+      unsigned long flags = 0;
-+
-+      if (!port->enabled)
-+              return 0;
-+
-+      port->enabled = 0;
-+
-+      ret = port_action_port(instance, port,
-+                             MMAL_MSG_PORT_ACTION_TYPE_DISABLE);
-+      if (ret == 0) {
-+              /*
-+               * Drain all queued buffers on port. This should only
-+               * apply to buffers that have been queued before the port
-+               * has been enabled. If the port has been enabled and buffers
-+               * passed, then the buffers should have been removed from this
-+               * list, and we should get the relevant callbacks via VCHIQ
-+               * to release the buffers.
-+               */
-+              spin_lock_irqsave(&port->slock, flags);
-+
-+              list_for_each_safe(buf_head, q, &port->buffers) {
-+                      struct mmal_buffer *mmalbuf;
-+
-+                      mmalbuf = list_entry(buf_head, struct mmal_buffer,
-+                                           list);
-+                      list_del(buf_head);
-+                      if (port->buffer_cb)
-+                              port->buffer_cb(instance,
-+                                              port, 0, mmalbuf, 0, 0,
-+                                              MMAL_TIME_UNKNOWN,
-+                                              MMAL_TIME_UNKNOWN);
-+              }
-+
-+              spin_unlock_irqrestore(&port->slock, flags);
-+
-+              ret = port_info_get(instance, port);
-+      }
-+
-+      return ret;
-+}
-+
-+/* enable a port */
-+static int port_enable(struct vchiq_mmal_instance *instance,
-+                     struct vchiq_mmal_port *port)
-+{
-+      unsigned int hdr_count;
-+      struct list_head *q, *buf_head;
-+      int ret;
-+
-+      if (port->enabled)
-+              return 0;
-+
-+      ret = port_action_port(instance, port,
-+                             MMAL_MSG_PORT_ACTION_TYPE_ENABLE);
-+      if (ret)
-+              goto done;
-+
-+      port->enabled = 1;
-+
-+      if (port->buffer_cb) {
-+              /* send buffer headers to videocore */
-+              hdr_count = 1;
-+              list_for_each_safe(buf_head, q, &port->buffers) {
-+                      struct mmal_buffer *mmalbuf;
-+
-+                      mmalbuf = list_entry(buf_head, struct mmal_buffer,
-+                                           list);
-+                      ret = buffer_from_host(instance, port, mmalbuf);
-+                      if (ret)
-+                              goto done;
-+
-+                      list_del(buf_head);
-+                      hdr_count++;
-+                      if (hdr_count > port->current_buffer.num)
-+                              break;
-+              }
-+      }
-+
-+      ret = port_info_get(instance, port);
-+
-+done:
-+      return ret;
-+}
-+
-+/* ------------------------------------------------------------------
-+ * Exported API
-+ *------------------------------------------------------------------
-+ */
-+
-+int vchiq_mmal_port_set_format(struct vchiq_mmal_instance *instance,
-+                             struct vchiq_mmal_port *port)
-+{
-+      int ret;
-+
-+      if (mutex_lock_interruptible(&instance->vchiq_mutex))
-+              return -EINTR;
-+
-+      ret = port_info_set(instance, port);
-+      if (ret)
-+              goto release_unlock;
-+
-+      /* read what has actually been set */
-+      ret = port_info_get(instance, port);
-+
-+release_unlock:
-+      mutex_unlock(&instance->vchiq_mutex);
-+
-+      return ret;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_port_set_format);
-+
-+int vchiq_mmal_port_parameter_set(struct vchiq_mmal_instance *instance,
-+                                struct vchiq_mmal_port *port,
-+                                u32 parameter, void *value, u32 value_size)
-+{
-+      int ret;
-+
-+      if (mutex_lock_interruptible(&instance->vchiq_mutex))
-+              return -EINTR;
-+
-+      ret = port_parameter_set(instance, port, parameter, value, value_size);
-+
-+      mutex_unlock(&instance->vchiq_mutex);
-+
-+      return ret;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_port_parameter_set);
-+
-+int vchiq_mmal_port_parameter_get(struct vchiq_mmal_instance *instance,
-+                                struct vchiq_mmal_port *port,
-+                                u32 parameter, void *value, u32 *value_size)
-+{
-+      int ret;
-+
-+      if (mutex_lock_interruptible(&instance->vchiq_mutex))
-+              return -EINTR;
-+
-+      ret = port_parameter_get(instance, port, parameter, value, value_size);
-+
-+      mutex_unlock(&instance->vchiq_mutex);
-+
-+      return ret;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_port_parameter_get);
-+
-+/* enable a port
-+ *
-+ * enables a port and queues buffers for satisfying callbacks if we
-+ * provide a callback handler
-+ */
-+int vchiq_mmal_port_enable(struct vchiq_mmal_instance *instance,
-+                         struct vchiq_mmal_port *port,
-+                         vchiq_mmal_buffer_cb buffer_cb)
-+{
-+      int ret;
-+
-+      if (mutex_lock_interruptible(&instance->vchiq_mutex))
-+              return -EINTR;
-+
-+      /* already enabled - noop */
-+      if (port->enabled) {
-+              ret = 0;
-+              goto unlock;
-+      }
-+
-+      port->buffer_cb = buffer_cb;
-+
-+      ret = port_enable(instance, port);
-+
-+unlock:
-+      mutex_unlock(&instance->vchiq_mutex);
-+
-+      return ret;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_port_enable);
-+
-+int vchiq_mmal_port_disable(struct vchiq_mmal_instance *instance,
-+                          struct vchiq_mmal_port *port)
-+{
-+      int ret;
-+
-+      if (mutex_lock_interruptible(&instance->vchiq_mutex))
-+              return -EINTR;
-+
-+      if (!port->enabled) {
-+              mutex_unlock(&instance->vchiq_mutex);
-+              return 0;
-+      }
-+
-+      ret = port_disable(instance, port);
-+
-+      mutex_unlock(&instance->vchiq_mutex);
-+
-+      return ret;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_port_disable);
-+
-+/* ports will be connected in a tunneled manner so data buffers
-+ * are not handled by client.
-+ */
-+int vchiq_mmal_port_connect_tunnel(struct vchiq_mmal_instance *instance,
-+                                 struct vchiq_mmal_port *src,
-+                                 struct vchiq_mmal_port *dst)
-+{
-+      int ret;
-+
-+      if (mutex_lock_interruptible(&instance->vchiq_mutex))
-+              return -EINTR;
-+
-+      /* disconnect ports if connected */
-+      if (src->connected) {
-+              ret = port_disable(instance, src);
-+              if (ret) {
-+                      pr_err("failed disabling src port(%d)\n", ret);
-+                      goto release_unlock;
-+              }
-+
-+              /* do not need to disable the destination port as they
-+               * are connected and it is done automatically
-+               */
-+
-+              ret = port_action_handle(instance, src,
-+                                       MMAL_MSG_PORT_ACTION_TYPE_DISCONNECT,
-+                                       src->connected->component->handle,
-+                                       src->connected->handle);
-+              if (ret < 0) {
-+                      pr_err("failed disconnecting src port\n");
-+                      goto release_unlock;
-+              }
-+              src->connected->enabled = 0;
-+              src->connected = NULL;
-+      }
-+
-+      if (!dst) {
-+              /* do not make new connection */
-+              ret = 0;
-+              pr_debug("not making new connection\n");
-+              goto release_unlock;
-+      }
-+
-+      /* copy src port format to dst */
-+      dst->format.encoding = src->format.encoding;
-+      dst->es.video.width = src->es.video.width;
-+      dst->es.video.height = src->es.video.height;
-+      dst->es.video.crop.x = src->es.video.crop.x;
-+      dst->es.video.crop.y = src->es.video.crop.y;
-+      dst->es.video.crop.width = src->es.video.crop.width;
-+      dst->es.video.crop.height = src->es.video.crop.height;
-+      dst->es.video.frame_rate.num = src->es.video.frame_rate.num;
-+      dst->es.video.frame_rate.den = src->es.video.frame_rate.den;
-+
-+      /* set new format */
-+      ret = port_info_set(instance, dst);
-+      if (ret) {
-+              pr_debug("setting port info failed\n");
-+              goto release_unlock;
-+      }
-+
-+      /* read what has actually been set */
-+      ret = port_info_get(instance, dst);
-+      if (ret) {
-+              pr_debug("read back port info failed\n");
-+              goto release_unlock;
-+      }
-+
-+      /* connect two ports together */
-+      ret = port_action_handle(instance, src,
-+                               MMAL_MSG_PORT_ACTION_TYPE_CONNECT,
-+                               dst->component->handle, dst->handle);
-+      if (ret < 0) {
-+              pr_debug("connecting port %d:%d to %d:%d failed\n",
-+                       src->component->handle, src->handle,
-+                       dst->component->handle, dst->handle);
-+              goto release_unlock;
-+      }
-+      src->connected = dst;
-+
-+release_unlock:
-+
-+      mutex_unlock(&instance->vchiq_mutex);
-+
-+      return ret;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_port_connect_tunnel);
-+
-+int vchiq_mmal_submit_buffer(struct vchiq_mmal_instance *instance,
-+                           struct vchiq_mmal_port *port,
-+                           struct mmal_buffer *buffer)
-+{
-+      unsigned long flags = 0;
-+      int ret;
-+
-+      ret = buffer_from_host(instance, port, buffer);
-+      if (ret == -EINVAL) {
-+              /* Port is disabled. Queue for when it is enabled. */
-+              spin_lock_irqsave(&port->slock, flags);
-+              list_add_tail(&buffer->list, &port->buffers);
-+              spin_unlock_irqrestore(&port->slock, flags);
-+      }
-+
-+      return 0;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_submit_buffer);
-+
-+int mmal_vchi_buffer_init(struct vchiq_mmal_instance *instance,
-+                        struct mmal_buffer *buf)
-+{
-+      struct mmal_msg_context *msg_context = get_msg_context(instance);
-+
-+      if (IS_ERR(msg_context))
-+              return (PTR_ERR(msg_context));
-+
-+      buf->msg_context = msg_context;
-+      return 0;
-+}
-+EXPORT_SYMBOL_GPL(mmal_vchi_buffer_init);
-+
-+int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf)
-+{
-+      struct mmal_msg_context *msg_context = buf->msg_context;
-+
-+      if (msg_context)
-+              release_msg_context(msg_context);
-+      buf->msg_context = NULL;
-+
-+      return 0;
-+}
-+EXPORT_SYMBOL_GPL(mmal_vchi_buffer_cleanup);
-+
-+/* Initialise a mmal component and its ports
-+ *
-+ */
-+int vchiq_mmal_component_init(struct vchiq_mmal_instance *instance,
-+                            const char *name,
-+                            struct vchiq_mmal_component **component_out)
-+{
-+      int ret;
-+      int idx;                /* port index */
-+      struct vchiq_mmal_component *component;
-+
-+      if (mutex_lock_interruptible(&instance->vchiq_mutex))
-+              return -EINTR;
-+
-+      if (instance->component_idx == VCHIQ_MMAL_MAX_COMPONENTS) {
-+              ret = -EINVAL;  /* todo is this correct error? */
-+              goto unlock;
-+      }
-+
-+      component = &instance->component[instance->component_idx];
-+
-+      ret = create_component(instance, component, name);
-+      if (ret < 0) {
-+              pr_err("%s: failed to create component %d (Not enough GPU mem?)\n",
-+                     __func__, ret);
-+              goto unlock;
-+      }
-+
-+      /* ports info needs gathering */
-+      component->control.type = MMAL_PORT_TYPE_CONTROL;
-+      component->control.index = 0;
-+      component->control.component = component;
-+      spin_lock_init(&component->control.slock);
-+      INIT_LIST_HEAD(&component->control.buffers);
-+      ret = port_info_get(instance, &component->control);
-+      if (ret < 0)
-+              goto release_component;
-+
-+      for (idx = 0; idx < component->inputs; idx++) {
-+              component->input[idx].type = MMAL_PORT_TYPE_INPUT;
-+              component->input[idx].index = idx;
-+              component->input[idx].component = component;
-+              spin_lock_init(&component->input[idx].slock);
-+              INIT_LIST_HEAD(&component->input[idx].buffers);
-+              ret = port_info_get(instance, &component->input[idx]);
-+              if (ret < 0)
-+                      goto release_component;
-+      }
-+
-+      for (idx = 0; idx < component->outputs; idx++) {
-+              component->output[idx].type = MMAL_PORT_TYPE_OUTPUT;
-+              component->output[idx].index = idx;
-+              component->output[idx].component = component;
-+              spin_lock_init(&component->output[idx].slock);
-+              INIT_LIST_HEAD(&component->output[idx].buffers);
-+              ret = port_info_get(instance, &component->output[idx]);
-+              if (ret < 0)
-+                      goto release_component;
-+      }
-+
-+      for (idx = 0; idx < component->clocks; idx++) {
-+              component->clock[idx].type = MMAL_PORT_TYPE_CLOCK;
-+              component->clock[idx].index = idx;
-+              component->clock[idx].component = component;
-+              spin_lock_init(&component->clock[idx].slock);
-+              INIT_LIST_HEAD(&component->clock[idx].buffers);
-+              ret = port_info_get(instance, &component->clock[idx]);
-+              if (ret < 0)
-+                      goto release_component;
-+      }
-+
-+      instance->component_idx++;
-+
-+      *component_out = component;
-+
-+      mutex_unlock(&instance->vchiq_mutex);
-+
-+      return 0;
-+
-+release_component:
-+      destroy_component(instance, component);
-+unlock:
-+      mutex_unlock(&instance->vchiq_mutex);
-+
-+      return ret;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_component_init);
-+
-+/*
-+ * cause a mmal component to be destroyed
-+ */
-+int vchiq_mmal_component_finalise(struct vchiq_mmal_instance *instance,
-+                                struct vchiq_mmal_component *component)
-+{
-+      int ret;
-+
-+      if (mutex_lock_interruptible(&instance->vchiq_mutex))
-+              return -EINTR;
-+
-+      if (component->enabled)
-+              ret = disable_component(instance, component);
-+
-+      ret = destroy_component(instance, component);
-+
-+      mutex_unlock(&instance->vchiq_mutex);
-+
-+      return ret;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_component_finalise);
-+
-+/*
-+ * cause a mmal component to be enabled
-+ */
-+int vchiq_mmal_component_enable(struct vchiq_mmal_instance *instance,
-+                              struct vchiq_mmal_component *component)
-+{
-+      int ret;
-+
-+      if (mutex_lock_interruptible(&instance->vchiq_mutex))
-+              return -EINTR;
-+
-+      if (component->enabled) {
-+              mutex_unlock(&instance->vchiq_mutex);
-+              return 0;
-+      }
-+
-+      ret = enable_component(instance, component);
-+      if (ret == 0)
-+              component->enabled = true;
-+
-+      mutex_unlock(&instance->vchiq_mutex);
-+
-+      return ret;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_component_enable);
-+
-+/*
-+ * cause a mmal component to be enabled
-+ */
-+int vchiq_mmal_component_disable(struct vchiq_mmal_instance *instance,
-+                               struct vchiq_mmal_component *component)
-+{
-+      int ret;
-+
-+      if (mutex_lock_interruptible(&instance->vchiq_mutex))
-+              return -EINTR;
-+
-+      if (!component->enabled) {
-+              mutex_unlock(&instance->vchiq_mutex);
-+              return 0;
-+      }
-+
-+      ret = disable_component(instance, component);
-+      if (ret == 0)
-+              component->enabled = 0;
-+
-+      mutex_unlock(&instance->vchiq_mutex);
-+
-+      return ret;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_component_disable);
-+
-+int vchiq_mmal_version(struct vchiq_mmal_instance *instance,
-+                     u32 *major_out, u32 *minor_out)
-+{
-+      int ret;
-+
-+      if (mutex_lock_interruptible(&instance->vchiq_mutex))
-+              return -EINTR;
-+
-+      ret = get_version(instance, major_out, minor_out);
-+
-+      mutex_unlock(&instance->vchiq_mutex);
-+
-+      return ret;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_version);
-+
-+int vchiq_mmal_finalise(struct vchiq_mmal_instance *instance)
-+{
-+      int status = 0;
-+
-+      if (!instance)
-+              return -EINVAL;
-+
-+      if (mutex_lock_interruptible(&instance->vchiq_mutex))
-+              return -EINTR;
-+
-+      vchi_service_use(instance->handle);
-+
-+      status = vchi_service_close(instance->handle);
-+      if (status != 0)
-+              pr_err("mmal-vchiq: VCHIQ close failed\n");
-+
-+      mutex_unlock(&instance->vchiq_mutex);
-+
-+      flush_workqueue(instance->bulk_wq);
-+      destroy_workqueue(instance->bulk_wq);
-+
-+      vfree(instance->bulk_scratch);
-+
-+      idr_destroy(&instance->context_map);
-+
-+      kfree(instance);
-+
-+      return status;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_finalise);
-+
-+int vchiq_mmal_init(struct vchiq_mmal_instance **out_instance)
-+{
-+      int status;
-+      struct vchiq_mmal_instance *instance;
-+      static VCHI_INSTANCE_T vchi_instance;
-+      struct service_creation params = {
-+              .version                = VCHI_VERSION_EX(VC_MMAL_VER, VC_MMAL_MIN_VER),
-+              .service_id             = VC_MMAL_SERVER_NAME,
-+              .callback               = service_callback,
-+              .callback_param         = NULL,
-+      };
-+
-+      /* compile time checks to ensure structure size as they are
-+       * directly (de)serialised from memory.
-+       */
-+
-+      /* ensure the header structure has packed to the correct size */
-+      BUILD_BUG_ON(sizeof(struct mmal_msg_header) != 24);
-+
-+      /* ensure message structure does not exceed maximum length */
-+      BUILD_BUG_ON(sizeof(struct mmal_msg) > MMAL_MSG_MAX_SIZE);
-+
-+      /* mmal port struct is correct size */
-+      BUILD_BUG_ON(sizeof(struct mmal_port) != 64);
-+
-+      /* create a vchi instance */
-+      status = vchi_initialise(&vchi_instance);
-+      if (status) {
-+              pr_err("Failed to initialise VCHI instance (status=%d)\n",
-+                     status);
-+              return -EIO;
-+      }
-+
-+      status = vchi_connect(vchi_instance);
-+      if (status) {
-+              pr_err("Failed to connect VCHI instance (status=%d)\n", status);
-+              return -EIO;
-+      }
-+
-+      instance = kzalloc(sizeof(*instance), GFP_KERNEL);
-+
-+      if (!instance)
-+              return -ENOMEM;
-+
-+      mutex_init(&instance->vchiq_mutex);
-+
-+      instance->bulk_scratch = vmalloc(PAGE_SIZE);
-+
-+      mutex_init(&instance->context_map_lock);
-+      idr_init_base(&instance->context_map, 1);
-+
-+      params.callback_param = instance;
-+
-+      instance->bulk_wq = alloc_ordered_workqueue("mmal-vchiq",
-+                                                  WQ_MEM_RECLAIM);
-+      if (!instance->bulk_wq)
-+              goto err_free;
-+
-+      status = vchi_service_open(vchi_instance, &params, &instance->handle);
-+      if (status) {
-+              pr_err("Failed to open VCHI service connection (status=%d)\n",
-+                     status);
-+              goto err_close_services;
-+      }
-+
-+      vchi_service_release(instance->handle);
-+
-+      *out_instance = instance;
-+
-+      return 0;
-+
-+err_close_services:
-+      vchi_service_close(instance->handle);
-+      destroy_workqueue(instance->bulk_wq);
-+err_free:
-+      vfree(instance->bulk_scratch);
-+      kfree(instance);
-+      return -ENODEV;
-+}
-+EXPORT_SYMBOL_GPL(vchiq_mmal_init);
---- a/drivers/staging/vc04_services/bcm2835-camera/mmal-common.h
-+++ /dev/null
-@@ -1,60 +0,0 @@
--/* SPDX-License-Identifier: GPL-2.0 */
--/*
-- * Broadcom BM2835 V4L2 driver
-- *
-- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-- *
-- * Authors: Vincent Sanders @ Collabora
-- *          Dave Stevenson @ Broadcom
-- *            (now dave.stevenson@raspberrypi.org)
-- *          Simon Mellor @ Broadcom
-- *          Luke Diamand @ Broadcom
-- *
-- * MMAL structures
-- *
-- */
--#ifndef MMAL_COMMON_H
--#define MMAL_COMMON_H
--
--#define MMAL_FOURCC(a, b, c, d) ((a) | (b << 8) | (c << 16) | (d << 24))
--#define MMAL_MAGIC MMAL_FOURCC('m', 'm', 'a', 'l')
--
--/** Special value signalling that time is not known */
--#define MMAL_TIME_UNKNOWN BIT_ULL(63)
--
--struct mmal_msg_context;
--
--/* mapping between v4l and mmal video modes */
--struct mmal_fmt {
--      u32   fourcc;          /* v4l2 format id */
--      int   flags;           /* v4l2 flags field */
--      u32   mmal;
--      int   depth;
--      u32   mmal_component;  /* MMAL component index to be used to encode */
--      u32   ybbp;            /* depth of first Y plane for planar formats */
--      bool  remove_padding;  /* Does the GPU have to remove padding,
--                              * or can we do hide padding via bytesperline.
--                              */
--};
--
--/* buffer for one video frame */
--struct mmal_buffer {
--      /* v4l buffer data -- must be first */
--      struct vb2_v4l2_buffer  vb;
--
--      /* list of buffers available */
--      struct list_head        list;
--
--      void *buffer; /* buffer pointer */
--      unsigned long buffer_size; /* size of allocated buffer */
--
--      struct mmal_msg_context *msg_context;
--};
--
--/* */
--struct mmal_colourfx {
--      s32 enable;
--      u32 u;
--      u32 v;
--};
--#endif
---- a/drivers/staging/vc04_services/bcm2835-camera/mmal-encodings.h
-+++ /dev/null
-@@ -1,124 +0,0 @@
--/* SPDX-License-Identifier: GPL-2.0 */
--/*
-- * Broadcom BM2835 V4L2 driver
-- *
-- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-- *
-- * Authors: Vincent Sanders @ Collabora
-- *          Dave Stevenson @ Broadcom
-- *            (now dave.stevenson@raspberrypi.org)
-- *          Simon Mellor @ Broadcom
-- *          Luke Diamand @ Broadcom
-- */
--#ifndef MMAL_ENCODINGS_H
--#define MMAL_ENCODINGS_H
--
--#define MMAL_ENCODING_H264             MMAL_FOURCC('H', '2', '6', '4')
--#define MMAL_ENCODING_H263             MMAL_FOURCC('H', '2', '6', '3')
--#define MMAL_ENCODING_MP4V             MMAL_FOURCC('M', 'P', '4', 'V')
--#define MMAL_ENCODING_MP2V             MMAL_FOURCC('M', 'P', '2', 'V')
--#define MMAL_ENCODING_MP1V             MMAL_FOURCC('M', 'P', '1', 'V')
--#define MMAL_ENCODING_WMV3             MMAL_FOURCC('W', 'M', 'V', '3')
--#define MMAL_ENCODING_WMV2             MMAL_FOURCC('W', 'M', 'V', '2')
--#define MMAL_ENCODING_WMV1             MMAL_FOURCC('W', 'M', 'V', '1')
--#define MMAL_ENCODING_WVC1             MMAL_FOURCC('W', 'V', 'C', '1')
--#define MMAL_ENCODING_VP8              MMAL_FOURCC('V', 'P', '8', ' ')
--#define MMAL_ENCODING_VP7              MMAL_FOURCC('V', 'P', '7', ' ')
--#define MMAL_ENCODING_VP6              MMAL_FOURCC('V', 'P', '6', ' ')
--#define MMAL_ENCODING_THEORA           MMAL_FOURCC('T', 'H', 'E', 'O')
--#define MMAL_ENCODING_SPARK            MMAL_FOURCC('S', 'P', 'R', 'K')
--#define MMAL_ENCODING_MJPEG            MMAL_FOURCC('M', 'J', 'P', 'G')
--
--#define MMAL_ENCODING_JPEG             MMAL_FOURCC('J', 'P', 'E', 'G')
--#define MMAL_ENCODING_GIF              MMAL_FOURCC('G', 'I', 'F', ' ')
--#define MMAL_ENCODING_PNG              MMAL_FOURCC('P', 'N', 'G', ' ')
--#define MMAL_ENCODING_PPM              MMAL_FOURCC('P', 'P', 'M', ' ')
--#define MMAL_ENCODING_TGA              MMAL_FOURCC('T', 'G', 'A', ' ')
--#define MMAL_ENCODING_BMP              MMAL_FOURCC('B', 'M', 'P', ' ')
--
--#define MMAL_ENCODING_I420             MMAL_FOURCC('I', '4', '2', '0')
--#define MMAL_ENCODING_I420_SLICE       MMAL_FOURCC('S', '4', '2', '0')
--#define MMAL_ENCODING_YV12             MMAL_FOURCC('Y', 'V', '1', '2')
--#define MMAL_ENCODING_I422             MMAL_FOURCC('I', '4', '2', '2')
--#define MMAL_ENCODING_I422_SLICE       MMAL_FOURCC('S', '4', '2', '2')
--#define MMAL_ENCODING_YUYV             MMAL_FOURCC('Y', 'U', 'Y', 'V')
--#define MMAL_ENCODING_YVYU             MMAL_FOURCC('Y', 'V', 'Y', 'U')
--#define MMAL_ENCODING_UYVY             MMAL_FOURCC('U', 'Y', 'V', 'Y')
--#define MMAL_ENCODING_VYUY             MMAL_FOURCC('V', 'Y', 'U', 'Y')
--#define MMAL_ENCODING_NV12             MMAL_FOURCC('N', 'V', '1', '2')
--#define MMAL_ENCODING_NV21             MMAL_FOURCC('N', 'V', '2', '1')
--#define MMAL_ENCODING_ARGB             MMAL_FOURCC('A', 'R', 'G', 'B')
--#define MMAL_ENCODING_RGBA             MMAL_FOURCC('R', 'G', 'B', 'A')
--#define MMAL_ENCODING_ABGR             MMAL_FOURCC('A', 'B', 'G', 'R')
--#define MMAL_ENCODING_BGRA             MMAL_FOURCC('B', 'G', 'R', 'A')
--#define MMAL_ENCODING_RGB16            MMAL_FOURCC('R', 'G', 'B', '2')
--#define MMAL_ENCODING_RGB24            MMAL_FOURCC('R', 'G', 'B', '3')
--#define MMAL_ENCODING_RGB32            MMAL_FOURCC('R', 'G', 'B', '4')
--#define MMAL_ENCODING_BGR16            MMAL_FOURCC('B', 'G', 'R', '2')
--#define MMAL_ENCODING_BGR24            MMAL_FOURCC('B', 'G', 'R', '3')
--#define MMAL_ENCODING_BGR32            MMAL_FOURCC('B', 'G', 'R', '4')
--
--/** SAND Video (YUVUV128) format, native format understood by VideoCore.
-- * This format is *not* opaque - if requested you will receive full frames
-- * of YUV_UV video.
-- */
--#define MMAL_ENCODING_YUVUV128         MMAL_FOURCC('S', 'A', 'N', 'D')
--
--/** VideoCore opaque image format, image handles are returned to
-- * the host but not the actual image data.
-- */
--#define MMAL_ENCODING_OPAQUE           MMAL_FOURCC('O', 'P', 'Q', 'V')
--
--/** An EGL image handle
-- */
--#define MMAL_ENCODING_EGL_IMAGE        MMAL_FOURCC('E', 'G', 'L', 'I')
--
--/* }@ */
--
--/** \name Pre-defined audio encodings */
--/* @{ */
--#define MMAL_ENCODING_PCM_UNSIGNED_BE  MMAL_FOURCC('P', 'C', 'M', 'U')
--#define MMAL_ENCODING_PCM_UNSIGNED_LE  MMAL_FOURCC('p', 'c', 'm', 'u')
--#define MMAL_ENCODING_PCM_SIGNED_BE    MMAL_FOURCC('P', 'C', 'M', 'S')
--#define MMAL_ENCODING_PCM_SIGNED_LE    MMAL_FOURCC('p', 'c', 'm', 's')
--#define MMAL_ENCODING_PCM_FLOAT_BE     MMAL_FOURCC('P', 'C', 'M', 'F')
--#define MMAL_ENCODING_PCM_FLOAT_LE     MMAL_FOURCC('p', 'c', 'm', 'f')
--
--/* Pre-defined H264 encoding variants */
--
--/** ISO 14496-10 Annex B byte stream format */
--#define MMAL_ENCODING_VARIANT_H264_DEFAULT   0
--/** ISO 14496-15 AVC stream format */
--#define MMAL_ENCODING_VARIANT_H264_AVC1      MMAL_FOURCC('A', 'V', 'C', '1')
--/** Implicitly delineated NAL units without emulation prevention */
--#define MMAL_ENCODING_VARIANT_H264_RAW       MMAL_FOURCC('R', 'A', 'W', ' ')
--
--/** \defgroup MmalColorSpace List of pre-defined video color spaces
-- * This defines a list of common color spaces. This list isn't exhaustive and
-- * is only provided as a convenience to avoid clients having to use FourCC
-- * codes directly. However components are allowed to define and use their own
-- * FourCC codes.
-- */
--/* @{ */
--
--/** Unknown color space */
--#define MMAL_COLOR_SPACE_UNKNOWN       0
--/** ITU-R BT.601-5 [SDTV] */
--#define MMAL_COLOR_SPACE_ITUR_BT601    MMAL_FOURCC('Y', '6', '0', '1')
--/** ITU-R BT.709-3 [HDTV] */
--#define MMAL_COLOR_SPACE_ITUR_BT709    MMAL_FOURCC('Y', '7', '0', '9')
--/** JPEG JFIF */
--#define MMAL_COLOR_SPACE_JPEG_JFIF     MMAL_FOURCC('Y', 'J', 'F', 'I')
--/** Title 47 Code of Federal Regulations (2003) 73.682 (a) (20) */
--#define MMAL_COLOR_SPACE_FCC           MMAL_FOURCC('Y', 'F', 'C', 'C')
--/** Society of Motion Picture and Television Engineers 240M (1999) */
--#define MMAL_COLOR_SPACE_SMPTE240M     MMAL_FOURCC('Y', '2', '4', '0')
--/** ITU-R BT.470-2 System M */
--#define MMAL_COLOR_SPACE_BT470_2_M     MMAL_FOURCC('Y', '_', '_', 'M')
--/** ITU-R BT.470-2 System BG */
--#define MMAL_COLOR_SPACE_BT470_2_BG    MMAL_FOURCC('Y', '_', 'B', 'G')
--/** JPEG JFIF, but with 16..255 luma */
--#define MMAL_COLOR_SPACE_JFIF_Y16_255  MMAL_FOURCC('Y', 'Y', '1', '6')
--/* @} MmalColorSpace List */
--
--#endif /* MMAL_ENCODINGS_H */
---- a/drivers/staging/vc04_services/bcm2835-camera/mmal-msg-common.h
-+++ /dev/null
-@@ -1,48 +0,0 @@
--/* SPDX-License-Identifier: GPL-2.0 */
--/*
-- * Broadcom BM2835 V4L2 driver
-- *
-- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-- *
-- * Authors: Vincent Sanders @ Collabora
-- *          Dave Stevenson @ Broadcom
-- *            (now dave.stevenson@raspberrypi.org)
-- *          Simon Mellor @ Broadcom
-- *          Luke Diamand @ Broadcom
-- */
--
--#ifndef MMAL_MSG_COMMON_H
--#define MMAL_MSG_COMMON_H
--
--enum mmal_msg_status {
--      MMAL_MSG_STATUS_SUCCESS = 0, /**< Success */
--      MMAL_MSG_STATUS_ENOMEM,      /**< Out of memory */
--      MMAL_MSG_STATUS_ENOSPC,      /**< Out of resources other than memory */
--      MMAL_MSG_STATUS_EINVAL,      /**< Argument is invalid */
--      MMAL_MSG_STATUS_ENOSYS,      /**< Function not implemented */
--      MMAL_MSG_STATUS_ENOENT,      /**< No such file or directory */
--      MMAL_MSG_STATUS_ENXIO,       /**< No such device or address */
--      MMAL_MSG_STATUS_EIO,         /**< I/O error */
--      MMAL_MSG_STATUS_ESPIPE,      /**< Illegal seek */
--      MMAL_MSG_STATUS_ECORRUPT,    /**< Data is corrupt \attention */
--      MMAL_MSG_STATUS_ENOTREADY,   /**< Component is not ready */
--      MMAL_MSG_STATUS_ECONFIG,     /**< Component is not configured */
--      MMAL_MSG_STATUS_EISCONN,     /**< Port is already connected */
--      MMAL_MSG_STATUS_ENOTCONN,    /**< Port is disconnected */
--      MMAL_MSG_STATUS_EAGAIN,      /**< Resource temporarily unavailable. */
--      MMAL_MSG_STATUS_EFAULT,      /**< Bad address */
--};
--
--struct mmal_rect {
--      s32 x;      /**< x coordinate (from left) */
--      s32 y;      /**< y coordinate (from top) */
--      s32 width;  /**< width */
--      s32 height; /**< height */
--};
--
--struct mmal_rational {
--      s32 num;    /**< Numerator */
--      s32 den;    /**< Denominator */
--};
--
--#endif /* MMAL_MSG_COMMON_H */
---- a/drivers/staging/vc04_services/bcm2835-camera/mmal-msg-format.h
-+++ /dev/null
-@@ -1,106 +0,0 @@
--/* SPDX-License-Identifier: GPL-2.0 */
--/*
-- * Broadcom BM2835 V4L2 driver
-- *
-- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-- *
-- * Authors: Vincent Sanders @ Collabora
-- *          Dave Stevenson @ Broadcom
-- *            (now dave.stevenson@raspberrypi.org)
-- *          Simon Mellor @ Broadcom
-- *          Luke Diamand @ Broadcom
-- */
--
--#ifndef MMAL_MSG_FORMAT_H
--#define MMAL_MSG_FORMAT_H
--
--#include "mmal-msg-common.h"
--
--/* MMAL_ES_FORMAT_T */
--
--struct mmal_audio_format {
--      u32 channels;           /* Number of audio channels */
--      u32 sample_rate;        /* Sample rate */
--
--      u32 bits_per_sample;    /* Bits per sample */
--      u32 block_align;        /* Size of a block of data */
--};
--
--struct mmal_video_format {
--      u32 width;              /* Width of frame in pixels */
--      u32 height;             /* Height of frame in rows of pixels */
--      struct mmal_rect crop;  /* Visible region of the frame */
--      struct mmal_rational frame_rate;        /* Frame rate */
--      struct mmal_rational par;               /* Pixel aspect ratio */
--
--      /*
--       * FourCC specifying the color space of the video stream. See the
--       * MmalColorSpace "pre-defined color spaces" for some examples.
--       */
--      u32 color_space;
--};
--
--struct mmal_subpicture_format {
--      u32 x_offset;
--      u32 y_offset;
--};
--
--union mmal_es_specific_format {
--      struct mmal_audio_format audio;
--      struct mmal_video_format video;
--      struct mmal_subpicture_format subpicture;
--};
--
--/* Definition of an elementary stream format (MMAL_ES_FORMAT_T) */
--struct mmal_es_format_local {
--      u32 type;       /* enum mmal_es_type */
--
--      u32 encoding;   /* FourCC specifying encoding of the elementary
--                       * stream.
--                       */
--      u32 encoding_variant;   /* FourCC specifying the specific
--                               * encoding variant of the elementary
--                               * stream.
--                               */
--
--      union mmal_es_specific_format *es;      /* Type specific
--                                               * information for the
--                                               * elementary stream
--                                               */
--
--      u32 bitrate;    /* Bitrate in bits per second */
--      u32 flags;      /* Flags describing properties of the elementary
--                       * stream.
--                       */
--
--      u32 extradata_size;     /* Size of the codec specific data */
--      u8  *extradata;         /* Codec specific data */
--};
--
--/* Remote definition of an elementary stream format (MMAL_ES_FORMAT_T) */
--struct mmal_es_format {
--      u32 type;       /* enum mmal_es_type */
--
--      u32 encoding;   /* FourCC specifying encoding of the elementary
--                       * stream.
--                       */
--      u32 encoding_variant;   /* FourCC specifying the specific
--                               * encoding variant of the elementary
--                               * stream.
--                               */
--
--      u32 es; /* Type specific
--               * information for the
--               * elementary stream
--               */
--
--      u32 bitrate;    /* Bitrate in bits per second */
--      u32 flags;      /* Flags describing properties of the elementary
--                       * stream.
--                       */
--
--      u32 extradata_size;     /* Size of the codec specific data */
--      u32 extradata;          /* Codec specific data */
--};
--
--#endif /* MMAL_MSG_FORMAT_H */
---- a/drivers/staging/vc04_services/bcm2835-camera/mmal-msg-port.h
-+++ /dev/null
-@@ -1,109 +0,0 @@
--/* SPDX-License-Identifier: GPL-2.0 */
--/*
-- * Broadcom BM2835 V4L2 driver
-- *
-- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-- *
-- * Authors: Vincent Sanders @ Collabora
-- *          Dave Stevenson @ Broadcom
-- *            (now dave.stevenson@raspberrypi.org)
-- *          Simon Mellor @ Broadcom
-- *          Luke Diamand @ Broadcom
-- */
--
--/* MMAL_PORT_TYPE_T */
--enum mmal_port_type {
--      MMAL_PORT_TYPE_UNKNOWN = 0,     /* Unknown port type */
--      MMAL_PORT_TYPE_CONTROL,         /* Control port */
--      MMAL_PORT_TYPE_INPUT,           /* Input port */
--      MMAL_PORT_TYPE_OUTPUT,          /* Output port */
--      MMAL_PORT_TYPE_CLOCK,           /* Clock port */
--};
--
--/* The port is pass-through and doesn't need buffer headers allocated */
--#define MMAL_PORT_CAPABILITY_PASSTHROUGH                       0x01
--/*
-- *The port wants to allocate the buffer payloads.
-- * This signals a preference that payload allocation should be done
-- * on this port for efficiency reasons.
-- */
--#define MMAL_PORT_CAPABILITY_ALLOCATION                        0x02
--/*
-- * The port supports format change events.
-- * This applies to input ports and is used to let the client know
-- * whether the port supports being reconfigured via a format
-- * change event (i.e. without having to disable the port).
-- */
--#define MMAL_PORT_CAPABILITY_SUPPORTS_EVENT_FORMAT_CHANGE      0x04
--
--/*
-- * mmal port structure (MMAL_PORT_T)
-- *
-- * most elements are informational only, the pointer values for
-- * interogation messages are generally provided as additional
-- * structures within the message. When used to set values only the
-- * buffer_num, buffer_size and userdata parameters are writable.
-- */
--struct mmal_port {
--      u32 priv;       /* Private member used by the framework */
--      u32 name;       /* Port name. Used for debugging purposes (RO) */
--
--      u32 type;       /* Type of the port (RO) enum mmal_port_type */
--      u16 index;      /* Index of the port in its type list (RO) */
--      u16 index_all;  /* Index of the port in the list of all ports (RO) */
--
--      u32 is_enabled; /* Indicates whether the port is enabled or not (RO) */
--      u32 format;     /* Format of the elementary stream */
--
--      u32 buffer_num_min;     /* Minimum number of buffers the port
--                               *   requires (RO).  This is set by the
--                               *   component.
--                               */
--
--      u32 buffer_size_min;    /* Minimum size of buffers the port
--                               * requires (RO).  This is set by the
--                               * component.
--                               */
--
--      u32 buffer_alignment_min;/* Minimum alignment requirement for
--                                * the buffers (RO).  A value of
--                                * zero means no special alignment
--                                * requirements.  This is set by the
--                                * component.
--                                */
--
--      u32 buffer_num_recommended;     /* Number of buffers the port
--                                       * recommends for optimal
--                                       * performance (RO).  A value of
--                                       * zero means no special
--                                       * recommendation.  This is set
--                                       * by the component.
--                                       */
--
--      u32 buffer_size_recommended;    /* Size of buffers the port
--                                       * recommends for optimal
--                                       * performance (RO).  A value of
--                                       * zero means no special
--                                       * recommendation.  This is set
--                                       * by the component.
--                                       */
--
--      u32 buffer_num; /* Actual number of buffers the port will use.
--                       * This is set by the client.
--                       */
--
--      u32 buffer_size; /* Actual maximum size of the buffers that
--                        * will be sent to the port. This is set by
--                        * the client.
--                        */
--
--      u32 component;  /* Component this port belongs to (Read Only) */
--
--      u32 userdata;   /* Field reserved for use by the client */
--
--      u32 capabilities;       /* Flags describing the capabilities of a
--                               * port (RO).  Bitwise combination of \ref
--                               * portcapabilities "Port capabilities"
--                               * values.
--                               */
--};
---- a/drivers/staging/vc04_services/bcm2835-camera/mmal-msg.h
-+++ /dev/null
-@@ -1,406 +0,0 @@
--/* SPDX-License-Identifier: GPL-2.0 */
--/*
-- * Broadcom BM2835 V4L2 driver
-- *
-- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-- *
-- * Authors: Vincent Sanders @ Collabora
-- *          Dave Stevenson @ Broadcom
-- *            (now dave.stevenson@raspberrypi.org)
-- *          Simon Mellor @ Broadcom
-- *          Luke Diamand @ Broadcom
-- */
--
--/*
-- * all the data structures which serialise the MMAL protocol. note
-- * these are directly mapped onto the recived message data.
-- *
-- * BEWARE: They seem to *assume* pointers are u32 and that there is no
-- * structure padding!
-- *
-- * NOTE: this implementation uses kernel types to ensure sizes. Rather
-- * than assigning values to enums to force their size the
-- * implementation uses fixed size types and not the enums (though the
-- * comments have the actual enum type
-- */
--#ifndef MMAL_MSG_H
--#define MMAL_MSG_H
--
--#define VC_MMAL_VER 15
--#define VC_MMAL_MIN_VER 10
--#define VC_MMAL_SERVER_NAME  MAKE_FOURCC("mmal")
--
--/* max total message size is 512 bytes */
--#define MMAL_MSG_MAX_SIZE 512
--/* with six 32bit header elements max payload is therefore 488 bytes */
--#define MMAL_MSG_MAX_PAYLOAD 488
--
--#include "mmal-msg-common.h"
--#include "mmal-msg-format.h"
--#include "mmal-msg-port.h"
--
--enum mmal_msg_type {
--      MMAL_MSG_TYPE_QUIT = 1,
--      MMAL_MSG_TYPE_SERVICE_CLOSED,
--      MMAL_MSG_TYPE_GET_VERSION,
--      MMAL_MSG_TYPE_COMPONENT_CREATE,
--      MMAL_MSG_TYPE_COMPONENT_DESTROY,        /* 5 */
--      MMAL_MSG_TYPE_COMPONENT_ENABLE,
--      MMAL_MSG_TYPE_COMPONENT_DISABLE,
--      MMAL_MSG_TYPE_PORT_INFO_GET,
--      MMAL_MSG_TYPE_PORT_INFO_SET,
--      MMAL_MSG_TYPE_PORT_ACTION,              /* 10 */
--      MMAL_MSG_TYPE_BUFFER_FROM_HOST,
--      MMAL_MSG_TYPE_BUFFER_TO_HOST,
--      MMAL_MSG_TYPE_GET_STATS,
--      MMAL_MSG_TYPE_PORT_PARAMETER_SET,
--      MMAL_MSG_TYPE_PORT_PARAMETER_GET,       /* 15 */
--      MMAL_MSG_TYPE_EVENT_TO_HOST,
--      MMAL_MSG_TYPE_GET_CORE_STATS_FOR_PORT,
--      MMAL_MSG_TYPE_OPAQUE_ALLOCATOR,
--      MMAL_MSG_TYPE_CONSUME_MEM,
--      MMAL_MSG_TYPE_LMK,                      /* 20 */
--      MMAL_MSG_TYPE_OPAQUE_ALLOCATOR_DESC,
--      MMAL_MSG_TYPE_DRM_GET_LHS32,
--      MMAL_MSG_TYPE_DRM_GET_TIME,
--      MMAL_MSG_TYPE_BUFFER_FROM_HOST_ZEROLEN,
--      MMAL_MSG_TYPE_PORT_FLUSH,               /* 25 */
--      MMAL_MSG_TYPE_HOST_LOG,
--      MMAL_MSG_TYPE_MSG_LAST
--};
--
--/* port action request messages differ depending on the action type */
--enum mmal_msg_port_action_type {
--      MMAL_MSG_PORT_ACTION_TYPE_UNKNOWN = 0,  /* Unknown action */
--      MMAL_MSG_PORT_ACTION_TYPE_ENABLE,       /* Enable a port */
--      MMAL_MSG_PORT_ACTION_TYPE_DISABLE,      /* Disable a port */
--      MMAL_MSG_PORT_ACTION_TYPE_FLUSH,        /* Flush a port */
--      MMAL_MSG_PORT_ACTION_TYPE_CONNECT,      /* Connect ports */
--      MMAL_MSG_PORT_ACTION_TYPE_DISCONNECT,   /* Disconnect ports */
--      MMAL_MSG_PORT_ACTION_TYPE_SET_REQUIREMENTS, /* Set buffer requirements*/
--};
--
--struct mmal_msg_header {
--      u32 magic;
--      u32 type;       /* enum mmal_msg_type */
--
--      /* Opaque handle to the control service */
--      u32 control_service;
--
--      u32 context;    /* a u32 per message context */
--      u32 status;     /* The status of the vchiq operation */
--      u32 padding;
--};
--
--/* Send from VC to host to report version */
--struct mmal_msg_version {
--      u32 flags;
--      u32 major;
--      u32 minor;
--      u32 minimum;
--};
--
--/* request to VC to create component */
--struct mmal_msg_component_create {
--      u32 client_component;   /* component context */
--      char name[128];
--      u32 pid;                /* For debug */
--};
--
--/* reply from VC to component creation request */
--struct mmal_msg_component_create_reply {
--      u32 status;     /* enum mmal_msg_status - how does this differ to
--                       * the one in the header?
--                       */
--      u32 component_handle; /* VideoCore handle for component */
--      u32 input_num;        /* Number of input ports */
--      u32 output_num;       /* Number of output ports */
--      u32 clock_num;        /* Number of clock ports */
--};
--
--/* request to VC to destroy a component */
--struct mmal_msg_component_destroy {
--      u32 component_handle;
--};
--
--struct mmal_msg_component_destroy_reply {
--      u32 status; /* The component destruction status */
--};
--
--/* request and reply to VC to enable a component */
--struct mmal_msg_component_enable {
--      u32 component_handle;
--};
--
--struct mmal_msg_component_enable_reply {
--      u32 status; /* The component enable status */
--};
--
--/* request and reply to VC to disable a component */
--struct mmal_msg_component_disable {
--      u32 component_handle;
--};
--
--struct mmal_msg_component_disable_reply {
--      u32 status; /* The component disable status */
--};
--
--/* request to VC to get port information */
--struct mmal_msg_port_info_get {
--      u32 component_handle;  /* component handle port is associated with */
--      u32 port_type;         /* enum mmal_msg_port_type */
--      u32 index;             /* port index to query */
--};
--
--/* reply from VC to get port info request */
--struct mmal_msg_port_info_get_reply {
--      u32 status;             /* enum mmal_msg_status */
--      u32 component_handle;   /* component handle port is associated with */
--      u32 port_type;          /* enum mmal_msg_port_type */
--      u32 port_index;         /* port indexed in query */
--      s32 found;              /* unused */
--      u32 port_handle;        /* Handle to use for this port */
--      struct mmal_port port;
--      struct mmal_es_format format; /* elementary stream format */
--      union mmal_es_specific_format es; /* es type specific data */
--      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE]; /* es extra data */
--};
--
--/* request to VC to set port information */
--struct mmal_msg_port_info_set {
--      u32 component_handle;
--      u32 port_type;          /* enum mmal_msg_port_type */
--      u32 port_index;         /* port indexed in query */
--      struct mmal_port port;
--      struct mmal_es_format format;
--      union mmal_es_specific_format es;
--      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE];
--};
--
--/* reply from VC to port info set request */
--struct mmal_msg_port_info_set_reply {
--      u32 status;
--      u32 component_handle;   /* component handle port is associated with */
--      u32 port_type;          /* enum mmal_msg_port_type */
--      u32 index;              /* port indexed in query */
--      s32 found;              /* unused */
--      u32 port_handle;        /* Handle to use for this port */
--      struct mmal_port port;
--      struct mmal_es_format format;
--      union mmal_es_specific_format es;
--      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE];
--};
--
--/* port action requests that take a mmal_port as a parameter */
--struct mmal_msg_port_action_port {
--      u32 component_handle;
--      u32 port_handle;
--      u32 action;             /* enum mmal_msg_port_action_type */
--      struct mmal_port port;
--};
--
--/* port action requests that take handles as a parameter */
--struct mmal_msg_port_action_handle {
--      u32 component_handle;
--      u32 port_handle;
--      u32 action;             /* enum mmal_msg_port_action_type */
--      u32 connect_component_handle;
--      u32 connect_port_handle;
--};
--
--struct mmal_msg_port_action_reply {
--      u32 status;     /* The port action operation status */
--};
--
--/* MMAL buffer transfer */
--
--/* Size of space reserved in a buffer message for short messages. */
--#define MMAL_VC_SHORT_DATA 128
--
--/* Signals that the current payload is the end of the stream of data */
--#define MMAL_BUFFER_HEADER_FLAG_EOS                    BIT(0)
--/* Signals that the start of the current payload starts a frame */
--#define MMAL_BUFFER_HEADER_FLAG_FRAME_START            BIT(1)
--/* Signals that the end of the current payload ends a frame */
--#define MMAL_BUFFER_HEADER_FLAG_FRAME_END              BIT(2)
--/* Signals that the current payload contains only complete frames (>1) */
--#define MMAL_BUFFER_HEADER_FLAG_FRAME                  \
--      (MMAL_BUFFER_HEADER_FLAG_FRAME_START | \
--       MMAL_BUFFER_HEADER_FLAG_FRAME_END)
--/* Signals that the current payload is a keyframe (i.e. self decodable) */
--#define MMAL_BUFFER_HEADER_FLAG_KEYFRAME               BIT(3)
--/*
-- * Signals a discontinuity in the stream of data (e.g. after a seek).
-- * Can be used for instance by a decoder to reset its state
-- */
--#define MMAL_BUFFER_HEADER_FLAG_DISCONTINUITY          BIT(4)
--/*
-- * Signals a buffer containing some kind of config data for the component
-- * (e.g. codec config data)
-- */
--#define MMAL_BUFFER_HEADER_FLAG_CONFIG                 BIT(5)
--/* Signals an encrypted payload */
--#define MMAL_BUFFER_HEADER_FLAG_ENCRYPTED              BIT(6)
--/* Signals a buffer containing side information */
--#define MMAL_BUFFER_HEADER_FLAG_CODECSIDEINFO          BIT(7)
--/*
-- * Signals a buffer which is the snapshot/postview image from a stills
-- * capture
-- */
--#define MMAL_BUFFER_HEADER_FLAGS_SNAPSHOT              BIT(8)
--/* Signals a buffer which contains data known to be corrupted */
--#define MMAL_BUFFER_HEADER_FLAG_CORRUPTED              BIT(9)
--/* Signals that a buffer failed to be transmitted */
--#define MMAL_BUFFER_HEADER_FLAG_TRANSMISSION_FAILED    BIT(10)
--
--struct mmal_driver_buffer {
--      u32 magic;
--      u32 component_handle;
--      u32 port_handle;
--      u32 client_context;
--};
--
--/* buffer header */
--struct mmal_buffer_header {
--      u32 next;       /* next header */
--      u32 priv;       /* framework private data */
--      u32 cmd;
--      u32 data;
--      u32 alloc_size;
--      u32 length;
--      u32 offset;
--      u32 flags;
--      s64 pts;
--      s64 dts;
--      u32 type;
--      u32 user_data;
--};
--
--struct mmal_buffer_header_type_specific {
--      union {
--              struct {
--              u32 planes;
--              u32 offset[4];
--              u32 pitch[4];
--              u32 flags;
--              } video;
--      } u;
--};
--
--struct mmal_msg_buffer_from_host {
--      /*
--       *The front 32 bytes of the buffer header are copied
--       * back to us in the reply to allow for context. This
--       * area is used to store two mmal_driver_buffer structures to
--       * allow for multiple concurrent service users.
--       */
--      /* control data */
--      struct mmal_driver_buffer drvbuf;
--
--      /* referenced control data for passthrough buffer management */
--      struct mmal_driver_buffer drvbuf_ref;
--      struct mmal_buffer_header buffer_header; /* buffer header itself */
--      struct mmal_buffer_header_type_specific buffer_header_type_specific;
--      s32 is_zero_copy;
--      s32 has_reference;
--
--      /* allows short data to be xfered in control message */
--      u32 payload_in_message;
--      u8 short_data[MMAL_VC_SHORT_DATA];
--};
--
--/* port parameter setting */
--
--#define MMAL_WORKER_PORT_PARAMETER_SPACE      96
--
--struct mmal_msg_port_parameter_set {
--      u32 component_handle;   /* component */
--      u32 port_handle;        /* port */
--      u32 id;                 /* Parameter ID  */
--      u32 size;               /* Parameter size */
--      u32 value[MMAL_WORKER_PORT_PARAMETER_SPACE];
--};
--
--struct mmal_msg_port_parameter_set_reply {
--      u32 status;     /* enum mmal_msg_status todo: how does this
--                       * differ to the one in the header?
--                       */
--};
--
--/* port parameter getting */
--
--struct mmal_msg_port_parameter_get {
--      u32 component_handle;   /* component */
--      u32 port_handle;        /* port */
--      u32 id;                 /* Parameter ID  */
--      u32 size;               /* Parameter size */
--};
--
--struct mmal_msg_port_parameter_get_reply {
--      u32 status;             /* Status of mmal_port_parameter_get call */
--      u32 id;                 /* Parameter ID  */
--      u32 size;               /* Parameter size */
--      u32 value[MMAL_WORKER_PORT_PARAMETER_SPACE];
--};
--
--/* event messages */
--#define MMAL_WORKER_EVENT_SPACE 256
--
--struct mmal_msg_event_to_host {
--      u32 client_component;   /* component context */
--
--      u32 port_type;
--      u32 port_num;
--
--      u32 cmd;
--      u32 length;
--      u8 data[MMAL_WORKER_EVENT_SPACE];
--      u32 delayed_buffer;
--};
--
--/* all mmal messages are serialised through this structure */
--struct mmal_msg {
--      /* header */
--      struct mmal_msg_header h;
--      /* payload */
--      union {
--              struct mmal_msg_version version;
--
--              struct mmal_msg_component_create component_create;
--              struct mmal_msg_component_create_reply component_create_reply;
--
--              struct mmal_msg_component_destroy component_destroy;
--              struct mmal_msg_component_destroy_reply component_destroy_reply;
--
--              struct mmal_msg_component_enable component_enable;
--              struct mmal_msg_component_enable_reply component_enable_reply;
--
--              struct mmal_msg_component_disable component_disable;
--              struct mmal_msg_component_disable_reply component_disable_reply;
--
--              struct mmal_msg_port_info_get port_info_get;
--              struct mmal_msg_port_info_get_reply port_info_get_reply;
--
--              struct mmal_msg_port_info_set port_info_set;
--              struct mmal_msg_port_info_set_reply port_info_set_reply;
--
--              struct mmal_msg_port_action_port port_action_port;
--              struct mmal_msg_port_action_handle port_action_handle;
--              struct mmal_msg_port_action_reply port_action_reply;
--
--              struct mmal_msg_buffer_from_host buffer_from_host;
--
--              struct mmal_msg_port_parameter_set port_parameter_set;
--              struct mmal_msg_port_parameter_set_reply
--                      port_parameter_set_reply;
--              struct mmal_msg_port_parameter_get
--                      port_parameter_get;
--              struct mmal_msg_port_parameter_get_reply
--                      port_parameter_get_reply;
--
--              struct mmal_msg_event_to_host event_to_host;
--
--              u8 payload[MMAL_MSG_MAX_PAYLOAD];
--      } u;
--};
--#endif
---- a/drivers/staging/vc04_services/bcm2835-camera/mmal-parameters.h
-+++ /dev/null
-@@ -1,755 +0,0 @@
--/* SPDX-License-Identifier: GPL-2.0 */
--/*
-- * Broadcom BM2835 V4L2 driver
-- *
-- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-- *
-- * Authors: Vincent Sanders @ Collabora
-- *          Dave Stevenson @ Broadcom
-- *            (now dave.stevenson@raspberrypi.org)
-- *          Simon Mellor @ Broadcom
-- *          Luke Diamand @ Broadcom
-- */
--
--/* common parameters */
--
--/** @name Parameter groups
-- * Parameters are divided into groups, and then allocated sequentially within
-- * a group using an enum.
-- * @{
-- */
--
--#ifndef MMAL_PARAMETERS_H
--#define MMAL_PARAMETERS_H
--
--/** Common parameter ID group, used with many types of component. */
--#define MMAL_PARAMETER_GROUP_COMMON            (0 << 16)
--/** Camera-specific parameter ID group. */
--#define MMAL_PARAMETER_GROUP_CAMERA            (1 << 16)
--/** Video-specific parameter ID group. */
--#define MMAL_PARAMETER_GROUP_VIDEO             (2 << 16)
--/** Audio-specific parameter ID group. */
--#define MMAL_PARAMETER_GROUP_AUDIO             (3 << 16)
--/** Clock-specific parameter ID group. */
--#define MMAL_PARAMETER_GROUP_CLOCK             (4 << 16)
--/** Miracast-specific parameter ID group. */
--#define MMAL_PARAMETER_GROUP_MIRACAST       (5 << 16)
--
--/* Common parameters */
--enum mmal_parameter_common_type {
--              /**< Never a valid parameter ID */
--      MMAL_PARAMETER_UNUSED = MMAL_PARAMETER_GROUP_COMMON,
--
--              /**< MMAL_PARAMETER_ENCODING_T */
--      MMAL_PARAMETER_SUPPORTED_ENCODINGS,
--              /**< MMAL_PARAMETER_URI_T */
--      MMAL_PARAMETER_URI,
--              /** MMAL_PARAMETER_CHANGE_EVENT_REQUEST_T */
--      MMAL_PARAMETER_CHANGE_EVENT_REQUEST,
--              /** MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_ZERO_COPY,
--              /**< MMAL_PARAMETER_BUFFER_REQUIREMENTS_T */
--      MMAL_PARAMETER_BUFFER_REQUIREMENTS,
--              /**< MMAL_PARAMETER_STATISTICS_T */
--      MMAL_PARAMETER_STATISTICS,
--              /**< MMAL_PARAMETER_CORE_STATISTICS_T */
--      MMAL_PARAMETER_CORE_STATISTICS,
--              /**< MMAL_PARAMETER_MEM_USAGE_T */
--      MMAL_PARAMETER_MEM_USAGE,
--              /**< MMAL_PARAMETER_UINT32_T */
--      MMAL_PARAMETER_BUFFER_FLAG_FILTER,
--              /**< MMAL_PARAMETER_SEEK_T */
--      MMAL_PARAMETER_SEEK,
--              /**< MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_POWERMON_ENABLE,
--              /**< MMAL_PARAMETER_LOGGING_T */
--      MMAL_PARAMETER_LOGGING,
--              /**< MMAL_PARAMETER_UINT64_T */
--      MMAL_PARAMETER_SYSTEM_TIME,
--              /**< MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_NO_IMAGE_PADDING,
--};
--
--/* camera parameters */
--
--enum mmal_parameter_camera_type {
--      /* 0 */
--              /** @ref MMAL_PARAMETER_THUMBNAIL_CONFIG_T */
--      MMAL_PARAMETER_THUMBNAIL_CONFIGURATION =
--              MMAL_PARAMETER_GROUP_CAMERA,
--              /**< Unused? */
--      MMAL_PARAMETER_CAPTURE_QUALITY,
--              /**< @ref MMAL_PARAMETER_INT32_T */
--      MMAL_PARAMETER_ROTATION,
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_EXIF_DISABLE,
--              /**< @ref MMAL_PARAMETER_EXIF_T */
--      MMAL_PARAMETER_EXIF,
--              /**< @ref MMAL_PARAM_AWBMODE_T */
--      MMAL_PARAMETER_AWB_MODE,
--              /**< @ref MMAL_PARAMETER_IMAGEFX_T */
--      MMAL_PARAMETER_IMAGE_EFFECT,
--              /**< @ref MMAL_PARAMETER_COLOURFX_T */
--      MMAL_PARAMETER_COLOUR_EFFECT,
--              /**< @ref MMAL_PARAMETER_FLICKERAVOID_T */
--      MMAL_PARAMETER_FLICKER_AVOID,
--              /**< @ref MMAL_PARAMETER_FLASH_T */
--      MMAL_PARAMETER_FLASH,
--              /**< @ref MMAL_PARAMETER_REDEYE_T */
--      MMAL_PARAMETER_REDEYE,
--              /**< @ref MMAL_PARAMETER_FOCUS_T */
--      MMAL_PARAMETER_FOCUS,
--              /**< Unused? */
--      MMAL_PARAMETER_FOCAL_LENGTHS,
--              /**< @ref MMAL_PARAMETER_INT32_T */
--      MMAL_PARAMETER_EXPOSURE_COMP,
--              /**< @ref MMAL_PARAMETER_SCALEFACTOR_T */
--      MMAL_PARAMETER_ZOOM,
--              /**< @ref MMAL_PARAMETER_MIRROR_T */
--      MMAL_PARAMETER_MIRROR,
--
--      /* 0x10 */
--              /**< @ref MMAL_PARAMETER_UINT32_T */
--      MMAL_PARAMETER_CAMERA_NUM,
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_CAPTURE,
--              /**< @ref MMAL_PARAMETER_EXPOSUREMODE_T */
--      MMAL_PARAMETER_EXPOSURE_MODE,
--              /**< @ref MMAL_PARAMETER_EXPOSUREMETERINGMODE_T */
--      MMAL_PARAMETER_EXP_METERING_MODE,
--              /**< @ref MMAL_PARAMETER_FOCUS_STATUS_T */
--      MMAL_PARAMETER_FOCUS_STATUS,
--              /**< @ref MMAL_PARAMETER_CAMERA_CONFIG_T */
--      MMAL_PARAMETER_CAMERA_CONFIG,
--              /**< @ref MMAL_PARAMETER_CAPTURE_STATUS_T */
--      MMAL_PARAMETER_CAPTURE_STATUS,
--              /**< @ref MMAL_PARAMETER_FACE_TRACK_T */
--      MMAL_PARAMETER_FACE_TRACK,
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_DRAW_BOX_FACES_AND_FOCUS,
--              /**< @ref MMAL_PARAMETER_UINT32_T */
--      MMAL_PARAMETER_JPEG_Q_FACTOR,
--              /**< @ref MMAL_PARAMETER_FRAME_RATE_T */
--      MMAL_PARAMETER_FRAME_RATE,
--              /**< @ref MMAL_PARAMETER_CAMERA_STC_MODE_T */
--      MMAL_PARAMETER_USE_STC,
--              /**< @ref MMAL_PARAMETER_CAMERA_INFO_T */
--      MMAL_PARAMETER_CAMERA_INFO,
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_VIDEO_STABILISATION,
--              /**< @ref MMAL_PARAMETER_FACE_TRACK_RESULTS_T */
--      MMAL_PARAMETER_FACE_TRACK_RESULTS,
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_ENABLE_RAW_CAPTURE,
--
--      /* 0x20 */
--              /**< @ref MMAL_PARAMETER_URI_T */
--      MMAL_PARAMETER_DPF_FILE,
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_ENABLE_DPF_FILE,
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_DPF_FAIL_IS_FATAL,
--              /**< @ref MMAL_PARAMETER_CAPTUREMODE_T */
--      MMAL_PARAMETER_CAPTURE_MODE,
--              /**< @ref MMAL_PARAMETER_FOCUS_REGIONS_T */
--      MMAL_PARAMETER_FOCUS_REGIONS,
--              /**< @ref MMAL_PARAMETER_INPUT_CROP_T */
--      MMAL_PARAMETER_INPUT_CROP,
--              /**< @ref MMAL_PARAMETER_SENSOR_INFORMATION_T */
--      MMAL_PARAMETER_SENSOR_INFORMATION,
--              /**< @ref MMAL_PARAMETER_FLASH_SELECT_T */
--      MMAL_PARAMETER_FLASH_SELECT,
--              /**< @ref MMAL_PARAMETER_FIELD_OF_VIEW_T */
--      MMAL_PARAMETER_FIELD_OF_VIEW,
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_HIGH_DYNAMIC_RANGE,
--              /**< @ref MMAL_PARAMETER_DRC_T */
--      MMAL_PARAMETER_DYNAMIC_RANGE_COMPRESSION,
--              /**< @ref MMAL_PARAMETER_ALGORITHM_CONTROL_T */
--      MMAL_PARAMETER_ALGORITHM_CONTROL,
--              /**< @ref MMAL_PARAMETER_RATIONAL_T */
--      MMAL_PARAMETER_SHARPNESS,
--              /**< @ref MMAL_PARAMETER_RATIONAL_T */
--      MMAL_PARAMETER_CONTRAST,
--              /**< @ref MMAL_PARAMETER_RATIONAL_T */
--      MMAL_PARAMETER_BRIGHTNESS,
--              /**< @ref MMAL_PARAMETER_RATIONAL_T */
--      MMAL_PARAMETER_SATURATION,
--
--      /* 0x30 */
--              /**< @ref MMAL_PARAMETER_UINT32_T */
--      MMAL_PARAMETER_ISO,
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_ANTISHAKE,
--              /** @ref MMAL_PARAMETER_IMAGEFX_PARAMETERS_T */
--      MMAL_PARAMETER_IMAGE_EFFECT_PARAMETERS,
--              /** @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_CAMERA_BURST_CAPTURE,
--              /** @ref MMAL_PARAMETER_UINT32_T */
--      MMAL_PARAMETER_CAMERA_MIN_ISO,
--              /** @ref MMAL_PARAMETER_CAMERA_USE_CASE_T */
--      MMAL_PARAMETER_CAMERA_USE_CASE,
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_CAPTURE_STATS_PASS,
--              /** @ref MMAL_PARAMETER_UINT32_T */
--      MMAL_PARAMETER_CAMERA_CUSTOM_SENSOR_CONFIG,
--              /** @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_ENABLE_REGISTER_FILE,
--              /** @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_REGISTER_FAIL_IS_FATAL,
--              /** @ref MMAL_PARAMETER_CONFIGFILE_T */
--      MMAL_PARAMETER_CONFIGFILE_REGISTERS,
--              /** @ref MMAL_PARAMETER_CONFIGFILE_CHUNK_T */
--      MMAL_PARAMETER_CONFIGFILE_CHUNK_REGISTERS,
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_JPEG_ATTACH_LOG,
--              /**< @ref MMAL_PARAMETER_ZEROSHUTTERLAG_T */
--      MMAL_PARAMETER_ZERO_SHUTTER_LAG,
--              /**< @ref MMAL_PARAMETER_FPS_RANGE_T */
--      MMAL_PARAMETER_FPS_RANGE,
--              /**< @ref MMAL_PARAMETER_INT32_T */
--      MMAL_PARAMETER_CAPTURE_EXPOSURE_COMP,
--
--      /* 0x40 */
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_SW_SHARPEN_DISABLE,
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_FLASH_REQUIRED,
--              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_SW_SATURATION_DISABLE,
--              /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
--      MMAL_PARAMETER_SHUTTER_SPEED,
--              /**< Takes a @ref MMAL_PARAMETER_AWB_GAINS_T */
--      MMAL_PARAMETER_CUSTOM_AWB_GAINS,
--};
--
--struct mmal_parameter_rational {
--      s32 num;    /**< Numerator */
--      s32 den;    /**< Denominator */
--};
--
--enum mmal_parameter_camera_config_timestamp_mode {
--      MMAL_PARAM_TIMESTAMP_MODE_ZERO = 0, /* Always timestamp frames as 0 */
--      MMAL_PARAM_TIMESTAMP_MODE_RAW_STC,  /* Use the raw STC value
--                                           * for the frame timestamp
--                                           */
--      MMAL_PARAM_TIMESTAMP_MODE_RESET_STC, /* Use the STC timestamp
--                                            * but subtract the
--                                            * timestamp of the first
--                                            * frame sent to give a
--                                            * zero based timestamp.
--                                            */
--};
--
--struct mmal_parameter_fps_range {
--      /**< Low end of the permitted framerate range */
--      struct mmal_parameter_rational  fps_low;
--      /**< High end of the permitted framerate range */
--      struct mmal_parameter_rational  fps_high;
--};
--
--/* camera configuration parameter */
--struct mmal_parameter_camera_config {
--      /* Parameters for setting up the image pools */
--      u32 max_stills_w; /* Max size of stills capture */
--      u32 max_stills_h;
--      u32 stills_yuv422; /* Allow YUV422 stills capture */
--      u32 one_shot_stills; /* Continuous or one shot stills captures. */
--
--      u32 max_preview_video_w; /* Max size of the preview or video
--                                * capture frames
--                                */
--      u32 max_preview_video_h;
--      u32 num_preview_video_frames;
--
--      /** Sets the height of the circular buffer for stills capture. */
--      u32 stills_capture_circular_buffer_height;
--
--      /** Allows preview/encode to resume as fast as possible after the stills
--       * input frame has been received, and then processes the still frame in
--       * the background whilst preview/encode has resumed.
--       * Actual mode is controlled by MMAL_PARAMETER_CAPTURE_MODE.
--       */
--      u32 fast_preview_resume;
--
--      /** Selects algorithm for timestamping frames if
--       * there is no clock component connected.
--       * enum mmal_parameter_camera_config_timestamp_mode
--       */
--      s32 use_stc_timestamp;
--};
--
--enum mmal_parameter_exposuremode {
--      MMAL_PARAM_EXPOSUREMODE_OFF,
--      MMAL_PARAM_EXPOSUREMODE_AUTO,
--      MMAL_PARAM_EXPOSUREMODE_NIGHT,
--      MMAL_PARAM_EXPOSUREMODE_NIGHTPREVIEW,
--      MMAL_PARAM_EXPOSUREMODE_BACKLIGHT,
--      MMAL_PARAM_EXPOSUREMODE_SPOTLIGHT,
--      MMAL_PARAM_EXPOSUREMODE_SPORTS,
--      MMAL_PARAM_EXPOSUREMODE_SNOW,
--      MMAL_PARAM_EXPOSUREMODE_BEACH,
--      MMAL_PARAM_EXPOSUREMODE_VERYLONG,
--      MMAL_PARAM_EXPOSUREMODE_FIXEDFPS,
--      MMAL_PARAM_EXPOSUREMODE_ANTISHAKE,
--      MMAL_PARAM_EXPOSUREMODE_FIREWORKS,
--};
--
--enum mmal_parameter_exposuremeteringmode {
--      MMAL_PARAM_EXPOSUREMETERINGMODE_AVERAGE,
--      MMAL_PARAM_EXPOSUREMETERINGMODE_SPOT,
--      MMAL_PARAM_EXPOSUREMETERINGMODE_BACKLIT,
--      MMAL_PARAM_EXPOSUREMETERINGMODE_MATRIX,
--};
--
--enum mmal_parameter_awbmode {
--      MMAL_PARAM_AWBMODE_OFF,
--      MMAL_PARAM_AWBMODE_AUTO,
--      MMAL_PARAM_AWBMODE_SUNLIGHT,
--      MMAL_PARAM_AWBMODE_CLOUDY,
--      MMAL_PARAM_AWBMODE_SHADE,
--      MMAL_PARAM_AWBMODE_TUNGSTEN,
--      MMAL_PARAM_AWBMODE_FLUORESCENT,
--      MMAL_PARAM_AWBMODE_INCANDESCENT,
--      MMAL_PARAM_AWBMODE_FLASH,
--      MMAL_PARAM_AWBMODE_HORIZON,
--};
--
--enum mmal_parameter_imagefx {
--      MMAL_PARAM_IMAGEFX_NONE,
--      MMAL_PARAM_IMAGEFX_NEGATIVE,
--      MMAL_PARAM_IMAGEFX_SOLARIZE,
--      MMAL_PARAM_IMAGEFX_POSTERIZE,
--      MMAL_PARAM_IMAGEFX_WHITEBOARD,
--      MMAL_PARAM_IMAGEFX_BLACKBOARD,
--      MMAL_PARAM_IMAGEFX_SKETCH,
--      MMAL_PARAM_IMAGEFX_DENOISE,
--      MMAL_PARAM_IMAGEFX_EMBOSS,
--      MMAL_PARAM_IMAGEFX_OILPAINT,
--      MMAL_PARAM_IMAGEFX_HATCH,
--      MMAL_PARAM_IMAGEFX_GPEN,
--      MMAL_PARAM_IMAGEFX_PASTEL,
--      MMAL_PARAM_IMAGEFX_WATERCOLOUR,
--      MMAL_PARAM_IMAGEFX_FILM,
--      MMAL_PARAM_IMAGEFX_BLUR,
--      MMAL_PARAM_IMAGEFX_SATURATION,
--      MMAL_PARAM_IMAGEFX_COLOURSWAP,
--      MMAL_PARAM_IMAGEFX_WASHEDOUT,
--      MMAL_PARAM_IMAGEFX_POSTERISE,
--      MMAL_PARAM_IMAGEFX_COLOURPOINT,
--      MMAL_PARAM_IMAGEFX_COLOURBALANCE,
--      MMAL_PARAM_IMAGEFX_CARTOON,
--};
--
--enum MMAL_PARAM_FLICKERAVOID_T {
--      MMAL_PARAM_FLICKERAVOID_OFF,
--      MMAL_PARAM_FLICKERAVOID_AUTO,
--      MMAL_PARAM_FLICKERAVOID_50HZ,
--      MMAL_PARAM_FLICKERAVOID_60HZ,
--      MMAL_PARAM_FLICKERAVOID_MAX = 0x7FFFFFFF
--};
--
--struct mmal_parameter_awbgains {
--      struct mmal_parameter_rational r_gain;  /**< Red gain */
--      struct mmal_parameter_rational b_gain;  /**< Blue gain */
--};
--
--/** Manner of video rate control */
--enum mmal_parameter_rate_control_mode {
--      MMAL_VIDEO_RATECONTROL_DEFAULT,
--      MMAL_VIDEO_RATECONTROL_VARIABLE,
--      MMAL_VIDEO_RATECONTROL_CONSTANT,
--      MMAL_VIDEO_RATECONTROL_VARIABLE_SKIP_FRAMES,
--      MMAL_VIDEO_RATECONTROL_CONSTANT_SKIP_FRAMES
--};
--
--enum mmal_video_profile {
--      MMAL_VIDEO_PROFILE_H263_BASELINE,
--      MMAL_VIDEO_PROFILE_H263_H320CODING,
--      MMAL_VIDEO_PROFILE_H263_BACKWARDCOMPATIBLE,
--      MMAL_VIDEO_PROFILE_H263_ISWV2,
--      MMAL_VIDEO_PROFILE_H263_ISWV3,
--      MMAL_VIDEO_PROFILE_H263_HIGHCOMPRESSION,
--      MMAL_VIDEO_PROFILE_H263_INTERNET,
--      MMAL_VIDEO_PROFILE_H263_INTERLACE,
--      MMAL_VIDEO_PROFILE_H263_HIGHLATENCY,
--      MMAL_VIDEO_PROFILE_MP4V_SIMPLE,
--      MMAL_VIDEO_PROFILE_MP4V_SIMPLESCALABLE,
--      MMAL_VIDEO_PROFILE_MP4V_CORE,
--      MMAL_VIDEO_PROFILE_MP4V_MAIN,
--      MMAL_VIDEO_PROFILE_MP4V_NBIT,
--      MMAL_VIDEO_PROFILE_MP4V_SCALABLETEXTURE,
--      MMAL_VIDEO_PROFILE_MP4V_SIMPLEFACE,
--      MMAL_VIDEO_PROFILE_MP4V_SIMPLEFBA,
--      MMAL_VIDEO_PROFILE_MP4V_BASICANIMATED,
--      MMAL_VIDEO_PROFILE_MP4V_HYBRID,
--      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDREALTIME,
--      MMAL_VIDEO_PROFILE_MP4V_CORESCALABLE,
--      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDCODING,
--      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDCORE,
--      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDSCALABLE,
--      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDSIMPLE,
--      MMAL_VIDEO_PROFILE_H264_BASELINE,
--      MMAL_VIDEO_PROFILE_H264_MAIN,
--      MMAL_VIDEO_PROFILE_H264_EXTENDED,
--      MMAL_VIDEO_PROFILE_H264_HIGH,
--      MMAL_VIDEO_PROFILE_H264_HIGH10,
--      MMAL_VIDEO_PROFILE_H264_HIGH422,
--      MMAL_VIDEO_PROFILE_H264_HIGH444,
--      MMAL_VIDEO_PROFILE_H264_CONSTRAINED_BASELINE,
--      MMAL_VIDEO_PROFILE_DUMMY = 0x7FFFFFFF
--};
--
--enum mmal_video_level {
--      MMAL_VIDEO_LEVEL_H263_10,
--      MMAL_VIDEO_LEVEL_H263_20,
--      MMAL_VIDEO_LEVEL_H263_30,
--      MMAL_VIDEO_LEVEL_H263_40,
--      MMAL_VIDEO_LEVEL_H263_45,
--      MMAL_VIDEO_LEVEL_H263_50,
--      MMAL_VIDEO_LEVEL_H263_60,
--      MMAL_VIDEO_LEVEL_H263_70,
--      MMAL_VIDEO_LEVEL_MP4V_0,
--      MMAL_VIDEO_LEVEL_MP4V_0b,
--      MMAL_VIDEO_LEVEL_MP4V_1,
--      MMAL_VIDEO_LEVEL_MP4V_2,
--      MMAL_VIDEO_LEVEL_MP4V_3,
--      MMAL_VIDEO_LEVEL_MP4V_4,
--      MMAL_VIDEO_LEVEL_MP4V_4a,
--      MMAL_VIDEO_LEVEL_MP4V_5,
--      MMAL_VIDEO_LEVEL_MP4V_6,
--      MMAL_VIDEO_LEVEL_H264_1,
--      MMAL_VIDEO_LEVEL_H264_1b,
--      MMAL_VIDEO_LEVEL_H264_11,
--      MMAL_VIDEO_LEVEL_H264_12,
--      MMAL_VIDEO_LEVEL_H264_13,
--      MMAL_VIDEO_LEVEL_H264_2,
--      MMAL_VIDEO_LEVEL_H264_21,
--      MMAL_VIDEO_LEVEL_H264_22,
--      MMAL_VIDEO_LEVEL_H264_3,
--      MMAL_VIDEO_LEVEL_H264_31,
--      MMAL_VIDEO_LEVEL_H264_32,
--      MMAL_VIDEO_LEVEL_H264_4,
--      MMAL_VIDEO_LEVEL_H264_41,
--      MMAL_VIDEO_LEVEL_H264_42,
--      MMAL_VIDEO_LEVEL_H264_5,
--      MMAL_VIDEO_LEVEL_H264_51,
--      MMAL_VIDEO_LEVEL_DUMMY = 0x7FFFFFFF
--};
--
--struct mmal_parameter_video_profile {
--      enum mmal_video_profile profile;
--      enum mmal_video_level level;
--};
--
--/* video parameters */
--
--enum mmal_parameter_video_type {
--      /** @ref MMAL_DISPLAYREGION_T */
--      MMAL_PARAMETER_DISPLAYREGION = MMAL_PARAMETER_GROUP_VIDEO,
--
--      /** @ref MMAL_PARAMETER_VIDEO_PROFILE_T */
--      MMAL_PARAMETER_SUPPORTED_PROFILES,
--
--      /** @ref MMAL_PARAMETER_VIDEO_PROFILE_T */
--      MMAL_PARAMETER_PROFILE,
--
--      /** @ref MMAL_PARAMETER_UINT32_T */
--      MMAL_PARAMETER_INTRAPERIOD,
--
--      /** @ref MMAL_PARAMETER_VIDEO_RATECONTROL_T */
--      MMAL_PARAMETER_RATECONTROL,
--
--      /** @ref MMAL_PARAMETER_VIDEO_NALUNITFORMAT_T */
--      MMAL_PARAMETER_NALUNITFORMAT,
--
--      /** @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_MINIMISE_FRAGMENTATION,
--
--      /** @ref MMAL_PARAMETER_UINT32_T.
--       * Setting the value to zero resets to the default (one slice per
--       * frame).
--       */
--      MMAL_PARAMETER_MB_ROWS_PER_SLICE,
--
--      /** @ref MMAL_PARAMETER_VIDEO_LEVEL_EXTENSION_T */
--      MMAL_PARAMETER_VIDEO_LEVEL_EXTENSION,
--
--      /** @ref MMAL_PARAMETER_VIDEO_EEDE_ENABLE_T */
--      MMAL_PARAMETER_VIDEO_EEDE_ENABLE,
--
--      /** @ref MMAL_PARAMETER_VIDEO_EEDE_LOSSRATE_T */
--      MMAL_PARAMETER_VIDEO_EEDE_LOSSRATE,
--
--      /** @ref MMAL_PARAMETER_BOOLEAN_T. Request an I-frame. */
--      MMAL_PARAMETER_VIDEO_REQUEST_I_FRAME,
--      /** @ref MMAL_PARAMETER_VIDEO_INTRA_REFRESH_T */
--      MMAL_PARAMETER_VIDEO_INTRA_REFRESH,
--
--      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
--      MMAL_PARAMETER_VIDEO_IMMUTABLE_INPUT,
--
--      /** @ref MMAL_PARAMETER_UINT32_T. Run-time bit rate control */
--      MMAL_PARAMETER_VIDEO_BIT_RATE,
--
--      /** @ref MMAL_PARAMETER_FRAME_RATE_T */
--      MMAL_PARAMETER_VIDEO_FRAME_RATE,
--
--      /** @ref MMAL_PARAMETER_UINT32_T. */
--      MMAL_PARAMETER_VIDEO_ENCODE_MIN_QUANT,
--
--      /** @ref MMAL_PARAMETER_UINT32_T. */
--      MMAL_PARAMETER_VIDEO_ENCODE_MAX_QUANT,
--
--      /** @ref MMAL_PARAMETER_VIDEO_ENCODE_RC_MODEL_T. */
--      MMAL_PARAMETER_VIDEO_ENCODE_RC_MODEL,
--
--      MMAL_PARAMETER_EXTRA_BUFFERS, /**< @ref MMAL_PARAMETER_UINT32_T. */
--      /** @ref MMAL_PARAMETER_UINT32_T.
--       * Changing this parameter from the default can reduce frame rate
--       * because image buffers need to be re-pitched.
--       */
--      MMAL_PARAMETER_VIDEO_ALIGN_HORIZ,
--
--      /** @ref MMAL_PARAMETER_UINT32_T.
--       * Changing this parameter from the default can reduce frame rate
--       * because image buffers need to be re-pitched.
--       */
--      MMAL_PARAMETER_VIDEO_ALIGN_VERT,
--
--      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
--      MMAL_PARAMETER_VIDEO_DROPPABLE_PFRAMES,
--
--      /** @ref MMAL_PARAMETER_UINT32_T. */
--      MMAL_PARAMETER_VIDEO_ENCODE_INITIAL_QUANT,
--
--      /**< @ref MMAL_PARAMETER_UINT32_T. */
--      MMAL_PARAMETER_VIDEO_ENCODE_QP_P,
--
--      /**< @ref MMAL_PARAMETER_UINT32_T. */
--      MMAL_PARAMETER_VIDEO_ENCODE_RC_SLICE_DQUANT,
--
--      /** @ref MMAL_PARAMETER_UINT32_T */
--      MMAL_PARAMETER_VIDEO_ENCODE_FRAME_LIMIT_BITS,
--
--      /** @ref MMAL_PARAMETER_UINT32_T. */
--      MMAL_PARAMETER_VIDEO_ENCODE_PEAK_RATE,
--
--      /* H264 specific parameters */
--
--      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
--      MMAL_PARAMETER_VIDEO_ENCODE_H264_DISABLE_CABAC,
--
--      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
--      MMAL_PARAMETER_VIDEO_ENCODE_H264_LOW_LATENCY,
--
--      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
--      MMAL_PARAMETER_VIDEO_ENCODE_H264_AU_DELIMITERS,
--
--      /** @ref MMAL_PARAMETER_UINT32_T. */
--      MMAL_PARAMETER_VIDEO_ENCODE_H264_DEBLOCK_IDC,
--
--      /** @ref MMAL_PARAMETER_VIDEO_ENCODER_H264_MB_INTRA_MODES_T. */
--      MMAL_PARAMETER_VIDEO_ENCODE_H264_MB_INTRA_MODE,
--
--      /** @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_VIDEO_ENCODE_HEADER_ON_OPEN,
--
--      /** @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_VIDEO_ENCODE_PRECODE_FOR_QP,
--
--      /** @ref MMAL_PARAMETER_VIDEO_DRM_INIT_INFO_T. */
--      MMAL_PARAMETER_VIDEO_DRM_INIT_INFO,
--
--      /** @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_VIDEO_TIMESTAMP_FIFO,
--
--      /** @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_VIDEO_DECODE_ERROR_CONCEALMENT,
--
--      /** @ref MMAL_PARAMETER_VIDEO_DRM_PROTECT_BUFFER_T. */
--      MMAL_PARAMETER_VIDEO_DRM_PROTECT_BUFFER,
--
--      /** @ref MMAL_PARAMETER_BYTES_T */
--      MMAL_PARAMETER_VIDEO_DECODE_CONFIG_VD3,
--
--      /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_VIDEO_ENCODE_H264_VCL_HRD_PARAMETERS,
--
--      /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_VIDEO_ENCODE_H264_LOW_DELAY_HRD_FLAG,
--
--      /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_VIDEO_ENCODE_INLINE_HEADER
--};
--
--/** Valid mirror modes */
--enum mmal_parameter_mirror {
--      MMAL_PARAM_MIRROR_NONE,
--      MMAL_PARAM_MIRROR_VERTICAL,
--      MMAL_PARAM_MIRROR_HORIZONTAL,
--      MMAL_PARAM_MIRROR_BOTH,
--};
--
--enum mmal_parameter_displaytransform {
--      MMAL_DISPLAY_ROT0 = 0,
--      MMAL_DISPLAY_MIRROR_ROT0 = 1,
--      MMAL_DISPLAY_MIRROR_ROT180 = 2,
--      MMAL_DISPLAY_ROT180 = 3,
--      MMAL_DISPLAY_MIRROR_ROT90 = 4,
--      MMAL_DISPLAY_ROT270 = 5,
--      MMAL_DISPLAY_ROT90 = 6,
--      MMAL_DISPLAY_MIRROR_ROT270 = 7,
--};
--
--enum mmal_parameter_displaymode {
--      MMAL_DISPLAY_MODE_FILL = 0,
--      MMAL_DISPLAY_MODE_LETTERBOX = 1,
--};
--
--enum mmal_parameter_displayset {
--      MMAL_DISPLAY_SET_NONE = 0,
--      MMAL_DISPLAY_SET_NUM = 1,
--      MMAL_DISPLAY_SET_FULLSCREEN = 2,
--      MMAL_DISPLAY_SET_TRANSFORM = 4,
--      MMAL_DISPLAY_SET_DEST_RECT = 8,
--      MMAL_DISPLAY_SET_SRC_RECT = 0x10,
--      MMAL_DISPLAY_SET_MODE = 0x20,
--      MMAL_DISPLAY_SET_PIXEL = 0x40,
--      MMAL_DISPLAY_SET_NOASPECT = 0x80,
--      MMAL_DISPLAY_SET_LAYER = 0x100,
--      MMAL_DISPLAY_SET_COPYPROTECT = 0x200,
--      MMAL_DISPLAY_SET_ALPHA = 0x400,
--};
--
--/* rectangle, used lots so it gets its own struct */
--struct vchiq_mmal_rect {
--      s32 x;
--      s32 y;
--      s32 width;
--      s32 height;
--};
--
--struct mmal_parameter_displayregion {
--      /** Bitfield that indicates which fields are set and should be
--       * used. All other fields will maintain their current value.
--       * \ref MMAL_DISPLAYSET_T defines the bits that can be
--       * combined.
--       */
--      u32 set;
--
--      /** Describes the display output device, with 0 typically
--       * being a directly connected LCD display.  The actual values
--       * will depend on the hardware.  Code using hard-wired numbers
--       * (e.g. 2) is certain to fail.
--       */
--
--      u32 display_num;
--      /** Indicates that we are using the full device screen area,
--       * rather than a window of the display.  If zero, then
--       * dest_rect is used to specify a region of the display to
--       * use.
--       */
--
--      s32 fullscreen;
--      /** Indicates any rotation or flipping used to map frames onto
--       * the natural display orientation.
--       */
--      u32 transform; /* enum mmal_parameter_displaytransform */
--
--      /** Where to display the frame within the screen, if
--       * fullscreen is zero.
--       */
--      struct vchiq_mmal_rect dest_rect;
--
--      /** Indicates which area of the frame to display. If all
--       * values are zero, the whole frame will be used.
--       */
--      struct vchiq_mmal_rect src_rect;
--
--      /** If set to non-zero, indicates that any display scaling
--       * should disregard the aspect ratio of the frame region being
--       * displayed.
--       */
--      s32 noaspect;
--
--      /** Indicates how the image should be scaled to fit the
--       * display. \code MMAL_DISPLAY_MODE_FILL \endcode indicates
--       * that the image should fill the screen by potentially
--       * cropping the frames.  Setting \code mode \endcode to \code
--       * MMAL_DISPLAY_MODE_LETTERBOX \endcode indicates that all the
--       * source region should be displayed and black bars added if
--       * necessary.
--       */
--      u32 mode; /* enum mmal_parameter_displaymode */
--
--      /** If non-zero, defines the width of a source pixel relative
--       * to \code pixel_y \endcode.  If zero, then pixels default to
--       * being square.
--       */
--      u32 pixel_x;
--
--      /** If non-zero, defines the height of a source pixel relative
--       * to \code pixel_x \endcode.  If zero, then pixels default to
--       * being square.
--       */
--      u32 pixel_y;
--
--      /** Sets the relative depth of the images, with greater values
--       * being in front of smaller values.
--       */
--      u32 layer;
--
--      /** Set to non-zero to ensure copy protection is used on
--       * output.
--       */
--      s32 copyprotect_required;
--
--      /** Level of opacity of the layer, where zero is fully
--       * transparent and 255 is fully opaque.
--       */
--      u32 alpha;
--};
--
--#define MMAL_MAX_IMAGEFX_PARAMETERS 5
--
--struct mmal_parameter_imagefx_parameters {
--      enum mmal_parameter_imagefx effect;
--      u32 num_effect_params;
--      u32 effect_parameter[MMAL_MAX_IMAGEFX_PARAMETERS];
--};
--
--#define MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS 4
--#define MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES 2
--#define MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN 16
--
--struct mmal_parameter_camera_info_camera_t {
--      u32    port_id;
--      u32    max_width;
--      u32    max_height;
--      u32    lens_present;
--      u8     camera_name[MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN];
--};
--
--enum mmal_parameter_camera_info_flash_type_t {
--      /* Make values explicit to ensure they match values in config ini */
--      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_XENON = 0,
--      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_LED   = 1,
--      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_OTHER = 2,
--      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_MAX = 0x7FFFFFFF
--};
--
--struct mmal_parameter_camera_info_flash_t {
--      enum mmal_parameter_camera_info_flash_type_t flash_type;
--};
--
--struct mmal_parameter_camera_info_t {
--      u32                            num_cameras;
--      u32                            num_flashes;
--      struct mmal_parameter_camera_info_camera_t
--                              cameras[MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS];
--      struct mmal_parameter_camera_info_flash_t
--                              flashes[MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES];
--};
--
--#endif
---- a/drivers/staging/vc04_services/bcm2835-camera/mmal-vchiq.h
-+++ /dev/null
-@@ -1,166 +0,0 @@
--/* SPDX-License-Identifier: GPL-2.0 */
--/*
-- * Broadcom BM2835 V4L2 driver
-- *
-- * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-- *
-- * Authors: Vincent Sanders @ Collabora
-- *          Dave Stevenson @ Broadcom
-- *            (now dave.stevenson@raspberrypi.org)
-- *          Simon Mellor @ Broadcom
-- *          Luke Diamand @ Broadcom
-- *
-- * MMAL interface to VCHIQ message passing
-- */
--
--#ifndef MMAL_VCHIQ_H
--#define MMAL_VCHIQ_H
--
--#include "mmal-msg-format.h"
--
--#define MAX_PORT_COUNT 4
--
--/* Maximum size of the format extradata. */
--#define MMAL_FORMAT_EXTRADATA_MAX_SIZE 128
--
--struct vchiq_mmal_instance;
--
--enum vchiq_mmal_es_type {
--      MMAL_ES_TYPE_UNKNOWN,     /**< Unknown elementary stream type */
--      MMAL_ES_TYPE_CONTROL,     /**< Elementary stream of control commands */
--      MMAL_ES_TYPE_AUDIO,       /**< Audio elementary stream */
--      MMAL_ES_TYPE_VIDEO,       /**< Video elementary stream */
--      MMAL_ES_TYPE_SUBPICTURE   /**< Sub-picture elementary stream */
--};
--
--struct vchiq_mmal_port_buffer {
--      unsigned int num; /* number of buffers */
--      u32 size; /* size of buffers */
--      u32 alignment; /* alignment of buffers */
--};
--
--struct vchiq_mmal_port;
--
--typedef void (*vchiq_mmal_buffer_cb)(
--              struct vchiq_mmal_instance  *instance,
--              struct vchiq_mmal_port *port,
--              int status, struct mmal_buffer *buffer,
--              unsigned long length, u32 mmal_flags, s64 dts, s64 pts);
--
--struct vchiq_mmal_port {
--      u32 enabled:1;
--      u32 handle;
--      u32 type; /* port type, cached to use on port info set */
--      u32 index; /* port index, cached to use on port info set */
--
--      /* component port belongs to, allows simple deref */
--      struct vchiq_mmal_component *component;
--
--      struct vchiq_mmal_port *connected; /* port connected to */
--
--      /* buffer info */
--      struct vchiq_mmal_port_buffer minimum_buffer;
--      struct vchiq_mmal_port_buffer recommended_buffer;
--      struct vchiq_mmal_port_buffer current_buffer;
--
--      /* stream format */
--      struct mmal_es_format_local format;
--      /* elementary stream format */
--      union mmal_es_specific_format es;
--
--      /* data buffers to fill */
--      struct list_head buffers;
--      /* lock to serialise adding and removing buffers from list */
--      spinlock_t slock;
--
--      /* Count of buffers the VPU has yet to return */
--      atomic_t buffers_with_vpu;
--      /* callback on buffer completion */
--      vchiq_mmal_buffer_cb buffer_cb;
--      /* callback context */
--      void *cb_ctx;
--};
--
--struct vchiq_mmal_component {
--      u32 enabled:1;
--      u32 handle;  /* VideoCore handle for component */
--      u32 inputs;  /* Number of input ports */
--      u32 outputs; /* Number of output ports */
--      u32 clocks;  /* Number of clock ports */
--      struct vchiq_mmal_port control; /* control port */
--      struct vchiq_mmal_port input[MAX_PORT_COUNT]; /* input ports */
--      struct vchiq_mmal_port output[MAX_PORT_COUNT]; /* output ports */
--      struct vchiq_mmal_port clock[MAX_PORT_COUNT]; /* clock ports */
--};
--
--int vchiq_mmal_init(struct vchiq_mmal_instance **out_instance);
--int vchiq_mmal_finalise(struct vchiq_mmal_instance *instance);
--
--/* Initialise a mmal component and its ports
-- *
-- */
--int vchiq_mmal_component_init(
--              struct vchiq_mmal_instance *instance,
--              const char *name,
--              struct vchiq_mmal_component **component_out);
--
--int vchiq_mmal_component_finalise(
--              struct vchiq_mmal_instance *instance,
--              struct vchiq_mmal_component *component);
--
--int vchiq_mmal_component_enable(
--              struct vchiq_mmal_instance *instance,
--              struct vchiq_mmal_component *component);
--
--int vchiq_mmal_component_disable(
--              struct vchiq_mmal_instance *instance,
--              struct vchiq_mmal_component *component);
--
--/* enable a mmal port
-- *
-- * enables a port and if a buffer callback provided enque buffer
-- * headers as appropriate for the port.
-- */
--int vchiq_mmal_port_enable(
--              struct vchiq_mmal_instance *instance,
--              struct vchiq_mmal_port *port,
--              vchiq_mmal_buffer_cb buffer_cb);
--
--/* disable a port
-- *
-- * disable a port will dequeue any pending buffers
-- */
--int vchiq_mmal_port_disable(struct vchiq_mmal_instance *instance,
--                          struct vchiq_mmal_port *port);
--
--int vchiq_mmal_port_parameter_set(struct vchiq_mmal_instance *instance,
--                                struct vchiq_mmal_port *port,
--                                u32 parameter,
--                                void *value,
--                                u32 value_size);
--
--int vchiq_mmal_port_parameter_get(struct vchiq_mmal_instance *instance,
--                                struct vchiq_mmal_port *port,
--                                u32 parameter,
--                                void *value,
--                                u32 *value_size);
--
--int vchiq_mmal_port_set_format(struct vchiq_mmal_instance *instance,
--                             struct vchiq_mmal_port *port);
--
--int vchiq_mmal_port_connect_tunnel(struct vchiq_mmal_instance *instance,
--                                 struct vchiq_mmal_port *src,
--                                 struct vchiq_mmal_port *dst);
--
--int vchiq_mmal_version(struct vchiq_mmal_instance *instance,
--                     u32 *major_out,
--                     u32 *minor_out);
--
--int vchiq_mmal_submit_buffer(struct vchiq_mmal_instance *instance,
--                           struct vchiq_mmal_port *port,
--                           struct mmal_buffer *buf);
--
--int mmal_vchi_buffer_init(struct vchiq_mmal_instance *instance,
--                        struct mmal_buffer *buf);
--int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf);
--#endif /* MMAL_VCHIQ_H */
---- /dev/null
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
-@@ -0,0 +1,60 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Broadcom BM2835 V4L2 driver
-+ *
-+ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-+ *
-+ * Authors: Vincent Sanders @ Collabora
-+ *          Dave Stevenson @ Broadcom
-+ *            (now dave.stevenson@raspberrypi.org)
-+ *          Simon Mellor @ Broadcom
-+ *          Luke Diamand @ Broadcom
-+ *
-+ * MMAL structures
-+ *
-+ */
-+#ifndef MMAL_COMMON_H
-+#define MMAL_COMMON_H
-+
-+#define MMAL_FOURCC(a, b, c, d) ((a) | (b << 8) | (c << 16) | (d << 24))
-+#define MMAL_MAGIC MMAL_FOURCC('m', 'm', 'a', 'l')
-+
-+/** Special value signalling that time is not known */
-+#define MMAL_TIME_UNKNOWN BIT_ULL(63)
-+
-+struct mmal_msg_context;
-+
-+/* mapping between v4l and mmal video modes */
-+struct mmal_fmt {
-+      u32   fourcc;          /* v4l2 format id */
-+      int   flags;           /* v4l2 flags field */
-+      u32   mmal;
-+      int   depth;
-+      u32   mmal_component;  /* MMAL component index to be used to encode */
-+      u32   ybbp;            /* depth of first Y plane for planar formats */
-+      bool  remove_padding;  /* Does the GPU have to remove padding,
-+                              * or can we do hide padding via bytesperline.
-+                              */
-+};
-+
-+/* buffer for one video frame */
-+struct mmal_buffer {
-+      /* v4l buffer data -- must be first */
-+      struct vb2_v4l2_buffer  vb;
-+
-+      /* list of buffers available */
-+      struct list_head        list;
-+
-+      void *buffer; /* buffer pointer */
-+      unsigned long buffer_size; /* size of allocated buffer */
-+
-+      struct mmal_msg_context *msg_context;
-+};
-+
-+/* */
-+struct mmal_colourfx {
-+      s32 enable;
-+      u32 u;
-+      u32 v;
-+};
-+#endif
---- /dev/null
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
-@@ -0,0 +1,124 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Broadcom BM2835 V4L2 driver
-+ *
-+ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-+ *
-+ * Authors: Vincent Sanders @ Collabora
-+ *          Dave Stevenson @ Broadcom
-+ *            (now dave.stevenson@raspberrypi.org)
-+ *          Simon Mellor @ Broadcom
-+ *          Luke Diamand @ Broadcom
-+ */
-+#ifndef MMAL_ENCODINGS_H
-+#define MMAL_ENCODINGS_H
-+
-+#define MMAL_ENCODING_H264             MMAL_FOURCC('H', '2', '6', '4')
-+#define MMAL_ENCODING_H263             MMAL_FOURCC('H', '2', '6', '3')
-+#define MMAL_ENCODING_MP4V             MMAL_FOURCC('M', 'P', '4', 'V')
-+#define MMAL_ENCODING_MP2V             MMAL_FOURCC('M', 'P', '2', 'V')
-+#define MMAL_ENCODING_MP1V             MMAL_FOURCC('M', 'P', '1', 'V')
-+#define MMAL_ENCODING_WMV3             MMAL_FOURCC('W', 'M', 'V', '3')
-+#define MMAL_ENCODING_WMV2             MMAL_FOURCC('W', 'M', 'V', '2')
-+#define MMAL_ENCODING_WMV1             MMAL_FOURCC('W', 'M', 'V', '1')
-+#define MMAL_ENCODING_WVC1             MMAL_FOURCC('W', 'V', 'C', '1')
-+#define MMAL_ENCODING_VP8              MMAL_FOURCC('V', 'P', '8', ' ')
-+#define MMAL_ENCODING_VP7              MMAL_FOURCC('V', 'P', '7', ' ')
-+#define MMAL_ENCODING_VP6              MMAL_FOURCC('V', 'P', '6', ' ')
-+#define MMAL_ENCODING_THEORA           MMAL_FOURCC('T', 'H', 'E', 'O')
-+#define MMAL_ENCODING_SPARK            MMAL_FOURCC('S', 'P', 'R', 'K')
-+#define MMAL_ENCODING_MJPEG            MMAL_FOURCC('M', 'J', 'P', 'G')
-+
-+#define MMAL_ENCODING_JPEG             MMAL_FOURCC('J', 'P', 'E', 'G')
-+#define MMAL_ENCODING_GIF              MMAL_FOURCC('G', 'I', 'F', ' ')
-+#define MMAL_ENCODING_PNG              MMAL_FOURCC('P', 'N', 'G', ' ')
-+#define MMAL_ENCODING_PPM              MMAL_FOURCC('P', 'P', 'M', ' ')
-+#define MMAL_ENCODING_TGA              MMAL_FOURCC('T', 'G', 'A', ' ')
-+#define MMAL_ENCODING_BMP              MMAL_FOURCC('B', 'M', 'P', ' ')
-+
-+#define MMAL_ENCODING_I420             MMAL_FOURCC('I', '4', '2', '0')
-+#define MMAL_ENCODING_I420_SLICE       MMAL_FOURCC('S', '4', '2', '0')
-+#define MMAL_ENCODING_YV12             MMAL_FOURCC('Y', 'V', '1', '2')
-+#define MMAL_ENCODING_I422             MMAL_FOURCC('I', '4', '2', '2')
-+#define MMAL_ENCODING_I422_SLICE       MMAL_FOURCC('S', '4', '2', '2')
-+#define MMAL_ENCODING_YUYV             MMAL_FOURCC('Y', 'U', 'Y', 'V')
-+#define MMAL_ENCODING_YVYU             MMAL_FOURCC('Y', 'V', 'Y', 'U')
-+#define MMAL_ENCODING_UYVY             MMAL_FOURCC('U', 'Y', 'V', 'Y')
-+#define MMAL_ENCODING_VYUY             MMAL_FOURCC('V', 'Y', 'U', 'Y')
-+#define MMAL_ENCODING_NV12             MMAL_FOURCC('N', 'V', '1', '2')
-+#define MMAL_ENCODING_NV21             MMAL_FOURCC('N', 'V', '2', '1')
-+#define MMAL_ENCODING_ARGB             MMAL_FOURCC('A', 'R', 'G', 'B')
-+#define MMAL_ENCODING_RGBA             MMAL_FOURCC('R', 'G', 'B', 'A')
-+#define MMAL_ENCODING_ABGR             MMAL_FOURCC('A', 'B', 'G', 'R')
-+#define MMAL_ENCODING_BGRA             MMAL_FOURCC('B', 'G', 'R', 'A')
-+#define MMAL_ENCODING_RGB16            MMAL_FOURCC('R', 'G', 'B', '2')
-+#define MMAL_ENCODING_RGB24            MMAL_FOURCC('R', 'G', 'B', '3')
-+#define MMAL_ENCODING_RGB32            MMAL_FOURCC('R', 'G', 'B', '4')
-+#define MMAL_ENCODING_BGR16            MMAL_FOURCC('B', 'G', 'R', '2')
-+#define MMAL_ENCODING_BGR24            MMAL_FOURCC('B', 'G', 'R', '3')
-+#define MMAL_ENCODING_BGR32            MMAL_FOURCC('B', 'G', 'R', '4')
-+
-+/** SAND Video (YUVUV128) format, native format understood by VideoCore.
-+ * This format is *not* opaque - if requested you will receive full frames
-+ * of YUV_UV video.
-+ */
-+#define MMAL_ENCODING_YUVUV128         MMAL_FOURCC('S', 'A', 'N', 'D')
-+
-+/** VideoCore opaque image format, image handles are returned to
-+ * the host but not the actual image data.
-+ */
-+#define MMAL_ENCODING_OPAQUE           MMAL_FOURCC('O', 'P', 'Q', 'V')
-+
-+/** An EGL image handle
-+ */
-+#define MMAL_ENCODING_EGL_IMAGE        MMAL_FOURCC('E', 'G', 'L', 'I')
-+
-+/* }@ */
-+
-+/** \name Pre-defined audio encodings */
-+/* @{ */
-+#define MMAL_ENCODING_PCM_UNSIGNED_BE  MMAL_FOURCC('P', 'C', 'M', 'U')
-+#define MMAL_ENCODING_PCM_UNSIGNED_LE  MMAL_FOURCC('p', 'c', 'm', 'u')
-+#define MMAL_ENCODING_PCM_SIGNED_BE    MMAL_FOURCC('P', 'C', 'M', 'S')
-+#define MMAL_ENCODING_PCM_SIGNED_LE    MMAL_FOURCC('p', 'c', 'm', 's')
-+#define MMAL_ENCODING_PCM_FLOAT_BE     MMAL_FOURCC('P', 'C', 'M', 'F')
-+#define MMAL_ENCODING_PCM_FLOAT_LE     MMAL_FOURCC('p', 'c', 'm', 'f')
-+
-+/* Pre-defined H264 encoding variants */
-+
-+/** ISO 14496-10 Annex B byte stream format */
-+#define MMAL_ENCODING_VARIANT_H264_DEFAULT   0
-+/** ISO 14496-15 AVC stream format */
-+#define MMAL_ENCODING_VARIANT_H264_AVC1      MMAL_FOURCC('A', 'V', 'C', '1')
-+/** Implicitly delineated NAL units without emulation prevention */
-+#define MMAL_ENCODING_VARIANT_H264_RAW       MMAL_FOURCC('R', 'A', 'W', ' ')
-+
-+/** \defgroup MmalColorSpace List of pre-defined video color spaces
-+ * This defines a list of common color spaces. This list isn't exhaustive and
-+ * is only provided as a convenience to avoid clients having to use FourCC
-+ * codes directly. However components are allowed to define and use their own
-+ * FourCC codes.
-+ */
-+/* @{ */
-+
-+/** Unknown color space */
-+#define MMAL_COLOR_SPACE_UNKNOWN       0
-+/** ITU-R BT.601-5 [SDTV] */
-+#define MMAL_COLOR_SPACE_ITUR_BT601    MMAL_FOURCC('Y', '6', '0', '1')
-+/** ITU-R BT.709-3 [HDTV] */
-+#define MMAL_COLOR_SPACE_ITUR_BT709    MMAL_FOURCC('Y', '7', '0', '9')
-+/** JPEG JFIF */
-+#define MMAL_COLOR_SPACE_JPEG_JFIF     MMAL_FOURCC('Y', 'J', 'F', 'I')
-+/** Title 47 Code of Federal Regulations (2003) 73.682 (a) (20) */
-+#define MMAL_COLOR_SPACE_FCC           MMAL_FOURCC('Y', 'F', 'C', 'C')
-+/** Society of Motion Picture and Television Engineers 240M (1999) */
-+#define MMAL_COLOR_SPACE_SMPTE240M     MMAL_FOURCC('Y', '2', '4', '0')
-+/** ITU-R BT.470-2 System M */
-+#define MMAL_COLOR_SPACE_BT470_2_M     MMAL_FOURCC('Y', '_', '_', 'M')
-+/** ITU-R BT.470-2 System BG */
-+#define MMAL_COLOR_SPACE_BT470_2_BG    MMAL_FOURCC('Y', '_', 'B', 'G')
-+/** JPEG JFIF, but with 16..255 luma */
-+#define MMAL_COLOR_SPACE_JFIF_Y16_255  MMAL_FOURCC('Y', 'Y', '1', '6')
-+/* @} MmalColorSpace List */
-+
-+#endif /* MMAL_ENCODINGS_H */
---- /dev/null
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-msg-common.h
-@@ -0,0 +1,48 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Broadcom BM2835 V4L2 driver
-+ *
-+ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-+ *
-+ * Authors: Vincent Sanders @ Collabora
-+ *          Dave Stevenson @ Broadcom
-+ *            (now dave.stevenson@raspberrypi.org)
-+ *          Simon Mellor @ Broadcom
-+ *          Luke Diamand @ Broadcom
-+ */
-+
-+#ifndef MMAL_MSG_COMMON_H
-+#define MMAL_MSG_COMMON_H
-+
-+enum mmal_msg_status {
-+      MMAL_MSG_STATUS_SUCCESS = 0, /**< Success */
-+      MMAL_MSG_STATUS_ENOMEM,      /**< Out of memory */
-+      MMAL_MSG_STATUS_ENOSPC,      /**< Out of resources other than memory */
-+      MMAL_MSG_STATUS_EINVAL,      /**< Argument is invalid */
-+      MMAL_MSG_STATUS_ENOSYS,      /**< Function not implemented */
-+      MMAL_MSG_STATUS_ENOENT,      /**< No such file or directory */
-+      MMAL_MSG_STATUS_ENXIO,       /**< No such device or address */
-+      MMAL_MSG_STATUS_EIO,         /**< I/O error */
-+      MMAL_MSG_STATUS_ESPIPE,      /**< Illegal seek */
-+      MMAL_MSG_STATUS_ECORRUPT,    /**< Data is corrupt \attention */
-+      MMAL_MSG_STATUS_ENOTREADY,   /**< Component is not ready */
-+      MMAL_MSG_STATUS_ECONFIG,     /**< Component is not configured */
-+      MMAL_MSG_STATUS_EISCONN,     /**< Port is already connected */
-+      MMAL_MSG_STATUS_ENOTCONN,    /**< Port is disconnected */
-+      MMAL_MSG_STATUS_EAGAIN,      /**< Resource temporarily unavailable. */
-+      MMAL_MSG_STATUS_EFAULT,      /**< Bad address */
-+};
-+
-+struct mmal_rect {
-+      s32 x;      /**< x coordinate (from left) */
-+      s32 y;      /**< y coordinate (from top) */
-+      s32 width;  /**< width */
-+      s32 height; /**< height */
-+};
-+
-+struct mmal_rational {
-+      s32 num;    /**< Numerator */
-+      s32 den;    /**< Denominator */
-+};
-+
-+#endif /* MMAL_MSG_COMMON_H */
---- /dev/null
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-msg-format.h
-@@ -0,0 +1,106 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Broadcom BM2835 V4L2 driver
-+ *
-+ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-+ *
-+ * Authors: Vincent Sanders @ Collabora
-+ *          Dave Stevenson @ Broadcom
-+ *            (now dave.stevenson@raspberrypi.org)
-+ *          Simon Mellor @ Broadcom
-+ *          Luke Diamand @ Broadcom
-+ */
-+
-+#ifndef MMAL_MSG_FORMAT_H
-+#define MMAL_MSG_FORMAT_H
-+
-+#include "mmal-msg-common.h"
-+
-+/* MMAL_ES_FORMAT_T */
-+
-+struct mmal_audio_format {
-+      u32 channels;           /* Number of audio channels */
-+      u32 sample_rate;        /* Sample rate */
-+
-+      u32 bits_per_sample;    /* Bits per sample */
-+      u32 block_align;        /* Size of a block of data */
-+};
-+
-+struct mmal_video_format {
-+      u32 width;              /* Width of frame in pixels */
-+      u32 height;             /* Height of frame in rows of pixels */
-+      struct mmal_rect crop;  /* Visible region of the frame */
-+      struct mmal_rational frame_rate;        /* Frame rate */
-+      struct mmal_rational par;               /* Pixel aspect ratio */
-+
-+      /*
-+       * FourCC specifying the color space of the video stream. See the
-+       * MmalColorSpace "pre-defined color spaces" for some examples.
-+       */
-+      u32 color_space;
-+};
-+
-+struct mmal_subpicture_format {
-+      u32 x_offset;
-+      u32 y_offset;
-+};
-+
-+union mmal_es_specific_format {
-+      struct mmal_audio_format audio;
-+      struct mmal_video_format video;
-+      struct mmal_subpicture_format subpicture;
-+};
-+
-+/* Definition of an elementary stream format (MMAL_ES_FORMAT_T) */
-+struct mmal_es_format_local {
-+      u32 type;       /* enum mmal_es_type */
-+
-+      u32 encoding;   /* FourCC specifying encoding of the elementary
-+                       * stream.
-+                       */
-+      u32 encoding_variant;   /* FourCC specifying the specific
-+                               * encoding variant of the elementary
-+                               * stream.
-+                               */
-+
-+      union mmal_es_specific_format *es;      /* Type specific
-+                                               * information for the
-+                                               * elementary stream
-+                                               */
-+
-+      u32 bitrate;    /* Bitrate in bits per second */
-+      u32 flags;      /* Flags describing properties of the elementary
-+                       * stream.
-+                       */
-+
-+      u32 extradata_size;     /* Size of the codec specific data */
-+      u8  *extradata;         /* Codec specific data */
-+};
-+
-+/* Remote definition of an elementary stream format (MMAL_ES_FORMAT_T) */
-+struct mmal_es_format {
-+      u32 type;       /* enum mmal_es_type */
-+
-+      u32 encoding;   /* FourCC specifying encoding of the elementary
-+                       * stream.
-+                       */
-+      u32 encoding_variant;   /* FourCC specifying the specific
-+                               * encoding variant of the elementary
-+                               * stream.
-+                               */
-+
-+      u32 es; /* Type specific
-+               * information for the
-+               * elementary stream
-+               */
-+
-+      u32 bitrate;    /* Bitrate in bits per second */
-+      u32 flags;      /* Flags describing properties of the elementary
-+                       * stream.
-+                       */
-+
-+      u32 extradata_size;     /* Size of the codec specific data */
-+      u32 extradata;          /* Codec specific data */
-+};
-+
-+#endif /* MMAL_MSG_FORMAT_H */
---- /dev/null
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-msg-port.h
-@@ -0,0 +1,109 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Broadcom BM2835 V4L2 driver
-+ *
-+ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-+ *
-+ * Authors: Vincent Sanders @ Collabora
-+ *          Dave Stevenson @ Broadcom
-+ *            (now dave.stevenson@raspberrypi.org)
-+ *          Simon Mellor @ Broadcom
-+ *          Luke Diamand @ Broadcom
-+ */
-+
-+/* MMAL_PORT_TYPE_T */
-+enum mmal_port_type {
-+      MMAL_PORT_TYPE_UNKNOWN = 0,     /* Unknown port type */
-+      MMAL_PORT_TYPE_CONTROL,         /* Control port */
-+      MMAL_PORT_TYPE_INPUT,           /* Input port */
-+      MMAL_PORT_TYPE_OUTPUT,          /* Output port */
-+      MMAL_PORT_TYPE_CLOCK,           /* Clock port */
-+};
-+
-+/* The port is pass-through and doesn't need buffer headers allocated */
-+#define MMAL_PORT_CAPABILITY_PASSTHROUGH                       0x01
-+/*
-+ *The port wants to allocate the buffer payloads.
-+ * This signals a preference that payload allocation should be done
-+ * on this port for efficiency reasons.
-+ */
-+#define MMAL_PORT_CAPABILITY_ALLOCATION                        0x02
-+/*
-+ * The port supports format change events.
-+ * This applies to input ports and is used to let the client know
-+ * whether the port supports being reconfigured via a format
-+ * change event (i.e. without having to disable the port).
-+ */
-+#define MMAL_PORT_CAPABILITY_SUPPORTS_EVENT_FORMAT_CHANGE      0x04
-+
-+/*
-+ * mmal port structure (MMAL_PORT_T)
-+ *
-+ * most elements are informational only, the pointer values for
-+ * interogation messages are generally provided as additional
-+ * structures within the message. When used to set values only the
-+ * buffer_num, buffer_size and userdata parameters are writable.
-+ */
-+struct mmal_port {
-+      u32 priv;       /* Private member used by the framework */
-+      u32 name;       /* Port name. Used for debugging purposes (RO) */
-+
-+      u32 type;       /* Type of the port (RO) enum mmal_port_type */
-+      u16 index;      /* Index of the port in its type list (RO) */
-+      u16 index_all;  /* Index of the port in the list of all ports (RO) */
-+
-+      u32 is_enabled; /* Indicates whether the port is enabled or not (RO) */
-+      u32 format;     /* Format of the elementary stream */
-+
-+      u32 buffer_num_min;     /* Minimum number of buffers the port
-+                               *   requires (RO).  This is set by the
-+                               *   component.
-+                               */
-+
-+      u32 buffer_size_min;    /* Minimum size of buffers the port
-+                               * requires (RO).  This is set by the
-+                               * component.
-+                               */
-+
-+      u32 buffer_alignment_min;/* Minimum alignment requirement for
-+                                * the buffers (RO).  A value of
-+                                * zero means no special alignment
-+                                * requirements.  This is set by the
-+                                * component.
-+                                */
-+
-+      u32 buffer_num_recommended;     /* Number of buffers the port
-+                                       * recommends for optimal
-+                                       * performance (RO).  A value of
-+                                       * zero means no special
-+                                       * recommendation.  This is set
-+                                       * by the component.
-+                                       */
-+
-+      u32 buffer_size_recommended;    /* Size of buffers the port
-+                                       * recommends for optimal
-+                                       * performance (RO).  A value of
-+                                       * zero means no special
-+                                       * recommendation.  This is set
-+                                       * by the component.
-+                                       */
-+
-+      u32 buffer_num; /* Actual number of buffers the port will use.
-+                       * This is set by the client.
-+                       */
-+
-+      u32 buffer_size; /* Actual maximum size of the buffers that
-+                        * will be sent to the port. This is set by
-+                        * the client.
-+                        */
-+
-+      u32 component;  /* Component this port belongs to (Read Only) */
-+
-+      u32 userdata;   /* Field reserved for use by the client */
-+
-+      u32 capabilities;       /* Flags describing the capabilities of a
-+                               * port (RO).  Bitwise combination of \ref
-+                               * portcapabilities "Port capabilities"
-+                               * values.
-+                               */
-+};
---- /dev/null
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-msg.h
-@@ -0,0 +1,406 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Broadcom BM2835 V4L2 driver
-+ *
-+ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-+ *
-+ * Authors: Vincent Sanders @ Collabora
-+ *          Dave Stevenson @ Broadcom
-+ *            (now dave.stevenson@raspberrypi.org)
-+ *          Simon Mellor @ Broadcom
-+ *          Luke Diamand @ Broadcom
-+ */
-+
-+/*
-+ * all the data structures which serialise the MMAL protocol. note
-+ * these are directly mapped onto the recived message data.
-+ *
-+ * BEWARE: They seem to *assume* pointers are u32 and that there is no
-+ * structure padding!
-+ *
-+ * NOTE: this implementation uses kernel types to ensure sizes. Rather
-+ * than assigning values to enums to force their size the
-+ * implementation uses fixed size types and not the enums (though the
-+ * comments have the actual enum type
-+ */
-+#ifndef MMAL_MSG_H
-+#define MMAL_MSG_H
-+
-+#define VC_MMAL_VER 15
-+#define VC_MMAL_MIN_VER 10
-+#define VC_MMAL_SERVER_NAME  MAKE_FOURCC("mmal")
-+
-+/* max total message size is 512 bytes */
-+#define MMAL_MSG_MAX_SIZE 512
-+/* with six 32bit header elements max payload is therefore 488 bytes */
-+#define MMAL_MSG_MAX_PAYLOAD 488
-+
-+#include "mmal-msg-common.h"
-+#include "mmal-msg-format.h"
-+#include "mmal-msg-port.h"
-+
-+enum mmal_msg_type {
-+      MMAL_MSG_TYPE_QUIT = 1,
-+      MMAL_MSG_TYPE_SERVICE_CLOSED,
-+      MMAL_MSG_TYPE_GET_VERSION,
-+      MMAL_MSG_TYPE_COMPONENT_CREATE,
-+      MMAL_MSG_TYPE_COMPONENT_DESTROY,        /* 5 */
-+      MMAL_MSG_TYPE_COMPONENT_ENABLE,
-+      MMAL_MSG_TYPE_COMPONENT_DISABLE,
-+      MMAL_MSG_TYPE_PORT_INFO_GET,
-+      MMAL_MSG_TYPE_PORT_INFO_SET,
-+      MMAL_MSG_TYPE_PORT_ACTION,              /* 10 */
-+      MMAL_MSG_TYPE_BUFFER_FROM_HOST,
-+      MMAL_MSG_TYPE_BUFFER_TO_HOST,
-+      MMAL_MSG_TYPE_GET_STATS,
-+      MMAL_MSG_TYPE_PORT_PARAMETER_SET,
-+      MMAL_MSG_TYPE_PORT_PARAMETER_GET,       /* 15 */
-+      MMAL_MSG_TYPE_EVENT_TO_HOST,
-+      MMAL_MSG_TYPE_GET_CORE_STATS_FOR_PORT,
-+      MMAL_MSG_TYPE_OPAQUE_ALLOCATOR,
-+      MMAL_MSG_TYPE_CONSUME_MEM,
-+      MMAL_MSG_TYPE_LMK,                      /* 20 */
-+      MMAL_MSG_TYPE_OPAQUE_ALLOCATOR_DESC,
-+      MMAL_MSG_TYPE_DRM_GET_LHS32,
-+      MMAL_MSG_TYPE_DRM_GET_TIME,
-+      MMAL_MSG_TYPE_BUFFER_FROM_HOST_ZEROLEN,
-+      MMAL_MSG_TYPE_PORT_FLUSH,               /* 25 */
-+      MMAL_MSG_TYPE_HOST_LOG,
-+      MMAL_MSG_TYPE_MSG_LAST
-+};
-+
-+/* port action request messages differ depending on the action type */
-+enum mmal_msg_port_action_type {
-+      MMAL_MSG_PORT_ACTION_TYPE_UNKNOWN = 0,  /* Unknown action */
-+      MMAL_MSG_PORT_ACTION_TYPE_ENABLE,       /* Enable a port */
-+      MMAL_MSG_PORT_ACTION_TYPE_DISABLE,      /* Disable a port */
-+      MMAL_MSG_PORT_ACTION_TYPE_FLUSH,        /* Flush a port */
-+      MMAL_MSG_PORT_ACTION_TYPE_CONNECT,      /* Connect ports */
-+      MMAL_MSG_PORT_ACTION_TYPE_DISCONNECT,   /* Disconnect ports */
-+      MMAL_MSG_PORT_ACTION_TYPE_SET_REQUIREMENTS, /* Set buffer requirements*/
-+};
-+
-+struct mmal_msg_header {
-+      u32 magic;
-+      u32 type;       /* enum mmal_msg_type */
-+
-+      /* Opaque handle to the control service */
-+      u32 control_service;
-+
-+      u32 context;    /* a u32 per message context */
-+      u32 status;     /* The status of the vchiq operation */
-+      u32 padding;
-+};
-+
-+/* Send from VC to host to report version */
-+struct mmal_msg_version {
-+      u32 flags;
-+      u32 major;
-+      u32 minor;
-+      u32 minimum;
-+};
-+
-+/* request to VC to create component */
-+struct mmal_msg_component_create {
-+      u32 client_component;   /* component context */
-+      char name[128];
-+      u32 pid;                /* For debug */
-+};
-+
-+/* reply from VC to component creation request */
-+struct mmal_msg_component_create_reply {
-+      u32 status;     /* enum mmal_msg_status - how does this differ to
-+                       * the one in the header?
-+                       */
-+      u32 component_handle; /* VideoCore handle for component */
-+      u32 input_num;        /* Number of input ports */
-+      u32 output_num;       /* Number of output ports */
-+      u32 clock_num;        /* Number of clock ports */
-+};
-+
-+/* request to VC to destroy a component */
-+struct mmal_msg_component_destroy {
-+      u32 component_handle;
-+};
-+
-+struct mmal_msg_component_destroy_reply {
-+      u32 status; /* The component destruction status */
-+};
-+
-+/* request and reply to VC to enable a component */
-+struct mmal_msg_component_enable {
-+      u32 component_handle;
-+};
-+
-+struct mmal_msg_component_enable_reply {
-+      u32 status; /* The component enable status */
-+};
-+
-+/* request and reply to VC to disable a component */
-+struct mmal_msg_component_disable {
-+      u32 component_handle;
-+};
-+
-+struct mmal_msg_component_disable_reply {
-+      u32 status; /* The component disable status */
-+};
-+
-+/* request to VC to get port information */
-+struct mmal_msg_port_info_get {
-+      u32 component_handle;  /* component handle port is associated with */
-+      u32 port_type;         /* enum mmal_msg_port_type */
-+      u32 index;             /* port index to query */
-+};
-+
-+/* reply from VC to get port info request */
-+struct mmal_msg_port_info_get_reply {
-+      u32 status;             /* enum mmal_msg_status */
-+      u32 component_handle;   /* component handle port is associated with */
-+      u32 port_type;          /* enum mmal_msg_port_type */
-+      u32 port_index;         /* port indexed in query */
-+      s32 found;              /* unused */
-+      u32 port_handle;        /* Handle to use for this port */
-+      struct mmal_port port;
-+      struct mmal_es_format format; /* elementary stream format */
-+      union mmal_es_specific_format es; /* es type specific data */
-+      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE]; /* es extra data */
-+};
-+
-+/* request to VC to set port information */
-+struct mmal_msg_port_info_set {
-+      u32 component_handle;
-+      u32 port_type;          /* enum mmal_msg_port_type */
-+      u32 port_index;         /* port indexed in query */
-+      struct mmal_port port;
-+      struct mmal_es_format format;
-+      union mmal_es_specific_format es;
-+      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE];
-+};
-+
-+/* reply from VC to port info set request */
-+struct mmal_msg_port_info_set_reply {
-+      u32 status;
-+      u32 component_handle;   /* component handle port is associated with */
-+      u32 port_type;          /* enum mmal_msg_port_type */
-+      u32 index;              /* port indexed in query */
-+      s32 found;              /* unused */
-+      u32 port_handle;        /* Handle to use for this port */
-+      struct mmal_port port;
-+      struct mmal_es_format format;
-+      union mmal_es_specific_format es;
-+      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE];
-+};
-+
-+/* port action requests that take a mmal_port as a parameter */
-+struct mmal_msg_port_action_port {
-+      u32 component_handle;
-+      u32 port_handle;
-+      u32 action;             /* enum mmal_msg_port_action_type */
-+      struct mmal_port port;
-+};
-+
-+/* port action requests that take handles as a parameter */
-+struct mmal_msg_port_action_handle {
-+      u32 component_handle;
-+      u32 port_handle;
-+      u32 action;             /* enum mmal_msg_port_action_type */
-+      u32 connect_component_handle;
-+      u32 connect_port_handle;
-+};
-+
-+struct mmal_msg_port_action_reply {
-+      u32 status;     /* The port action operation status */
-+};
-+
-+/* MMAL buffer transfer */
-+
-+/* Size of space reserved in a buffer message for short messages. */
-+#define MMAL_VC_SHORT_DATA 128
-+
-+/* Signals that the current payload is the end of the stream of data */
-+#define MMAL_BUFFER_HEADER_FLAG_EOS                    BIT(0)
-+/* Signals that the start of the current payload starts a frame */
-+#define MMAL_BUFFER_HEADER_FLAG_FRAME_START            BIT(1)
-+/* Signals that the end of the current payload ends a frame */
-+#define MMAL_BUFFER_HEADER_FLAG_FRAME_END              BIT(2)
-+/* Signals that the current payload contains only complete frames (>1) */
-+#define MMAL_BUFFER_HEADER_FLAG_FRAME                  \
-+      (MMAL_BUFFER_HEADER_FLAG_FRAME_START | \
-+       MMAL_BUFFER_HEADER_FLAG_FRAME_END)
-+/* Signals that the current payload is a keyframe (i.e. self decodable) */
-+#define MMAL_BUFFER_HEADER_FLAG_KEYFRAME               BIT(3)
-+/*
-+ * Signals a discontinuity in the stream of data (e.g. after a seek).
-+ * Can be used for instance by a decoder to reset its state
-+ */
-+#define MMAL_BUFFER_HEADER_FLAG_DISCONTINUITY          BIT(4)
-+/*
-+ * Signals a buffer containing some kind of config data for the component
-+ * (e.g. codec config data)
-+ */
-+#define MMAL_BUFFER_HEADER_FLAG_CONFIG                 BIT(5)
-+/* Signals an encrypted payload */
-+#define MMAL_BUFFER_HEADER_FLAG_ENCRYPTED              BIT(6)
-+/* Signals a buffer containing side information */
-+#define MMAL_BUFFER_HEADER_FLAG_CODECSIDEINFO          BIT(7)
-+/*
-+ * Signals a buffer which is the snapshot/postview image from a stills
-+ * capture
-+ */
-+#define MMAL_BUFFER_HEADER_FLAGS_SNAPSHOT              BIT(8)
-+/* Signals a buffer which contains data known to be corrupted */
-+#define MMAL_BUFFER_HEADER_FLAG_CORRUPTED              BIT(9)
-+/* Signals that a buffer failed to be transmitted */
-+#define MMAL_BUFFER_HEADER_FLAG_TRANSMISSION_FAILED    BIT(10)
-+
-+struct mmal_driver_buffer {
-+      u32 magic;
-+      u32 component_handle;
-+      u32 port_handle;
-+      u32 client_context;
-+};
-+
-+/* buffer header */
-+struct mmal_buffer_header {
-+      u32 next;       /* next header */
-+      u32 priv;       /* framework private data */
-+      u32 cmd;
-+      u32 data;
-+      u32 alloc_size;
-+      u32 length;
-+      u32 offset;
-+      u32 flags;
-+      s64 pts;
-+      s64 dts;
-+      u32 type;
-+      u32 user_data;
-+};
-+
-+struct mmal_buffer_header_type_specific {
-+      union {
-+              struct {
-+              u32 planes;
-+              u32 offset[4];
-+              u32 pitch[4];
-+              u32 flags;
-+              } video;
-+      } u;
-+};
-+
-+struct mmal_msg_buffer_from_host {
-+      /*
-+       *The front 32 bytes of the buffer header are copied
-+       * back to us in the reply to allow for context. This
-+       * area is used to store two mmal_driver_buffer structures to
-+       * allow for multiple concurrent service users.
-+       */
-+      /* control data */
-+      struct mmal_driver_buffer drvbuf;
-+
-+      /* referenced control data for passthrough buffer management */
-+      struct mmal_driver_buffer drvbuf_ref;
-+      struct mmal_buffer_header buffer_header; /* buffer header itself */
-+      struct mmal_buffer_header_type_specific buffer_header_type_specific;
-+      s32 is_zero_copy;
-+      s32 has_reference;
-+
-+      /* allows short data to be xfered in control message */
-+      u32 payload_in_message;
-+      u8 short_data[MMAL_VC_SHORT_DATA];
-+};
-+
-+/* port parameter setting */
-+
-+#define MMAL_WORKER_PORT_PARAMETER_SPACE      96
-+
-+struct mmal_msg_port_parameter_set {
-+      u32 component_handle;   /* component */
-+      u32 port_handle;        /* port */
-+      u32 id;                 /* Parameter ID  */
-+      u32 size;               /* Parameter size */
-+      u32 value[MMAL_WORKER_PORT_PARAMETER_SPACE];
-+};
-+
-+struct mmal_msg_port_parameter_set_reply {
-+      u32 status;     /* enum mmal_msg_status todo: how does this
-+                       * differ to the one in the header?
-+                       */
-+};
-+
-+/* port parameter getting */
-+
-+struct mmal_msg_port_parameter_get {
-+      u32 component_handle;   /* component */
-+      u32 port_handle;        /* port */
-+      u32 id;                 /* Parameter ID  */
-+      u32 size;               /* Parameter size */
-+};
-+
-+struct mmal_msg_port_parameter_get_reply {
-+      u32 status;             /* Status of mmal_port_parameter_get call */
-+      u32 id;                 /* Parameter ID  */
-+      u32 size;               /* Parameter size */
-+      u32 value[MMAL_WORKER_PORT_PARAMETER_SPACE];
-+};
-+
-+/* event messages */
-+#define MMAL_WORKER_EVENT_SPACE 256
-+
-+struct mmal_msg_event_to_host {
-+      u32 client_component;   /* component context */
-+
-+      u32 port_type;
-+      u32 port_num;
-+
-+      u32 cmd;
-+      u32 length;
-+      u8 data[MMAL_WORKER_EVENT_SPACE];
-+      u32 delayed_buffer;
-+};
-+
-+/* all mmal messages are serialised through this structure */
-+struct mmal_msg {
-+      /* header */
-+      struct mmal_msg_header h;
-+      /* payload */
-+      union {
-+              struct mmal_msg_version version;
-+
-+              struct mmal_msg_component_create component_create;
-+              struct mmal_msg_component_create_reply component_create_reply;
-+
-+              struct mmal_msg_component_destroy component_destroy;
-+              struct mmal_msg_component_destroy_reply component_destroy_reply;
-+
-+              struct mmal_msg_component_enable component_enable;
-+              struct mmal_msg_component_enable_reply component_enable_reply;
-+
-+              struct mmal_msg_component_disable component_disable;
-+              struct mmal_msg_component_disable_reply component_disable_reply;
-+
-+              struct mmal_msg_port_info_get port_info_get;
-+              struct mmal_msg_port_info_get_reply port_info_get_reply;
-+
-+              struct mmal_msg_port_info_set port_info_set;
-+              struct mmal_msg_port_info_set_reply port_info_set_reply;
-+
-+              struct mmal_msg_port_action_port port_action_port;
-+              struct mmal_msg_port_action_handle port_action_handle;
-+              struct mmal_msg_port_action_reply port_action_reply;
-+
-+              struct mmal_msg_buffer_from_host buffer_from_host;
-+
-+              struct mmal_msg_port_parameter_set port_parameter_set;
-+              struct mmal_msg_port_parameter_set_reply
-+                      port_parameter_set_reply;
-+              struct mmal_msg_port_parameter_get
-+                      port_parameter_get;
-+              struct mmal_msg_port_parameter_get_reply
-+                      port_parameter_get_reply;
-+
-+              struct mmal_msg_event_to_host event_to_host;
-+
-+              u8 payload[MMAL_MSG_MAX_PAYLOAD];
-+      } u;
-+};
-+#endif
---- /dev/null
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
-@@ -0,0 +1,755 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Broadcom BM2835 V4L2 driver
-+ *
-+ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-+ *
-+ * Authors: Vincent Sanders @ Collabora
-+ *          Dave Stevenson @ Broadcom
-+ *            (now dave.stevenson@raspberrypi.org)
-+ *          Simon Mellor @ Broadcom
-+ *          Luke Diamand @ Broadcom
-+ */
-+
-+/* common parameters */
-+
-+/** @name Parameter groups
-+ * Parameters are divided into groups, and then allocated sequentially within
-+ * a group using an enum.
-+ * @{
-+ */
-+
-+#ifndef MMAL_PARAMETERS_H
-+#define MMAL_PARAMETERS_H
-+
-+/** Common parameter ID group, used with many types of component. */
-+#define MMAL_PARAMETER_GROUP_COMMON            (0 << 16)
-+/** Camera-specific parameter ID group. */
-+#define MMAL_PARAMETER_GROUP_CAMERA            (1 << 16)
-+/** Video-specific parameter ID group. */
-+#define MMAL_PARAMETER_GROUP_VIDEO             (2 << 16)
-+/** Audio-specific parameter ID group. */
-+#define MMAL_PARAMETER_GROUP_AUDIO             (3 << 16)
-+/** Clock-specific parameter ID group. */
-+#define MMAL_PARAMETER_GROUP_CLOCK             (4 << 16)
-+/** Miracast-specific parameter ID group. */
-+#define MMAL_PARAMETER_GROUP_MIRACAST       (5 << 16)
-+
-+/* Common parameters */
-+enum mmal_parameter_common_type {
-+              /**< Never a valid parameter ID */
-+      MMAL_PARAMETER_UNUSED = MMAL_PARAMETER_GROUP_COMMON,
-+
-+              /**< MMAL_PARAMETER_ENCODING_T */
-+      MMAL_PARAMETER_SUPPORTED_ENCODINGS,
-+              /**< MMAL_PARAMETER_URI_T */
-+      MMAL_PARAMETER_URI,
-+              /** MMAL_PARAMETER_CHANGE_EVENT_REQUEST_T */
-+      MMAL_PARAMETER_CHANGE_EVENT_REQUEST,
-+              /** MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_ZERO_COPY,
-+              /**< MMAL_PARAMETER_BUFFER_REQUIREMENTS_T */
-+      MMAL_PARAMETER_BUFFER_REQUIREMENTS,
-+              /**< MMAL_PARAMETER_STATISTICS_T */
-+      MMAL_PARAMETER_STATISTICS,
-+              /**< MMAL_PARAMETER_CORE_STATISTICS_T */
-+      MMAL_PARAMETER_CORE_STATISTICS,
-+              /**< MMAL_PARAMETER_MEM_USAGE_T */
-+      MMAL_PARAMETER_MEM_USAGE,
-+              /**< MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_BUFFER_FLAG_FILTER,
-+              /**< MMAL_PARAMETER_SEEK_T */
-+      MMAL_PARAMETER_SEEK,
-+              /**< MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_POWERMON_ENABLE,
-+              /**< MMAL_PARAMETER_LOGGING_T */
-+      MMAL_PARAMETER_LOGGING,
-+              /**< MMAL_PARAMETER_UINT64_T */
-+      MMAL_PARAMETER_SYSTEM_TIME,
-+              /**< MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_NO_IMAGE_PADDING,
-+};
-+
-+/* camera parameters */
-+
-+enum mmal_parameter_camera_type {
-+      /* 0 */
-+              /** @ref MMAL_PARAMETER_THUMBNAIL_CONFIG_T */
-+      MMAL_PARAMETER_THUMBNAIL_CONFIGURATION =
-+              MMAL_PARAMETER_GROUP_CAMERA,
-+              /**< Unused? */
-+      MMAL_PARAMETER_CAPTURE_QUALITY,
-+              /**< @ref MMAL_PARAMETER_INT32_T */
-+      MMAL_PARAMETER_ROTATION,
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_EXIF_DISABLE,
-+              /**< @ref MMAL_PARAMETER_EXIF_T */
-+      MMAL_PARAMETER_EXIF,
-+              /**< @ref MMAL_PARAM_AWBMODE_T */
-+      MMAL_PARAMETER_AWB_MODE,
-+              /**< @ref MMAL_PARAMETER_IMAGEFX_T */
-+      MMAL_PARAMETER_IMAGE_EFFECT,
-+              /**< @ref MMAL_PARAMETER_COLOURFX_T */
-+      MMAL_PARAMETER_COLOUR_EFFECT,
-+              /**< @ref MMAL_PARAMETER_FLICKERAVOID_T */
-+      MMAL_PARAMETER_FLICKER_AVOID,
-+              /**< @ref MMAL_PARAMETER_FLASH_T */
-+      MMAL_PARAMETER_FLASH,
-+              /**< @ref MMAL_PARAMETER_REDEYE_T */
-+      MMAL_PARAMETER_REDEYE,
-+              /**< @ref MMAL_PARAMETER_FOCUS_T */
-+      MMAL_PARAMETER_FOCUS,
-+              /**< Unused? */
-+      MMAL_PARAMETER_FOCAL_LENGTHS,
-+              /**< @ref MMAL_PARAMETER_INT32_T */
-+      MMAL_PARAMETER_EXPOSURE_COMP,
-+              /**< @ref MMAL_PARAMETER_SCALEFACTOR_T */
-+      MMAL_PARAMETER_ZOOM,
-+              /**< @ref MMAL_PARAMETER_MIRROR_T */
-+      MMAL_PARAMETER_MIRROR,
-+
-+      /* 0x10 */
-+              /**< @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_CAMERA_NUM,
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_CAPTURE,
-+              /**< @ref MMAL_PARAMETER_EXPOSUREMODE_T */
-+      MMAL_PARAMETER_EXPOSURE_MODE,
-+              /**< @ref MMAL_PARAMETER_EXPOSUREMETERINGMODE_T */
-+      MMAL_PARAMETER_EXP_METERING_MODE,
-+              /**< @ref MMAL_PARAMETER_FOCUS_STATUS_T */
-+      MMAL_PARAMETER_FOCUS_STATUS,
-+              /**< @ref MMAL_PARAMETER_CAMERA_CONFIG_T */
-+      MMAL_PARAMETER_CAMERA_CONFIG,
-+              /**< @ref MMAL_PARAMETER_CAPTURE_STATUS_T */
-+      MMAL_PARAMETER_CAPTURE_STATUS,
-+              /**< @ref MMAL_PARAMETER_FACE_TRACK_T */
-+      MMAL_PARAMETER_FACE_TRACK,
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_DRAW_BOX_FACES_AND_FOCUS,
-+              /**< @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_JPEG_Q_FACTOR,
-+              /**< @ref MMAL_PARAMETER_FRAME_RATE_T */
-+      MMAL_PARAMETER_FRAME_RATE,
-+              /**< @ref MMAL_PARAMETER_CAMERA_STC_MODE_T */
-+      MMAL_PARAMETER_USE_STC,
-+              /**< @ref MMAL_PARAMETER_CAMERA_INFO_T */
-+      MMAL_PARAMETER_CAMERA_INFO,
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_VIDEO_STABILISATION,
-+              /**< @ref MMAL_PARAMETER_FACE_TRACK_RESULTS_T */
-+      MMAL_PARAMETER_FACE_TRACK_RESULTS,
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_ENABLE_RAW_CAPTURE,
-+
-+      /* 0x20 */
-+              /**< @ref MMAL_PARAMETER_URI_T */
-+      MMAL_PARAMETER_DPF_FILE,
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_ENABLE_DPF_FILE,
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_DPF_FAIL_IS_FATAL,
-+              /**< @ref MMAL_PARAMETER_CAPTUREMODE_T */
-+      MMAL_PARAMETER_CAPTURE_MODE,
-+              /**< @ref MMAL_PARAMETER_FOCUS_REGIONS_T */
-+      MMAL_PARAMETER_FOCUS_REGIONS,
-+              /**< @ref MMAL_PARAMETER_INPUT_CROP_T */
-+      MMAL_PARAMETER_INPUT_CROP,
-+              /**< @ref MMAL_PARAMETER_SENSOR_INFORMATION_T */
-+      MMAL_PARAMETER_SENSOR_INFORMATION,
-+              /**< @ref MMAL_PARAMETER_FLASH_SELECT_T */
-+      MMAL_PARAMETER_FLASH_SELECT,
-+              /**< @ref MMAL_PARAMETER_FIELD_OF_VIEW_T */
-+      MMAL_PARAMETER_FIELD_OF_VIEW,
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_HIGH_DYNAMIC_RANGE,
-+              /**< @ref MMAL_PARAMETER_DRC_T */
-+      MMAL_PARAMETER_DYNAMIC_RANGE_COMPRESSION,
-+              /**< @ref MMAL_PARAMETER_ALGORITHM_CONTROL_T */
-+      MMAL_PARAMETER_ALGORITHM_CONTROL,
-+              /**< @ref MMAL_PARAMETER_RATIONAL_T */
-+      MMAL_PARAMETER_SHARPNESS,
-+              /**< @ref MMAL_PARAMETER_RATIONAL_T */
-+      MMAL_PARAMETER_CONTRAST,
-+              /**< @ref MMAL_PARAMETER_RATIONAL_T */
-+      MMAL_PARAMETER_BRIGHTNESS,
-+              /**< @ref MMAL_PARAMETER_RATIONAL_T */
-+      MMAL_PARAMETER_SATURATION,
-+
-+      /* 0x30 */
-+              /**< @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_ISO,
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_ANTISHAKE,
-+              /** @ref MMAL_PARAMETER_IMAGEFX_PARAMETERS_T */
-+      MMAL_PARAMETER_IMAGE_EFFECT_PARAMETERS,
-+              /** @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_CAMERA_BURST_CAPTURE,
-+              /** @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_CAMERA_MIN_ISO,
-+              /** @ref MMAL_PARAMETER_CAMERA_USE_CASE_T */
-+      MMAL_PARAMETER_CAMERA_USE_CASE,
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_CAPTURE_STATS_PASS,
-+              /** @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_CAMERA_CUSTOM_SENSOR_CONFIG,
-+              /** @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_ENABLE_REGISTER_FILE,
-+              /** @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_REGISTER_FAIL_IS_FATAL,
-+              /** @ref MMAL_PARAMETER_CONFIGFILE_T */
-+      MMAL_PARAMETER_CONFIGFILE_REGISTERS,
-+              /** @ref MMAL_PARAMETER_CONFIGFILE_CHUNK_T */
-+      MMAL_PARAMETER_CONFIGFILE_CHUNK_REGISTERS,
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_JPEG_ATTACH_LOG,
-+              /**< @ref MMAL_PARAMETER_ZEROSHUTTERLAG_T */
-+      MMAL_PARAMETER_ZERO_SHUTTER_LAG,
-+              /**< @ref MMAL_PARAMETER_FPS_RANGE_T */
-+      MMAL_PARAMETER_FPS_RANGE,
-+              /**< @ref MMAL_PARAMETER_INT32_T */
-+      MMAL_PARAMETER_CAPTURE_EXPOSURE_COMP,
-+
-+      /* 0x40 */
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_SW_SHARPEN_DISABLE,
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_FLASH_REQUIRED,
-+              /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_SW_SATURATION_DISABLE,
-+              /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_SHUTTER_SPEED,
-+              /**< Takes a @ref MMAL_PARAMETER_AWB_GAINS_T */
-+      MMAL_PARAMETER_CUSTOM_AWB_GAINS,
-+};
-+
-+struct mmal_parameter_rational {
-+      s32 num;    /**< Numerator */
-+      s32 den;    /**< Denominator */
-+};
-+
-+enum mmal_parameter_camera_config_timestamp_mode {
-+      MMAL_PARAM_TIMESTAMP_MODE_ZERO = 0, /* Always timestamp frames as 0 */
-+      MMAL_PARAM_TIMESTAMP_MODE_RAW_STC,  /* Use the raw STC value
-+                                           * for the frame timestamp
-+                                           */
-+      MMAL_PARAM_TIMESTAMP_MODE_RESET_STC, /* Use the STC timestamp
-+                                            * but subtract the
-+                                            * timestamp of the first
-+                                            * frame sent to give a
-+                                            * zero based timestamp.
-+                                            */
-+};
-+
-+struct mmal_parameter_fps_range {
-+      /**< Low end of the permitted framerate range */
-+      struct mmal_parameter_rational  fps_low;
-+      /**< High end of the permitted framerate range */
-+      struct mmal_parameter_rational  fps_high;
-+};
-+
-+/* camera configuration parameter */
-+struct mmal_parameter_camera_config {
-+      /* Parameters for setting up the image pools */
-+      u32 max_stills_w; /* Max size of stills capture */
-+      u32 max_stills_h;
-+      u32 stills_yuv422; /* Allow YUV422 stills capture */
-+      u32 one_shot_stills; /* Continuous or one shot stills captures. */
-+
-+      u32 max_preview_video_w; /* Max size of the preview or video
-+                                * capture frames
-+                                */
-+      u32 max_preview_video_h;
-+      u32 num_preview_video_frames;
-+
-+      /** Sets the height of the circular buffer for stills capture. */
-+      u32 stills_capture_circular_buffer_height;
-+
-+      /** Allows preview/encode to resume as fast as possible after the stills
-+       * input frame has been received, and then processes the still frame in
-+       * the background whilst preview/encode has resumed.
-+       * Actual mode is controlled by MMAL_PARAMETER_CAPTURE_MODE.
-+       */
-+      u32 fast_preview_resume;
-+
-+      /** Selects algorithm for timestamping frames if
-+       * there is no clock component connected.
-+       * enum mmal_parameter_camera_config_timestamp_mode
-+       */
-+      s32 use_stc_timestamp;
-+};
-+
-+enum mmal_parameter_exposuremode {
-+      MMAL_PARAM_EXPOSUREMODE_OFF,
-+      MMAL_PARAM_EXPOSUREMODE_AUTO,
-+      MMAL_PARAM_EXPOSUREMODE_NIGHT,
-+      MMAL_PARAM_EXPOSUREMODE_NIGHTPREVIEW,
-+      MMAL_PARAM_EXPOSUREMODE_BACKLIGHT,
-+      MMAL_PARAM_EXPOSUREMODE_SPOTLIGHT,
-+      MMAL_PARAM_EXPOSUREMODE_SPORTS,
-+      MMAL_PARAM_EXPOSUREMODE_SNOW,
-+      MMAL_PARAM_EXPOSUREMODE_BEACH,
-+      MMAL_PARAM_EXPOSUREMODE_VERYLONG,
-+      MMAL_PARAM_EXPOSUREMODE_FIXEDFPS,
-+      MMAL_PARAM_EXPOSUREMODE_ANTISHAKE,
-+      MMAL_PARAM_EXPOSUREMODE_FIREWORKS,
-+};
-+
-+enum mmal_parameter_exposuremeteringmode {
-+      MMAL_PARAM_EXPOSUREMETERINGMODE_AVERAGE,
-+      MMAL_PARAM_EXPOSUREMETERINGMODE_SPOT,
-+      MMAL_PARAM_EXPOSUREMETERINGMODE_BACKLIT,
-+      MMAL_PARAM_EXPOSUREMETERINGMODE_MATRIX,
-+};
-+
-+enum mmal_parameter_awbmode {
-+      MMAL_PARAM_AWBMODE_OFF,
-+      MMAL_PARAM_AWBMODE_AUTO,
-+      MMAL_PARAM_AWBMODE_SUNLIGHT,
-+      MMAL_PARAM_AWBMODE_CLOUDY,
-+      MMAL_PARAM_AWBMODE_SHADE,
-+      MMAL_PARAM_AWBMODE_TUNGSTEN,
-+      MMAL_PARAM_AWBMODE_FLUORESCENT,
-+      MMAL_PARAM_AWBMODE_INCANDESCENT,
-+      MMAL_PARAM_AWBMODE_FLASH,
-+      MMAL_PARAM_AWBMODE_HORIZON,
-+};
-+
-+enum mmal_parameter_imagefx {
-+      MMAL_PARAM_IMAGEFX_NONE,
-+      MMAL_PARAM_IMAGEFX_NEGATIVE,
-+      MMAL_PARAM_IMAGEFX_SOLARIZE,
-+      MMAL_PARAM_IMAGEFX_POSTERIZE,
-+      MMAL_PARAM_IMAGEFX_WHITEBOARD,
-+      MMAL_PARAM_IMAGEFX_BLACKBOARD,
-+      MMAL_PARAM_IMAGEFX_SKETCH,
-+      MMAL_PARAM_IMAGEFX_DENOISE,
-+      MMAL_PARAM_IMAGEFX_EMBOSS,
-+      MMAL_PARAM_IMAGEFX_OILPAINT,
-+      MMAL_PARAM_IMAGEFX_HATCH,
-+      MMAL_PARAM_IMAGEFX_GPEN,
-+      MMAL_PARAM_IMAGEFX_PASTEL,
-+      MMAL_PARAM_IMAGEFX_WATERCOLOUR,
-+      MMAL_PARAM_IMAGEFX_FILM,
-+      MMAL_PARAM_IMAGEFX_BLUR,
-+      MMAL_PARAM_IMAGEFX_SATURATION,
-+      MMAL_PARAM_IMAGEFX_COLOURSWAP,
-+      MMAL_PARAM_IMAGEFX_WASHEDOUT,
-+      MMAL_PARAM_IMAGEFX_POSTERISE,
-+      MMAL_PARAM_IMAGEFX_COLOURPOINT,
-+      MMAL_PARAM_IMAGEFX_COLOURBALANCE,
-+      MMAL_PARAM_IMAGEFX_CARTOON,
-+};
-+
-+enum MMAL_PARAM_FLICKERAVOID_T {
-+      MMAL_PARAM_FLICKERAVOID_OFF,
-+      MMAL_PARAM_FLICKERAVOID_AUTO,
-+      MMAL_PARAM_FLICKERAVOID_50HZ,
-+      MMAL_PARAM_FLICKERAVOID_60HZ,
-+      MMAL_PARAM_FLICKERAVOID_MAX = 0x7FFFFFFF
-+};
-+
-+struct mmal_parameter_awbgains {
-+      struct mmal_parameter_rational r_gain;  /**< Red gain */
-+      struct mmal_parameter_rational b_gain;  /**< Blue gain */
-+};
-+
-+/** Manner of video rate control */
-+enum mmal_parameter_rate_control_mode {
-+      MMAL_VIDEO_RATECONTROL_DEFAULT,
-+      MMAL_VIDEO_RATECONTROL_VARIABLE,
-+      MMAL_VIDEO_RATECONTROL_CONSTANT,
-+      MMAL_VIDEO_RATECONTROL_VARIABLE_SKIP_FRAMES,
-+      MMAL_VIDEO_RATECONTROL_CONSTANT_SKIP_FRAMES
-+};
-+
-+enum mmal_video_profile {
-+      MMAL_VIDEO_PROFILE_H263_BASELINE,
-+      MMAL_VIDEO_PROFILE_H263_H320CODING,
-+      MMAL_VIDEO_PROFILE_H263_BACKWARDCOMPATIBLE,
-+      MMAL_VIDEO_PROFILE_H263_ISWV2,
-+      MMAL_VIDEO_PROFILE_H263_ISWV3,
-+      MMAL_VIDEO_PROFILE_H263_HIGHCOMPRESSION,
-+      MMAL_VIDEO_PROFILE_H263_INTERNET,
-+      MMAL_VIDEO_PROFILE_H263_INTERLACE,
-+      MMAL_VIDEO_PROFILE_H263_HIGHLATENCY,
-+      MMAL_VIDEO_PROFILE_MP4V_SIMPLE,
-+      MMAL_VIDEO_PROFILE_MP4V_SIMPLESCALABLE,
-+      MMAL_VIDEO_PROFILE_MP4V_CORE,
-+      MMAL_VIDEO_PROFILE_MP4V_MAIN,
-+      MMAL_VIDEO_PROFILE_MP4V_NBIT,
-+      MMAL_VIDEO_PROFILE_MP4V_SCALABLETEXTURE,
-+      MMAL_VIDEO_PROFILE_MP4V_SIMPLEFACE,
-+      MMAL_VIDEO_PROFILE_MP4V_SIMPLEFBA,
-+      MMAL_VIDEO_PROFILE_MP4V_BASICANIMATED,
-+      MMAL_VIDEO_PROFILE_MP4V_HYBRID,
-+      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDREALTIME,
-+      MMAL_VIDEO_PROFILE_MP4V_CORESCALABLE,
-+      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDCODING,
-+      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDCORE,
-+      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDSCALABLE,
-+      MMAL_VIDEO_PROFILE_MP4V_ADVANCEDSIMPLE,
-+      MMAL_VIDEO_PROFILE_H264_BASELINE,
-+      MMAL_VIDEO_PROFILE_H264_MAIN,
-+      MMAL_VIDEO_PROFILE_H264_EXTENDED,
-+      MMAL_VIDEO_PROFILE_H264_HIGH,
-+      MMAL_VIDEO_PROFILE_H264_HIGH10,
-+      MMAL_VIDEO_PROFILE_H264_HIGH422,
-+      MMAL_VIDEO_PROFILE_H264_HIGH444,
-+      MMAL_VIDEO_PROFILE_H264_CONSTRAINED_BASELINE,
-+      MMAL_VIDEO_PROFILE_DUMMY = 0x7FFFFFFF
-+};
-+
-+enum mmal_video_level {
-+      MMAL_VIDEO_LEVEL_H263_10,
-+      MMAL_VIDEO_LEVEL_H263_20,
-+      MMAL_VIDEO_LEVEL_H263_30,
-+      MMAL_VIDEO_LEVEL_H263_40,
-+      MMAL_VIDEO_LEVEL_H263_45,
-+      MMAL_VIDEO_LEVEL_H263_50,
-+      MMAL_VIDEO_LEVEL_H263_60,
-+      MMAL_VIDEO_LEVEL_H263_70,
-+      MMAL_VIDEO_LEVEL_MP4V_0,
-+      MMAL_VIDEO_LEVEL_MP4V_0b,
-+      MMAL_VIDEO_LEVEL_MP4V_1,
-+      MMAL_VIDEO_LEVEL_MP4V_2,
-+      MMAL_VIDEO_LEVEL_MP4V_3,
-+      MMAL_VIDEO_LEVEL_MP4V_4,
-+      MMAL_VIDEO_LEVEL_MP4V_4a,
-+      MMAL_VIDEO_LEVEL_MP4V_5,
-+      MMAL_VIDEO_LEVEL_MP4V_6,
-+      MMAL_VIDEO_LEVEL_H264_1,
-+      MMAL_VIDEO_LEVEL_H264_1b,
-+      MMAL_VIDEO_LEVEL_H264_11,
-+      MMAL_VIDEO_LEVEL_H264_12,
-+      MMAL_VIDEO_LEVEL_H264_13,
-+      MMAL_VIDEO_LEVEL_H264_2,
-+      MMAL_VIDEO_LEVEL_H264_21,
-+      MMAL_VIDEO_LEVEL_H264_22,
-+      MMAL_VIDEO_LEVEL_H264_3,
-+      MMAL_VIDEO_LEVEL_H264_31,
-+      MMAL_VIDEO_LEVEL_H264_32,
-+      MMAL_VIDEO_LEVEL_H264_4,
-+      MMAL_VIDEO_LEVEL_H264_41,
-+      MMAL_VIDEO_LEVEL_H264_42,
-+      MMAL_VIDEO_LEVEL_H264_5,
-+      MMAL_VIDEO_LEVEL_H264_51,
-+      MMAL_VIDEO_LEVEL_DUMMY = 0x7FFFFFFF
-+};
-+
-+struct mmal_parameter_video_profile {
-+      enum mmal_video_profile profile;
-+      enum mmal_video_level level;
-+};
-+
-+/* video parameters */
-+
-+enum mmal_parameter_video_type {
-+      /** @ref MMAL_DISPLAYREGION_T */
-+      MMAL_PARAMETER_DISPLAYREGION = MMAL_PARAMETER_GROUP_VIDEO,
-+
-+      /** @ref MMAL_PARAMETER_VIDEO_PROFILE_T */
-+      MMAL_PARAMETER_SUPPORTED_PROFILES,
-+
-+      /** @ref MMAL_PARAMETER_VIDEO_PROFILE_T */
-+      MMAL_PARAMETER_PROFILE,
-+
-+      /** @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_INTRAPERIOD,
-+
-+      /** @ref MMAL_PARAMETER_VIDEO_RATECONTROL_T */
-+      MMAL_PARAMETER_RATECONTROL,
-+
-+      /** @ref MMAL_PARAMETER_VIDEO_NALUNITFORMAT_T */
-+      MMAL_PARAMETER_NALUNITFORMAT,
-+
-+      /** @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_MINIMISE_FRAGMENTATION,
-+
-+      /** @ref MMAL_PARAMETER_UINT32_T.
-+       * Setting the value to zero resets to the default (one slice per
-+       * frame).
-+       */
-+      MMAL_PARAMETER_MB_ROWS_PER_SLICE,
-+
-+      /** @ref MMAL_PARAMETER_VIDEO_LEVEL_EXTENSION_T */
-+      MMAL_PARAMETER_VIDEO_LEVEL_EXTENSION,
-+
-+      /** @ref MMAL_PARAMETER_VIDEO_EEDE_ENABLE_T */
-+      MMAL_PARAMETER_VIDEO_EEDE_ENABLE,
-+
-+      /** @ref MMAL_PARAMETER_VIDEO_EEDE_LOSSRATE_T */
-+      MMAL_PARAMETER_VIDEO_EEDE_LOSSRATE,
-+
-+      /** @ref MMAL_PARAMETER_BOOLEAN_T. Request an I-frame. */
-+      MMAL_PARAMETER_VIDEO_REQUEST_I_FRAME,
-+      /** @ref MMAL_PARAMETER_VIDEO_INTRA_REFRESH_T */
-+      MMAL_PARAMETER_VIDEO_INTRA_REFRESH,
-+
-+      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
-+      MMAL_PARAMETER_VIDEO_IMMUTABLE_INPUT,
-+
-+      /** @ref MMAL_PARAMETER_UINT32_T. Run-time bit rate control */
-+      MMAL_PARAMETER_VIDEO_BIT_RATE,
-+
-+      /** @ref MMAL_PARAMETER_FRAME_RATE_T */
-+      MMAL_PARAMETER_VIDEO_FRAME_RATE,
-+
-+      /** @ref MMAL_PARAMETER_UINT32_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_MIN_QUANT,
-+
-+      /** @ref MMAL_PARAMETER_UINT32_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_MAX_QUANT,
-+
-+      /** @ref MMAL_PARAMETER_VIDEO_ENCODE_RC_MODEL_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_RC_MODEL,
-+
-+      MMAL_PARAMETER_EXTRA_BUFFERS, /**< @ref MMAL_PARAMETER_UINT32_T. */
-+      /** @ref MMAL_PARAMETER_UINT32_T.
-+       * Changing this parameter from the default can reduce frame rate
-+       * because image buffers need to be re-pitched.
-+       */
-+      MMAL_PARAMETER_VIDEO_ALIGN_HORIZ,
-+
-+      /** @ref MMAL_PARAMETER_UINT32_T.
-+       * Changing this parameter from the default can reduce frame rate
-+       * because image buffers need to be re-pitched.
-+       */
-+      MMAL_PARAMETER_VIDEO_ALIGN_VERT,
-+
-+      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
-+      MMAL_PARAMETER_VIDEO_DROPPABLE_PFRAMES,
-+
-+      /** @ref MMAL_PARAMETER_UINT32_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_INITIAL_QUANT,
-+
-+      /**< @ref MMAL_PARAMETER_UINT32_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_QP_P,
-+
-+      /**< @ref MMAL_PARAMETER_UINT32_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_RC_SLICE_DQUANT,
-+
-+      /** @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_VIDEO_ENCODE_FRAME_LIMIT_BITS,
-+
-+      /** @ref MMAL_PARAMETER_UINT32_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_PEAK_RATE,
-+
-+      /* H264 specific parameters */
-+
-+      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_H264_DISABLE_CABAC,
-+
-+      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_H264_LOW_LATENCY,
-+
-+      /** @ref MMAL_PARAMETER_BOOLEAN_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_H264_AU_DELIMITERS,
-+
-+      /** @ref MMAL_PARAMETER_UINT32_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_H264_DEBLOCK_IDC,
-+
-+      /** @ref MMAL_PARAMETER_VIDEO_ENCODER_H264_MB_INTRA_MODES_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_H264_MB_INTRA_MODE,
-+
-+      /** @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_VIDEO_ENCODE_HEADER_ON_OPEN,
-+
-+      /** @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_VIDEO_ENCODE_PRECODE_FOR_QP,
-+
-+      /** @ref MMAL_PARAMETER_VIDEO_DRM_INIT_INFO_T. */
-+      MMAL_PARAMETER_VIDEO_DRM_INIT_INFO,
-+
-+      /** @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_VIDEO_TIMESTAMP_FIFO,
-+
-+      /** @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_VIDEO_DECODE_ERROR_CONCEALMENT,
-+
-+      /** @ref MMAL_PARAMETER_VIDEO_DRM_PROTECT_BUFFER_T. */
-+      MMAL_PARAMETER_VIDEO_DRM_PROTECT_BUFFER,
-+
-+      /** @ref MMAL_PARAMETER_BYTES_T */
-+      MMAL_PARAMETER_VIDEO_DECODE_CONFIG_VD3,
-+
-+      /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_VIDEO_ENCODE_H264_VCL_HRD_PARAMETERS,
-+
-+      /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_VIDEO_ENCODE_H264_LOW_DELAY_HRD_FLAG,
-+
-+      /**< @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_VIDEO_ENCODE_INLINE_HEADER
-+};
-+
-+/** Valid mirror modes */
-+enum mmal_parameter_mirror {
-+      MMAL_PARAM_MIRROR_NONE,
-+      MMAL_PARAM_MIRROR_VERTICAL,
-+      MMAL_PARAM_MIRROR_HORIZONTAL,
-+      MMAL_PARAM_MIRROR_BOTH,
-+};
-+
-+enum mmal_parameter_displaytransform {
-+      MMAL_DISPLAY_ROT0 = 0,
-+      MMAL_DISPLAY_MIRROR_ROT0 = 1,
-+      MMAL_DISPLAY_MIRROR_ROT180 = 2,
-+      MMAL_DISPLAY_ROT180 = 3,
-+      MMAL_DISPLAY_MIRROR_ROT90 = 4,
-+      MMAL_DISPLAY_ROT270 = 5,
-+      MMAL_DISPLAY_ROT90 = 6,
-+      MMAL_DISPLAY_MIRROR_ROT270 = 7,
-+};
-+
-+enum mmal_parameter_displaymode {
-+      MMAL_DISPLAY_MODE_FILL = 0,
-+      MMAL_DISPLAY_MODE_LETTERBOX = 1,
-+};
-+
-+enum mmal_parameter_displayset {
-+      MMAL_DISPLAY_SET_NONE = 0,
-+      MMAL_DISPLAY_SET_NUM = 1,
-+      MMAL_DISPLAY_SET_FULLSCREEN = 2,
-+      MMAL_DISPLAY_SET_TRANSFORM = 4,
-+      MMAL_DISPLAY_SET_DEST_RECT = 8,
-+      MMAL_DISPLAY_SET_SRC_RECT = 0x10,
-+      MMAL_DISPLAY_SET_MODE = 0x20,
-+      MMAL_DISPLAY_SET_PIXEL = 0x40,
-+      MMAL_DISPLAY_SET_NOASPECT = 0x80,
-+      MMAL_DISPLAY_SET_LAYER = 0x100,
-+      MMAL_DISPLAY_SET_COPYPROTECT = 0x200,
-+      MMAL_DISPLAY_SET_ALPHA = 0x400,
-+};
-+
-+/* rectangle, used lots so it gets its own struct */
-+struct vchiq_mmal_rect {
-+      s32 x;
-+      s32 y;
-+      s32 width;
-+      s32 height;
-+};
-+
-+struct mmal_parameter_displayregion {
-+      /** Bitfield that indicates which fields are set and should be
-+       * used. All other fields will maintain their current value.
-+       * \ref MMAL_DISPLAYSET_T defines the bits that can be
-+       * combined.
-+       */
-+      u32 set;
-+
-+      /** Describes the display output device, with 0 typically
-+       * being a directly connected LCD display.  The actual values
-+       * will depend on the hardware.  Code using hard-wired numbers
-+       * (e.g. 2) is certain to fail.
-+       */
-+
-+      u32 display_num;
-+      /** Indicates that we are using the full device screen area,
-+       * rather than a window of the display.  If zero, then
-+       * dest_rect is used to specify a region of the display to
-+       * use.
-+       */
-+
-+      s32 fullscreen;
-+      /** Indicates any rotation or flipping used to map frames onto
-+       * the natural display orientation.
-+       */
-+      u32 transform; /* enum mmal_parameter_displaytransform */
-+
-+      /** Where to display the frame within the screen, if
-+       * fullscreen is zero.
-+       */
-+      struct vchiq_mmal_rect dest_rect;
-+
-+      /** Indicates which area of the frame to display. If all
-+       * values are zero, the whole frame will be used.
-+       */
-+      struct vchiq_mmal_rect src_rect;
-+
-+      /** If set to non-zero, indicates that any display scaling
-+       * should disregard the aspect ratio of the frame region being
-+       * displayed.
-+       */
-+      s32 noaspect;
-+
-+      /** Indicates how the image should be scaled to fit the
-+       * display. \code MMAL_DISPLAY_MODE_FILL \endcode indicates
-+       * that the image should fill the screen by potentially
-+       * cropping the frames.  Setting \code mode \endcode to \code
-+       * MMAL_DISPLAY_MODE_LETTERBOX \endcode indicates that all the
-+       * source region should be displayed and black bars added if
-+       * necessary.
-+       */
-+      u32 mode; /* enum mmal_parameter_displaymode */
-+
-+      /** If non-zero, defines the width of a source pixel relative
-+       * to \code pixel_y \endcode.  If zero, then pixels default to
-+       * being square.
-+       */
-+      u32 pixel_x;
-+
-+      /** If non-zero, defines the height of a source pixel relative
-+       * to \code pixel_x \endcode.  If zero, then pixels default to
-+       * being square.
-+       */
-+      u32 pixel_y;
-+
-+      /** Sets the relative depth of the images, with greater values
-+       * being in front of smaller values.
-+       */
-+      u32 layer;
-+
-+      /** Set to non-zero to ensure copy protection is used on
-+       * output.
-+       */
-+      s32 copyprotect_required;
-+
-+      /** Level of opacity of the layer, where zero is fully
-+       * transparent and 255 is fully opaque.
-+       */
-+      u32 alpha;
-+};
-+
-+#define MMAL_MAX_IMAGEFX_PARAMETERS 5
-+
-+struct mmal_parameter_imagefx_parameters {
-+      enum mmal_parameter_imagefx effect;
-+      u32 num_effect_params;
-+      u32 effect_parameter[MMAL_MAX_IMAGEFX_PARAMETERS];
-+};
-+
-+#define MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS 4
-+#define MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES 2
-+#define MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN 16
-+
-+struct mmal_parameter_camera_info_camera_t {
-+      u32    port_id;
-+      u32    max_width;
-+      u32    max_height;
-+      u32    lens_present;
-+      u8     camera_name[MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN];
-+};
-+
-+enum mmal_parameter_camera_info_flash_type_t {
-+      /* Make values explicit to ensure they match values in config ini */
-+      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_XENON = 0,
-+      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_LED   = 1,
-+      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_OTHER = 2,
-+      MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_MAX = 0x7FFFFFFF
-+};
-+
-+struct mmal_parameter_camera_info_flash_t {
-+      enum mmal_parameter_camera_info_flash_type_t flash_type;
-+};
-+
-+struct mmal_parameter_camera_info_t {
-+      u32                            num_cameras;
-+      u32                            num_flashes;
-+      struct mmal_parameter_camera_info_camera_t
-+                              cameras[MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS];
-+      struct mmal_parameter_camera_info_flash_t
-+                              flashes[MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES];
-+};
-+
-+#endif
---- /dev/null
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-@@ -0,0 +1,166 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Broadcom BM2835 V4L2 driver
-+ *
-+ * Copyright © 2013 Raspberry Pi (Trading) Ltd.
-+ *
-+ * Authors: Vincent Sanders @ Collabora
-+ *          Dave Stevenson @ Broadcom
-+ *            (now dave.stevenson@raspberrypi.org)
-+ *          Simon Mellor @ Broadcom
-+ *          Luke Diamand @ Broadcom
-+ *
-+ * MMAL interface to VCHIQ message passing
-+ */
-+
-+#ifndef MMAL_VCHIQ_H
-+#define MMAL_VCHIQ_H
-+
-+#include "mmal-msg-format.h"
-+
-+#define MAX_PORT_COUNT 4
-+
-+/* Maximum size of the format extradata. */
-+#define MMAL_FORMAT_EXTRADATA_MAX_SIZE 128
-+
-+struct vchiq_mmal_instance;
-+
-+enum vchiq_mmal_es_type {
-+      MMAL_ES_TYPE_UNKNOWN,     /**< Unknown elementary stream type */
-+      MMAL_ES_TYPE_CONTROL,     /**< Elementary stream of control commands */
-+      MMAL_ES_TYPE_AUDIO,       /**< Audio elementary stream */
-+      MMAL_ES_TYPE_VIDEO,       /**< Video elementary stream */
-+      MMAL_ES_TYPE_SUBPICTURE   /**< Sub-picture elementary stream */
-+};
-+
-+struct vchiq_mmal_port_buffer {
-+      unsigned int num; /* number of buffers */
-+      u32 size; /* size of buffers */
-+      u32 alignment; /* alignment of buffers */
-+};
-+
-+struct vchiq_mmal_port;
-+
-+typedef void (*vchiq_mmal_buffer_cb)(
-+              struct vchiq_mmal_instance  *instance,
-+              struct vchiq_mmal_port *port,
-+              int status, struct mmal_buffer *buffer,
-+              unsigned long length, u32 mmal_flags, s64 dts, s64 pts);
-+
-+struct vchiq_mmal_port {
-+      u32 enabled:1;
-+      u32 handle;
-+      u32 type; /* port type, cached to use on port info set */
-+      u32 index; /* port index, cached to use on port info set */
-+
-+      /* component port belongs to, allows simple deref */
-+      struct vchiq_mmal_component *component;
-+
-+      struct vchiq_mmal_port *connected; /* port connected to */
-+
-+      /* buffer info */
-+      struct vchiq_mmal_port_buffer minimum_buffer;
-+      struct vchiq_mmal_port_buffer recommended_buffer;
-+      struct vchiq_mmal_port_buffer current_buffer;
-+
-+      /* stream format */
-+      struct mmal_es_format_local format;
-+      /* elementary stream format */
-+      union mmal_es_specific_format es;
-+
-+      /* data buffers to fill */
-+      struct list_head buffers;
-+      /* lock to serialise adding and removing buffers from list */
-+      spinlock_t slock;
-+
-+      /* Count of buffers the VPU has yet to return */
-+      atomic_t buffers_with_vpu;
-+      /* callback on buffer completion */
-+      vchiq_mmal_buffer_cb buffer_cb;
-+      /* callback context */
-+      void *cb_ctx;
-+};
-+
-+struct vchiq_mmal_component {
-+      u32 enabled:1;
-+      u32 handle;  /* VideoCore handle for component */
-+      u32 inputs;  /* Number of input ports */
-+      u32 outputs; /* Number of output ports */
-+      u32 clocks;  /* Number of clock ports */
-+      struct vchiq_mmal_port control; /* control port */
-+      struct vchiq_mmal_port input[MAX_PORT_COUNT]; /* input ports */
-+      struct vchiq_mmal_port output[MAX_PORT_COUNT]; /* output ports */
-+      struct vchiq_mmal_port clock[MAX_PORT_COUNT]; /* clock ports */
-+};
-+
-+int vchiq_mmal_init(struct vchiq_mmal_instance **out_instance);
-+int vchiq_mmal_finalise(struct vchiq_mmal_instance *instance);
-+
-+/* Initialise a mmal component and its ports
-+ *
-+ */
-+int vchiq_mmal_component_init(
-+              struct vchiq_mmal_instance *instance,
-+              const char *name,
-+              struct vchiq_mmal_component **component_out);
-+
-+int vchiq_mmal_component_finalise(
-+              struct vchiq_mmal_instance *instance,
-+              struct vchiq_mmal_component *component);
-+
-+int vchiq_mmal_component_enable(
-+              struct vchiq_mmal_instance *instance,
-+              struct vchiq_mmal_component *component);
-+
-+int vchiq_mmal_component_disable(
-+              struct vchiq_mmal_instance *instance,
-+              struct vchiq_mmal_component *component);
-+
-+/* enable a mmal port
-+ *
-+ * enables a port and if a buffer callback provided enque buffer
-+ * headers as appropriate for the port.
-+ */
-+int vchiq_mmal_port_enable(
-+              struct vchiq_mmal_instance *instance,
-+              struct vchiq_mmal_port *port,
-+              vchiq_mmal_buffer_cb buffer_cb);
-+
-+/* disable a port
-+ *
-+ * disable a port will dequeue any pending buffers
-+ */
-+int vchiq_mmal_port_disable(struct vchiq_mmal_instance *instance,
-+                          struct vchiq_mmal_port *port);
-+
-+int vchiq_mmal_port_parameter_set(struct vchiq_mmal_instance *instance,
-+                                struct vchiq_mmal_port *port,
-+                                u32 parameter,
-+                                void *value,
-+                                u32 value_size);
-+
-+int vchiq_mmal_port_parameter_get(struct vchiq_mmal_instance *instance,
-+                                struct vchiq_mmal_port *port,
-+                                u32 parameter,
-+                                void *value,
-+                                u32 *value_size);
-+
-+int vchiq_mmal_port_set_format(struct vchiq_mmal_instance *instance,
-+                             struct vchiq_mmal_port *port);
-+
-+int vchiq_mmal_port_connect_tunnel(struct vchiq_mmal_instance *instance,
-+                                 struct vchiq_mmal_port *src,
-+                                 struct vchiq_mmal_port *dst);
-+
-+int vchiq_mmal_version(struct vchiq_mmal_instance *instance,
-+                     u32 *major_out,
-+                     u32 *minor_out);
-+
-+int vchiq_mmal_submit_buffer(struct vchiq_mmal_instance *instance,
-+                           struct vchiq_mmal_port *port,
-+                           struct mmal_buffer *buf);
-+
-+int mmal_vchi_buffer_init(struct vchiq_mmal_instance *instance,
-+                        struct mmal_buffer *buf);
-+int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf);
-+#endif /* MMAL_VCHIQ_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0167-staging-mmal-vchiq-Allocate-and-free-components-as-r.patch b/target/linux/bcm27xx/patches-5.4/950-0167-staging-mmal-vchiq-Allocate-and-free-components-as-r.patch
deleted file mode 100644 (file)
index 53a6c07..0000000
+++ /dev/null
@@ -1,107 +0,0 @@
-From 238065901c1b476a5b093f1710773322b3344847 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 24 Sep 2018 16:51:13 +0100
-Subject: [PATCH] staging: mmal-vchiq: Allocate and free components as
- required
-
-The existing code assumed that there would only ever be 4 components,
-and never freed the entries once used.
-Allow arbitrary creation and destruction of components.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../vc04_services/vchiq-mmal/mmal-vchiq.c     | 29 ++++++++++++-------
- .../vc04_services/vchiq-mmal/mmal-vchiq.h     |  1 +
- 2 files changed, 20 insertions(+), 10 deletions(-)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -37,8 +37,11 @@ MODULE_AUTHOR("Dave Stevenson, <dave.ste
- MODULE_LICENSE("GPL");
- MODULE_VERSION("0.0.1");
--/* maximum number of components supported */
--#define VCHIQ_MMAL_MAX_COMPONENTS 4
-+/*
-+ * maximum number of components supported.
-+ * This matches the maximum permitted by default on the VPU
-+ */
-+#define VCHIQ_MMAL_MAX_COMPONENTS 64
- /*#define FULL_MSG_DUMP 1*/
-@@ -173,8 +176,6 @@ struct vchiq_mmal_instance {
-       /* protect accesses to context_map */
-       struct mutex context_map_lock;
--      /* component to use next */
--      int component_idx;
-       struct vchiq_mmal_component component[VCHIQ_MMAL_MAX_COMPONENTS];
-       /* ordered workqueue to process all bulk operations */
-@@ -1631,18 +1632,24 @@ int vchiq_mmal_component_init(struct vch
- {
-       int ret;
-       int idx;                /* port index */
--      struct vchiq_mmal_component *component;
-+      struct vchiq_mmal_component *component = NULL;
-       if (mutex_lock_interruptible(&instance->vchiq_mutex))
-               return -EINTR;
--      if (instance->component_idx == VCHIQ_MMAL_MAX_COMPONENTS) {
-+      for (idx = 0; idx < VCHIQ_MMAL_MAX_COMPONENTS; idx++) {
-+              if (!instance->component[idx].in_use) {
-+                      component = &instance->component[idx];
-+                      component->in_use = 1;
-+                      break;
-+              }
-+      }
-+
-+      if (!component) {
-               ret = -EINVAL;  /* todo is this correct error? */
-               goto unlock;
-       }
--      component = &instance->component[instance->component_idx];
--
-       ret = create_component(instance, component, name);
-       if (ret < 0) {
-               pr_err("%s: failed to create component %d (Not enough GPU mem?)\n",
-@@ -1693,8 +1700,6 @@ int vchiq_mmal_component_init(struct vch
-                       goto release_component;
-       }
--      instance->component_idx++;
--
-       *component_out = component;
-       mutex_unlock(&instance->vchiq_mutex);
-@@ -1704,6 +1709,8 @@ int vchiq_mmal_component_init(struct vch
- release_component:
-       destroy_component(instance, component);
- unlock:
-+      if (component)
-+              component->in_use = 0;
-       mutex_unlock(&instance->vchiq_mutex);
-       return ret;
-@@ -1726,6 +1733,8 @@ int vchiq_mmal_component_finalise(struct
-       ret = destroy_component(instance, component);
-+      component->in_use = 0;
-+
-       mutex_unlock(&instance->vchiq_mutex);
-       return ret;
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-@@ -82,6 +82,7 @@ struct vchiq_mmal_port {
- };
- struct vchiq_mmal_component {
-+      u32 in_use:1;
-       u32 enabled:1;
-       u32 handle;  /* VideoCore handle for component */
-       u32 inputs;  /* Number of input ports */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0167-staging-mmal-vchiq-Make-timeout-a-defined-parameter.patch b/target/linux/bcm27xx/patches-5.4/950-0167-staging-mmal-vchiq-Make-timeout-a-defined-parameter.patch
new file mode 100644 (file)
index 0000000..fa37fff
--- /dev/null
@@ -0,0 +1,38 @@
+From e080da14485a0aba8dc364b02b7ff2c92e5a4fe8 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 24 Sep 2018 16:57:09 +0100
+Subject: [PATCH] staging: mmal-vchiq: Make timeout a defined parameter
+
+The timeout period for VPU communications is a useful thing
+to extend when debugging.
+Set it via a define, rather than a magic number buried in the code.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c | 8 +++++++-
+ 1 file changed, 7 insertions(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -43,6 +43,12 @@ MODULE_VERSION("0.0.1");
+  */
+ #define VCHIQ_MMAL_MAX_COMPONENTS 64
++/*
++ * Timeout for synchronous msg responses in seconds.
++ * Helpful to increase this if stopping in the VPU debugger.
++ */
++#define SYNC_MSG_TIMEOUT      3
++
+ /*#define FULL_MSG_DUMP 1*/
+ #ifdef DEBUG
+@@ -691,7 +697,7 @@ static int send_synchronous_mmal_msg(str
+       }
+       timeout = wait_for_completion_timeout(&msg_context->u.sync.cmplt,
+-                                            3 * HZ);
++                                            SYNC_MSG_TIMEOUT * HZ);
+       if (timeout == 0) {
+               pr_err("timed out waiting for sync completion\n");
+               ret = -ETIME;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0168-staging-mmal-vchiq-Avoid-use-of-bool-in-structures.patch b/target/linux/bcm27xx/patches-5.4/950-0168-staging-mmal-vchiq-Avoid-use-of-bool-in-structures.patch
deleted file mode 100644 (file)
index 31f7c8a..0000000
+++ /dev/null
@@ -1,24 +0,0 @@
-From 98ba3ab478e0628304379673a6fa0a02e8db2166 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 29 Oct 2018 16:20:46 +0000
-Subject: [PATCH] staging: mmal-vchiq: Avoid use of bool in structures
-
-Fixes up a checkpatch error "Avoid using bool structure members
-because of possible alignment issues".
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -1759,7 +1759,7 @@ int vchiq_mmal_component_enable(struct v
-       ret = enable_component(instance, component);
-       if (ret == 0)
--              component->enabled = true;
-+              component->enabled = 1;
-       mutex_unlock(&instance->vchiq_mutex);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0168-staging-mmal-vchiq-Make-a-mmal_buf-struct-for-passin.patch b/target/linux/bcm27xx/patches-5.4/950-0168-staging-mmal-vchiq-Make-a-mmal_buf-struct-for-passin.patch
new file mode 100644 (file)
index 0000000..95f5885
--- /dev/null
@@ -0,0 +1,278 @@
+From b4c0c420e616e1cdf7abd309000e779b350fb2da Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 24 Sep 2018 17:33:37 +0100
+Subject: [PATCH] staging: mmal-vchiq: Make a mmal_buf struct for
+ passing parameters
+
+The callback from vchi_mmal to the client was growing lots of extra
+parameters. Consolidate them into a single struct instead of
+growing the list further.
+The struct is associated with the client buffer, therefore there
+are various changes to setup various containers for the struct,
+and pass the appropriate members.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../bcm2835-camera/bcm2835-camera.c           | 60 ++++++++++++-------
+ .../vc04_services/vchiq-mmal/mmal-common.h    |  5 ++
+ .../vc04_services/vchiq-mmal/mmal-vchiq.c     | 29 ++++++---
+ .../vc04_services/vchiq-mmal/mmal-vchiq.h     |  3 +-
+ 4 files changed, 63 insertions(+), 34 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
++++ b/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
+@@ -72,6 +72,12 @@ static const struct v4l2_fract
+       tpf_max     = {.numerator = 1,          .denominator = FPS_MIN},
+       tpf_default = {.numerator = 1000,       .denominator = 30000};
++/* Container for MMAL and VB2 buffers*/
++struct vb2_mmal_buffer {
++      struct vb2_v4l2_buffer  vb;
++      struct mmal_buffer      mmal;
++};
++
+ /* video formats */
+ static struct mmal_fmt formats[] = {
+       {
+@@ -258,14 +264,15 @@ static int buffer_init(struct vb2_buffer
+ {
+       struct bm2835_mmal_dev *dev = vb2_get_drv_priv(vb->vb2_queue);
+       struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
+-      struct mmal_buffer *buf = container_of(vb2, struct mmal_buffer, vb);
++      struct vb2_mmal_buffer *buf =
++                              container_of(vb2, struct vb2_mmal_buffer, vb);
+       v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev, "%s: dev:%p, vb %p\n",
+                __func__, dev, vb);
+-      buf->buffer = vb2_plane_vaddr(&buf->vb.vb2_buf, 0);
+-      buf->buffer_size = vb2_plane_size(&buf->vb.vb2_buf, 0);
++      buf->mmal.buffer = vb2_plane_vaddr(&buf->vb.vb2_buf, 0);
++      buf->mmal.buffer_size = vb2_plane_size(&buf->vb.vb2_buf, 0);
+-      return mmal_vchi_buffer_init(dev->instance, buf);
++      return mmal_vchi_buffer_init(dev->instance, &buf->mmal);
+ }
+ static int buffer_prepare(struct vb2_buffer *vb)
+@@ -294,11 +301,13 @@ static void buffer_cleanup(struct vb2_bu
+ {
+       struct bm2835_mmal_dev *dev = vb2_get_drv_priv(vb->vb2_queue);
+       struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
+-      struct mmal_buffer *buf = container_of(vb2, struct mmal_buffer, vb);
++      struct vb2_mmal_buffer *buf =
++                              container_of(vb2, struct vb2_mmal_buffer, vb);
+       v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev, "%s: dev:%p, vb %p\n",
+                __func__, dev, vb);
+-      mmal_vchi_buffer_cleanup(buf);
++
++      mmal_vchi_buffer_cleanup(&buf->mmal);
+ }
+ static inline bool is_capturing(struct bm2835_mmal_dev *dev)
+@@ -310,14 +319,16 @@ static inline bool is_capturing(struct b
+ static void buffer_cb(struct vchiq_mmal_instance *instance,
+                     struct vchiq_mmal_port *port,
+                     int status,
+-                    struct mmal_buffer *buf,
+-                    unsigned long length, u32 mmal_flags, s64 dts, s64 pts)
++                    struct mmal_buffer *mmal_buf)
+ {
+       struct bm2835_mmal_dev *dev = port->cb_ctx;
++      struct vb2_mmal_buffer *buf =
++                      container_of(mmal_buf, struct vb2_mmal_buffer, mmal);
+       v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
+                "%s: status:%d, buf:%p, length:%lu, flags %u, pts %lld\n",
+-               __func__, status, buf, length, mmal_flags, pts);
++               __func__, status, buf, mmal_buf->length, mmal_buf->mmal_flags,
++               mmal_buf->pts);
+       if (status) {
+               /* error in transfer */
+@@ -328,7 +339,7 @@ static void buffer_cb(struct vchiq_mmal_
+               return;
+       }
+-      if (length == 0) {
++      if (mmal_buf->length == 0) {
+               /* stream ended */
+               if (dev->capture.frame_count) {
+                       /* empty buffer whilst capturing - expected to be an
+@@ -344,7 +355,8 @@ static void buffer_cb(struct vchiq_mmal_
+                                       &dev->capture.frame_count,
+                                       sizeof(dev->capture.frame_count));
+                       }
+-                      if (vchiq_mmal_submit_buffer(instance, port, buf))
++                      if (vchiq_mmal_submit_buffer(instance, port,
++                                                   &buf->mmal))
+                               v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
+                                        "Failed to return EOS buffer");
+               } else {
+@@ -371,14 +383,14 @@ static void buffer_cb(struct vchiq_mmal_
+                       buf->vb.vb2_buf.timestamp);
+       } else if (mmal_buf->pts != 0) {
+               ktime_t timestamp;
+-              s64 runtime_us = pts -
++              s64 runtime_us = mmal_buf->pts -
+                   dev->capture.vc_start_timestamp;
+               timestamp = ktime_add_us(dev->capture.kernel_start_ts,
+                                        runtime_us);
+               v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
+                        "Convert start time %llu and %llu with offset %llu to %llu\n",
+                        ktime_to_ns(dev->capture.kernel_start_ts),
+-                       dev->capture.vc_start_timestamp, pts,
++                       dev->capture.vc_start_timestamp, mmal_buf->pts,
+                        ktime_to_ns(timestamp));
+               if (timestamp < dev->capture.last_timestamp) {
+                       v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
+@@ -407,8 +419,8 @@ static void buffer_cb(struct vchiq_mmal_
+       buf->vb.sequence = dev->capture.sequence++;
+       buf->vb.field = V4L2_FIELD_NONE;
+-      vb2_set_plane_payload(&buf->vb.vb2_buf, 0, length);
+-      if (mmal_flags & MMAL_BUFFER_HEADER_FLAG_KEYFRAME)
++      vb2_set_plane_payload(&buf->vb.vb2_buf, 0, mmal_buf->length);
++      if (mmal_buf->mmal_flags & MMAL_BUFFER_HEADER_FLAG_KEYFRAME)
+               buf->vb.flags |= V4L2_BUF_FLAG_KEYFRAME;
+       v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
+@@ -416,7 +428,7 @@ static void buffer_cb(struct vchiq_mmal_
+               dev->capture.last_timestamp);
+       vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_DONE);
+-      if (mmal_flags & MMAL_BUFFER_HEADER_FLAG_EOS &&
++      if (mmal_buf->mmal_flags & MMAL_BUFFER_HEADER_FLAG_EOS &&
+           is_capturing(dev)) {
+               v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
+                        "Grab another frame as buffer has EOS");
+@@ -500,14 +512,16 @@ static void buffer_queue(struct vb2_buff
+ {
+       struct bm2835_mmal_dev *dev = vb2_get_drv_priv(vb->vb2_queue);
+       struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
+-      struct mmal_buffer *buf = container_of(vb2, struct mmal_buffer, vb);
++      struct vb2_mmal_buffer *buf =
++                              container_of(vb2, struct vb2_mmal_buffer, vb);
+       int ret;
+       v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
+                "%s: dev:%p buf:%p, idx %u\n",
+                __func__, dev, buf, vb2->vb2_buf.index);
+-      ret = vchiq_mmal_submit_buffer(dev->instance, dev->capture.port, buf);
++      ret = vchiq_mmal_submit_buffer(dev->instance, dev->capture.port,
++                                     &buf->mmal);
+       if (ret < 0)
+               v4l2_err(&dev->v4l2_dev, "%s: error submitting buffer\n",
+                        __func__);
+@@ -621,7 +635,7 @@ static void stop_streaming(struct vb2_qu
+       dev->capture.frame_count = 0;
+       /* ensure a format has actually been set */
+-      if (!dev->capture.port) {
++      if (!port) {
+               v4l2_err(&dev->v4l2_dev,
+                        "no capture port - stream not started?\n");
+               return;
+@@ -641,11 +655,11 @@ static void stop_streaming(struct vb2_qu
+       /* disable the connection from camera to encoder */
+       ret = vchiq_mmal_port_disable(dev->instance, dev->capture.camera_port);
+-      if (!ret && dev->capture.camera_port != dev->capture.port) {
++      if (!ret && dev->capture.camera_port != port) {
+               v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
+                        "disabling port\n");
+-              ret = vchiq_mmal_port_disable(dev->instance, dev->capture.port);
+-      } else if (dev->capture.camera_port != dev->capture.port) {
++              ret = vchiq_mmal_port_disable(dev->instance, port);
++      } else if (dev->capture.camera_port != port) {
+               v4l2_err(&dev->v4l2_dev, "port_disable failed, error %d\n",
+                        ret);
+       }
+@@ -1947,7 +1961,7 @@ static int bcm2835_mmal_probe(struct pla
+               q->type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
+               q->io_modes = VB2_MMAP | VB2_USERPTR | VB2_READ;
+               q->drv_priv = dev;
+-              q->buf_struct_size = sizeof(struct mmal_buffer);
++              q->buf_struct_size = sizeof(struct vb2_mmal_buffer);
+               q->ops = &bm2835_mmal_video_qops;
+               q->mem_ops = &vb2_vmalloc_memops;
+               q->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_MONOTONIC;
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
+@@ -49,6 +49,11 @@ struct mmal_buffer {
+       unsigned long buffer_size; /* size of allocated buffer */
+       struct mmal_msg_context *msg_context;
++
++      unsigned long length;
++      u32 mmal_flags;
++      s64 dts;
++      s64 pts;
+ };
+ /* */
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -258,17 +258,25 @@ static void buffer_work_cb(struct work_s
+ {
+       struct mmal_msg_context *msg_context =
+               container_of(work, struct mmal_msg_context, u.bulk.work);
++      struct mmal_buffer *buffer = msg_context->u.bulk.buffer;
++
++      if (!buffer) {
++              pr_err("%s: ctx: %p, No mmal buffer to pass details\n",
++                     __func__, msg_context);
++              return;
++      }
++
++      buffer->length = msg_context->u.bulk.buffer_used;
++      buffer->mmal_flags = msg_context->u.bulk.mmal_flags;
++      buffer->dts = msg_context->u.bulk.dts;
++      buffer->pts = msg_context->u.bulk.pts;
+       atomic_dec(&msg_context->u.bulk.port->buffers_with_vpu);
+       msg_context->u.bulk.port->buffer_cb(msg_context->u.bulk.instance,
+                                           msg_context->u.bulk.port,
+                                           msg_context->u.bulk.status,
+-                                          msg_context->u.bulk.buffer,
+-                                          msg_context->u.bulk.buffer_used,
+-                                          msg_context->u.bulk.mmal_flags,
+-                                          msg_context->u.bulk.dts,
+-                                          msg_context->u.bulk.pts);
++                                          msg_context->u.bulk.buffer);
+ }
+ /* workqueue scheduled callback to handle receiving buffers
+@@ -1326,11 +1334,14 @@ static int port_disable(struct vchiq_mma
+                       mmalbuf = list_entry(buf_head, struct mmal_buffer,
+                                            list);
+                       list_del(buf_head);
+-                      if (port->buffer_cb)
++                      if (port->buffer_cb) {
++                              mmalbuf->length = 0;
++                              mmalbuf->mmal_flags = 0;
++                              mmalbuf->dts = MMAL_TIME_UNKNOWN;
++                              mmalbuf->pts = MMAL_TIME_UNKNOWN;
+                               port->buffer_cb(instance,
+-                                              port, 0, mmalbuf, 0, 0,
+-                                              MMAL_TIME_UNKNOWN,
+-                                              MMAL_TIME_UNKNOWN);
++                                              port, 0, mmalbuf);
++                      }
+               }
+               spin_unlock_irqrestore(&port->slock, flags);
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
+@@ -44,8 +44,7 @@ struct vchiq_mmal_port;
+ typedef void (*vchiq_mmal_buffer_cb)(
+               struct vchiq_mmal_instance  *instance,
+               struct vchiq_mmal_port *port,
+-              int status, struct mmal_buffer *buffer,
+-              unsigned long length, u32 mmal_flags, s64 dts, s64 pts);
++              int status, struct mmal_buffer *buffer);
+ struct vchiq_mmal_port {
+       u32 enabled:1;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0169-staging-mmal-vchiq-Add-support-for-event-callbacks.patch b/target/linux/bcm27xx/patches-5.4/950-0169-staging-mmal-vchiq-Add-support-for-event-callbacks.patch
new file mode 100644 (file)
index 0000000..125f9d5
--- /dev/null
@@ -0,0 +1,356 @@
+From 10f4396064d55253a9af8ec3856519bbe606ddf4 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 24 Sep 2018 18:15:38 +0100
+Subject: [PATCH] staging: mmal-vchiq: Add support for event callbacks.
+
+(Preparation for the codec driver).
+The codec uses the event mechanism to report things such as
+resolution changes. It is signalled by the cmd field of the buffer
+being non-zero.
+
+Add support for passing this information out to the client.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../vc04_services/vchiq-mmal/mmal-common.h    |   1 +
+ .../vc04_services/vchiq-mmal/mmal-msg.h       |  35 ++++
+ .../vc04_services/vchiq-mmal/mmal-vchiq.c     | 170 ++++++++++++++++--
+ .../vc04_services/vchiq-mmal/mmal-vchiq.h     |   4 +
+ 4 files changed, 196 insertions(+), 14 deletions(-)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
+@@ -50,6 +50,7 @@ struct mmal_buffer {
+       struct mmal_msg_context *msg_context;
++      u32 cmd;                /* MMAL command. 0=data. */
+       unsigned long length;
+       u32 mmal_flags;
+       s64 dts;
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-msg.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-msg.h
+@@ -346,6 +346,41 @@ struct mmal_msg_port_parameter_get_reply
+ /* event messages */
+ #define MMAL_WORKER_EVENT_SPACE 256
++/* Four CC's for events */
++#define MMAL_FOURCC(a, b, c, d) ((a) | (b << 8) | (c << 16) | (d << 24))
++
++#define MMAL_EVENT_ERROR              MMAL_FOURCC('E', 'R', 'R', 'O')
++#define MMAL_EVENT_EOS                        MMAL_FOURCC('E', 'E', 'O', 'S')
++#define MMAL_EVENT_FORMAT_CHANGED     MMAL_FOURCC('E', 'F', 'C', 'H')
++#define MMAL_EVENT_PARAMETER_CHANGED  MMAL_FOURCC('E', 'P', 'C', 'H')
++
++/* Structs for each of the event message payloads */
++struct mmal_msg_event_eos {
++      u32 port_type;  /**< Type of port that received the end of stream */
++      u32 port_index; /**< Index of port that received the end of stream */
++};
++
++/** Format changed event data. */
++struct mmal_msg_event_format_changed {
++      /* Minimum size of buffers the port requires */
++      u32 buffer_size_min;
++      /* Minimum number of buffers the port requires */
++      u32 buffer_num_min;
++      /* Size of buffers the port recommends for optimal performance.
++       * A value of zero means no special recommendation.
++       */
++      u32 buffer_size_recommended;
++      /* Number of buffers the port recommends for optimal
++       * performance. A value of zero means no special recommendation.
++       */
++      u32 buffer_num_recommended;
++
++      u32 es_ptr;
++      struct mmal_es_format format;
++      union mmal_es_specific_format es;
++      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE];
++};
++
+ struct mmal_msg_event_to_host {
+       u32 client_component;   /* component context */
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -150,6 +150,8 @@ struct mmal_msg_context {
+                       /* Presentation and Decode timestamps */
+                       s64 pts;
+                       s64 dts;
++                      /* MMAL buffer command flag */
++                      u32 cmd;
+                       int status;     /* context status */
+@@ -237,18 +239,6 @@ release_msg_context(struct mmal_msg_cont
+       kfree(msg_context);
+ }
+-/* deals with receipt of event to host message */
+-static void event_to_host_cb(struct vchiq_mmal_instance *instance,
+-                           struct mmal_msg *msg, u32 msg_len)
+-{
+-      pr_debug("unhandled event\n");
+-      pr_debug("component:%u port type:%d num:%d cmd:0x%x length:%d\n",
+-               msg->u.event_to_host.client_component,
+-               msg->u.event_to_host.port_type,
+-               msg->u.event_to_host.port_num,
+-               msg->u.event_to_host.cmd, msg->u.event_to_host.length);
+-}
+-
+ /* workqueue scheduled callback
+  *
+  * we do this because it is important we do not call any other vchiq
+@@ -270,13 +260,18 @@ static void buffer_work_cb(struct work_s
+       buffer->mmal_flags = msg_context->u.bulk.mmal_flags;
+       buffer->dts = msg_context->u.bulk.dts;
+       buffer->pts = msg_context->u.bulk.pts;
++      buffer->cmd = msg_context->u.bulk.cmd;
+-      atomic_dec(&msg_context->u.bulk.port->buffers_with_vpu);
++      if (!buffer->cmd)
++              atomic_dec(&msg_context->u.bulk.port->buffers_with_vpu);
+       msg_context->u.bulk.port->buffer_cb(msg_context->u.bulk.instance,
+                                           msg_context->u.bulk.port,
+                                           msg_context->u.bulk.status,
+                                           msg_context->u.bulk.buffer);
++
++      if (buffer->cmd)
++              mutex_unlock(&msg_context->u.bulk.port->event_context_mutex);
+ }
+ /* workqueue scheduled callback to handle receiving buffers
+@@ -355,6 +350,7 @@ static int bulk_receive(struct vchiq_mma
+       msg_context->u.bulk.buffer_used = rd_len;
+       msg_context->u.bulk.dts = msg->u.buffer_from_host.buffer_header.dts;
+       msg_context->u.bulk.pts = msg->u.buffer_from_host.buffer_header.pts;
++      msg_context->u.bulk.cmd = msg->u.buffer_from_host.buffer_header.cmd;
+       queue_work(msg_context->instance->bulk_wq,
+                  &msg_context->u.bulk.buffer_to_host_work);
+@@ -456,6 +452,103 @@ buffer_from_host(struct vchiq_mmal_insta
+       return ret;
+ }
++/* deals with receipt of event to host message */
++static void event_to_host_cb(struct vchiq_mmal_instance *instance,
++                           struct mmal_msg *msg, u32 msg_len)
++{
++      /* FIXME: Not going to work on 64 bit */
++      struct vchiq_mmal_component *component =
++              (struct vchiq_mmal_component *)msg->u.event_to_host.client_component;
++      struct vchiq_mmal_port *port = NULL;
++      struct mmal_msg_context *msg_context;
++      u32 port_num = msg->u.event_to_host.port_num;
++
++      if (msg->u.buffer_from_host.drvbuf.magic == MMAL_MAGIC) {
++              pr_err("%s: MMAL_MSG_TYPE_BUFFER_TO_HOST with bad magic\n",
++                     __func__);
++              return;
++      }
++
++      switch (msg->u.event_to_host.port_type) {
++      case MMAL_PORT_TYPE_CONTROL:
++              if (port_num) {
++                      pr_err("%s: port_num of %u >= number of ports 1",
++                             __func__, port_num);
++                      return;
++              }
++              port = &component->control;
++              break;
++      case MMAL_PORT_TYPE_INPUT:
++              if (port_num >= component->inputs) {
++                      pr_err("%s: port_num of %u >= number of ports %u",
++                             __func__, port_num,
++                             port_num >= component->inputs);
++                      return;
++              }
++              port = &component->input[port_num];
++              break;
++      case MMAL_PORT_TYPE_OUTPUT:
++              if (port_num >= component->outputs) {
++                      pr_err("%s: port_num of %u >= number of ports %u",
++                             __func__, port_num,
++                             port_num >= component->outputs);
++                      return;
++              }
++              port = &component->output[port_num];
++              break;
++      case MMAL_PORT_TYPE_CLOCK:
++              if (port_num >= component->clocks) {
++                      pr_err("%s: port_num of %u >= number of ports %u",
++                             __func__, port_num,
++                             port_num >= component->clocks);
++                      return;
++              }
++              port = &component->clock[port_num];
++              break;
++      default:
++              break;
++      }
++
++      if (!mutex_trylock(&port->event_context_mutex)) {
++              pr_err("dropping event 0x%x\n", msg->u.event_to_host.cmd);
++              return;
++      }
++      msg_context = port->event_context;
++
++      if (msg->h.status != MMAL_MSG_STATUS_SUCCESS) {
++              /* message reception had an error */
++              //pr_warn
++              pr_err("%s: error %d in reply\n", __func__, msg->h.status);
++
++              msg_context->u.bulk.status = msg->h.status;
++      } else if (msg->u.event_to_host.length > MMAL_WORKER_EVENT_SPACE) {
++              /* data is not in message, queue a bulk receive */
++              pr_err("%s: payload not in message - bulk receive??! NOT SUPPORTED\n",
++                     __func__);
++              msg_context->u.bulk.status = -1;
++      } else {
++              memcpy(msg_context->u.bulk.buffer->buffer,
++                     msg->u.event_to_host.data,
++                     msg->u.event_to_host.length);
++
++              msg_context->u.bulk.buffer_used =
++                  msg->u.event_to_host.length;
++
++              msg_context->u.bulk.mmal_flags = 0;
++              msg_context->u.bulk.dts = MMAL_TIME_UNKNOWN;
++              msg_context->u.bulk.pts = MMAL_TIME_UNKNOWN;
++              msg_context->u.bulk.cmd = msg->u.event_to_host.cmd;
++
++              pr_debug("event component:%u port type:%d num:%d cmd:0x%x length:%d\n",
++                       msg->u.event_to_host.client_component,
++                       msg->u.event_to_host.port_type,
++                       msg->u.event_to_host.port_num,
++                       msg->u.event_to_host.cmd, msg->u.event_to_host.length);
++      }
++
++      schedule_work(&msg_context->u.bulk.work);
++}
++
+ /* deals with receipt of buffer to host message */
+ static void buffer_to_host_cb(struct vchiq_mmal_instance *instance,
+                             struct mmal_msg *msg, u32 msg_len)
+@@ -1339,6 +1432,7 @@ static int port_disable(struct vchiq_mma
+                               mmalbuf->mmal_flags = 0;
+                               mmalbuf->dts = MMAL_TIME_UNKNOWN;
+                               mmalbuf->pts = MMAL_TIME_UNKNOWN;
++                              mmalbuf->cmd = 0;
+                               port->buffer_cb(instance,
+                                               port, 0, mmalbuf);
+                       }
+@@ -1640,6 +1734,43 @@ int mmal_vchi_buffer_cleanup(struct mmal
+ }
+ EXPORT_SYMBOL_GPL(mmal_vchi_buffer_cleanup);
++static void init_event_context(struct vchiq_mmal_instance *instance,
++                             struct vchiq_mmal_port *port)
++{
++      struct mmal_msg_context *ctx = get_msg_context(instance);
++
++      mutex_init(&port->event_context_mutex);
++
++      port->event_context = ctx;
++      ctx->u.bulk.instance = instance;
++      ctx->u.bulk.port = port;
++      ctx->u.bulk.buffer =
++              kzalloc(sizeof(*ctx->u.bulk.buffer), GFP_KERNEL);
++      if (!ctx->u.bulk.buffer)
++              goto release_msg_context;
++      ctx->u.bulk.buffer->buffer = kzalloc(MMAL_WORKER_EVENT_SPACE,
++                                           GFP_KERNEL);
++      if (!ctx->u.bulk.buffer->buffer)
++              goto release_buffer;
++
++      INIT_WORK(&ctx->u.bulk.work, buffer_work_cb);
++      return;
++
++release_buffer:
++      kfree(ctx->u.bulk.buffer);
++release_msg_context:
++      release_msg_context(ctx);
++}
++
++static void free_event_context(struct vchiq_mmal_port *port)
++{
++      struct mmal_msg_context *ctx = port->event_context;
++
++      kfree(ctx->u.bulk.buffer->buffer);
++      kfree(ctx->u.bulk.buffer);
++      release_msg_context(ctx);
++}
++
+ /* Initialise a mmal component and its ports
+  *
+  */
+@@ -1683,6 +1814,7 @@ int vchiq_mmal_component_init(struct vch
+       ret = port_info_get(instance, &component->control);
+       if (ret < 0)
+               goto release_component;
++      init_event_context(instance, &component->control);
+       for (idx = 0; idx < component->inputs; idx++) {
+               component->input[idx].type = MMAL_PORT_TYPE_INPUT;
+@@ -1693,6 +1825,7 @@ int vchiq_mmal_component_init(struct vch
+               ret = port_info_get(instance, &component->input[idx]);
+               if (ret < 0)
+                       goto release_component;
++              init_event_context(instance, &component->input[idx]);
+       }
+       for (idx = 0; idx < component->outputs; idx++) {
+@@ -1704,6 +1837,7 @@ int vchiq_mmal_component_init(struct vch
+               ret = port_info_get(instance, &component->output[idx]);
+               if (ret < 0)
+                       goto release_component;
++              init_event_context(instance, &component->output[idx]);
+       }
+       for (idx = 0; idx < component->clocks; idx++) {
+@@ -1715,6 +1849,7 @@ int vchiq_mmal_component_init(struct vch
+               ret = port_info_get(instance, &component->clock[idx]);
+               if (ret < 0)
+                       goto release_component;
++              init_event_context(instance, &component->clock[idx]);
+       }
+       *component_out = component;
+@@ -1740,7 +1875,7 @@ EXPORT_SYMBOL_GPL(vchiq_mmal_component_i
+ int vchiq_mmal_component_finalise(struct vchiq_mmal_instance *instance,
+                                 struct vchiq_mmal_component *component)
+ {
+-      int ret;
++      int ret, idx;
+       if (mutex_lock_interruptible(&instance->vchiq_mutex))
+               return -EINTR;
+@@ -1752,6 +1887,13 @@ int vchiq_mmal_component_finalise(struct
+       component->in_use = 0;
++      for (idx = 0; idx < component->inputs; idx++)
++              free_event_context(&component->input[idx]);
++      for (idx = 0; idx < component->outputs; idx++)
++              free_event_context(&component->output[idx]);
++      for (idx = 0; idx < component->clocks; idx++)
++              free_event_context(&component->clock[idx]);
++
+       mutex_unlock(&instance->vchiq_mutex);
+       return ret;
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
+@@ -78,6 +78,10 @@ struct vchiq_mmal_port {
+       vchiq_mmal_buffer_cb buffer_cb;
+       /* callback context */
+       void *cb_ctx;
++
++      /* ensure serialised use of the one event context structure */
++      struct mutex event_context_mutex;
++      struct mmal_msg_context *event_context;
+ };
+ struct vchiq_mmal_component {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0169-staging-mmal-vchiq-Make-timeout-a-defined-parameter.patch b/target/linux/bcm27xx/patches-5.4/950-0169-staging-mmal-vchiq-Make-timeout-a-defined-parameter.patch
deleted file mode 100644 (file)
index fa37fff..0000000
+++ /dev/null
@@ -1,38 +0,0 @@
-From e080da14485a0aba8dc364b02b7ff2c92e5a4fe8 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 24 Sep 2018 16:57:09 +0100
-Subject: [PATCH] staging: mmal-vchiq: Make timeout a defined parameter
-
-The timeout period for VPU communications is a useful thing
-to extend when debugging.
-Set it via a define, rather than a magic number buried in the code.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c | 8 +++++++-
- 1 file changed, 7 insertions(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -43,6 +43,12 @@ MODULE_VERSION("0.0.1");
-  */
- #define VCHIQ_MMAL_MAX_COMPONENTS 64
-+/*
-+ * Timeout for synchronous msg responses in seconds.
-+ * Helpful to increase this if stopping in the VPU debugger.
-+ */
-+#define SYNC_MSG_TIMEOUT      3
-+
- /*#define FULL_MSG_DUMP 1*/
- #ifdef DEBUG
-@@ -691,7 +697,7 @@ static int send_synchronous_mmal_msg(str
-       }
-       timeout = wait_for_completion_timeout(&msg_context->u.sync.cmplt,
--                                            3 * HZ);
-+                                            SYNC_MSG_TIMEOUT * HZ);
-       if (timeout == 0) {
-               pr_err("timed out waiting for sync completion\n");
-               ret = -ETIME;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0170-staging-mmal-vchiq-Make-a-mmal_buf-struct-for-passin.patch b/target/linux/bcm27xx/patches-5.4/950-0170-staging-mmal-vchiq-Make-a-mmal_buf-struct-for-passin.patch
deleted file mode 100644 (file)
index 95f5885..0000000
+++ /dev/null
@@ -1,278 +0,0 @@
-From b4c0c420e616e1cdf7abd309000e779b350fb2da Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 24 Sep 2018 17:33:37 +0100
-Subject: [PATCH] staging: mmal-vchiq: Make a mmal_buf struct for
- passing parameters
-
-The callback from vchi_mmal to the client was growing lots of extra
-parameters. Consolidate them into a single struct instead of
-growing the list further.
-The struct is associated with the client buffer, therefore there
-are various changes to setup various containers for the struct,
-and pass the appropriate members.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../bcm2835-camera/bcm2835-camera.c           | 60 ++++++++++++-------
- .../vc04_services/vchiq-mmal/mmal-common.h    |  5 ++
- .../vc04_services/vchiq-mmal/mmal-vchiq.c     | 29 ++++++---
- .../vc04_services/vchiq-mmal/mmal-vchiq.h     |  3 +-
- 4 files changed, 63 insertions(+), 34 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
-+++ b/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
-@@ -72,6 +72,12 @@ static const struct v4l2_fract
-       tpf_max     = {.numerator = 1,          .denominator = FPS_MIN},
-       tpf_default = {.numerator = 1000,       .denominator = 30000};
-+/* Container for MMAL and VB2 buffers*/
-+struct vb2_mmal_buffer {
-+      struct vb2_v4l2_buffer  vb;
-+      struct mmal_buffer      mmal;
-+};
-+
- /* video formats */
- static struct mmal_fmt formats[] = {
-       {
-@@ -258,14 +264,15 @@ static int buffer_init(struct vb2_buffer
- {
-       struct bm2835_mmal_dev *dev = vb2_get_drv_priv(vb->vb2_queue);
-       struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
--      struct mmal_buffer *buf = container_of(vb2, struct mmal_buffer, vb);
-+      struct vb2_mmal_buffer *buf =
-+                              container_of(vb2, struct vb2_mmal_buffer, vb);
-       v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev, "%s: dev:%p, vb %p\n",
-                __func__, dev, vb);
--      buf->buffer = vb2_plane_vaddr(&buf->vb.vb2_buf, 0);
--      buf->buffer_size = vb2_plane_size(&buf->vb.vb2_buf, 0);
-+      buf->mmal.buffer = vb2_plane_vaddr(&buf->vb.vb2_buf, 0);
-+      buf->mmal.buffer_size = vb2_plane_size(&buf->vb.vb2_buf, 0);
--      return mmal_vchi_buffer_init(dev->instance, buf);
-+      return mmal_vchi_buffer_init(dev->instance, &buf->mmal);
- }
- static int buffer_prepare(struct vb2_buffer *vb)
-@@ -294,11 +301,13 @@ static void buffer_cleanup(struct vb2_bu
- {
-       struct bm2835_mmal_dev *dev = vb2_get_drv_priv(vb->vb2_queue);
-       struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
--      struct mmal_buffer *buf = container_of(vb2, struct mmal_buffer, vb);
-+      struct vb2_mmal_buffer *buf =
-+                              container_of(vb2, struct vb2_mmal_buffer, vb);
-       v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev, "%s: dev:%p, vb %p\n",
-                __func__, dev, vb);
--      mmal_vchi_buffer_cleanup(buf);
-+
-+      mmal_vchi_buffer_cleanup(&buf->mmal);
- }
- static inline bool is_capturing(struct bm2835_mmal_dev *dev)
-@@ -310,14 +319,16 @@ static inline bool is_capturing(struct b
- static void buffer_cb(struct vchiq_mmal_instance *instance,
-                     struct vchiq_mmal_port *port,
-                     int status,
--                    struct mmal_buffer *buf,
--                    unsigned long length, u32 mmal_flags, s64 dts, s64 pts)
-+                    struct mmal_buffer *mmal_buf)
- {
-       struct bm2835_mmal_dev *dev = port->cb_ctx;
-+      struct vb2_mmal_buffer *buf =
-+                      container_of(mmal_buf, struct vb2_mmal_buffer, mmal);
-       v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
-                "%s: status:%d, buf:%p, length:%lu, flags %u, pts %lld\n",
--               __func__, status, buf, length, mmal_flags, pts);
-+               __func__, status, buf, mmal_buf->length, mmal_buf->mmal_flags,
-+               mmal_buf->pts);
-       if (status) {
-               /* error in transfer */
-@@ -328,7 +339,7 @@ static void buffer_cb(struct vchiq_mmal_
-               return;
-       }
--      if (length == 0) {
-+      if (mmal_buf->length == 0) {
-               /* stream ended */
-               if (dev->capture.frame_count) {
-                       /* empty buffer whilst capturing - expected to be an
-@@ -344,7 +355,8 @@ static void buffer_cb(struct vchiq_mmal_
-                                       &dev->capture.frame_count,
-                                       sizeof(dev->capture.frame_count));
-                       }
--                      if (vchiq_mmal_submit_buffer(instance, port, buf))
-+                      if (vchiq_mmal_submit_buffer(instance, port,
-+                                                   &buf->mmal))
-                               v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
-                                        "Failed to return EOS buffer");
-               } else {
-@@ -371,14 +383,14 @@ static void buffer_cb(struct vchiq_mmal_
-                       buf->vb.vb2_buf.timestamp);
-       } else if (mmal_buf->pts != 0) {
-               ktime_t timestamp;
--              s64 runtime_us = pts -
-+              s64 runtime_us = mmal_buf->pts -
-                   dev->capture.vc_start_timestamp;
-               timestamp = ktime_add_us(dev->capture.kernel_start_ts,
-                                        runtime_us);
-               v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
-                        "Convert start time %llu and %llu with offset %llu to %llu\n",
-                        ktime_to_ns(dev->capture.kernel_start_ts),
--                       dev->capture.vc_start_timestamp, pts,
-+                       dev->capture.vc_start_timestamp, mmal_buf->pts,
-                        ktime_to_ns(timestamp));
-               if (timestamp < dev->capture.last_timestamp) {
-                       v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
-@@ -407,8 +419,8 @@ static void buffer_cb(struct vchiq_mmal_
-       buf->vb.sequence = dev->capture.sequence++;
-       buf->vb.field = V4L2_FIELD_NONE;
--      vb2_set_plane_payload(&buf->vb.vb2_buf, 0, length);
--      if (mmal_flags & MMAL_BUFFER_HEADER_FLAG_KEYFRAME)
-+      vb2_set_plane_payload(&buf->vb.vb2_buf, 0, mmal_buf->length);
-+      if (mmal_buf->mmal_flags & MMAL_BUFFER_HEADER_FLAG_KEYFRAME)
-               buf->vb.flags |= V4L2_BUF_FLAG_KEYFRAME;
-       v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
-@@ -416,7 +428,7 @@ static void buffer_cb(struct vchiq_mmal_
-               dev->capture.last_timestamp);
-       vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_DONE);
--      if (mmal_flags & MMAL_BUFFER_HEADER_FLAG_EOS &&
-+      if (mmal_buf->mmal_flags & MMAL_BUFFER_HEADER_FLAG_EOS &&
-           is_capturing(dev)) {
-               v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
-                        "Grab another frame as buffer has EOS");
-@@ -500,14 +512,16 @@ static void buffer_queue(struct vb2_buff
- {
-       struct bm2835_mmal_dev *dev = vb2_get_drv_priv(vb->vb2_queue);
-       struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
--      struct mmal_buffer *buf = container_of(vb2, struct mmal_buffer, vb);
-+      struct vb2_mmal_buffer *buf =
-+                              container_of(vb2, struct vb2_mmal_buffer, vb);
-       int ret;
-       v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
-                "%s: dev:%p buf:%p, idx %u\n",
-                __func__, dev, buf, vb2->vb2_buf.index);
--      ret = vchiq_mmal_submit_buffer(dev->instance, dev->capture.port, buf);
-+      ret = vchiq_mmal_submit_buffer(dev->instance, dev->capture.port,
-+                                     &buf->mmal);
-       if (ret < 0)
-               v4l2_err(&dev->v4l2_dev, "%s: error submitting buffer\n",
-                        __func__);
-@@ -621,7 +635,7 @@ static void stop_streaming(struct vb2_qu
-       dev->capture.frame_count = 0;
-       /* ensure a format has actually been set */
--      if (!dev->capture.port) {
-+      if (!port) {
-               v4l2_err(&dev->v4l2_dev,
-                        "no capture port - stream not started?\n");
-               return;
-@@ -641,11 +655,11 @@ static void stop_streaming(struct vb2_qu
-       /* disable the connection from camera to encoder */
-       ret = vchiq_mmal_port_disable(dev->instance, dev->capture.camera_port);
--      if (!ret && dev->capture.camera_port != dev->capture.port) {
-+      if (!ret && dev->capture.camera_port != port) {
-               v4l2_dbg(1, bcm2835_v4l2_debug, &dev->v4l2_dev,
-                        "disabling port\n");
--              ret = vchiq_mmal_port_disable(dev->instance, dev->capture.port);
--      } else if (dev->capture.camera_port != dev->capture.port) {
-+              ret = vchiq_mmal_port_disable(dev->instance, port);
-+      } else if (dev->capture.camera_port != port) {
-               v4l2_err(&dev->v4l2_dev, "port_disable failed, error %d\n",
-                        ret);
-       }
-@@ -1947,7 +1961,7 @@ static int bcm2835_mmal_probe(struct pla
-               q->type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
-               q->io_modes = VB2_MMAP | VB2_USERPTR | VB2_READ;
-               q->drv_priv = dev;
--              q->buf_struct_size = sizeof(struct mmal_buffer);
-+              q->buf_struct_size = sizeof(struct vb2_mmal_buffer);
-               q->ops = &bm2835_mmal_video_qops;
-               q->mem_ops = &vb2_vmalloc_memops;
-               q->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_MONOTONIC;
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
-@@ -49,6 +49,11 @@ struct mmal_buffer {
-       unsigned long buffer_size; /* size of allocated buffer */
-       struct mmal_msg_context *msg_context;
-+
-+      unsigned long length;
-+      u32 mmal_flags;
-+      s64 dts;
-+      s64 pts;
- };
- /* */
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -258,17 +258,25 @@ static void buffer_work_cb(struct work_s
- {
-       struct mmal_msg_context *msg_context =
-               container_of(work, struct mmal_msg_context, u.bulk.work);
-+      struct mmal_buffer *buffer = msg_context->u.bulk.buffer;
-+
-+      if (!buffer) {
-+              pr_err("%s: ctx: %p, No mmal buffer to pass details\n",
-+                     __func__, msg_context);
-+              return;
-+      }
-+
-+      buffer->length = msg_context->u.bulk.buffer_used;
-+      buffer->mmal_flags = msg_context->u.bulk.mmal_flags;
-+      buffer->dts = msg_context->u.bulk.dts;
-+      buffer->pts = msg_context->u.bulk.pts;
-       atomic_dec(&msg_context->u.bulk.port->buffers_with_vpu);
-       msg_context->u.bulk.port->buffer_cb(msg_context->u.bulk.instance,
-                                           msg_context->u.bulk.port,
-                                           msg_context->u.bulk.status,
--                                          msg_context->u.bulk.buffer,
--                                          msg_context->u.bulk.buffer_used,
--                                          msg_context->u.bulk.mmal_flags,
--                                          msg_context->u.bulk.dts,
--                                          msg_context->u.bulk.pts);
-+                                          msg_context->u.bulk.buffer);
- }
- /* workqueue scheduled callback to handle receiving buffers
-@@ -1326,11 +1334,14 @@ static int port_disable(struct vchiq_mma
-                       mmalbuf = list_entry(buf_head, struct mmal_buffer,
-                                            list);
-                       list_del(buf_head);
--                      if (port->buffer_cb)
-+                      if (port->buffer_cb) {
-+                              mmalbuf->length = 0;
-+                              mmalbuf->mmal_flags = 0;
-+                              mmalbuf->dts = MMAL_TIME_UNKNOWN;
-+                              mmalbuf->pts = MMAL_TIME_UNKNOWN;
-                               port->buffer_cb(instance,
--                                              port, 0, mmalbuf, 0, 0,
--                                              MMAL_TIME_UNKNOWN,
--                                              MMAL_TIME_UNKNOWN);
-+                                              port, 0, mmalbuf);
-+                      }
-               }
-               spin_unlock_irqrestore(&port->slock, flags);
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-@@ -44,8 +44,7 @@ struct vchiq_mmal_port;
- typedef void (*vchiq_mmal_buffer_cb)(
-               struct vchiq_mmal_instance  *instance,
-               struct vchiq_mmal_port *port,
--              int status, struct mmal_buffer *buffer,
--              unsigned long length, u32 mmal_flags, s64 dts, s64 pts);
-+              int status, struct mmal_buffer *buffer);
- struct vchiq_mmal_port {
-       u32 enabled:1;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0170-staging-vc04_services-Support-sending-data-to-MMAL-p.patch b/target/linux/bcm27xx/patches-5.4/950-0170-staging-vc04_services-Support-sending-data-to-MMAL-p.patch
new file mode 100644 (file)
index 0000000..5dec3c4
--- /dev/null
@@ -0,0 +1,42 @@
+From f3f0945b19a90b194b2886a4fe5b092b4a3f7b3b Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 24 Sep 2018 18:26:02 +0100
+Subject: [PATCH] staging: vc04_services: Support sending data to MMAL
+ ports
+
+Add the ability to send data to ports. This only supports
+zero copy mode as the required bulk transfer setup calls
+are not done.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../vc04_services/vchiq-mmal/mmal-vchiq.c      | 18 +++++++++++++-----
+ 1 file changed, 13 insertions(+), 5 deletions(-)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -427,11 +427,19 @@ buffer_from_host(struct vchiq_mmal_insta
+       m.u.buffer_from_host.buffer_header.data =
+               (u32)(unsigned long)buf->buffer;
+       m.u.buffer_from_host.buffer_header.alloc_size = buf->buffer_size;
+-      m.u.buffer_from_host.buffer_header.length = 0;  /* nothing used yet */
+-      m.u.buffer_from_host.buffer_header.offset = 0;  /* no offset */
+-      m.u.buffer_from_host.buffer_header.flags = 0;   /* no flags */
+-      m.u.buffer_from_host.buffer_header.pts = MMAL_TIME_UNKNOWN;
+-      m.u.buffer_from_host.buffer_header.dts = MMAL_TIME_UNKNOWN;
++      if (port->type == MMAL_PORT_TYPE_OUTPUT) {
++              m.u.buffer_from_host.buffer_header.length = 0;
++              m.u.buffer_from_host.buffer_header.offset = 0;
++              m.u.buffer_from_host.buffer_header.flags = 0;
++              m.u.buffer_from_host.buffer_header.pts = MMAL_TIME_UNKNOWN;
++              m.u.buffer_from_host.buffer_header.dts = MMAL_TIME_UNKNOWN;
++      } else {
++              m.u.buffer_from_host.buffer_header.length = buf->length;
++              m.u.buffer_from_host.buffer_header.offset = 0;
++              m.u.buffer_from_host.buffer_header.flags = buf->mmal_flags;
++              m.u.buffer_from_host.buffer_header.pts = buf->pts;
++              m.u.buffer_from_host.buffer_header.dts = buf->dts;
++      }
+       /* clear buffer type sepecific data */
+       memset(&m.u.buffer_from_host.buffer_header_type_specific, 0,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0171-staging-mmal-vchiq-Add-support-for-event-callbacks.patch b/target/linux/bcm27xx/patches-5.4/950-0171-staging-mmal-vchiq-Add-support-for-event-callbacks.patch
deleted file mode 100644 (file)
index 125f9d5..0000000
+++ /dev/null
@@ -1,356 +0,0 @@
-From 10f4396064d55253a9af8ec3856519bbe606ddf4 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 24 Sep 2018 18:15:38 +0100
-Subject: [PATCH] staging: mmal-vchiq: Add support for event callbacks.
-
-(Preparation for the codec driver).
-The codec uses the event mechanism to report things such as
-resolution changes. It is signalled by the cmd field of the buffer
-being non-zero.
-
-Add support for passing this information out to the client.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../vc04_services/vchiq-mmal/mmal-common.h    |   1 +
- .../vc04_services/vchiq-mmal/mmal-msg.h       |  35 ++++
- .../vc04_services/vchiq-mmal/mmal-vchiq.c     | 170 ++++++++++++++++--
- .../vc04_services/vchiq-mmal/mmal-vchiq.h     |   4 +
- 4 files changed, 196 insertions(+), 14 deletions(-)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
-@@ -50,6 +50,7 @@ struct mmal_buffer {
-       struct mmal_msg_context *msg_context;
-+      u32 cmd;                /* MMAL command. 0=data. */
-       unsigned long length;
-       u32 mmal_flags;
-       s64 dts;
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-msg.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-msg.h
-@@ -346,6 +346,41 @@ struct mmal_msg_port_parameter_get_reply
- /* event messages */
- #define MMAL_WORKER_EVENT_SPACE 256
-+/* Four CC's for events */
-+#define MMAL_FOURCC(a, b, c, d) ((a) | (b << 8) | (c << 16) | (d << 24))
-+
-+#define MMAL_EVENT_ERROR              MMAL_FOURCC('E', 'R', 'R', 'O')
-+#define MMAL_EVENT_EOS                        MMAL_FOURCC('E', 'E', 'O', 'S')
-+#define MMAL_EVENT_FORMAT_CHANGED     MMAL_FOURCC('E', 'F', 'C', 'H')
-+#define MMAL_EVENT_PARAMETER_CHANGED  MMAL_FOURCC('E', 'P', 'C', 'H')
-+
-+/* Structs for each of the event message payloads */
-+struct mmal_msg_event_eos {
-+      u32 port_type;  /**< Type of port that received the end of stream */
-+      u32 port_index; /**< Index of port that received the end of stream */
-+};
-+
-+/** Format changed event data. */
-+struct mmal_msg_event_format_changed {
-+      /* Minimum size of buffers the port requires */
-+      u32 buffer_size_min;
-+      /* Minimum number of buffers the port requires */
-+      u32 buffer_num_min;
-+      /* Size of buffers the port recommends for optimal performance.
-+       * A value of zero means no special recommendation.
-+       */
-+      u32 buffer_size_recommended;
-+      /* Number of buffers the port recommends for optimal
-+       * performance. A value of zero means no special recommendation.
-+       */
-+      u32 buffer_num_recommended;
-+
-+      u32 es_ptr;
-+      struct mmal_es_format format;
-+      union mmal_es_specific_format es;
-+      u8 extradata[MMAL_FORMAT_EXTRADATA_MAX_SIZE];
-+};
-+
- struct mmal_msg_event_to_host {
-       u32 client_component;   /* component context */
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -150,6 +150,8 @@ struct mmal_msg_context {
-                       /* Presentation and Decode timestamps */
-                       s64 pts;
-                       s64 dts;
-+                      /* MMAL buffer command flag */
-+                      u32 cmd;
-                       int status;     /* context status */
-@@ -237,18 +239,6 @@ release_msg_context(struct mmal_msg_cont
-       kfree(msg_context);
- }
--/* deals with receipt of event to host message */
--static void event_to_host_cb(struct vchiq_mmal_instance *instance,
--                           struct mmal_msg *msg, u32 msg_len)
--{
--      pr_debug("unhandled event\n");
--      pr_debug("component:%u port type:%d num:%d cmd:0x%x length:%d\n",
--               msg->u.event_to_host.client_component,
--               msg->u.event_to_host.port_type,
--               msg->u.event_to_host.port_num,
--               msg->u.event_to_host.cmd, msg->u.event_to_host.length);
--}
--
- /* workqueue scheduled callback
-  *
-  * we do this because it is important we do not call any other vchiq
-@@ -270,13 +260,18 @@ static void buffer_work_cb(struct work_s
-       buffer->mmal_flags = msg_context->u.bulk.mmal_flags;
-       buffer->dts = msg_context->u.bulk.dts;
-       buffer->pts = msg_context->u.bulk.pts;
-+      buffer->cmd = msg_context->u.bulk.cmd;
--      atomic_dec(&msg_context->u.bulk.port->buffers_with_vpu);
-+      if (!buffer->cmd)
-+              atomic_dec(&msg_context->u.bulk.port->buffers_with_vpu);
-       msg_context->u.bulk.port->buffer_cb(msg_context->u.bulk.instance,
-                                           msg_context->u.bulk.port,
-                                           msg_context->u.bulk.status,
-                                           msg_context->u.bulk.buffer);
-+
-+      if (buffer->cmd)
-+              mutex_unlock(&msg_context->u.bulk.port->event_context_mutex);
- }
- /* workqueue scheduled callback to handle receiving buffers
-@@ -355,6 +350,7 @@ static int bulk_receive(struct vchiq_mma
-       msg_context->u.bulk.buffer_used = rd_len;
-       msg_context->u.bulk.dts = msg->u.buffer_from_host.buffer_header.dts;
-       msg_context->u.bulk.pts = msg->u.buffer_from_host.buffer_header.pts;
-+      msg_context->u.bulk.cmd = msg->u.buffer_from_host.buffer_header.cmd;
-       queue_work(msg_context->instance->bulk_wq,
-                  &msg_context->u.bulk.buffer_to_host_work);
-@@ -456,6 +452,103 @@ buffer_from_host(struct vchiq_mmal_insta
-       return ret;
- }
-+/* deals with receipt of event to host message */
-+static void event_to_host_cb(struct vchiq_mmal_instance *instance,
-+                           struct mmal_msg *msg, u32 msg_len)
-+{
-+      /* FIXME: Not going to work on 64 bit */
-+      struct vchiq_mmal_component *component =
-+              (struct vchiq_mmal_component *)msg->u.event_to_host.client_component;
-+      struct vchiq_mmal_port *port = NULL;
-+      struct mmal_msg_context *msg_context;
-+      u32 port_num = msg->u.event_to_host.port_num;
-+
-+      if (msg->u.buffer_from_host.drvbuf.magic == MMAL_MAGIC) {
-+              pr_err("%s: MMAL_MSG_TYPE_BUFFER_TO_HOST with bad magic\n",
-+                     __func__);
-+              return;
-+      }
-+
-+      switch (msg->u.event_to_host.port_type) {
-+      case MMAL_PORT_TYPE_CONTROL:
-+              if (port_num) {
-+                      pr_err("%s: port_num of %u >= number of ports 1",
-+                             __func__, port_num);
-+                      return;
-+              }
-+              port = &component->control;
-+              break;
-+      case MMAL_PORT_TYPE_INPUT:
-+              if (port_num >= component->inputs) {
-+                      pr_err("%s: port_num of %u >= number of ports %u",
-+                             __func__, port_num,
-+                             port_num >= component->inputs);
-+                      return;
-+              }
-+              port = &component->input[port_num];
-+              break;
-+      case MMAL_PORT_TYPE_OUTPUT:
-+              if (port_num >= component->outputs) {
-+                      pr_err("%s: port_num of %u >= number of ports %u",
-+                             __func__, port_num,
-+                             port_num >= component->outputs);
-+                      return;
-+              }
-+              port = &component->output[port_num];
-+              break;
-+      case MMAL_PORT_TYPE_CLOCK:
-+              if (port_num >= component->clocks) {
-+                      pr_err("%s: port_num of %u >= number of ports %u",
-+                             __func__, port_num,
-+                             port_num >= component->clocks);
-+                      return;
-+              }
-+              port = &component->clock[port_num];
-+              break;
-+      default:
-+              break;
-+      }
-+
-+      if (!mutex_trylock(&port->event_context_mutex)) {
-+              pr_err("dropping event 0x%x\n", msg->u.event_to_host.cmd);
-+              return;
-+      }
-+      msg_context = port->event_context;
-+
-+      if (msg->h.status != MMAL_MSG_STATUS_SUCCESS) {
-+              /* message reception had an error */
-+              //pr_warn
-+              pr_err("%s: error %d in reply\n", __func__, msg->h.status);
-+
-+              msg_context->u.bulk.status = msg->h.status;
-+      } else if (msg->u.event_to_host.length > MMAL_WORKER_EVENT_SPACE) {
-+              /* data is not in message, queue a bulk receive */
-+              pr_err("%s: payload not in message - bulk receive??! NOT SUPPORTED\n",
-+                     __func__);
-+              msg_context->u.bulk.status = -1;
-+      } else {
-+              memcpy(msg_context->u.bulk.buffer->buffer,
-+                     msg->u.event_to_host.data,
-+                     msg->u.event_to_host.length);
-+
-+              msg_context->u.bulk.buffer_used =
-+                  msg->u.event_to_host.length;
-+
-+              msg_context->u.bulk.mmal_flags = 0;
-+              msg_context->u.bulk.dts = MMAL_TIME_UNKNOWN;
-+              msg_context->u.bulk.pts = MMAL_TIME_UNKNOWN;
-+              msg_context->u.bulk.cmd = msg->u.event_to_host.cmd;
-+
-+              pr_debug("event component:%u port type:%d num:%d cmd:0x%x length:%d\n",
-+                       msg->u.event_to_host.client_component,
-+                       msg->u.event_to_host.port_type,
-+                       msg->u.event_to_host.port_num,
-+                       msg->u.event_to_host.cmd, msg->u.event_to_host.length);
-+      }
-+
-+      schedule_work(&msg_context->u.bulk.work);
-+}
-+
- /* deals with receipt of buffer to host message */
- static void buffer_to_host_cb(struct vchiq_mmal_instance *instance,
-                             struct mmal_msg *msg, u32 msg_len)
-@@ -1339,6 +1432,7 @@ static int port_disable(struct vchiq_mma
-                               mmalbuf->mmal_flags = 0;
-                               mmalbuf->dts = MMAL_TIME_UNKNOWN;
-                               mmalbuf->pts = MMAL_TIME_UNKNOWN;
-+                              mmalbuf->cmd = 0;
-                               port->buffer_cb(instance,
-                                               port, 0, mmalbuf);
-                       }
-@@ -1640,6 +1734,43 @@ int mmal_vchi_buffer_cleanup(struct mmal
- }
- EXPORT_SYMBOL_GPL(mmal_vchi_buffer_cleanup);
-+static void init_event_context(struct vchiq_mmal_instance *instance,
-+                             struct vchiq_mmal_port *port)
-+{
-+      struct mmal_msg_context *ctx = get_msg_context(instance);
-+
-+      mutex_init(&port->event_context_mutex);
-+
-+      port->event_context = ctx;
-+      ctx->u.bulk.instance = instance;
-+      ctx->u.bulk.port = port;
-+      ctx->u.bulk.buffer =
-+              kzalloc(sizeof(*ctx->u.bulk.buffer), GFP_KERNEL);
-+      if (!ctx->u.bulk.buffer)
-+              goto release_msg_context;
-+      ctx->u.bulk.buffer->buffer = kzalloc(MMAL_WORKER_EVENT_SPACE,
-+                                           GFP_KERNEL);
-+      if (!ctx->u.bulk.buffer->buffer)
-+              goto release_buffer;
-+
-+      INIT_WORK(&ctx->u.bulk.work, buffer_work_cb);
-+      return;
-+
-+release_buffer:
-+      kfree(ctx->u.bulk.buffer);
-+release_msg_context:
-+      release_msg_context(ctx);
-+}
-+
-+static void free_event_context(struct vchiq_mmal_port *port)
-+{
-+      struct mmal_msg_context *ctx = port->event_context;
-+
-+      kfree(ctx->u.bulk.buffer->buffer);
-+      kfree(ctx->u.bulk.buffer);
-+      release_msg_context(ctx);
-+}
-+
- /* Initialise a mmal component and its ports
-  *
-  */
-@@ -1683,6 +1814,7 @@ int vchiq_mmal_component_init(struct vch
-       ret = port_info_get(instance, &component->control);
-       if (ret < 0)
-               goto release_component;
-+      init_event_context(instance, &component->control);
-       for (idx = 0; idx < component->inputs; idx++) {
-               component->input[idx].type = MMAL_PORT_TYPE_INPUT;
-@@ -1693,6 +1825,7 @@ int vchiq_mmal_component_init(struct vch
-               ret = port_info_get(instance, &component->input[idx]);
-               if (ret < 0)
-                       goto release_component;
-+              init_event_context(instance, &component->input[idx]);
-       }
-       for (idx = 0; idx < component->outputs; idx++) {
-@@ -1704,6 +1837,7 @@ int vchiq_mmal_component_init(struct vch
-               ret = port_info_get(instance, &component->output[idx]);
-               if (ret < 0)
-                       goto release_component;
-+              init_event_context(instance, &component->output[idx]);
-       }
-       for (idx = 0; idx < component->clocks; idx++) {
-@@ -1715,6 +1849,7 @@ int vchiq_mmal_component_init(struct vch
-               ret = port_info_get(instance, &component->clock[idx]);
-               if (ret < 0)
-                       goto release_component;
-+              init_event_context(instance, &component->clock[idx]);
-       }
-       *component_out = component;
-@@ -1740,7 +1875,7 @@ EXPORT_SYMBOL_GPL(vchiq_mmal_component_i
- int vchiq_mmal_component_finalise(struct vchiq_mmal_instance *instance,
-                                 struct vchiq_mmal_component *component)
- {
--      int ret;
-+      int ret, idx;
-       if (mutex_lock_interruptible(&instance->vchiq_mutex))
-               return -EINTR;
-@@ -1752,6 +1887,13 @@ int vchiq_mmal_component_finalise(struct
-       component->in_use = 0;
-+      for (idx = 0; idx < component->inputs; idx++)
-+              free_event_context(&component->input[idx]);
-+      for (idx = 0; idx < component->outputs; idx++)
-+              free_event_context(&component->output[idx]);
-+      for (idx = 0; idx < component->clocks; idx++)
-+              free_event_context(&component->clock[idx]);
-+
-       mutex_unlock(&instance->vchiq_mutex);
-       return ret;
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-@@ -78,6 +78,10 @@ struct vchiq_mmal_port {
-       vchiq_mmal_buffer_cb buffer_cb;
-       /* callback context */
-       void *cb_ctx;
-+
-+      /* ensure serialised use of the one event context structure */
-+      struct mutex event_context_mutex;
-+      struct mmal_msg_context *event_context;
- };
- struct vchiq_mmal_component {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0171-staging-vc04_services-Fixup-vchiq-mmal-include-order.patch b/target/linux/bcm27xx/patches-5.4/950-0171-staging-vc04_services-Fixup-vchiq-mmal-include-order.patch
new file mode 100644 (file)
index 0000000..7dd50f7
--- /dev/null
@@ -0,0 +1,36 @@
+From 0932bf176e846b6c7666671670b017fcd7e915e8 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 25 Sep 2018 16:57:40 +0100
+Subject: [PATCH] staging: vc04_services: Fixup vchiq-mmal include
+ ordering
+
+There were dependencies on including the headers in the correct
+order. Fix up the headers so that they include the other
+headers that they depend on themselves.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/staging/vc04_services/vchiq-mmal/mmal-msg.h   | 1 +
+ drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h | 1 +
+ 2 files changed, 2 insertions(+)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-msg.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-msg.h
+@@ -38,6 +38,7 @@
+ #include "mmal-msg-common.h"
+ #include "mmal-msg-format.h"
+ #include "mmal-msg-port.h"
++#include "mmal-vchiq.h"
+ enum mmal_msg_type {
+       MMAL_MSG_TYPE_QUIT = 1,
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
+@@ -16,6 +16,7 @@
+ #ifndef MMAL_VCHIQ_H
+ #define MMAL_VCHIQ_H
++#include "mmal-common.h"
+ #include "mmal-msg-format.h"
+ #define MAX_PORT_COUNT 4
diff --git a/target/linux/bcm27xx/patches-5.4/950-0172-staging-vc04_services-Add-new-vc-sm-cma-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0172-staging-vc04_services-Add-new-vc-sm-cma-driver.patch
new file mode 100644 (file)
index 0000000..d1d3df0
--- /dev/null
@@ -0,0 +1,3175 @@
+From 878c0bfd0c5f2dc0ef04874b1cba915cf208ca8f Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 25 Sep 2018 10:27:11 +0100
+Subject: [PATCH] staging: vc04_services: Add new vc-sm-cma driver
+MIME-Version: 1.0
+Content-Type: text/plain; charset=UTF-8
+Content-Transfer-Encoding: 8bit
+
+This new driver allows contiguous memory blocks to be imported
+into the VideoCore VPU memory map, and manages the lifetime of
+those objects, only releasing the source dmabuf once the VPU has
+confirmed it has finished with it.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc-sm-cma: Correct DMA configuration.
+
+Now that VCHIQ is setting up the DMA configuration as our
+parent device, don't try to configure it during probe.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc-sm-cma: Use a void* pointer as the handle within the kernel
+
+The driver was using an unsigned int as the handle to the outside world,
+and doing a nasty cast to the struct dmabuf when handed it back.
+This breaks badly with a 64 bit kernel where the pointer doesn't fit
+in an unsigned int.
+
+Switch to using a void* within the kernel. Reality is that it is
+a struct dma_buf*, but advertising it as such to other drivers seems
+to encourage the use of it as such, and I'm not sure on the implications
+of that.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc-sm-cma: Fix up for 64bit builds
+
+There were a number of logging lines that were using
+inappropriate formatting under 64bit kernels.
+
+The kernel_id field passed to/from the VPU was being
+abused for storing the struct vc_sm_buffer *.
+This breaks with 64bit kernels, so change to using an IDR.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc_sm_cma: Remove erroneous misc_deregister
+
+Code from the misc /dev node was still present in
+bcm2835_vc_sm_cma_remove, which caused a NULL deref.
+Remove it.
+
+See #2885.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc-sm-cma: Remove the debugfs directory on remove
+
+Without removing that, reloading the driver fails.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc-sm-cma: Use devm_ allocs for sm_state.
+
+Use managed allocations for sm_state, removing reliance on
+manual management.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc-sm-cma: Don't fail if debugfs calls fail.
+
+Return codes from debugfs calls should never alter the
+flow of the main code.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc-sm-cma: Ensure mutex and idr are destroyed
+
+map_lock and kernelid_map are created in probe, but not released
+in release should the vcsm service not connect (eg running the
+cutdown firmware).
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc-sm-cma: Remove obsolete comment and make function static
+
+Removes obsolete comment about wanting to pass a function
+pointer into mmal-vchiq as we now do.
+As the function is passed as a function pointer, the function itself
+can be static.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc-sm-cma: Add in allocation for VPU requests.
+
+Module has to change from tristate to bool as all CMA functions
+are boolean.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc-sm-cma: Update TODO.
+
+The driver is already a platform driver, so that can be
+deleted from the TODO.
+There are no known issues that need to be resolved.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc-sm-cma: Add in userspace allocation API
+
+Replacing the functionality from the older vc-sm driver,
+add in a userspace API that allows allocation of buffers,
+and importing of dma-bufs.
+The driver hands out dma-buf fds, therefore much of the
+handling around lifespan and odd mmaps from the old driver
+goes away.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vcsm-cma: Add cache control ioctls
+
+The old driver allowed for direct cache manipulation and that
+was used by various clients. Replicate here.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vcsm-cma: Alter dev node permissions to 0666
+
+Until the udev rules are updated, open up access to this node by
+default.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vcsm-cma: Drop logging level on messages in vc_sm_release_resource
+
+They weren't errors but were logged as such.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vcsm-cma: Fixup the alloc code handling of kernel_id
+
+The allocation code had been copied in from an old branch prior
+to having added the IDR for 64bit support. It was therefore pushing
+a pointer into the kernel_id field instead of an IDR handle, the
+lookup therefore failed, and we never released the buffer.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vcsm-cma: Remove cache manipulation ioctl from ARM64
+
+The cache flushing ioctls are used by the Pi3 HEVC hw-assisted
+decoder as it needs finer grained flushing control than dma_ops
+allow.
+These cache calls are not present for ARM64, therefore disable
+them. We are not actively supporting 64bit kernels at present,
+and the use case of the HEVC decoder is fairly limited.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vcsm-cma: Rework to use dma APIs, not CMA
+
+Due to a misunderstanding of the DMA mapping APIs, I made
+the wrong decision on how to implement this.
+
+Rework to use dma_alloc_coherent instead of the CMA
+API. This also allows it to be built as a module easily.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc-sm-cma: Fix the few remaining coding style issues
+
+Fix a few minor checkpatch complaints to make the driver clean
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc04_services: fix compiling in separate directory
+
+The vc04_services Makefiles do not respect the O=path argument
+correctly: include paths in CFLAGS are given relatively to object path,
+not source path. Compiling in a separate directory yields #include
+errors.
+
+Signed-off-by: Marek Behún <marek.behun@nic.cz>
+
+vc-sm-cma: Fix compatibility ioctl
+
+This code path hasn't been used previously.
+Fixed up after testing with kodi on 32-bit userland and 64-bit kernel
+
+Signed-off-by: popcornmix <popcornmix@gmail.com>
+---
+ drivers/staging/vc04_services/Kconfig         |    1 +
+ drivers/staging/vc04_services/Makefile        |    1 +
+ .../vc04_services/bcm2835-camera/Makefile     |    4 +-
+ .../staging/vc04_services/vc-sm-cma/Kconfig   |   10 +
+ .../staging/vc04_services/vc-sm-cma/Makefile  |    8 +
+ drivers/staging/vc04_services/vc-sm-cma/TODO  |    1 +
+ .../staging/vc04_services/vc-sm-cma/vc_sm.c   | 1774 +++++++++++++++++
+ .../staging/vc04_services/vc-sm-cma/vc_sm.h   |   84 +
+ .../vc04_services/vc-sm-cma/vc_sm_cma_vchi.c  |  505 +++++
+ .../vc04_services/vc-sm-cma/vc_sm_cma_vchi.h  |   63 +
+ .../vc04_services/vc-sm-cma/vc_sm_defs.h      |  300 +++
+ .../vc04_services/vc-sm-cma/vc_sm_knl.h       |   28 +
+ .../staging/vc04_services/vchiq-mmal/Makefile |    2 +-
+ include/linux/broadcom/vc_sm_cma_ioctl.h      |  114 ++
+ 14 files changed, 2892 insertions(+), 3 deletions(-)
+ create mode 100644 drivers/staging/vc04_services/vc-sm-cma/Kconfig
+ create mode 100644 drivers/staging/vc04_services/vc-sm-cma/Makefile
+ create mode 100644 drivers/staging/vc04_services/vc-sm-cma/TODO
+ create mode 100644 drivers/staging/vc04_services/vc-sm-cma/vc_sm.c
+ create mode 100644 drivers/staging/vc04_services/vc-sm-cma/vc_sm.h
+ create mode 100644 drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.c
+ create mode 100644 drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.h
+ create mode 100644 drivers/staging/vc04_services/vc-sm-cma/vc_sm_defs.h
+ create mode 100644 drivers/staging/vc04_services/vc-sm-cma/vc_sm_knl.h
+ create mode 100644 include/linux/broadcom/vc_sm_cma_ioctl.h
+
+--- a/drivers/staging/vc04_services/Kconfig
++++ b/drivers/staging/vc04_services/Kconfig
+@@ -23,6 +23,7 @@ source "drivers/staging/vc04_services/bc
+ source "drivers/staging/vc04_services/bcm2835-camera/Kconfig"
+ source "drivers/staging/vc04_services/vchiq-mmal/Kconfig"
++source "drivers/staging/vc04_services/vc-sm-cma/Kconfig"
+ endif
+--- a/drivers/staging/vc04_services/Makefile
++++ b/drivers/staging/vc04_services/Makefile
+@@ -13,6 +13,7 @@ vchiq-objs := \
+ obj-$(CONFIG_SND_BCM2835)     += bcm2835-audio/
+ obj-$(CONFIG_VIDEO_BCM2835)   += bcm2835-camera/
+ obj-$(CONFIG_BCM2835_VCHIQ_MMAL) += vchiq-mmal/
++obj-$(CONFIG_BCM_VC_SM_CMA)   += vc-sm-cma/
+ ccflags-y += -Idrivers/staging/vc04_services -D__VCCOREVER__=0x04000000
+--- a/drivers/staging/vc04_services/bcm2835-camera/Makefile
++++ b/drivers/staging/vc04_services/bcm2835-camera/Makefile
+@@ -7,6 +7,6 @@ obj-$(CONFIG_VIDEO_BCM2835) += bcm2835-v
+ ccflags-y += \
+       -I $(srctree)/$(src)/.. \
+-      -Idrivers/staging/vc04_services \
+-      -Idrivers/staging/vc04_services/vchiq-mmal \
++      -I$(srctree)/drivers/staging/vc04_services \
++      -I$(srctree)/drivers/staging/vc04_services/vchiq-mmal \
+       -D__VCCOREVER__=0x04000000
+--- /dev/null
++++ b/drivers/staging/vc04_services/vc-sm-cma/Kconfig
+@@ -0,0 +1,10 @@
++config BCM_VC_SM_CMA
++      tristate "VideoCore Shared Memory (CMA) driver"
++      depends on BCM2835_VCHIQ
++      select RBTREE
++      select DMA_SHARED_BUFFER
++      help
++        Say Y here to enable the shared memory interface that
++        supports sharing dmabufs with VideoCore.
++        This operates over the VCHIQ interface to a service
++        running on VideoCore.
+--- /dev/null
++++ b/drivers/staging/vc04_services/vc-sm-cma/Makefile
+@@ -0,0 +1,8 @@
++ccflags-y += -I$(srctree)/drivers/staging/vc04_services -I$(srctree)/drivers/staging/vc04_services/interface/vchi -I$(srctree)/drivers/staging/vc04_services/interface/vchiq_arm
++# -I"drivers/staging/android/ion/" -I"$(srctree)/fs/"
++ccflags-y += -D__VCCOREVER__=0
++
++vc-sm-cma-$(CONFIG_BCM_VC_SM_CMA) := \
++      vc_sm.o vc_sm_cma_vchi.o
++
++obj-$(CONFIG_BCM_VC_SM_CMA) += vc-sm-cma.o
+--- /dev/null
++++ b/drivers/staging/vc04_services/vc-sm-cma/TODO
+@@ -0,0 +1 @@
++No currently outstanding tasks except some clean-up.
+--- /dev/null
++++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm.c
+@@ -0,0 +1,1774 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * VideoCore Shared Memory driver using CMA.
++ *
++ * Copyright: 2018, Raspberry Pi (Trading) Ltd
++ * Dave Stevenson <dave.stevenson@raspberrypi.org>
++ *
++ * Based on vmcs_sm driver from Broadcom Corporation for some API,
++ * and taking some code for buffer allocation and dmabuf handling from
++ * videobuf2.
++ *
++ *
++ * This driver has 3 main uses:
++ * 1) Allocating buffers for the kernel or userspace that can be shared with the
++ *    VPU.
++ * 2) Importing dmabufs from elsewhere for sharing with the VPU.
++ * 3) Allocating buffers for use by the VPU.
++ *
++ * In the first and second cases the native handle is a dmabuf. Releasing the
++ * resource inherently comes from releasing the dmabuf, and this will trigger
++ * unmapping on the VPU. The underlying allocation and our buffer structure are
++ * retained until the VPU has confirmed that it has finished with it.
++ *
++ * For the VPU allocations the VPU is responsible for triggering the release,
++ * and therefore the released message decrements the dma_buf refcount (with the
++ * VPU mapping having already been marked as released).
++ */
++
++/* ---- Include Files ----------------------------------------------------- */
++#include <linux/cdev.h>
++#include <linux/device.h>
++#include <linux/debugfs.h>
++#include <linux/dma-mapping.h>
++#include <linux/dma-buf.h>
++#include <linux/errno.h>
++#include <linux/fs.h>
++#include <linux/kernel.h>
++#include <linux/list.h>
++#include <linux/miscdevice.h>
++#include <linux/module.h>
++#include <linux/mm.h>
++#include <linux/of_device.h>
++#include <linux/platform_device.h>
++#include <linux/proc_fs.h>
++#include <linux/slab.h>
++#include <linux/seq_file.h>
++#include <linux/syscalls.h>
++#include <linux/types.h>
++#include <asm/cacheflush.h>
++
++#include "vchiq_connected.h"
++#include "vc_sm_cma_vchi.h"
++
++#include "vc_sm.h"
++#include "vc_sm_knl.h"
++#include <linux/broadcom/vc_sm_cma_ioctl.h>
++
++/* ---- Private Constants and Types --------------------------------------- */
++
++#define DEVICE_NAME           "vcsm-cma"
++#define DEVICE_MINOR          0
++
++#define VC_SM_RESOURCE_NAME_DEFAULT       "sm-host-resource"
++
++#define VC_SM_DIR_ROOT_NAME   "vcsm-cma"
++#define VC_SM_STATE           "state"
++
++/* Private file data associated with each opened device. */
++struct vc_sm_privdata_t {
++      pid_t pid;                      /* PID of creator. */
++
++      int restart_sys;                /* Tracks restart on interrupt. */
++      enum vc_sm_msg_type int_action; /* Interrupted action. */
++      u32 int_trans_id;               /* Interrupted transaction. */
++};
++
++typedef int (*VC_SM_SHOW) (struct seq_file *s, void *v);
++struct sm_pde_t {
++      VC_SM_SHOW show;          /* Debug fs function hookup. */
++      struct dentry *dir_entry; /* Debug fs directory entry. */
++      void *priv_data;          /* Private data */
++};
++
++/* Global state information. */
++struct sm_state_t {
++      struct platform_device *pdev;
++
++      struct miscdevice misc_dev;
++
++      struct sm_instance *sm_handle;  /* Handle for videocore service. */
++
++      spinlock_t kernelid_map_lock;   /* Spinlock protecting kernelid_map */
++      struct idr kernelid_map;
++
++      struct mutex map_lock;          /* Global map lock. */
++      struct list_head buffer_list;   /* List of buffer. */
++
++      struct vc_sm_privdata_t *data_knl;  /* Kernel internal data tracking. */
++      struct vc_sm_privdata_t *vpu_allocs; /* All allocations from the VPU */
++      struct dentry *dir_root;        /* Debug fs entries root. */
++      struct sm_pde_t dir_state;      /* Debug fs entries state sub-tree. */
++
++      bool require_released_callback; /* VPU will send a released msg when it
++                                       * has finished with a resource.
++                                       */
++      u32 int_trans_id;               /* Interrupted transaction. */
++};
++
++struct vc_sm_dma_buf_attachment {
++      struct device *dev;
++      struct sg_table sg_table;
++      struct list_head list;
++      enum dma_data_direction dma_dir;
++};
++
++/* ---- Private Variables ----------------------------------------------- */
++
++static struct sm_state_t *sm_state;
++static int sm_inited;
++
++/* ---- Private Function Prototypes -------------------------------------- */
++
++/* ---- Private Functions ------------------------------------------------ */
++
++static int get_kernel_id(struct vc_sm_buffer *buffer)
++{
++      int handle;
++
++      spin_lock(&sm_state->kernelid_map_lock);
++      handle = idr_alloc(&sm_state->kernelid_map, buffer, 0, 0, GFP_KERNEL);
++      spin_unlock(&sm_state->kernelid_map_lock);
++
++      return handle;
++}
++
++static struct vc_sm_buffer *lookup_kernel_id(int handle)
++{
++      return idr_find(&sm_state->kernelid_map, handle);
++}
++
++static void free_kernel_id(int handle)
++{
++      spin_lock(&sm_state->kernelid_map_lock);
++      idr_remove(&sm_state->kernelid_map, handle);
++      spin_unlock(&sm_state->kernelid_map_lock);
++}
++
++static int vc_sm_cma_seq_file_show(struct seq_file *s, void *v)
++{
++      struct sm_pde_t *sm_pde;
++
++      sm_pde = (struct sm_pde_t *)(s->private);
++
++      if (sm_pde && sm_pde->show)
++              sm_pde->show(s, v);
++
++      return 0;
++}
++
++static int vc_sm_cma_single_open(struct inode *inode, struct file *file)
++{
++      return single_open(file, vc_sm_cma_seq_file_show, inode->i_private);
++}
++
++static const struct file_operations vc_sm_cma_debug_fs_fops = {
++      .open = vc_sm_cma_single_open,
++      .read = seq_read,
++      .llseek = seq_lseek,
++      .release = single_release,
++};
++
++static int vc_sm_cma_global_state_show(struct seq_file *s, void *v)
++{
++      struct vc_sm_buffer *resource = NULL;
++      int resource_count = 0;
++
++      if (!sm_state)
++              return 0;
++
++      seq_printf(s, "\nVC-ServiceHandle     %p\n", sm_state->sm_handle);
++
++      /* Log all applicable mapping(s). */
++
++      mutex_lock(&sm_state->map_lock);
++      seq_puts(s, "\nResources\n");
++      if (!list_empty(&sm_state->buffer_list)) {
++              list_for_each_entry(resource, &sm_state->buffer_list,
++                                  global_buffer_list) {
++                      resource_count++;
++
++                      seq_printf(s, "\nResource                %p\n",
++                                 resource);
++                      seq_printf(s, "           NAME         %s\n",
++                                 resource->name);
++                      seq_printf(s, "           SIZE         %zu\n",
++                                 resource->size);
++                      seq_printf(s, "           DMABUF       %p\n",
++                                 resource->dma_buf);
++                      if (resource->imported) {
++                              seq_printf(s, "           ATTACH       %p\n",
++                                         resource->import.attach);
++                              seq_printf(s, "           SGT          %p\n",
++                                         resource->import.sgt);
++                      } else {
++                              seq_printf(s, "           SGT          %p\n",
++                                         resource->alloc.sg_table);
++                      }
++                      seq_printf(s, "           DMA_ADDR     %pad\n",
++                                 &resource->dma_addr);
++                      seq_printf(s, "           VC_HANDLE     %08x\n",
++                                 resource->vc_handle);
++                      seq_printf(s, "           VC_MAPPING    %d\n",
++                                 resource->vpu_state);
++              }
++      }
++      seq_printf(s, "\n\nTotal resource count:   %d\n\n", resource_count);
++
++      mutex_unlock(&sm_state->map_lock);
++
++      return 0;
++}
++
++/*
++ * Adds a buffer to the private data list which tracks all the allocated
++ * data.
++ */
++static void vc_sm_add_resource(struct vc_sm_privdata_t *privdata,
++                             struct vc_sm_buffer *buffer)
++{
++      mutex_lock(&sm_state->map_lock);
++      list_add(&buffer->global_buffer_list, &sm_state->buffer_list);
++      mutex_unlock(&sm_state->map_lock);
++
++      pr_debug("[%s]: added buffer %p (name %s, size %zu)\n",
++               __func__, buffer, buffer->name, buffer->size);
++}
++
++/*
++ * Cleans up imported dmabuf.
++ */
++static void vc_sm_clean_up_dmabuf(struct vc_sm_buffer *buffer)
++{
++      if (!buffer->imported)
++              return;
++
++      /* Handle cleaning up imported dmabufs */
++      mutex_lock(&buffer->lock);
++      if (buffer->import.sgt) {
++              dma_buf_unmap_attachment(buffer->import.attach,
++                                       buffer->import.sgt,
++                                       DMA_BIDIRECTIONAL);
++              buffer->import.sgt = NULL;
++      }
++      if (buffer->import.attach) {
++              dma_buf_detach(buffer->dma_buf, buffer->import.attach);
++              buffer->import.attach = NULL;
++      }
++      mutex_unlock(&buffer->lock);
++}
++
++/*
++ * Instructs VPU to decrement the refcount on a buffer.
++ */
++static void vc_sm_vpu_free(struct vc_sm_buffer *buffer)
++{
++      if (buffer->vc_handle && buffer->vpu_state == VPU_MAPPED) {
++              struct vc_sm_free_t free = { buffer->vc_handle, 0 };
++              int status = vc_sm_cma_vchi_free(sm_state->sm_handle, &free,
++                                           &sm_state->int_trans_id);
++              if (status != 0 && status != -EINTR) {
++                      pr_err("[%s]: failed to free memory on videocore (status: %u, trans_id: %u)\n",
++                             __func__, status, sm_state->int_trans_id);
++              }
++
++              if (sm_state->require_released_callback) {
++                      /* Need to wait for the VPU to confirm the free. */
++
++                      /* Retain a reference on this until the VPU has
++                       * released it
++                       */
++                      buffer->vpu_state = VPU_UNMAPPING;
++              } else {
++                      buffer->vpu_state = VPU_NOT_MAPPED;
++                      buffer->vc_handle = 0;
++              }
++      }
++}
++
++/*
++ * Release an allocation.
++ * All refcounting is done via the dma buf object.
++ *
++ * Must be called with the mutex held. The function will either release the
++ * mutex (if defering the release) or destroy it. The caller must therefore not
++ * reuse the buffer on return.
++ */
++static void vc_sm_release_resource(struct vc_sm_buffer *buffer)
++{
++      pr_debug("[%s]: buffer %p (name %s, size %zu), imported %u\n",
++               __func__, buffer, buffer->name, buffer->size,
++               buffer->imported);
++
++      if (buffer->vc_handle) {
++              /* We've sent the unmap request but not had the response. */
++              pr_debug("[%s]: Waiting for VPU unmap response on %p\n",
++                       __func__, buffer);
++              goto defer;
++      }
++      if (buffer->in_use) {
++              /* dmabuf still in use - we await the release */
++              pr_debug("[%s]: buffer %p is still in use\n", __func__, buffer);
++              goto defer;
++      }
++
++      /* Release the allocation (whether imported dmabuf or CMA allocation) */
++      if (buffer->imported) {
++              if (buffer->import.dma_buf)
++                      dma_buf_put(buffer->import.dma_buf);
++              else
++                      pr_err("%s: Imported dmabuf already been put for buf %p\n",
++                             __func__, buffer);
++              buffer->import.dma_buf = NULL;
++      } else {
++              dma_free_coherent(&sm_state->pdev->dev, buffer->size,
++                                buffer->cookie, buffer->dma_addr);
++      }
++
++      /* Free our buffer. Start by removing it from the list */
++      mutex_lock(&sm_state->map_lock);
++      list_del(&buffer->global_buffer_list);
++      mutex_unlock(&sm_state->map_lock);
++
++      pr_debug("%s: Release our allocation - done\n", __func__);
++      mutex_unlock(&buffer->lock);
++
++      mutex_destroy(&buffer->lock);
++
++      kfree(buffer);
++      return;
++
++defer:
++      mutex_unlock(&buffer->lock);
++}
++
++/* Create support for private data tracking. */
++static struct vc_sm_privdata_t *vc_sm_cma_create_priv_data(pid_t id)
++{
++      char alloc_name[32];
++      struct vc_sm_privdata_t *file_data = NULL;
++
++      /* Allocate private structure. */
++      file_data = kzalloc(sizeof(*file_data), GFP_KERNEL);
++
++      if (!file_data)
++              return NULL;
++
++      snprintf(alloc_name, sizeof(alloc_name), "%d", id);
++
++      file_data->pid = id;
++
++      return file_data;
++}
++
++/* Dma buf operations for use with our own allocations */
++
++static int vc_sm_dma_buf_attach(struct dma_buf *dmabuf,
++                              struct dma_buf_attachment *attachment)
++
++{
++      struct vc_sm_dma_buf_attachment *a;
++      struct sg_table *sgt;
++      struct vc_sm_buffer *buf = dmabuf->priv;
++      struct scatterlist *rd, *wr;
++      int ret, i;
++
++      a = kzalloc(sizeof(*a), GFP_KERNEL);
++      if (!a)
++              return -ENOMEM;
++
++      pr_debug("%s dmabuf %p attachment %p\n", __func__, dmabuf, attachment);
++
++      mutex_lock(&buf->lock);
++
++      INIT_LIST_HEAD(&a->list);
++
++      sgt = &a->sg_table;
++
++      /* Copy the buf->base_sgt scatter list to the attachment, as we can't
++       * map the same scatter list to multiple attachments at the same time.
++       */
++      ret = sg_alloc_table(sgt, buf->alloc.sg_table->orig_nents, GFP_KERNEL);
++      if (ret) {
++              kfree(a);
++              return -ENOMEM;
++      }
++
++      rd = buf->alloc.sg_table->sgl;
++      wr = sgt->sgl;
++      for (i = 0; i < sgt->orig_nents; ++i) {
++              sg_set_page(wr, sg_page(rd), rd->length, rd->offset);
++              rd = sg_next(rd);
++              wr = sg_next(wr);
++      }
++
++      a->dma_dir = DMA_NONE;
++      attachment->priv = a;
++
++      list_add(&a->list, &buf->attachments);
++      mutex_unlock(&buf->lock);
++
++      return 0;
++}
++
++static void vc_sm_dma_buf_detach(struct dma_buf *dmabuf,
++                               struct dma_buf_attachment *attachment)
++{
++      struct vc_sm_dma_buf_attachment *a = attachment->priv;
++      struct vc_sm_buffer *buf = dmabuf->priv;
++      struct sg_table *sgt;
++
++      pr_debug("%s dmabuf %p attachment %p\n", __func__, dmabuf, attachment);
++      if (!a)
++              return;
++
++      sgt = &a->sg_table;
++
++      /* release the scatterlist cache */
++      if (a->dma_dir != DMA_NONE)
++              dma_unmap_sg(attachment->dev, sgt->sgl, sgt->orig_nents,
++                           a->dma_dir);
++      sg_free_table(sgt);
++
++      mutex_lock(&buf->lock);
++      list_del(&a->list);
++      mutex_unlock(&buf->lock);
++
++      kfree(a);
++}
++
++static struct sg_table *vc_sm_map_dma_buf(struct dma_buf_attachment *attachment,
++                                        enum dma_data_direction direction)
++{
++      struct vc_sm_dma_buf_attachment *a = attachment->priv;
++      /* stealing dmabuf mutex to serialize map/unmap operations */
++      struct mutex *lock = &attachment->dmabuf->lock;
++      struct sg_table *table;
++
++      mutex_lock(lock);
++      pr_debug("%s attachment %p\n", __func__, attachment);
++      table = &a->sg_table;
++
++      /* return previously mapped sg table */
++      if (a->dma_dir == direction) {
++              mutex_unlock(lock);
++              return table;
++      }
++
++      /* release any previous cache */
++      if (a->dma_dir != DMA_NONE) {
++              dma_unmap_sg(attachment->dev, table->sgl, table->orig_nents,
++                           a->dma_dir);
++              a->dma_dir = DMA_NONE;
++      }
++
++      /* mapping to the client with new direction */
++      table->nents = dma_map_sg(attachment->dev, table->sgl,
++                                table->orig_nents, direction);
++      if (!table->nents) {
++              pr_err("failed to map scatterlist\n");
++              mutex_unlock(lock);
++              return ERR_PTR(-EIO);
++      }
++
++      a->dma_dir = direction;
++      mutex_unlock(lock);
++
++      pr_debug("%s attachment %p\n", __func__, attachment);
++      return table;
++}
++
++static void vc_sm_unmap_dma_buf(struct dma_buf_attachment *attachment,
++                              struct sg_table *table,
++                              enum dma_data_direction direction)
++{
++      pr_debug("%s attachment %p\n", __func__, attachment);
++      dma_unmap_sg(attachment->dev, table->sgl, table->nents, direction);
++}
++
++static int vc_sm_dmabuf_mmap(struct dma_buf *dmabuf, struct vm_area_struct *vma)
++{
++      struct vc_sm_buffer *buf = dmabuf->priv;
++      int ret;
++
++      pr_debug("%s dmabuf %p, buf %p, vm_start %08lX\n", __func__, dmabuf,
++               buf, vma->vm_start);
++
++      mutex_lock(&buf->lock);
++
++      /* now map it to userspace */
++      vma->vm_pgoff = 0;
++
++      ret = dma_mmap_coherent(&sm_state->pdev->dev, vma, buf->cookie,
++                              buf->dma_addr, buf->size);
++
++      if (ret) {
++              pr_err("Remapping memory failed, error: %d\n", ret);
++              return ret;
++      }
++
++      vma->vm_flags |= VM_DONTEXPAND | VM_DONTDUMP;
++
++      mutex_unlock(&buf->lock);
++
++      if (ret)
++              pr_err("%s: failure mapping buffer to userspace\n",
++                     __func__);
++
++      return ret;
++}
++
++static void vc_sm_dma_buf_release(struct dma_buf *dmabuf)
++{
++      struct vc_sm_buffer *buffer;
++
++      if (!dmabuf)
++              return;
++
++      buffer = (struct vc_sm_buffer *)dmabuf->priv;
++
++      mutex_lock(&buffer->lock);
++
++      pr_debug("%s dmabuf %p, buffer %p\n", __func__, dmabuf, buffer);
++
++      buffer->in_use = 0;
++
++      /* Unmap on the VPU */
++      vc_sm_vpu_free(buffer);
++      pr_debug("%s vpu_free done\n", __func__);
++
++      /* Unmap our dma_buf object (the vc_sm_buffer remains until released
++       * on the VPU).
++       */
++      vc_sm_clean_up_dmabuf(buffer);
++      pr_debug("%s clean_up dmabuf done\n", __func__);
++
++      /* buffer->lock will be destroyed by vc_sm_release_resource if finished
++       * with, otherwise unlocked. Do NOT unlock here.
++       */
++      vc_sm_release_resource(buffer);
++      pr_debug("%s done\n", __func__);
++}
++
++static int vc_sm_dma_buf_begin_cpu_access(struct dma_buf *dmabuf,
++                                        enum dma_data_direction direction)
++{
++      struct vc_sm_buffer *buf;
++      struct vc_sm_dma_buf_attachment *a;
++
++      if (!dmabuf)
++              return -EFAULT;
++
++      buf = dmabuf->priv;
++      if (!buf)
++              return -EFAULT;
++
++      mutex_lock(&buf->lock);
++
++      list_for_each_entry(a, &buf->attachments, list) {
++              dma_sync_sg_for_cpu(a->dev, a->sg_table.sgl,
++                                  a->sg_table.nents, direction);
++      }
++      mutex_unlock(&buf->lock);
++
++      return 0;
++}
++
++static int vc_sm_dma_buf_end_cpu_access(struct dma_buf *dmabuf,
++                                      enum dma_data_direction direction)
++{
++      struct vc_sm_buffer *buf;
++      struct vc_sm_dma_buf_attachment *a;
++
++      if (!dmabuf)
++              return -EFAULT;
++      buf = dmabuf->priv;
++      if (!buf)
++              return -EFAULT;
++
++      mutex_lock(&buf->lock);
++
++      list_for_each_entry(a, &buf->attachments, list) {
++              dma_sync_sg_for_device(a->dev, a->sg_table.sgl,
++                                     a->sg_table.nents, direction);
++      }
++      mutex_unlock(&buf->lock);
++
++      return 0;
++}
++
++static void *vc_sm_dma_buf_kmap(struct dma_buf *dmabuf, unsigned long offset)
++{
++      /* FIXME */
++      return NULL;
++}
++
++static void vc_sm_dma_buf_kunmap(struct dma_buf *dmabuf, unsigned long offset,
++                               void *ptr)
++{
++      /* FIXME */
++}
++
++static const struct dma_buf_ops dma_buf_ops = {
++      .map_dma_buf = vc_sm_map_dma_buf,
++      .unmap_dma_buf = vc_sm_unmap_dma_buf,
++      .mmap = vc_sm_dmabuf_mmap,
++      .release = vc_sm_dma_buf_release,
++      .attach = vc_sm_dma_buf_attach,
++      .detach = vc_sm_dma_buf_detach,
++      .begin_cpu_access = vc_sm_dma_buf_begin_cpu_access,
++      .end_cpu_access = vc_sm_dma_buf_end_cpu_access,
++      .map = vc_sm_dma_buf_kmap,
++      .unmap = vc_sm_dma_buf_kunmap,
++};
++
++/* Dma_buf operations for chaining through to an imported dma_buf */
++
++static
++int vc_sm_import_dma_buf_attach(struct dma_buf *dmabuf,
++                              struct dma_buf_attachment *attachment)
++{
++      struct vc_sm_buffer *buf = dmabuf->priv;
++
++      if (!buf->imported)
++              return -EINVAL;
++      return buf->import.dma_buf->ops->attach(buf->import.dma_buf,
++                                              attachment);
++}
++
++static
++void vc_sm_import_dma_buf_detatch(struct dma_buf *dmabuf,
++                                struct dma_buf_attachment *attachment)
++{
++      struct vc_sm_buffer *buf = dmabuf->priv;
++
++      if (!buf->imported)
++              return;
++      buf->import.dma_buf->ops->detach(buf->import.dma_buf, attachment);
++}
++
++static
++struct sg_table *vc_sm_import_map_dma_buf(struct dma_buf_attachment *attachment,
++                                        enum dma_data_direction direction)
++{
++      struct vc_sm_buffer *buf = attachment->dmabuf->priv;
++
++      if (!buf->imported)
++              return NULL;
++      return buf->import.dma_buf->ops->map_dma_buf(attachment,
++                                                   direction);
++}
++
++static
++void vc_sm_import_unmap_dma_buf(struct dma_buf_attachment *attachment,
++                              struct sg_table *table,
++                              enum dma_data_direction direction)
++{
++      struct vc_sm_buffer *buf = attachment->dmabuf->priv;
++
++      if (!buf->imported)
++              return;
++      buf->import.dma_buf->ops->unmap_dma_buf(attachment, table, direction);
++}
++
++static
++int vc_sm_import_dmabuf_mmap(struct dma_buf *dmabuf, struct vm_area_struct *vma)
++{
++      struct vc_sm_buffer *buf = dmabuf->priv;
++
++      pr_debug("%s: mmap dma_buf %p, buf %p, imported db %p\n", __func__,
++               dmabuf, buf, buf->import.dma_buf);
++      if (!buf->imported) {
++              pr_err("%s: mmap dma_buf %p- not an imported buffer\n",
++                     __func__, dmabuf);
++              return -EINVAL;
++      }
++      return buf->import.dma_buf->ops->mmap(buf->import.dma_buf, vma);
++}
++
++static
++void vc_sm_import_dma_buf_release(struct dma_buf *dmabuf)
++{
++      struct vc_sm_buffer *buf = dmabuf->priv;
++
++      pr_debug("%s: Relasing dma_buf %p\n", __func__, dmabuf);
++      mutex_lock(&buf->lock);
++      if (!buf->imported)
++              return;
++
++      buf->in_use = 0;
++
++      vc_sm_vpu_free(buf);
++
++      vc_sm_release_resource(buf);
++}
++
++static
++void *vc_sm_import_dma_buf_kmap(struct dma_buf *dmabuf,
++                              unsigned long offset)
++{
++      struct vc_sm_buffer *buf = dmabuf->priv;
++
++      if (!buf->imported)
++              return NULL;
++      return buf->import.dma_buf->ops->map(buf->import.dma_buf, offset);
++}
++
++static
++void vc_sm_import_dma_buf_kunmap(struct dma_buf *dmabuf,
++                               unsigned long offset, void *ptr)
++{
++      struct vc_sm_buffer *buf = dmabuf->priv;
++
++      if (!buf->imported)
++              return;
++      buf->import.dma_buf->ops->unmap(buf->import.dma_buf, offset, ptr);
++}
++
++static
++int vc_sm_import_dma_buf_begin_cpu_access(struct dma_buf *dmabuf,
++                                        enum dma_data_direction direction)
++{
++      struct vc_sm_buffer *buf = dmabuf->priv;
++
++      if (!buf->imported)
++              return -EINVAL;
++      return buf->import.dma_buf->ops->begin_cpu_access(buf->import.dma_buf,
++                                                        direction);
++}
++
++static
++int vc_sm_import_dma_buf_end_cpu_access(struct dma_buf *dmabuf,
++                                      enum dma_data_direction direction)
++{
++      struct vc_sm_buffer *buf = dmabuf->priv;
++
++      if (!buf->imported)
++              return -EINVAL;
++      return buf->import.dma_buf->ops->end_cpu_access(buf->import.dma_buf,
++                                                        direction);
++}
++
++static const struct dma_buf_ops dma_buf_import_ops = {
++      .map_dma_buf = vc_sm_import_map_dma_buf,
++      .unmap_dma_buf = vc_sm_import_unmap_dma_buf,
++      .mmap = vc_sm_import_dmabuf_mmap,
++      .release = vc_sm_import_dma_buf_release,
++      .attach = vc_sm_import_dma_buf_attach,
++      .detach = vc_sm_import_dma_buf_detatch,
++      .begin_cpu_access = vc_sm_import_dma_buf_begin_cpu_access,
++      .end_cpu_access = vc_sm_import_dma_buf_end_cpu_access,
++      .map = vc_sm_import_dma_buf_kmap,
++      .unmap = vc_sm_import_dma_buf_kunmap,
++};
++
++/* Import a dma_buf to be shared with VC. */
++int
++vc_sm_cma_import_dmabuf_internal(struct vc_sm_privdata_t *private,
++                               struct dma_buf *dma_buf,
++                               int fd,
++                               struct dma_buf **imported_buf)
++{
++      DEFINE_DMA_BUF_EXPORT_INFO(exp_info);
++      struct vc_sm_buffer *buffer = NULL;
++      struct vc_sm_import import = { };
++      struct vc_sm_import_result result = { };
++      struct dma_buf_attachment *attach = NULL;
++      struct sg_table *sgt = NULL;
++      dma_addr_t dma_addr;
++      int ret = 0;
++      int status;
++
++      /* Setup our allocation parameters */
++      pr_debug("%s: importing dma_buf %p/fd %d\n", __func__, dma_buf, fd);
++
++      if (fd < 0)
++              get_dma_buf(dma_buf);
++      else
++              dma_buf = dma_buf_get(fd);
++
++      if (!dma_buf)
++              return -EINVAL;
++
++      attach = dma_buf_attach(dma_buf, &sm_state->pdev->dev);
++      if (IS_ERR(attach)) {
++              ret = PTR_ERR(attach);
++              goto error;
++      }
++
++      sgt = dma_buf_map_attachment(attach, DMA_BIDIRECTIONAL);
++      if (IS_ERR(sgt)) {
++              ret = PTR_ERR(sgt);
++              goto error;
++      }
++
++      /* Verify that the address block is contiguous */
++      if (sgt->nents != 1) {
++              ret = -ENOMEM;
++              goto error;
++      }
++
++      /* Allocate local buffer to track this allocation. */
++      buffer = kzalloc(sizeof(*buffer), GFP_KERNEL);
++      if (!buffer) {
++              ret = -ENOMEM;
++              goto error;
++      }
++
++      import.type = VC_SM_ALLOC_NON_CACHED;
++      dma_addr = sg_dma_address(sgt->sgl);
++      import.addr = (u32)dma_addr;
++      if ((import.addr & 0xC0000000) != 0xC0000000) {
++              pr_err("%s: Expecting an uncached alias for dma_addr %pad\n",
++                     __func__, &dma_addr);
++              import.addr |= 0xC0000000;
++      }
++      import.size = sg_dma_len(sgt->sgl);
++      import.allocator = current->tgid;
++      import.kernel_id = get_kernel_id(buffer);
++
++      memcpy(import.name, VC_SM_RESOURCE_NAME_DEFAULT,
++             sizeof(VC_SM_RESOURCE_NAME_DEFAULT));
++
++      pr_debug("[%s]: attempt to import \"%s\" data - type %u, addr %pad, size %u.\n",
++               __func__, import.name, import.type, &dma_addr, import.size);
++
++      /* Allocate the videocore buffer. */
++      status = vc_sm_cma_vchi_import(sm_state->sm_handle, &import, &result,
++                                     &sm_state->int_trans_id);
++      if (status == -EINTR) {
++              pr_debug("[%s]: requesting import memory action restart (trans_id: %u)\n",
++                       __func__, sm_state->int_trans_id);
++              ret = -ERESTARTSYS;
++              private->restart_sys = -EINTR;
++              private->int_action = VC_SM_MSG_TYPE_IMPORT;
++              goto error;
++      } else if (status || !result.res_handle) {
++              pr_debug("[%s]: failed to import memory on videocore (status: %u, trans_id: %u)\n",
++                       __func__, status, sm_state->int_trans_id);
++              ret = -ENOMEM;
++              goto error;
++      }
++
++      mutex_init(&buffer->lock);
++      INIT_LIST_HEAD(&buffer->attachments);
++      memcpy(buffer->name, import.name,
++             min(sizeof(buffer->name), sizeof(import.name) - 1));
++
++      /* Keep track of the buffer we created. */
++      buffer->private = private;
++      buffer->vc_handle = result.res_handle;
++      buffer->size = import.size;
++      buffer->vpu_state = VPU_MAPPED;
++
++      buffer->imported = 1;
++      buffer->import.dma_buf = dma_buf;
++
++      buffer->import.attach = attach;
++      buffer->import.sgt = sgt;
++      buffer->dma_addr = dma_addr;
++      buffer->in_use = 1;
++      buffer->kernel_id = import.kernel_id;
++
++      /*
++       * We're done - we need to export a new dmabuf chaining through most
++       * functions, but enabling us to release our own internal references
++       * here.
++       */
++      exp_info.ops = &dma_buf_import_ops;
++      exp_info.size = import.size;
++      exp_info.flags = O_RDWR;
++      exp_info.priv = buffer;
++
++      buffer->dma_buf = dma_buf_export(&exp_info);
++      if (IS_ERR(buffer->dma_buf)) {
++              ret = PTR_ERR(buffer->dma_buf);
++              goto error;
++      }
++
++      vc_sm_add_resource(private, buffer);
++
++      *imported_buf = buffer->dma_buf;
++
++      return 0;
++
++error:
++      if (result.res_handle) {
++              struct vc_sm_free_t free = { result.res_handle, 0 };
++
++              vc_sm_cma_vchi_free(sm_state->sm_handle, &free,
++                                  &sm_state->int_trans_id);
++      }
++      free_kernel_id(import.kernel_id);
++      kfree(buffer);
++      if (sgt)
++              dma_buf_unmap_attachment(attach, sgt, DMA_BIDIRECTIONAL);
++      if (attach)
++              dma_buf_detach(dma_buf, attach);
++      dma_buf_put(dma_buf);
++      return ret;
++}
++
++static int vc_sm_cma_vpu_alloc(u32 size, u32 align, const char *name,
++                             u32 mem_handle, struct vc_sm_buffer **ret_buffer)
++{
++      DEFINE_DMA_BUF_EXPORT_INFO(exp_info);
++      struct vc_sm_buffer *buffer = NULL;
++      struct sg_table *sgt;
++      int aligned_size;
++      int ret = 0;
++
++      /* Align to the user requested align */
++      aligned_size = ALIGN(size, align);
++      /* and then to a page boundary */
++      aligned_size = PAGE_ALIGN(aligned_size);
++
++      if (!aligned_size)
++              return -EINVAL;
++
++      /* Allocate local buffer to track this allocation. */
++      buffer = kzalloc(sizeof(*buffer), GFP_KERNEL);
++      if (!buffer)
++              return -ENOMEM;
++
++      mutex_init(&buffer->lock);
++      /* Acquire the mutex as vc_sm_release_resource will release it in the
++       * error path.
++       */
++      mutex_lock(&buffer->lock);
++
++      buffer->cookie = dma_alloc_coherent(&sm_state->pdev->dev,
++                                          aligned_size, &buffer->dma_addr,
++                                          GFP_KERNEL);
++      if (!buffer->cookie) {
++              pr_err("[%s]: dma_alloc_coherent alloc of %d bytes failed\n",
++                     __func__, aligned_size);
++              ret = -ENOMEM;
++              goto error;
++      }
++
++      pr_debug("[%s]: alloc of %d bytes success\n",
++               __func__, aligned_size);
++
++      sgt = kmalloc(sizeof(*sgt), GFP_KERNEL);
++      if (!sgt) {
++              ret = -ENOMEM;
++              goto error;
++      }
++
++      ret = dma_get_sgtable(&sm_state->pdev->dev, sgt, buffer->cookie,
++                            buffer->dma_addr, buffer->size);
++      if (ret < 0) {
++              pr_err("failed to get scatterlist from DMA API\n");
++              kfree(sgt);
++              ret = -ENOMEM;
++              goto error;
++      }
++      buffer->alloc.sg_table = sgt;
++
++      INIT_LIST_HEAD(&buffer->attachments);
++
++      memcpy(buffer->name, name,
++             min(sizeof(buffer->name), strlen(name)));
++
++      exp_info.ops = &dma_buf_ops;
++      exp_info.size = aligned_size;
++      exp_info.flags = O_RDWR;
++      exp_info.priv = buffer;
++
++      buffer->dma_buf = dma_buf_export(&exp_info);
++      if (IS_ERR(buffer->dma_buf)) {
++              ret = PTR_ERR(buffer->dma_buf);
++              goto error;
++      }
++      buffer->dma_addr = (u32)sg_dma_address(buffer->alloc.sg_table->sgl);
++      if ((buffer->dma_addr & 0xC0000000) != 0xC0000000) {
++              pr_warn_once("%s: Expecting an uncached alias for dma_addr %pad\n",
++                           __func__, &buffer->dma_addr);
++              buffer->dma_addr |= 0xC0000000;
++      }
++      buffer->private = sm_state->vpu_allocs;
++
++      buffer->vc_handle = mem_handle;
++      buffer->vpu_state = VPU_MAPPED;
++      buffer->vpu_allocated = 1;
++      buffer->size = size;
++      /*
++       * Create an ID that will be passed along with our message so
++       * that when we service the release reply, we can look up which
++       * resource is being released.
++       */
++      buffer->kernel_id = get_kernel_id(buffer);
++
++      vc_sm_add_resource(sm_state->vpu_allocs, buffer);
++
++      mutex_unlock(&buffer->lock);
++
++      *ret_buffer = buffer;
++      return 0;
++error:
++      if (buffer)
++              vc_sm_release_resource(buffer);
++      return ret;
++}
++
++static void
++vc_sm_vpu_event(struct sm_instance *instance, struct vc_sm_result_t *reply,
++              int reply_len)
++{
++      switch (reply->trans_id & ~0x80000000) {
++      case VC_SM_MSG_TYPE_CLIENT_VERSION:
++      {
++              /* Acknowledge that the firmware supports the version command */
++              pr_debug("%s: firmware acked version msg. Require release cb\n",
++                       __func__);
++              sm_state->require_released_callback = true;
++      }
++      break;
++      case VC_SM_MSG_TYPE_RELEASED:
++      {
++              struct vc_sm_released *release = (struct vc_sm_released *)reply;
++              struct vc_sm_buffer *buffer =
++                                      lookup_kernel_id(release->kernel_id);
++              if (!buffer) {
++                      pr_err("%s: VC released a buffer that is already released, kernel_id %d\n",
++                             __func__, release->kernel_id);
++                      break;
++              }
++              mutex_lock(&buffer->lock);
++
++              pr_debug("%s: Released addr %08x, size %u, id %08x, mem_handle %08x\n",
++                       __func__, release->addr, release->size,
++                       release->kernel_id, release->vc_handle);
++
++              buffer->vc_handle = 0;
++              buffer->vpu_state = VPU_NOT_MAPPED;
++              free_kernel_id(release->kernel_id);
++
++              if (buffer->vpu_allocated) {
++                      /* VPU allocation, so release the dmabuf which will
++                       * trigger the clean up.
++                       */
++                      mutex_unlock(&buffer->lock);
++                      dma_buf_put(buffer->dma_buf);
++              } else {
++                      vc_sm_release_resource(buffer);
++              }
++      }
++      break;
++      case VC_SM_MSG_TYPE_VC_MEM_REQUEST:
++      {
++              struct vc_sm_buffer *buffer = NULL;
++              struct vc_sm_vc_mem_request *req =
++                                      (struct vc_sm_vc_mem_request *)reply;
++              struct vc_sm_vc_mem_request_result reply;
++              int ret;
++
++              pr_debug("%s: Request %u bytes of memory, align %d name %s, trans_id %08x\n",
++                       __func__, req->size, req->align, req->name,
++                       req->trans_id);
++              ret = vc_sm_cma_vpu_alloc(req->size, req->align, req->name,
++                                        req->vc_handle, &buffer);
++
++              reply.trans_id = req->trans_id;
++              if (!ret) {
++                      reply.addr = buffer->dma_addr;
++                      reply.kernel_id = buffer->kernel_id;
++                      pr_debug("%s: Allocated resource buffer %p, addr %pad\n",
++                               __func__, buffer, &buffer->dma_addr);
++              } else {
++                      pr_err("%s: Allocation failed size %u, name %s, vc_handle %u\n",
++                             __func__, req->size, req->name, req->vc_handle);
++                      reply.addr = 0;
++                      reply.kernel_id = 0;
++              }
++              vc_sm_vchi_client_vc_mem_req_reply(sm_state->sm_handle, &reply,
++                                                 &sm_state->int_trans_id);
++              break;
++      }
++      break;
++      default:
++              pr_err("%s: Unknown vpu cmd %x\n", __func__, reply->trans_id);
++              break;
++      }
++}
++
++/* Userspace handling */
++/*
++ * Open the device.  Creates a private state to help track all allocation
++ * associated with this device.
++ */
++static int vc_sm_cma_open(struct inode *inode, struct file *file)
++{
++      /* Make sure the device was started properly. */
++      if (!sm_state) {
++              pr_err("[%s]: invalid device\n", __func__);
++              return -EPERM;
++      }
++
++      file->private_data = vc_sm_cma_create_priv_data(current->tgid);
++      if (!file->private_data) {
++              pr_err("[%s]: failed to create data tracker\n", __func__);
++
++              return -ENOMEM;
++      }
++
++      return 0;
++}
++
++/*
++ * Close the vcsm-cma device.
++ * All allocations are file descriptors to the dmabuf objects, so we will get
++ * the clean up request on those as those are cleaned up.
++ */
++static int vc_sm_cma_release(struct inode *inode, struct file *file)
++{
++      struct vc_sm_privdata_t *file_data =
++          (struct vc_sm_privdata_t *)file->private_data;
++      int ret = 0;
++
++      /* Make sure the device was started properly. */
++      if (!sm_state || !file_data) {
++              pr_err("[%s]: invalid device\n", __func__);
++              ret = -EPERM;
++              goto out;
++      }
++
++      pr_debug("[%s]: using private data %p\n", __func__, file_data);
++
++      /* Terminate the private data. */
++      kfree(file_data);
++
++out:
++      return ret;
++}
++
++/*
++ * Allocate a shared memory handle and block.
++ * Allocation is from CMA, and then imported into the VPU mappings.
++ */
++int vc_sm_cma_ioctl_alloc(struct vc_sm_privdata_t *private,
++                        struct vc_sm_cma_ioctl_alloc *ioparam)
++{
++      DEFINE_DMA_BUF_EXPORT_INFO(exp_info);
++      struct vc_sm_buffer *buffer = NULL;
++      struct vc_sm_import import = { 0 };
++      struct vc_sm_import_result result = { 0 };
++      struct dma_buf *dmabuf = NULL;
++      struct sg_table *sgt;
++      int aligned_size;
++      int ret = 0;
++      int status;
++      int fd = -1;
++
++      aligned_size = PAGE_ALIGN(ioparam->size);
++
++      if (!aligned_size)
++              return -EINVAL;
++
++      /* Allocate local buffer to track this allocation. */
++      buffer = kzalloc(sizeof(*buffer), GFP_KERNEL);
++      if (!buffer) {
++              ret = -ENOMEM;
++              goto error;
++      }
++
++      buffer->cookie = dma_alloc_coherent(&sm_state->pdev->dev,
++                                          aligned_size,
++                                          &buffer->dma_addr,
++                                          GFP_KERNEL);
++      if (!buffer->cookie) {
++              pr_err("[%s]: dma_alloc_coherent alloc of %d bytes failed\n",
++                     __func__, aligned_size);
++              ret = -ENOMEM;
++              goto error;
++      }
++
++      import.type = VC_SM_ALLOC_NON_CACHED;
++      import.allocator = current->tgid;
++
++      if (*ioparam->name)
++              memcpy(import.name, ioparam->name, sizeof(import.name) - 1);
++      else
++              memcpy(import.name, VC_SM_RESOURCE_NAME_DEFAULT,
++                     sizeof(VC_SM_RESOURCE_NAME_DEFAULT));
++
++      mutex_init(&buffer->lock);
++      INIT_LIST_HEAD(&buffer->attachments);
++      memcpy(buffer->name, import.name,
++             min(sizeof(buffer->name), sizeof(import.name) - 1));
++
++      exp_info.ops = &dma_buf_ops;
++      exp_info.size = aligned_size;
++      exp_info.flags = O_RDWR;
++      exp_info.priv = buffer;
++
++      dmabuf = dma_buf_export(&exp_info);
++      if (IS_ERR(dmabuf)) {
++              ret = PTR_ERR(dmabuf);
++              goto error;
++      }
++      buffer->dma_buf = dmabuf;
++
++      import.addr = buffer->dma_addr;
++      import.size = aligned_size;
++      import.kernel_id = get_kernel_id(buffer);
++
++      /* Wrap it into a videocore buffer. */
++      status = vc_sm_cma_vchi_import(sm_state->sm_handle, &import, &result,
++                                     &sm_state->int_trans_id);
++      if (status == -EINTR) {
++              pr_debug("[%s]: requesting import memory action restart (trans_id: %u)\n",
++                       __func__, sm_state->int_trans_id);
++              ret = -ERESTARTSYS;
++              private->restart_sys = -EINTR;
++              private->int_action = VC_SM_MSG_TYPE_IMPORT;
++              goto error;
++      } else if (status || !result.res_handle) {
++              pr_err("[%s]: failed to import memory on videocore (status: %u, trans_id: %u)\n",
++                     __func__, status, sm_state->int_trans_id);
++              ret = -ENOMEM;
++              goto error;
++      }
++
++      /* Keep track of the buffer we created. */
++      buffer->private = private;
++      buffer->vc_handle = result.res_handle;
++      buffer->size = import.size;
++      buffer->vpu_state = VPU_MAPPED;
++      buffer->kernel_id = import.kernel_id;
++
++      sgt = kmalloc(sizeof(*sgt), GFP_KERNEL);
++      if (!sgt) {
++              ret = -ENOMEM;
++              goto error;
++      }
++
++      ret = dma_get_sgtable(&sm_state->pdev->dev, sgt, buffer->cookie,
++                            buffer->dma_addr, buffer->size);
++      if (ret < 0) {
++              /* FIXME: error handling */
++              pr_err("failed to get scatterlist from DMA API\n");
++              kfree(sgt);
++              ret = -ENOMEM;
++              goto error;
++      }
++      buffer->alloc.sg_table = sgt;
++
++      fd = dma_buf_fd(dmabuf, O_CLOEXEC);
++      if (fd < 0)
++              goto error;
++
++      vc_sm_add_resource(private, buffer);
++
++      pr_debug("[%s]: Added resource as fd %d, buffer %p, private %p, dma_addr %pad\n",
++               __func__, fd, buffer, private, &buffer->dma_addr);
++
++      /* We're done */
++      ioparam->handle = fd;
++      ioparam->vc_handle = buffer->vc_handle;
++      ioparam->dma_addr = buffer->dma_addr;
++      return 0;
++
++error:
++      pr_err("[%s]: something failed - cleanup. ret %d\n", __func__, ret);
++
++      if (dmabuf) {
++              /* dmabuf has been exported, therefore allow dmabuf cleanup to
++               * deal with this
++               */
++              dma_buf_put(dmabuf);
++      } else {
++              /* No dmabuf, therefore just free the buffer here */
++              if (buffer->cookie)
++                      dma_free_coherent(&sm_state->pdev->dev, buffer->size,
++                                        buffer->cookie, buffer->dma_addr);
++              kfree(buffer);
++      }
++      return ret;
++}
++
++#ifndef CONFIG_ARM64
++/* Converts VCSM_CACHE_OP_* to an operating function. */
++static void (*cache_op_to_func(const unsigned int cache_op))
++                                              (const void*, const void*)
++{
++      switch (cache_op) {
++      case VC_SM_CACHE_OP_NOP:
++              return NULL;
++
++      case VC_SM_CACHE_OP_INV:
++              return dmac_inv_range;
++
++      case VC_SM_CACHE_OP_CLEAN:
++              return dmac_clean_range;
++
++      case VC_SM_CACHE_OP_FLUSH:
++              return dmac_flush_range;
++
++      default:
++              pr_err("[%s]: Invalid cache_op: 0x%08x\n", __func__, cache_op);
++              return NULL;
++      }
++}
++
++/*
++ * Clean/invalid/flush cache of which buffer is already pinned (i.e. accessed).
++ */
++static int clean_invalid_contig_2d(const void __user *addr,
++                                 const size_t block_count,
++                                 const size_t block_size,
++                                 const size_t stride,
++                                 const unsigned int cache_op)
++{
++      size_t i;
++      void (*op_fn)(const void *start, const void *end);
++
++      if (!block_size) {
++              pr_err("[%s]: size cannot be 0\n", __func__);
++              return -EINVAL;
++      }
++
++      op_fn = cache_op_to_func(cache_op);
++      if (!op_fn)
++              return -EINVAL;
++
++      for (i = 0; i < block_count; i ++, addr += stride)
++              op_fn(addr, addr + block_size);
++
++      return 0;
++}
++
++static int vc_sm_cma_clean_invalid2(unsigned int cmdnr, unsigned long arg)
++{
++      struct vc_sm_cma_ioctl_clean_invalid2 ioparam;
++      struct vc_sm_cma_ioctl_clean_invalid_block *block = NULL;
++      int i, ret = 0;
++
++      /* Get parameter data. */
++      if (copy_from_user(&ioparam, (void *)arg, sizeof(ioparam))) {
++              pr_err("[%s]: failed to copy-from-user header for cmd %x\n",
++                     __func__, cmdnr);
++              return -EFAULT;
++      }
++      block = kmalloc(ioparam.op_count * sizeof(*block), GFP_KERNEL);
++      if (!block)
++              return -EFAULT;
++
++      if (copy_from_user(block, (void *)(arg + sizeof(ioparam)),
++                         ioparam.op_count * sizeof(*block)) != 0) {
++              pr_err("[%s]: failed to copy-from-user payload for cmd %x\n",
++                     __func__, cmdnr);
++              ret = -EFAULT;
++              goto out;
++      }
++
++      for (i = 0; i < ioparam.op_count; i++) {
++              const struct vc_sm_cma_ioctl_clean_invalid_block * const op =
++                                                              block + i;
++
++              if (op->invalidate_mode == VC_SM_CACHE_OP_NOP)
++                      continue;
++
++              ret = clean_invalid_contig_2d((void __user *)op->start_address,
++                                            op->block_count, op->block_size,
++                                            op->inter_block_stride,
++                                            op->invalidate_mode);
++              if (ret)
++                      break;
++      }
++out:
++      kfree(block);
++
++      return ret;
++}
++#endif
++
++static long vc_sm_cma_ioctl(struct file *file, unsigned int cmd,
++                          unsigned long arg)
++{
++      int ret = 0;
++      unsigned int cmdnr = _IOC_NR(cmd);
++      struct vc_sm_privdata_t *file_data =
++          (struct vc_sm_privdata_t *)file->private_data;
++
++      /* Validate we can work with this device. */
++      if (!sm_state || !file_data) {
++              pr_err("[%s]: invalid device\n", __func__);
++              return -EPERM;
++      }
++
++      /* Action is a re-post of a previously interrupted action? */
++      if (file_data->restart_sys == -EINTR) {
++              struct vc_sm_action_clean_t action_clean;
++
++              pr_debug("[%s]: clean up of action %u (trans_id: %u) following EINTR\n",
++                       __func__, file_data->int_action,
++                       file_data->int_trans_id);
++
++              action_clean.res_action = file_data->int_action;
++              action_clean.action_trans_id = file_data->int_trans_id;
++
++              file_data->restart_sys = 0;
++      }
++
++      /* Now process the command. */
++      switch (cmdnr) {
++              /* New memory allocation.
++               */
++      case VC_SM_CMA_CMD_ALLOC:
++      {
++              struct vc_sm_cma_ioctl_alloc ioparam;
++
++              /* Get the parameter data. */
++              if (copy_from_user
++                  (&ioparam, (void *)arg, sizeof(ioparam)) != 0) {
++                      pr_err("[%s]: failed to copy-from-user for cmd %x\n",
++                             __func__, cmdnr);
++                      ret = -EFAULT;
++                      break;
++              }
++
++              ret = vc_sm_cma_ioctl_alloc(file_data, &ioparam);
++              if (!ret &&
++                  (copy_to_user((void *)arg, &ioparam,
++                                sizeof(ioparam)) != 0)) {
++                      /* FIXME: Release allocation */
++                      pr_err("[%s]: failed to copy-to-user for cmd %x\n",
++                             __func__, cmdnr);
++                      ret = -EFAULT;
++              }
++              break;
++      }
++
++      case VC_SM_CMA_CMD_IMPORT_DMABUF:
++      {
++              struct vc_sm_cma_ioctl_import_dmabuf ioparam;
++              struct dma_buf *new_dmabuf;
++
++              /* Get the parameter data. */
++              if (copy_from_user
++                  (&ioparam, (void *)arg, sizeof(ioparam)) != 0) {
++                      pr_err("[%s]: failed to copy-from-user for cmd %x\n",
++                             __func__, cmdnr);
++                      ret = -EFAULT;
++                      break;
++              }
++
++              ret = vc_sm_cma_import_dmabuf_internal(file_data,
++                                                     NULL,
++                                                     ioparam.dmabuf_fd,
++                                                     &new_dmabuf);
++
++              if (!ret) {
++                      struct vc_sm_buffer *buf = new_dmabuf->priv;
++
++                      ioparam.size = buf->size;
++                      ioparam.handle = dma_buf_fd(new_dmabuf,
++                                                  O_CLOEXEC);
++                      ioparam.vc_handle = buf->vc_handle;
++                      ioparam.dma_addr = buf->dma_addr;
++
++                      if (ioparam.handle < 0 ||
++                          (copy_to_user((void *)arg, &ioparam,
++                                        sizeof(ioparam)) != 0)) {
++                              dma_buf_put(new_dmabuf);
++                              /* FIXME: Release allocation */
++                              ret = -EFAULT;
++                      }
++              }
++              break;
++      }
++
++#ifndef CONFIG_ARM64
++      /*
++       * Flush/Invalidate the cache for a given mapping.
++       * Blocks must be pinned (i.e. accessed) before this call.
++       */
++      case VC_SM_CMA_CMD_CLEAN_INVALID2:
++              ret = vc_sm_cma_clean_invalid2(cmdnr, arg);
++              break;
++#endif
++
++      default:
++              pr_debug("[%s]: cmd %x tgid %u, owner %u\n", __func__, cmdnr,
++                       current->tgid, file_data->pid);
++
++              ret = -EINVAL;
++              break;
++      }
++
++      return ret;
++}
++
++#ifdef CONFIG_COMPAT
++struct vc_sm_cma_ioctl_clean_invalid2_32 {
++      u32 op_count;
++      struct vc_sm_cma_ioctl_clean_invalid_block_32 {
++              u16 invalidate_mode;
++              u16 block_count;
++              compat_uptr_t start_address;
++              u32 block_size;
++              u32 inter_block_stride;
++      } s[0];
++};
++
++#define VC_SM_CMA_CMD_CLEAN_INVALID2_32\
++      _IOR(VC_SM_CMA_MAGIC_TYPE, VC_SM_CMA_CMD_CLEAN_INVALID2,\
++       struct vc_sm_cma_ioctl_clean_invalid2_32)
++
++static long vc_sm_cma_compat_ioctl(struct file *file, unsigned int cmd,
++                                 unsigned long arg)
++{
++      switch (cmd) {
++      case VC_SM_CMA_CMD_CLEAN_INVALID2_32:
++              /* FIXME */
++              return -EINVAL;
++
++      default:
++              return vc_sm_cma_ioctl(file, cmd, arg);
++      }
++}
++#endif
++
++/* Device operations that we managed in this driver. */
++static const struct file_operations vc_sm_ops = {
++      .owner = THIS_MODULE,
++      .unlocked_ioctl = vc_sm_cma_ioctl,
++#ifdef CONFIG_COMPAT
++      .compat_ioctl = vc_sm_cma_compat_ioctl,
++#endif
++      .open = vc_sm_cma_open,
++      .release = vc_sm_cma_release,
++};
++
++/* Driver load/unload functions */
++/* Videocore connected.  */
++static void vc_sm_connected_init(void)
++{
++      int ret;
++      VCHI_INSTANCE_T vchi_instance;
++      struct vc_sm_version version;
++      struct vc_sm_result_t version_result;
++
++      pr_info("[%s]: start\n", __func__);
++
++      /*
++       * Initialize and create a VCHI connection for the shared memory service
++       * running on videocore.
++       */
++      ret = vchi_initialise(&vchi_instance);
++      if (ret) {
++              pr_err("[%s]: failed to initialise VCHI instance (ret=%d)\n",
++                     __func__, ret);
++
++              return;
++      }
++
++      ret = vchi_connect(vchi_instance);
++      if (ret) {
++              pr_err("[%s]: failed to connect VCHI instance (ret=%d)\n",
++                     __func__, ret);
++
++              return;
++      }
++
++      /* Initialize an instance of the shared memory service. */
++      sm_state->sm_handle = vc_sm_cma_vchi_init(vchi_instance, 1,
++                                                vc_sm_vpu_event);
++      if (!sm_state->sm_handle) {
++              pr_err("[%s]: failed to initialize shared memory service\n",
++                     __func__);
++
++              return;
++      }
++
++      /* Create a debug fs directory entry (root). */
++      sm_state->dir_root = debugfs_create_dir(VC_SM_DIR_ROOT_NAME, NULL);
++
++      sm_state->dir_state.show = &vc_sm_cma_global_state_show;
++      sm_state->dir_state.dir_entry =
++              debugfs_create_file(VC_SM_STATE, 0444, sm_state->dir_root,
++                                  &sm_state->dir_state,
++                                  &vc_sm_cma_debug_fs_fops);
++
++      INIT_LIST_HEAD(&sm_state->buffer_list);
++
++      /* Create a shared memory device. */
++      sm_state->misc_dev.minor = MISC_DYNAMIC_MINOR;
++      sm_state->misc_dev.name = DEVICE_NAME;
++      sm_state->misc_dev.fops = &vc_sm_ops;
++      sm_state->misc_dev.parent = NULL;
++      /* Temporarily set as 666 until udev rules have been sorted */
++      sm_state->misc_dev.mode = 0666;
++      ret = misc_register(&sm_state->misc_dev);
++      if (ret) {
++              pr_err("vcsm-cma: failed to register misc device.\n");
++              goto err_remove_debugfs;
++      }
++
++      sm_state->data_knl = vc_sm_cma_create_priv_data(0);
++      if (!sm_state->data_knl) {
++              pr_err("[%s]: failed to create kernel private data tracker\n",
++                     __func__);
++              goto err_remove_misc_dev;
++      }
++
++      version.version = 2;
++      ret = vc_sm_cma_vchi_client_version(sm_state->sm_handle, &version,
++                                          &version_result,
++                                          &sm_state->int_trans_id);
++      if (ret) {
++              pr_err("[%s]: Failed to send version request %d\n", __func__,
++                     ret);
++      }
++
++      /* Done! */
++      sm_inited = 1;
++      pr_info("[%s]: installed successfully\n", __func__);
++      return;
++
++err_remove_misc_dev:
++      misc_deregister(&sm_state->misc_dev);
++err_remove_debugfs:
++      debugfs_remove_recursive(sm_state->dir_root);
++      vc_sm_cma_vchi_stop(&sm_state->sm_handle);
++}
++
++/* Driver loading. */
++static int bcm2835_vc_sm_cma_probe(struct platform_device *pdev)
++{
++      pr_info("%s: Videocore shared memory driver\n", __func__);
++
++      sm_state = devm_kzalloc(&pdev->dev, sizeof(*sm_state), GFP_KERNEL);
++      if (!sm_state)
++              return -ENOMEM;
++      sm_state->pdev = pdev;
++      mutex_init(&sm_state->map_lock);
++
++      spin_lock_init(&sm_state->kernelid_map_lock);
++      idr_init_base(&sm_state->kernelid_map, 1);
++
++      pdev->dev.dma_parms = devm_kzalloc(&pdev->dev,
++                                         sizeof(*pdev->dev.dma_parms),
++                                         GFP_KERNEL);
++      /* dma_set_max_seg_size checks if dma_parms is NULL. */
++      dma_set_max_seg_size(&pdev->dev, 0x3FFFFFFF);
++
++      vchiq_add_connected_callback(vc_sm_connected_init);
++      return 0;
++}
++
++/* Driver unloading. */
++static int bcm2835_vc_sm_cma_remove(struct platform_device *pdev)
++{
++      pr_debug("[%s]: start\n", __func__);
++      if (sm_inited) {
++              misc_deregister(&sm_state->misc_dev);
++
++              /* Remove all proc entries. */
++              debugfs_remove_recursive(sm_state->dir_root);
++
++              /* Stop the videocore shared memory service. */
++              vc_sm_cma_vchi_stop(&sm_state->sm_handle);
++      }
++
++      if (sm_state) {
++              idr_destroy(&sm_state->kernelid_map);
++
++              /* Free the memory for the state structure. */
++              mutex_destroy(&sm_state->map_lock);
++      }
++
++      pr_debug("[%s]: end\n", __func__);
++      return 0;
++}
++
++/* Kernel API calls */
++/* Get an internal resource handle mapped from the external one. */
++int vc_sm_cma_int_handle(void *handle)
++{
++      struct dma_buf *dma_buf = (struct dma_buf *)handle;
++      struct vc_sm_buffer *buf;
++
++      /* Validate we can work with this device. */
++      if (!sm_state || !handle) {
++              pr_err("[%s]: invalid input\n", __func__);
++              return 0;
++      }
++
++      buf = (struct vc_sm_buffer *)dma_buf->priv;
++      return buf->vc_handle;
++}
++EXPORT_SYMBOL_GPL(vc_sm_cma_int_handle);
++
++/* Free a previously allocated shared memory handle and block. */
++int vc_sm_cma_free(void *handle)
++{
++      struct dma_buf *dma_buf = (struct dma_buf *)handle;
++
++      /* Validate we can work with this device. */
++      if (!sm_state || !handle) {
++              pr_err("[%s]: invalid input\n", __func__);
++              return -EPERM;
++      }
++
++      pr_debug("%s: handle %p/dmabuf %p\n", __func__, handle, dma_buf);
++
++      dma_buf_put(dma_buf);
++
++      return 0;
++}
++EXPORT_SYMBOL_GPL(vc_sm_cma_free);
++
++/* Import a dmabuf to be shared with VC. */
++int vc_sm_cma_import_dmabuf(struct dma_buf *src_dmabuf, void **handle)
++{
++      struct dma_buf *new_dma_buf;
++      struct vc_sm_buffer *buf;
++      int ret;
++
++      /* Validate we can work with this device. */
++      if (!sm_state || !src_dmabuf || !handle) {
++              pr_err("[%s]: invalid input\n", __func__);
++              return -EPERM;
++      }
++
++      ret = vc_sm_cma_import_dmabuf_internal(sm_state->data_knl, src_dmabuf,
++                                             -1, &new_dma_buf);
++
++      if (!ret) {
++              pr_debug("%s: imported to ptr %p\n", __func__, new_dma_buf);
++              buf = (struct vc_sm_buffer *)new_dma_buf->priv;
++
++              /* Assign valid handle at this time.*/
++              *handle = new_dma_buf;
++      } else {
++              /*
++               * succeeded in importing the dma_buf, but then
++               * failed to look it up again. How?
++               * Release the fd again.
++               */
++              pr_err("%s: imported vc_sm_cma_get_buffer failed %d\n",
++                     __func__, ret);
++      }
++
++      return ret;
++}
++EXPORT_SYMBOL_GPL(vc_sm_cma_import_dmabuf);
++
++static struct platform_driver bcm2835_vcsm_cma_driver = {
++      .probe = bcm2835_vc_sm_cma_probe,
++      .remove = bcm2835_vc_sm_cma_remove,
++      .driver = {
++                 .name = DEVICE_NAME,
++                 .owner = THIS_MODULE,
++                 },
++};
++
++module_platform_driver(bcm2835_vcsm_cma_driver);
++
++MODULE_AUTHOR("Dave Stevenson");
++MODULE_DESCRIPTION("VideoCore CMA Shared Memory Driver");
++MODULE_LICENSE("GPL v2");
++MODULE_ALIAS("platform:vcsm-cma");
+--- /dev/null
++++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm.h
+@@ -0,0 +1,84 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++
++/*
++ * VideoCore Shared Memory driver using CMA.
++ *
++ * Copyright: 2018, Raspberry Pi (Trading) Ltd
++ *
++ */
++
++#ifndef VC_SM_H
++#define VC_SM_H
++
++#include <linux/device.h>
++#include <linux/dma-direction.h>
++#include <linux/kref.h>
++#include <linux/mm_types.h>
++#include <linux/mutex.h>
++#include <linux/rbtree.h>
++#include <linux/sched.h>
++#include <linux/shrinker.h>
++#include <linux/types.h>
++#include <linux/miscdevice.h>
++
++#define VC_SM_MAX_NAME_LEN 32
++
++enum vc_sm_vpu_mapping_state {
++      VPU_NOT_MAPPED,
++      VPU_MAPPED,
++      VPU_UNMAPPING
++};
++
++struct vc_sm_alloc_data {
++      unsigned long num_pages;
++      void *priv_virt;
++      struct sg_table *sg_table;
++};
++
++struct vc_sm_imported {
++      struct dma_buf *dma_buf;
++      struct dma_buf_attachment *attach;
++      struct sg_table *sgt;
++};
++
++struct vc_sm_buffer {
++      struct list_head global_buffer_list;    /* Global list of buffers. */
++
++      /* Index in the kernel_id idr so that we can find the
++       * mmal_msg_context again when servicing the VCHI reply.
++       */
++      int kernel_id;
++
++      size_t size;
++
++      /* Lock over all the following state for this buffer */
++      struct mutex lock;
++      struct list_head attachments;
++
++      char name[VC_SM_MAX_NAME_LEN];
++
++      int in_use:1;   /* Kernel is still using this resource */
++      int imported:1; /* Imported dmabuf */
++
++      enum vc_sm_vpu_mapping_state vpu_state;
++      u32 vc_handle;  /* VideoCore handle for this buffer */
++      int vpu_allocated;      /*
++                               * The VPU made this allocation. Release the
++                               * local dma_buf when the VPU releases the
++                               * resource.
++                               */
++
++      /* DMABUF related fields */
++      struct dma_buf *dma_buf;
++      dma_addr_t dma_addr;
++      void *cookie;
++
++      struct vc_sm_privdata_t *private;
++
++      union {
++              struct vc_sm_alloc_data alloc;
++              struct vc_sm_imported import;
++      };
++};
++
++#endif
+--- /dev/null
++++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.c
+@@ -0,0 +1,505 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * VideoCore Shared Memory CMA allocator
++ *
++ * Copyright: 2018, Raspberry Pi (Trading) Ltd
++ * Copyright 2011-2012 Broadcom Corporation.  All rights reserved.
++ *
++ * Based on vmcs_sm driver from Broadcom Corporation.
++ *
++ */
++
++/* ---- Include Files ----------------------------------------------------- */
++#include <linux/completion.h>
++#include <linux/kernel.h>
++#include <linux/kthread.h>
++#include <linux/list.h>
++#include <linux/mutex.h>
++#include <linux/semaphore.h>
++#include <linux/slab.h>
++#include <linux/types.h>
++
++#include "vc_sm_cma_vchi.h"
++
++#define VC_SM_VER  1
++#define VC_SM_MIN_VER 0
++
++/* ---- Private Constants and Types -------------------------------------- */
++
++/* Command blocks come from a pool */
++#define SM_MAX_NUM_CMD_RSP_BLKS 32
++
++struct sm_cmd_rsp_blk {
++      struct list_head head;  /* To create lists */
++      /* To be signaled when the response is there */
++      struct completion cmplt;
++
++      u16 id;
++      u16 length;
++
++      u8 msg[VC_SM_MAX_MSG_LEN];
++
++      uint32_t wait:1;
++      uint32_t sent:1;
++      uint32_t alloc:1;
++
++};
++
++struct sm_instance {
++      u32 num_connections;
++      VCHI_SERVICE_HANDLE_T vchi_handle[VCHI_MAX_NUM_CONNECTIONS];
++      struct task_struct *io_thread;
++      struct completion io_cmplt;
++
++      vpu_event_cb vpu_event;
++
++      /* Mutex over the following lists */
++      struct mutex lock;
++      u32 trans_id;
++      struct list_head cmd_list;
++      struct list_head rsp_list;
++      struct list_head dead_list;
++
++      struct sm_cmd_rsp_blk free_blk[SM_MAX_NUM_CMD_RSP_BLKS];
++
++      /* Mutex over the free_list */
++      struct mutex free_lock;
++      struct list_head free_list;
++
++      struct semaphore free_sema;
++
++};
++
++/* ---- Private Variables ------------------------------------------------ */
++
++/* ---- Private Function Prototypes -------------------------------------- */
++
++/* ---- Private Functions ------------------------------------------------ */
++static int
++bcm2835_vchi_msg_queue(VCHI_SERVICE_HANDLE_T handle,
++                     void *data,
++                     unsigned int size)
++{
++      return vchi_queue_kernel_message(handle,
++                                       data,
++                                       size);
++}
++
++static struct
++sm_cmd_rsp_blk *vc_vchi_cmd_create(struct sm_instance *instance,
++                                 enum vc_sm_msg_type id, void *msg,
++                                 u32 size, int wait)
++{
++      struct sm_cmd_rsp_blk *blk;
++      struct vc_sm_msg_hdr_t *hdr;
++
++      if (down_interruptible(&instance->free_sema)) {
++              blk = kmalloc(sizeof(*blk), GFP_KERNEL);
++              if (!blk)
++                      return NULL;
++
++              blk->alloc = 1;
++              init_completion(&blk->cmplt);
++      } else {
++              mutex_lock(&instance->free_lock);
++              blk =
++                  list_first_entry(&instance->free_list,
++                                   struct sm_cmd_rsp_blk, head);
++              list_del(&blk->head);
++              mutex_unlock(&instance->free_lock);
++      }
++
++      blk->sent = 0;
++      blk->wait = wait;
++      blk->length = sizeof(*hdr) + size;
++
++      hdr = (struct vc_sm_msg_hdr_t *)blk->msg;
++      hdr->type = id;
++      mutex_lock(&instance->lock);
++      instance->trans_id++;
++      /*
++       * Retain the top bit for identifying asynchronous events, or VPU cmds.
++       */
++      instance->trans_id &= ~0x80000000;
++      hdr->trans_id = instance->trans_id;
++      blk->id = instance->trans_id;
++      mutex_unlock(&instance->lock);
++
++      if (size)
++              memcpy(hdr->body, msg, size);
++
++      return blk;
++}
++
++static void
++vc_vchi_cmd_delete(struct sm_instance *instance, struct sm_cmd_rsp_blk *blk)
++{
++      if (blk->alloc) {
++              kfree(blk);
++              return;
++      }
++
++      mutex_lock(&instance->free_lock);
++      list_add(&blk->head, &instance->free_list);
++      mutex_unlock(&instance->free_lock);
++      up(&instance->free_sema);
++}
++
++static void vc_sm_cma_vchi_rx_ack(struct sm_instance *instance,
++                                struct sm_cmd_rsp_blk *cmd,
++                                struct vc_sm_result_t *reply,
++                                u32 reply_len)
++{
++      mutex_lock(&instance->lock);
++      list_for_each_entry(cmd,
++                          &instance->rsp_list,
++                          head) {
++              if (cmd->id == reply->trans_id)
++                      break;
++      }
++      mutex_unlock(&instance->lock);
++
++      if (&cmd->head == &instance->rsp_list) {
++              //pr_debug("%s: received response %u, throw away...",
++              pr_err("%s: received response %u, throw away...",
++                     __func__,
++                     reply->trans_id);
++      } else if (reply_len > sizeof(cmd->msg)) {
++              pr_err("%s: reply too big (%u) %u, throw away...",
++                     __func__, reply_len,
++                   reply->trans_id);
++      } else {
++              memcpy(cmd->msg, reply,
++                     reply_len);
++              complete(&cmd->cmplt);
++      }
++}
++
++static int vc_sm_cma_vchi_videocore_io(void *arg)
++{
++      struct sm_instance *instance = arg;
++      struct sm_cmd_rsp_blk *cmd = NULL, *cmd_tmp;
++      struct vc_sm_result_t *reply;
++      u32 reply_len;
++      s32 status;
++      int svc_use = 1;
++
++      while (1) {
++              if (svc_use)
++                      vchi_service_release(instance->vchi_handle[0]);
++              svc_use = 0;
++
++              if (wait_for_completion_interruptible(&instance->io_cmplt))
++                      continue;
++
++              vchi_service_use(instance->vchi_handle[0]);
++              svc_use = 1;
++
++              do {
++                      /*
++                       * Get new command and move it to response list
++                       */
++                      mutex_lock(&instance->lock);
++                      if (list_empty(&instance->cmd_list)) {
++                              /* no more commands to process */
++                              mutex_unlock(&instance->lock);
++                              break;
++                      }
++                      cmd = list_first_entry(&instance->cmd_list,
++                                             struct sm_cmd_rsp_blk, head);
++                      list_move(&cmd->head, &instance->rsp_list);
++                      cmd->sent = 1;
++                      mutex_unlock(&instance->lock);
++
++                      /* Send the command */
++                      status =
++                              bcm2835_vchi_msg_queue(instance->vchi_handle[0],
++                                                     cmd->msg, cmd->length);
++                      if (status) {
++                              pr_err("%s: failed to queue message (%d)",
++                                     __func__, status);
++                      }
++
++                      /* If no reply is needed then we're done */
++                      if (!cmd->wait) {
++                              mutex_lock(&instance->lock);
++                              list_del(&cmd->head);
++                              mutex_unlock(&instance->lock);
++                              vc_vchi_cmd_delete(instance, cmd);
++                              continue;
++                      }
++
++                      if (status) {
++                              complete(&cmd->cmplt);
++                              continue;
++                      }
++
++              } while (1);
++
++              while (!vchi_msg_peek(instance->vchi_handle[0], (void **)&reply,
++                                    &reply_len, VCHI_FLAGS_NONE)) {
++                      if (reply->trans_id & 0x80000000) {
++                              /* Async event or cmd from the VPU */
++                              if (instance->vpu_event)
++                                      instance->vpu_event(instance, reply,
++                                                          reply_len);
++                      } else {
++                              vc_sm_cma_vchi_rx_ack(instance, cmd, reply,
++                                                    reply_len);
++                      }
++
++                      vchi_msg_remove(instance->vchi_handle[0]);
++              }
++
++              /* Go through the dead list and free them */
++              mutex_lock(&instance->lock);
++              list_for_each_entry_safe(cmd, cmd_tmp, &instance->dead_list,
++                                       head) {
++                      list_del(&cmd->head);
++                      vc_vchi_cmd_delete(instance, cmd);
++              }
++              mutex_unlock(&instance->lock);
++      }
++
++      return 0;
++}
++
++static void vc_sm_cma_vchi_callback(void *param,
++                                  const VCHI_CALLBACK_REASON_T reason,
++                                  void *msg_handle)
++{
++      struct sm_instance *instance = param;
++
++      (void)msg_handle;
++
++      switch (reason) {
++      case VCHI_CALLBACK_MSG_AVAILABLE:
++              complete(&instance->io_cmplt);
++              break;
++
++      case VCHI_CALLBACK_SERVICE_CLOSED:
++              pr_info("%s: service CLOSED!!", __func__);
++      default:
++              break;
++      }
++}
++
++struct sm_instance *vc_sm_cma_vchi_init(VCHI_INSTANCE_T vchi_instance,
++                                      unsigned int num_connections,
++                                      vpu_event_cb vpu_event)
++{
++      u32 i;
++      struct sm_instance *instance;
++      int status;
++
++      pr_debug("%s: start", __func__);
++
++      if (num_connections > VCHI_MAX_NUM_CONNECTIONS) {
++              pr_err("%s: unsupported number of connections %u (max=%u)",
++                     __func__, num_connections, VCHI_MAX_NUM_CONNECTIONS);
++
++              goto err_null;
++      }
++      /* Allocate memory for this instance */
++      instance = kzalloc(sizeof(*instance), GFP_KERNEL);
++
++      /* Misc initialisations */
++      mutex_init(&instance->lock);
++      init_completion(&instance->io_cmplt);
++      INIT_LIST_HEAD(&instance->cmd_list);
++      INIT_LIST_HEAD(&instance->rsp_list);
++      INIT_LIST_HEAD(&instance->dead_list);
++      INIT_LIST_HEAD(&instance->free_list);
++      sema_init(&instance->free_sema, SM_MAX_NUM_CMD_RSP_BLKS);
++      mutex_init(&instance->free_lock);
++      for (i = 0; i < SM_MAX_NUM_CMD_RSP_BLKS; i++) {
++              init_completion(&instance->free_blk[i].cmplt);
++              list_add(&instance->free_blk[i].head, &instance->free_list);
++      }
++
++      /* Open the VCHI service connections */
++      instance->num_connections = num_connections;
++      for (i = 0; i < num_connections; i++) {
++              struct service_creation params = {
++                      .version = VCHI_VERSION_EX(VC_SM_VER, VC_SM_MIN_VER),
++                      .service_id = VC_SM_SERVER_NAME,
++                      .callback = vc_sm_cma_vchi_callback,
++                      .callback_param = instance,
++              };
++
++              status = vchi_service_open(vchi_instance,
++                                         &params, &instance->vchi_handle[i]);
++              if (status) {
++                      pr_err("%s: failed to open VCHI service (%d)",
++                             __func__, status);
++
++                      goto err_close_services;
++              }
++      }
++
++      /* Create the thread which takes care of all io to/from videoocore. */
++      instance->io_thread = kthread_create(&vc_sm_cma_vchi_videocore_io,
++                                           (void *)instance, "SMIO");
++      if (!instance->io_thread) {
++              pr_err("%s: failed to create SMIO thread", __func__);
++
++              goto err_close_services;
++      }
++      instance->vpu_event = vpu_event;
++      set_user_nice(instance->io_thread, -10);
++      wake_up_process(instance->io_thread);
++
++      pr_debug("%s: success - instance %p", __func__, instance);
++      return instance;
++
++err_close_services:
++      for (i = 0; i < instance->num_connections; i++) {
++              if (instance->vchi_handle[i])
++                      vchi_service_close(instance->vchi_handle[i]);
++      }
++      kfree(instance);
++err_null:
++      pr_debug("%s: FAILED", __func__);
++      return NULL;
++}
++
++int vc_sm_cma_vchi_stop(struct sm_instance **handle)
++{
++      struct sm_instance *instance;
++      u32 i;
++
++      if (!handle) {
++              pr_err("%s: invalid pointer to handle %p", __func__, handle);
++              goto lock;
++      }
++
++      if (!*handle) {
++              pr_err("%s: invalid handle %p", __func__, *handle);
++              goto lock;
++      }
++
++      instance = *handle;
++
++      /* Close all VCHI service connections */
++      for (i = 0; i < instance->num_connections; i++) {
++              s32 success;
++
++              vchi_service_use(instance->vchi_handle[i]);
++
++              success = vchi_service_close(instance->vchi_handle[i]);
++      }
++
++      kfree(instance);
++
++      *handle = NULL;
++      return 0;
++
++lock:
++      return -EINVAL;
++}
++
++static int vc_sm_cma_vchi_send_msg(struct sm_instance *handle,
++                                 enum vc_sm_msg_type msg_id, void *msg,
++                                 u32 msg_size, void *result, u32 result_size,
++                                 u32 *cur_trans_id, u8 wait_reply)
++{
++      int status = 0;
++      struct sm_instance *instance = handle;
++      struct sm_cmd_rsp_blk *cmd_blk;
++
++      if (!handle) {
++              pr_err("%s: invalid handle", __func__);
++              return -EINVAL;
++      }
++      if (!msg) {
++              pr_err("%s: invalid msg pointer", __func__);
++              return -EINVAL;
++      }
++
++      cmd_blk =
++          vc_vchi_cmd_create(instance, msg_id, msg, msg_size, wait_reply);
++      if (!cmd_blk) {
++              pr_err("[%s]: failed to allocate global tracking resource",
++                     __func__);
++              return -ENOMEM;
++      }
++
++      if (cur_trans_id)
++              *cur_trans_id = cmd_blk->id;
++
++      mutex_lock(&instance->lock);
++      list_add_tail(&cmd_blk->head, &instance->cmd_list);
++      mutex_unlock(&instance->lock);
++      complete(&instance->io_cmplt);
++
++      if (!wait_reply)
++              /* We're done */
++              return 0;
++
++      /* Wait for the response */
++      if (wait_for_completion_interruptible(&cmd_blk->cmplt)) {
++              mutex_lock(&instance->lock);
++              if (!cmd_blk->sent) {
++                      list_del(&cmd_blk->head);
++                      mutex_unlock(&instance->lock);
++                      vc_vchi_cmd_delete(instance, cmd_blk);
++                      return -ENXIO;
++              }
++
++              list_move(&cmd_blk->head, &instance->dead_list);
++              mutex_unlock(&instance->lock);
++              complete(&instance->io_cmplt);
++              return -EINTR;  /* We're done */
++      }
++
++      if (result && result_size) {
++              memcpy(result, cmd_blk->msg, result_size);
++      } else {
++              struct vc_sm_result_t *res =
++                      (struct vc_sm_result_t *)cmd_blk->msg;
++              status = (res->success == 0) ? 0 : -ENXIO;
++      }
++
++      mutex_lock(&instance->lock);
++      list_del(&cmd_blk->head);
++      mutex_unlock(&instance->lock);
++      vc_vchi_cmd_delete(instance, cmd_blk);
++      return status;
++}
++
++int vc_sm_cma_vchi_free(struct sm_instance *handle, struct vc_sm_free_t *msg,
++                      u32 *cur_trans_id)
++{
++      return vc_sm_cma_vchi_send_msg(handle, VC_SM_MSG_TYPE_FREE,
++                                 msg, sizeof(*msg), 0, 0, cur_trans_id, 0);
++}
++
++int vc_sm_cma_vchi_import(struct sm_instance *handle, struct vc_sm_import *msg,
++                        struct vc_sm_import_result *result, u32 *cur_trans_id)
++{
++      return vc_sm_cma_vchi_send_msg(handle, VC_SM_MSG_TYPE_IMPORT,
++                                 msg, sizeof(*msg), result, sizeof(*result),
++                                 cur_trans_id, 1);
++}
++
++int vc_sm_cma_vchi_client_version(struct sm_instance *handle,
++                                struct vc_sm_version *msg,
++                                struct vc_sm_result_t *result,
++                                u32 *cur_trans_id)
++{
++      return vc_sm_cma_vchi_send_msg(handle, VC_SM_MSG_TYPE_CLIENT_VERSION,
++                                 //msg, sizeof(*msg), result, sizeof(*result),
++                                 //cur_trans_id, 1);
++                                 msg, sizeof(*msg), NULL, 0,
++                                 cur_trans_id, 0);
++}
++
++int vc_sm_vchi_client_vc_mem_req_reply(struct sm_instance *handle,
++                                     struct vc_sm_vc_mem_request_result *msg,
++                                     uint32_t *cur_trans_id)
++{
++      return vc_sm_cma_vchi_send_msg(handle,
++                                     VC_SM_MSG_TYPE_VC_MEM_REQUEST_REPLY,
++                                     msg, sizeof(*msg), 0, 0, cur_trans_id,
++                                     0);
++}
+--- /dev/null
++++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.h
+@@ -0,0 +1,63 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++
++/*
++ * VideoCore Shared Memory CMA allocator
++ *
++ * Copyright: 2018, Raspberry Pi (Trading) Ltd
++ * Copyright 2011-2012 Broadcom Corporation.  All rights reserved.
++ *
++ * Based on vmcs_sm driver from Broadcom Corporation.
++ *
++ */
++
++#ifndef __VC_SM_CMA_VCHI_H__INCLUDED__
++#define __VC_SM_CMA_VCHI_H__INCLUDED__
++
++#include "interface/vchi/vchi.h"
++
++#include "vc_sm_defs.h"
++
++/*
++ * Forward declare.
++ */
++struct sm_instance;
++
++typedef void (*vpu_event_cb)(struct sm_instance *instance,
++                           struct vc_sm_result_t *reply, int reply_len);
++
++/*
++ * Initialize the shared memory service, opens up vchi connection to talk to it.
++ */
++struct sm_instance *vc_sm_cma_vchi_init(VCHI_INSTANCE_T vchi_instance,
++                                      unsigned int num_connections,
++                                      vpu_event_cb vpu_event);
++
++/*
++ * Terminates the shared memory service.
++ */
++int vc_sm_cma_vchi_stop(struct sm_instance **handle);
++
++/*
++ * Ask the shared memory service to free up some memory that was previously
++ * allocated by the vc_sm_cma_vchi_alloc function call.
++ */
++int vc_sm_cma_vchi_free(struct sm_instance *handle, struct vc_sm_free_t *msg,
++                      u32 *cur_trans_id);
++
++/*
++ * Import a contiguous block of memory and wrap it in a GPU MEM_HANDLE_T.
++ */
++int vc_sm_cma_vchi_import(struct sm_instance *handle, struct vc_sm_import *msg,
++                        struct vc_sm_import_result *result,
++                        u32 *cur_trans_id);
++
++int vc_sm_cma_vchi_client_version(struct sm_instance *handle,
++                                struct vc_sm_version *msg,
++                                struct vc_sm_result_t *result,
++                                u32 *cur_trans_id);
++
++int vc_sm_vchi_client_vc_mem_req_reply(struct sm_instance *handle,
++                                     struct vc_sm_vc_mem_request_result *msg,
++                                     uint32_t *cur_trans_id);
++
++#endif /* __VC_SM_CMA_VCHI_H__INCLUDED__ */
+--- /dev/null
++++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm_defs.h
+@@ -0,0 +1,300 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++
++/*
++ * VideoCore Shared Memory CMA allocator
++ *
++ * Copyright: 2018, Raspberry Pi (Trading) Ltd
++ *
++ * Based on vc_sm_defs.h from the vmcs_sm driver Copyright Broadcom Corporation.
++ * All IPC messages are copied across to this file, even if the vc-sm-cma
++ * driver is not currently using them.
++ *
++ ****************************************************************************
++ */
++
++#ifndef __VC_SM_DEFS_H__INCLUDED__
++#define __VC_SM_DEFS_H__INCLUDED__
++
++/* FourCC code used for VCHI connection */
++#define VC_SM_SERVER_NAME MAKE_FOURCC("SMEM")
++
++/* Maximum message length */
++#define VC_SM_MAX_MSG_LEN (sizeof(union vc_sm_msg_union_t) + \
++      sizeof(struct vc_sm_msg_hdr_t))
++#define VC_SM_MAX_RSP_LEN (sizeof(union vc_sm_msg_union_t))
++
++/* Resource name maximum size */
++#define VC_SM_RESOURCE_NAME 32
++
++/*
++ * Version to be reported to the VPU
++ * VPU assumes 0 (aka 1) which does not require the released callback, nor
++ * expect the client to handle VC_MEM_REQUESTS.
++ * Version 2 requires the released callback, and must support VC_MEM_REQUESTS.
++ */
++#define VC_SM_PROTOCOL_VERSION        2
++
++enum vc_sm_msg_type {
++      /* Message types supported for HOST->VC direction */
++
++      /* Allocate shared memory block */
++      VC_SM_MSG_TYPE_ALLOC,
++      /* Lock allocated shared memory block */
++      VC_SM_MSG_TYPE_LOCK,
++      /* Unlock allocated shared memory block */
++      VC_SM_MSG_TYPE_UNLOCK,
++      /* Unlock allocated shared memory block, do not answer command */
++      VC_SM_MSG_TYPE_UNLOCK_NOANS,
++      /* Free shared memory block */
++      VC_SM_MSG_TYPE_FREE,
++      /* Resize a shared memory block */
++      VC_SM_MSG_TYPE_RESIZE,
++      /* Walk the allocated shared memory block(s) */
++      VC_SM_MSG_TYPE_WALK_ALLOC,
++
++      /* A previously applied action will need to be reverted */
++      VC_SM_MSG_TYPE_ACTION_CLEAN,
++
++      /*
++       * Import a physical address and wrap into a MEM_HANDLE_T.
++       * Release with VC_SM_MSG_TYPE_FREE.
++       */
++      VC_SM_MSG_TYPE_IMPORT,
++      /*
++       *Tells VC the protocol version supported by this client.
++       * 2 supports the async/cmd messages from the VPU for final release
++       * of memory, and for VC allocations.
++       */
++      VC_SM_MSG_TYPE_CLIENT_VERSION,
++      /* Response to VC request for memory */
++      VC_SM_MSG_TYPE_VC_MEM_REQUEST_REPLY,
++
++      /*
++       * Asynchronous/cmd messages supported for VC->HOST direction.
++       * Signalled by setting the top bit in vc_sm_result_t trans_id.
++       */
++
++      /*
++       * VC has finished with an imported memory allocation.
++       * Release any Linux reference counts on the underlying block.
++       */
++      VC_SM_MSG_TYPE_RELEASED,
++      /* VC request for memory */
++      VC_SM_MSG_TYPE_VC_MEM_REQUEST,
++
++      VC_SM_MSG_TYPE_MAX
++};
++
++/* Type of memory to be allocated */
++enum vc_sm_alloc_type_t {
++      VC_SM_ALLOC_CACHED,
++      VC_SM_ALLOC_NON_CACHED,
++};
++
++/* Message header for all messages in HOST->VC direction */
++struct vc_sm_msg_hdr_t {
++      u32 type;
++      u32 trans_id;
++      u8 body[0];
++
++};
++
++/* Request to allocate memory (HOST->VC) */
++struct vc_sm_alloc_t {
++      /* type of memory to allocate */
++      enum vc_sm_alloc_type_t type;
++      /* byte amount of data to allocate per unit */
++      u32 base_unit;
++      /* number of unit to allocate */
++      u32 num_unit;
++      /* alignment to be applied on allocation */
++      u32 alignment;
++      /* identity of who allocated this block */
++      u32 allocator;
++      /* resource name (for easier tracking on vc side) */
++      char name[VC_SM_RESOURCE_NAME];
++
++};
++
++/* Result of a requested memory allocation (VC->HOST) */
++struct vc_sm_alloc_result_t {
++      /* Transaction identifier */
++      u32 trans_id;
++
++      /* Resource handle */
++      u32 res_handle;
++      /* Pointer to resource buffer */
++      u32 res_mem;
++      /* Resource base size (bytes) */
++      u32 res_base_size;
++      /* Resource number */
++      u32 res_num;
++
++};
++
++/* Request to free a previously allocated memory (HOST->VC) */
++struct vc_sm_free_t {
++      /* Resource handle (returned from alloc) */
++      u32 res_handle;
++      /* Resource buffer (returned from alloc) */
++      u32 res_mem;
++
++};
++
++/* Request to lock a previously allocated memory (HOST->VC) */
++struct vc_sm_lock_unlock_t {
++      /* Resource handle (returned from alloc) */
++      u32 res_handle;
++      /* Resource buffer (returned from alloc) */
++      u32 res_mem;
++
++};
++
++/* Request to resize a previously allocated memory (HOST->VC) */
++struct vc_sm_resize_t {
++      /* Resource handle (returned from alloc) */
++      u32 res_handle;
++      /* Resource buffer (returned from alloc) */
++      u32 res_mem;
++      /* Resource *new* size requested (bytes) */
++      u32 res_new_size;
++
++};
++
++/* Result of a requested memory lock (VC->HOST) */
++struct vc_sm_lock_result_t {
++      /* Transaction identifier */
++      u32 trans_id;
++
++      /* Resource handle */
++      u32 res_handle;
++      /* Pointer to resource buffer */
++      u32 res_mem;
++      /*
++       * Pointer to former resource buffer if the memory
++       * was reallocated
++       */
++      u32 res_old_mem;
++
++};
++
++/* Generic result for a request (VC->HOST) */
++struct vc_sm_result_t {
++      /* Transaction identifier */
++      u32 trans_id;
++
++      s32 success;
++
++};
++
++/* Request to revert a previously applied action (HOST->VC) */
++struct vc_sm_action_clean_t {
++      /* Action of interest */
++      enum vc_sm_msg_type res_action;
++      /* Transaction identifier for the action of interest */
++      u32 action_trans_id;
++
++};
++
++/* Request to remove all data associated with a given allocator (HOST->VC) */
++struct vc_sm_free_all_t {
++      /* Allocator identifier */
++      u32 allocator;
++};
++
++/* Request to import memory (HOST->VC) */
++struct vc_sm_import {
++      /* type of memory to allocate */
++      enum vc_sm_alloc_type_t type;
++      /* pointer to the VC (ie physical) address of the allocated memory */
++      u32 addr;
++      /* size of buffer */
++      u32 size;
++      /* opaque handle returned in RELEASED messages */
++      u32 kernel_id;
++      /* Allocator identifier */
++      u32 allocator;
++      /* resource name (for easier tracking on vc side) */
++      char     name[VC_SM_RESOURCE_NAME];
++};
++
++/* Result of a requested memory import (VC->HOST) */
++struct vc_sm_import_result {
++      /* Transaction identifier */
++      u32 trans_id;
++
++      /* Resource handle */
++      u32 res_handle;
++};
++
++/* Notification that VC has finished with an allocation (VC->HOST) */
++struct vc_sm_released {
++      /* cmd type / trans_id */
++      u32 cmd;
++
++      /* pointer to the VC (ie physical) address of the allocated memory */
++      u32 addr;
++      /* size of buffer */
++      u32 size;
++      /* opaque handle returned in RELEASED messages */
++      u32 kernel_id;
++      u32 vc_handle;
++};
++
++/*
++ * Client informing VC as to the protocol version it supports.
++ * >=2 requires the released callback, and supports VC asking for memory.
++ * Failure means that the firmware doesn't support this call, and therefore the
++ * client should either fail, or NOT rely on getting the released callback.
++ */
++struct vc_sm_version {
++      u32 version;
++};
++
++/* Request FROM VideoCore for some memory */
++struct vc_sm_vc_mem_request {
++      /* cmd type */
++      u32 cmd;
++
++      /* trans_id (from VPU) */
++      u32 trans_id;
++      /* size of buffer */
++      u32 size;
++      /* alignment of buffer */
++      u32 align;
++      /* resource name (for easier tracking) */
++      char     name[VC_SM_RESOURCE_NAME];
++      /* VPU handle for the resource */
++      u32 vc_handle;
++};
++
++/* Response from the kernel to provide the VPU with some memory */
++struct vc_sm_vc_mem_request_result {
++      /* Transaction identifier for the VPU */
++      u32 trans_id;
++      /* pointer to the physical address of the allocated memory */
++      u32 addr;
++      /* opaque handle returned in RELEASED messages */
++      u32 kernel_id;
++};
++
++/* Union of ALL messages */
++union vc_sm_msg_union_t {
++      struct vc_sm_alloc_t alloc;
++      struct vc_sm_alloc_result_t alloc_result;
++      struct vc_sm_free_t free;
++      struct vc_sm_lock_unlock_t lock_unlock;
++      struct vc_sm_action_clean_t action_clean;
++      struct vc_sm_resize_t resize;
++      struct vc_sm_lock_result_t lock_result;
++      struct vc_sm_result_t result;
++      struct vc_sm_free_all_t free_all;
++      struct vc_sm_import import;
++      struct vc_sm_import_result import_result;
++      struct vc_sm_version version;
++      struct vc_sm_released released;
++      struct vc_sm_vc_mem_request vc_request;
++      struct vc_sm_vc_mem_request_result vc_request_result;
++};
++
++#endif /* __VC_SM_DEFS_H__INCLUDED__ */
+--- /dev/null
++++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm_knl.h
+@@ -0,0 +1,28 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++
++/*
++ * VideoCore Shared Memory CMA allocator
++ *
++ * Copyright: 2018, Raspberry Pi (Trading) Ltd
++ *
++ * Based on vc_sm_defs.h from the vmcs_sm driver Copyright Broadcom Corporation.
++ *
++ */
++
++#ifndef __VC_SM_KNL_H__INCLUDED__
++#define __VC_SM_KNL_H__INCLUDED__
++
++#if !defined(__KERNEL__)
++#error "This interface is for kernel use only..."
++#endif
++
++/* Free a previously allocated or imported shared memory handle and block. */
++int vc_sm_cma_free(void *handle);
++
++/* Get an internal resource handle mapped from the external one. */
++int vc_sm_cma_int_handle(void *handle);
++
++/* Import a block of memory into the GPU space. */
++int vc_sm_cma_import_dmabuf(struct dma_buf *dmabuf, void **handle);
++
++#endif /* __VC_SM_KNL_H__INCLUDED__ */
+--- a/drivers/staging/vc04_services/vchiq-mmal/Makefile
++++ b/drivers/staging/vc04_services/vchiq-mmal/Makefile
+@@ -4,5 +4,5 @@ bcm2835-mmal-vchiq-objs := mmal-vchiq.o
+ obj-$(CONFIG_BCM2835_VCHIQ_MMAL) += bcm2835-mmal-vchiq.o
+ ccflags-y += \
+-      -Idrivers/staging/vc04_services \
++      -I$(srctree)/drivers/staging/vc04_services \
+       -D__VCCOREVER__=0x04000000
+--- /dev/null
++++ b/include/linux/broadcom/vc_sm_cma_ioctl.h
+@@ -0,0 +1,114 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++
++/*
++ * Copyright 2019 Raspberry Pi (Trading) Ltd.  All rights reserved.
++ *
++ * Based on vmcs_sm_ioctl.h Copyright Broadcom Corporation.
++ */
++
++#ifndef __VC_SM_CMA_IOCTL_H
++#define __VC_SM_CMA_IOCTL_H
++
++/* ---- Include Files ---------------------------------------------------- */
++
++#if defined(__KERNEL__)
++#include <linux/types.h>      /* Needed for standard types */
++#else
++#include <stdint.h>
++#endif
++
++#include <linux/ioctl.h>
++
++/* ---- Constants and Types ---------------------------------------------- */
++
++#define VC_SM_CMA_RESOURCE_NAME               32
++#define VC_SM_CMA_RESOURCE_NAME_DEFAULT       "sm-host-resource"
++
++/* Type define used to create unique IOCTL number */
++#define VC_SM_CMA_MAGIC_TYPE                  'J'
++
++/* IOCTL commands on /dev/vc-sm-cma */
++enum vc_sm_cma_cmd_e {
++      VC_SM_CMA_CMD_ALLOC = 0x5A,     /* Start at 0x5A arbitrarily */
++
++      VC_SM_CMA_CMD_IMPORT_DMABUF,
++
++      VC_SM_CMA_CMD_CLEAN_INVALID2,
++
++      VC_SM_CMA_CMD_LAST      /* Do not delete */
++};
++
++/* Cache type supported, conveniently matches the user space definition in
++ * user-vcsm.h.
++ */
++enum vc_sm_cma_cache_e {
++      VC_SM_CMA_CACHE_NONE,
++      VC_SM_CMA_CACHE_HOST,
++      VC_SM_CMA_CACHE_VC,
++      VC_SM_CMA_CACHE_BOTH,
++};
++
++/* IOCTL Data structures */
++struct vc_sm_cma_ioctl_alloc {
++      /* user -> kernel */
++      __u32 size;
++      __u32 num;
++      __u32 cached;           /* enum vc_sm_cma_cache_e */
++      __u32 pad;
++      __u8 name[VC_SM_CMA_RESOURCE_NAME];
++
++      /* kernel -> user */
++      __s32 handle;
++      __u32 vc_handle;
++      __u64 dma_addr;
++};
++
++struct vc_sm_cma_ioctl_import_dmabuf {
++      /* user -> kernel */
++      __s32 dmabuf_fd;
++      __u32 cached;           /* enum vc_sm_cma_cache_e */
++      __u8 name[VC_SM_CMA_RESOURCE_NAME];
++
++      /* kernel -> user */
++      __s32 handle;
++      __u32 vc_handle;
++      __u32 size;
++      __u32 pad;
++      __u64 dma_addr;
++};
++
++/*
++ * Cache functions to be set to struct vc_sm_cma_ioctl_clean_invalid2
++ * invalidate_mode.
++ */
++#define VC_SM_CACHE_OP_NOP       0x00
++#define VC_SM_CACHE_OP_INV       0x01
++#define VC_SM_CACHE_OP_CLEAN     0x02
++#define VC_SM_CACHE_OP_FLUSH     0x03
++
++struct vc_sm_cma_ioctl_clean_invalid2 {
++      __u32 op_count;
++      __u32 pad;
++      struct vc_sm_cma_ioctl_clean_invalid_block {
++              __u32 invalidate_mode;
++              __u32 block_count;
++              void *  __user start_address;
++              __u32 block_size;
++              __u32 inter_block_stride;
++      } s[0];
++};
++
++/* IOCTL numbers */
++#define VC_SM_CMA_IOCTL_MEM_ALLOC\
++      _IOR(VC_SM_CMA_MAGIC_TYPE, VC_SM_CMA_CMD_ALLOC,\
++       struct vc_sm_cma_ioctl_alloc)
++
++#define VC_SM_CMA_IOCTL_MEM_IMPORT_DMABUF\
++      _IOR(VC_SM_CMA_MAGIC_TYPE, VC_SM_CMA_CMD_IMPORT_DMABUF,\
++       struct vc_sm_cma_ioctl_import_dmabuf)
++
++#define VC_SM_CMA_IOCTL_MEM_CLEAN_INVALID2\
++      _IOR(VC_SM_CMA_MAGIC_TYPE, VC_SM_CMA_CMD_CLEAN_INVALID2,\
++       struct vc_sm_cma_ioctl_clean_invalid2)
++
++#endif /* __VC_SM_CMA_IOCTL_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0172-staging-vc04_services-Support-sending-data-to-MMAL-p.patch b/target/linux/bcm27xx/patches-5.4/950-0172-staging-vc04_services-Support-sending-data-to-MMAL-p.patch
deleted file mode 100644 (file)
index 5dec3c4..0000000
+++ /dev/null
@@ -1,42 +0,0 @@
-From f3f0945b19a90b194b2886a4fe5b092b4a3f7b3b Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 24 Sep 2018 18:26:02 +0100
-Subject: [PATCH] staging: vc04_services: Support sending data to MMAL
- ports
-
-Add the ability to send data to ports. This only supports
-zero copy mode as the required bulk transfer setup calls
-are not done.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../vc04_services/vchiq-mmal/mmal-vchiq.c      | 18 +++++++++++++-----
- 1 file changed, 13 insertions(+), 5 deletions(-)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -427,11 +427,19 @@ buffer_from_host(struct vchiq_mmal_insta
-       m.u.buffer_from_host.buffer_header.data =
-               (u32)(unsigned long)buf->buffer;
-       m.u.buffer_from_host.buffer_header.alloc_size = buf->buffer_size;
--      m.u.buffer_from_host.buffer_header.length = 0;  /* nothing used yet */
--      m.u.buffer_from_host.buffer_header.offset = 0;  /* no offset */
--      m.u.buffer_from_host.buffer_header.flags = 0;   /* no flags */
--      m.u.buffer_from_host.buffer_header.pts = MMAL_TIME_UNKNOWN;
--      m.u.buffer_from_host.buffer_header.dts = MMAL_TIME_UNKNOWN;
-+      if (port->type == MMAL_PORT_TYPE_OUTPUT) {
-+              m.u.buffer_from_host.buffer_header.length = 0;
-+              m.u.buffer_from_host.buffer_header.offset = 0;
-+              m.u.buffer_from_host.buffer_header.flags = 0;
-+              m.u.buffer_from_host.buffer_header.pts = MMAL_TIME_UNKNOWN;
-+              m.u.buffer_from_host.buffer_header.dts = MMAL_TIME_UNKNOWN;
-+      } else {
-+              m.u.buffer_from_host.buffer_header.length = buf->length;
-+              m.u.buffer_from_host.buffer_header.offset = 0;
-+              m.u.buffer_from_host.buffer_header.flags = buf->mmal_flags;
-+              m.u.buffer_from_host.buffer_header.pts = buf->pts;
-+              m.u.buffer_from_host.buffer_header.dts = buf->dts;
-+      }
-       /* clear buffer type sepecific data */
-       memset(&m.u.buffer_from_host.buffer_header_type_specific, 0,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0173-staging-vc04_services-Fixup-vchiq-mmal-include-order.patch b/target/linux/bcm27xx/patches-5.4/950-0173-staging-vc04_services-Fixup-vchiq-mmal-include-order.patch
deleted file mode 100644 (file)
index 7dd50f7..0000000
+++ /dev/null
@@ -1,36 +0,0 @@
-From 0932bf176e846b6c7666671670b017fcd7e915e8 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 25 Sep 2018 16:57:40 +0100
-Subject: [PATCH] staging: vc04_services: Fixup vchiq-mmal include
- ordering
-
-There were dependencies on including the headers in the correct
-order. Fix up the headers so that they include the other
-headers that they depend on themselves.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/staging/vc04_services/vchiq-mmal/mmal-msg.h   | 1 +
- drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h | 1 +
- 2 files changed, 2 insertions(+)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-msg.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-msg.h
-@@ -38,6 +38,7 @@
- #include "mmal-msg-common.h"
- #include "mmal-msg-format.h"
- #include "mmal-msg-port.h"
-+#include "mmal-vchiq.h"
- enum mmal_msg_type {
-       MMAL_MSG_TYPE_QUIT = 1,
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-@@ -16,6 +16,7 @@
- #ifndef MMAL_VCHIQ_H
- #define MMAL_VCHIQ_H
-+#include "mmal-common.h"
- #include "mmal-msg-format.h"
- #define MAX_PORT_COUNT 4
diff --git a/target/linux/bcm27xx/patches-5.4/950-0173-staging-vc04_services-Use-vc-sm-cma-to-support-zero-.patch b/target/linux/bcm27xx/patches-5.4/950-0173-staging-vc04_services-Use-vc-sm-cma-to-support-zero-.patch
new file mode 100644 (file)
index 0000000..62cce8a
--- /dev/null
@@ -0,0 +1,177 @@
+From 511b809d5b227b179acca537cba85e2bdff87b94 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 25 Sep 2018 16:07:55 +0100
+Subject: [PATCH] staging: vc04_services: Use vc-sm-cma to support zero
+ copy
+
+With the vc-sm-cma driver we can support zero copy of buffers between
+the kernel and VPU. Add this support to vchiq-mmal.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+
+staging: vc-sm-cma: Use a void* pointer as the handle within the kernel
+
+The driver was using an unsigned int as the handle to the outside world,
+and doing a nasty cast to the struct dmabuf when handed it back.
+This breaks badly with a 64 bit kernel where the pointer doesn't fit
+in an unsigned int.
+
+Switch to using a void* within the kernel. Reality is that it is
+a struct dma_buf*, but advertising it as such to other drivers seems
+to encourage the use of it as such, and I'm not sure on the implications
+of that.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../staging/vc04_services/vchiq-mmal/Kconfig  |  1 +
+ .../vc04_services/vchiq-mmal/mmal-common.h    |  4 ++
+ .../vc04_services/vchiq-mmal/mmal-vchiq.c     | 66 ++++++++++++++++++-
+ .../vc04_services/vchiq-mmal/mmal-vchiq.h     |  1 +
+ 4 files changed, 70 insertions(+), 2 deletions(-)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/Kconfig
++++ b/drivers/staging/vc04_services/vchiq-mmal/Kconfig
+@@ -2,6 +2,7 @@ config BCM2835_VCHIQ_MMAL
+       tristate "BCM2835 MMAL VCHIQ service"
+       depends on (ARCH_BCM2835 || COMPILE_TEST)
+       select BCM2835_VCHIQ
++      select BCM_VC_SM_CMA
+       help
+         Enables the MMAL API over VCHIQ as used for the
+         majority of the multimedia services on VideoCore.
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
+@@ -50,6 +50,10 @@ struct mmal_buffer {
+       struct mmal_msg_context *msg_context;
++      struct dma_buf *dma_buf;/* Exported dmabuf fd from videobuf2 */
++      void *vcsm_handle;      /* VCSM handle having imported the dmabuf */
++      u32 vc_handle;          /* VC handle to that dmabuf */
++
+       u32 cmd;                /* MMAL command. 0=data. */
+       unsigned long length;
+       u32 mmal_flags;
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -26,9 +26,12 @@
+ #include <media/videobuf2-vmalloc.h>
+ #include "mmal-common.h"
++#include "mmal-parameters.h"
+ #include "mmal-vchiq.h"
+ #include "mmal-msg.h"
++#include "vc-sm-cma/vc_sm_knl.h"
++
+ #define USE_VCHIQ_ARM
+ #include "interface/vchi/vchi.h"
+@@ -424,8 +427,13 @@ buffer_from_host(struct vchiq_mmal_insta
+       /* buffer header */
+       m.u.buffer_from_host.buffer_header.cmd = 0;
+-      m.u.buffer_from_host.buffer_header.data =
+-              (u32)(unsigned long)buf->buffer;
++      if (port->zero_copy) {
++              m.u.buffer_from_host.buffer_header.data = buf->vc_handle;
++      } else {
++              m.u.buffer_from_host.buffer_header.data =
++                      (u32)(unsigned long)buf->buffer;
++      }
++
+       m.u.buffer_from_host.buffer_header.alloc_size = buf->buffer_size;
+       if (port->type == MMAL_PORT_TYPE_OUTPUT) {
+               m.u.buffer_from_host.buffer_header.length = 0;
+@@ -590,6 +598,22 @@ static void buffer_to_host_cb(struct vch
+               msg_context->u.bulk.status = msg->h.status;
++      } else if (msg->u.buffer_from_host.is_zero_copy) {
++              /*
++               * Zero copy buffer, so nothing to do.
++               * Copy buffer info and make callback.
++               */
++              msg_context->u.bulk.buffer_used =
++                              msg->u.buffer_from_host.buffer_header.length;
++              msg_context->u.bulk.mmal_flags =
++                              msg->u.buffer_from_host.buffer_header.flags;
++              msg_context->u.bulk.dts =
++                              msg->u.buffer_from_host.buffer_header.dts;
++              msg_context->u.bulk.pts =
++                              msg->u.buffer_from_host.buffer_header.pts;
++              msg_context->u.bulk.cmd =
++                              msg->u.buffer_from_host.buffer_header.cmd;
++
+       } else if (msg->u.buffer_from_host.buffer_header.length == 0) {
+               /* empty buffer */
+               if (msg->u.buffer_from_host.buffer_header.flags &
+@@ -1537,6 +1561,9 @@ int vchiq_mmal_port_parameter_set(struct
+       mutex_unlock(&instance->vchiq_mutex);
++      if (parameter == MMAL_PARAMETER_ZERO_COPY && !ret)
++              port->zero_copy = !!(*(bool *)value);
++
+       return ret;
+ }
+ EXPORT_SYMBOL_GPL(vchiq_mmal_port_parameter_set);
+@@ -1705,6 +1732,31 @@ int vchiq_mmal_submit_buffer(struct vchi
+       unsigned long flags = 0;
+       int ret;
++      /*
++       * We really want to do this in mmal_vchi_buffer_init but can't as
++       * videobuf2 won't let us have the dmabuf there.
++       */
++      if (port->zero_copy && buffer->dma_buf && !buffer->vcsm_handle) {
++              pr_debug("%s: import dmabuf %p\n", __func__, buffer->dma_buf);
++              ret = vc_sm_cma_import_dmabuf(buffer->dma_buf,
++                                            &buffer->vcsm_handle);
++              if (ret) {
++                      pr_err("%s: vc_sm_import_dmabuf_fd failed, ret %d\n",
++                             __func__, ret);
++                      return ret;
++              }
++
++              buffer->vc_handle = vc_sm_cma_int_handle(buffer->vcsm_handle);
++              if (!buffer->vc_handle) {
++                      pr_err("%s: vc_sm_int_handle failed %d\n",
++                             __func__, ret);
++                      vc_sm_cma_free(buffer->vcsm_handle);
++                      return ret;
++              }
++              pr_debug("%s: import dmabuf %p - got vc handle %08X\n",
++                       __func__, buffer->dma_buf, buffer->vc_handle);
++      }
++
+       ret = buffer_from_host(instance, port, buffer);
+       if (ret == -EINVAL) {
+               /* Port is disabled. Queue for when it is enabled. */
+@@ -1738,6 +1790,16 @@ int mmal_vchi_buffer_cleanup(struct mmal
+               release_msg_context(msg_context);
+       buf->msg_context = NULL;
++      if (buf->vcsm_handle) {
++              int ret;
++
++              pr_debug("%s: vc_sm_cma_free on handle %p\n", __func__,
++                       buf->vcsm_handle);
++              ret = vc_sm_cma_free(buf->vcsm_handle);
++              if (ret)
++                      pr_err("%s: vcsm_free failed, ret %d\n", __func__, ret);
++              buf->vcsm_handle = 0;
++      }
+       return 0;
+ }
+ EXPORT_SYMBOL_GPL(mmal_vchi_buffer_cleanup);
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
+@@ -49,6 +49,7 @@ typedef void (*vchiq_mmal_buffer_cb)(
+ struct vchiq_mmal_port {
+       u32 enabled:1;
++      u32 zero_copy:1;
+       u32 handle;
+       u32 type; /* port type, cached to use on port info set */
+       u32 index; /* port index, cached to use on port info set */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0174-media-videobuf2-Allow-exporting-of-a-struct-dmabuf.patch b/target/linux/bcm27xx/patches-5.4/950-0174-media-videobuf2-Allow-exporting-of-a-struct-dmabuf.patch
new file mode 100644 (file)
index 0000000..74d0354
--- /dev/null
@@ -0,0 +1,83 @@
+From 74ac8bd3b5c6ed23308341fa41681db6a3b45c46 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 29 Oct 2018 17:57:45 +0000
+Subject: [PATCH] media: videobuf2: Allow exporting of a struct dmabuf
+
+videobuf2 only allowed exporting a dmabuf as a file descriptor,
+but there are instances where having the struct dma_buf is
+useful within the kernel.
+
+Split the current implementation into two, one step which
+exports a struct dma_buf, and the second which converts that
+into an fd.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../media/common/videobuf2/videobuf2-core.c   | 21 ++++++++++++++++---
+ include/media/videobuf2-core.h                | 15 +++++++++++++
+ 2 files changed, 33 insertions(+), 3 deletions(-)
+
+--- a/drivers/media/common/videobuf2/videobuf2-core.c
++++ b/drivers/media/common/videobuf2/videobuf2-core.c
+@@ -2073,12 +2073,12 @@ static int __find_plane_by_offset(struct
+       return -EINVAL;
+ }
+-int vb2_core_expbuf(struct vb2_queue *q, int *fd, unsigned int type,
+-              unsigned int index, unsigned int plane, unsigned int flags)
++int vb2_core_expbuf_dmabuf(struct vb2_queue *q, unsigned int type,
++                         unsigned int index, unsigned int plane,
++                         unsigned int flags, struct dma_buf **dmabuf)
+ {
+       struct vb2_buffer *vb = NULL;
+       struct vb2_plane *vb_plane;
+-      int ret;
+       struct dma_buf *dbuf;
+       if (q->memory != VB2_MEMORY_MMAP) {
+@@ -2128,6 +2128,21 @@ int vb2_core_expbuf(struct vb2_queue *q,
+               return -EINVAL;
+       }
++      *dmabuf = dbuf;
++      return 0;
++}
++EXPORT_SYMBOL_GPL(vb2_core_expbuf_dmabuf);
++
++int vb2_core_expbuf(struct vb2_queue *q, int *fd, unsigned int type,
++                  unsigned int index, unsigned int plane, unsigned int flags)
++{
++      struct dma_buf *dbuf;
++      int ret;
++
++      ret = vb2_core_expbuf_dmabuf(q, type, index, plane, flags, &dbuf);
++      if (ret)
++              return ret;
++
+       ret = dma_buf_fd(dbuf, flags & ~O_ACCMODE);
+       if (ret < 0) {
+               dprintk(3, "buffer %d, plane %d failed to export (%d)\n",
+--- a/include/media/videobuf2-core.h
++++ b/include/media/videobuf2-core.h
+@@ -870,6 +870,21 @@ int vb2_core_streamon(struct vb2_queue *
+ int vb2_core_streamoff(struct vb2_queue *q, unsigned int type);
+ /**
++ * vb2_core_expbuf_dmabuf() - Export a buffer as a dma_buf structure
++ * @q:         videobuf2 queue
++ * @type:      buffer type
++ * @index:     id number of the buffer
++ * @plane:     index of the plane to be exported, 0 for single plane queues
++ * @flags:     flags for newly created file, currently only O_CLOEXEC is
++ *             supported, refer to manual of open syscall for more details
++ * @dmabuf:    Returns the dmabuf pointer
++ *
++ */
++int vb2_core_expbuf_dmabuf(struct vb2_queue *q, unsigned int type,
++                         unsigned int index, unsigned int plane,
++                         unsigned int flags, struct dma_buf **dmabuf);
++
++/**
+  * vb2_core_expbuf() - Export a buffer as a file descriptor.
+  * @q:                pointer to &struct vb2_queue with videobuf2 queue.
+  * @fd:               pointer to the file descriptor associated with DMABUF
diff --git a/target/linux/bcm27xx/patches-5.4/950-0174-staging-vc04_services-Add-new-vc-sm-cma-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0174-staging-vc04_services-Add-new-vc-sm-cma-driver.patch
deleted file mode 100644 (file)
index d1d3df0..0000000
+++ /dev/null
@@ -1,3175 +0,0 @@
-From 878c0bfd0c5f2dc0ef04874b1cba915cf208ca8f Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 25 Sep 2018 10:27:11 +0100
-Subject: [PATCH] staging: vc04_services: Add new vc-sm-cma driver
-MIME-Version: 1.0
-Content-Type: text/plain; charset=UTF-8
-Content-Transfer-Encoding: 8bit
-
-This new driver allows contiguous memory blocks to be imported
-into the VideoCore VPU memory map, and manages the lifetime of
-those objects, only releasing the source dmabuf once the VPU has
-confirmed it has finished with it.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc-sm-cma: Correct DMA configuration.
-
-Now that VCHIQ is setting up the DMA configuration as our
-parent device, don't try to configure it during probe.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc-sm-cma: Use a void* pointer as the handle within the kernel
-
-The driver was using an unsigned int as the handle to the outside world,
-and doing a nasty cast to the struct dmabuf when handed it back.
-This breaks badly with a 64 bit kernel where the pointer doesn't fit
-in an unsigned int.
-
-Switch to using a void* within the kernel. Reality is that it is
-a struct dma_buf*, but advertising it as such to other drivers seems
-to encourage the use of it as such, and I'm not sure on the implications
-of that.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc-sm-cma: Fix up for 64bit builds
-
-There were a number of logging lines that were using
-inappropriate formatting under 64bit kernels.
-
-The kernel_id field passed to/from the VPU was being
-abused for storing the struct vc_sm_buffer *.
-This breaks with 64bit kernels, so change to using an IDR.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc_sm_cma: Remove erroneous misc_deregister
-
-Code from the misc /dev node was still present in
-bcm2835_vc_sm_cma_remove, which caused a NULL deref.
-Remove it.
-
-See #2885.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc-sm-cma: Remove the debugfs directory on remove
-
-Without removing that, reloading the driver fails.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc-sm-cma: Use devm_ allocs for sm_state.
-
-Use managed allocations for sm_state, removing reliance on
-manual management.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc-sm-cma: Don't fail if debugfs calls fail.
-
-Return codes from debugfs calls should never alter the
-flow of the main code.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc-sm-cma: Ensure mutex and idr are destroyed
-
-map_lock and kernelid_map are created in probe, but not released
-in release should the vcsm service not connect (eg running the
-cutdown firmware).
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc-sm-cma: Remove obsolete comment and make function static
-
-Removes obsolete comment about wanting to pass a function
-pointer into mmal-vchiq as we now do.
-As the function is passed as a function pointer, the function itself
-can be static.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc-sm-cma: Add in allocation for VPU requests.
-
-Module has to change from tristate to bool as all CMA functions
-are boolean.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc-sm-cma: Update TODO.
-
-The driver is already a platform driver, so that can be
-deleted from the TODO.
-There are no known issues that need to be resolved.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc-sm-cma: Add in userspace allocation API
-
-Replacing the functionality from the older vc-sm driver,
-add in a userspace API that allows allocation of buffers,
-and importing of dma-bufs.
-The driver hands out dma-buf fds, therefore much of the
-handling around lifespan and odd mmaps from the old driver
-goes away.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vcsm-cma: Add cache control ioctls
-
-The old driver allowed for direct cache manipulation and that
-was used by various clients. Replicate here.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vcsm-cma: Alter dev node permissions to 0666
-
-Until the udev rules are updated, open up access to this node by
-default.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vcsm-cma: Drop logging level on messages in vc_sm_release_resource
-
-They weren't errors but were logged as such.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vcsm-cma: Fixup the alloc code handling of kernel_id
-
-The allocation code had been copied in from an old branch prior
-to having added the IDR for 64bit support. It was therefore pushing
-a pointer into the kernel_id field instead of an IDR handle, the
-lookup therefore failed, and we never released the buffer.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vcsm-cma: Remove cache manipulation ioctl from ARM64
-
-The cache flushing ioctls are used by the Pi3 HEVC hw-assisted
-decoder as it needs finer grained flushing control than dma_ops
-allow.
-These cache calls are not present for ARM64, therefore disable
-them. We are not actively supporting 64bit kernels at present,
-and the use case of the HEVC decoder is fairly limited.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vcsm-cma: Rework to use dma APIs, not CMA
-
-Due to a misunderstanding of the DMA mapping APIs, I made
-the wrong decision on how to implement this.
-
-Rework to use dma_alloc_coherent instead of the CMA
-API. This also allows it to be built as a module easily.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc-sm-cma: Fix the few remaining coding style issues
-
-Fix a few minor checkpatch complaints to make the driver clean
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc04_services: fix compiling in separate directory
-
-The vc04_services Makefiles do not respect the O=path argument
-correctly: include paths in CFLAGS are given relatively to object path,
-not source path. Compiling in a separate directory yields #include
-errors.
-
-Signed-off-by: Marek Behún <marek.behun@nic.cz>
-
-vc-sm-cma: Fix compatibility ioctl
-
-This code path hasn't been used previously.
-Fixed up after testing with kodi on 32-bit userland and 64-bit kernel
-
-Signed-off-by: popcornmix <popcornmix@gmail.com>
----
- drivers/staging/vc04_services/Kconfig         |    1 +
- drivers/staging/vc04_services/Makefile        |    1 +
- .../vc04_services/bcm2835-camera/Makefile     |    4 +-
- .../staging/vc04_services/vc-sm-cma/Kconfig   |   10 +
- .../staging/vc04_services/vc-sm-cma/Makefile  |    8 +
- drivers/staging/vc04_services/vc-sm-cma/TODO  |    1 +
- .../staging/vc04_services/vc-sm-cma/vc_sm.c   | 1774 +++++++++++++++++
- .../staging/vc04_services/vc-sm-cma/vc_sm.h   |   84 +
- .../vc04_services/vc-sm-cma/vc_sm_cma_vchi.c  |  505 +++++
- .../vc04_services/vc-sm-cma/vc_sm_cma_vchi.h  |   63 +
- .../vc04_services/vc-sm-cma/vc_sm_defs.h      |  300 +++
- .../vc04_services/vc-sm-cma/vc_sm_knl.h       |   28 +
- .../staging/vc04_services/vchiq-mmal/Makefile |    2 +-
- include/linux/broadcom/vc_sm_cma_ioctl.h      |  114 ++
- 14 files changed, 2892 insertions(+), 3 deletions(-)
- create mode 100644 drivers/staging/vc04_services/vc-sm-cma/Kconfig
- create mode 100644 drivers/staging/vc04_services/vc-sm-cma/Makefile
- create mode 100644 drivers/staging/vc04_services/vc-sm-cma/TODO
- create mode 100644 drivers/staging/vc04_services/vc-sm-cma/vc_sm.c
- create mode 100644 drivers/staging/vc04_services/vc-sm-cma/vc_sm.h
- create mode 100644 drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.c
- create mode 100644 drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.h
- create mode 100644 drivers/staging/vc04_services/vc-sm-cma/vc_sm_defs.h
- create mode 100644 drivers/staging/vc04_services/vc-sm-cma/vc_sm_knl.h
- create mode 100644 include/linux/broadcom/vc_sm_cma_ioctl.h
-
---- a/drivers/staging/vc04_services/Kconfig
-+++ b/drivers/staging/vc04_services/Kconfig
-@@ -23,6 +23,7 @@ source "drivers/staging/vc04_services/bc
- source "drivers/staging/vc04_services/bcm2835-camera/Kconfig"
- source "drivers/staging/vc04_services/vchiq-mmal/Kconfig"
-+source "drivers/staging/vc04_services/vc-sm-cma/Kconfig"
- endif
---- a/drivers/staging/vc04_services/Makefile
-+++ b/drivers/staging/vc04_services/Makefile
-@@ -13,6 +13,7 @@ vchiq-objs := \
- obj-$(CONFIG_SND_BCM2835)     += bcm2835-audio/
- obj-$(CONFIG_VIDEO_BCM2835)   += bcm2835-camera/
- obj-$(CONFIG_BCM2835_VCHIQ_MMAL) += vchiq-mmal/
-+obj-$(CONFIG_BCM_VC_SM_CMA)   += vc-sm-cma/
- ccflags-y += -Idrivers/staging/vc04_services -D__VCCOREVER__=0x04000000
---- a/drivers/staging/vc04_services/bcm2835-camera/Makefile
-+++ b/drivers/staging/vc04_services/bcm2835-camera/Makefile
-@@ -7,6 +7,6 @@ obj-$(CONFIG_VIDEO_BCM2835) += bcm2835-v
- ccflags-y += \
-       -I $(srctree)/$(src)/.. \
--      -Idrivers/staging/vc04_services \
--      -Idrivers/staging/vc04_services/vchiq-mmal \
-+      -I$(srctree)/drivers/staging/vc04_services \
-+      -I$(srctree)/drivers/staging/vc04_services/vchiq-mmal \
-       -D__VCCOREVER__=0x04000000
---- /dev/null
-+++ b/drivers/staging/vc04_services/vc-sm-cma/Kconfig
-@@ -0,0 +1,10 @@
-+config BCM_VC_SM_CMA
-+      tristate "VideoCore Shared Memory (CMA) driver"
-+      depends on BCM2835_VCHIQ
-+      select RBTREE
-+      select DMA_SHARED_BUFFER
-+      help
-+        Say Y here to enable the shared memory interface that
-+        supports sharing dmabufs with VideoCore.
-+        This operates over the VCHIQ interface to a service
-+        running on VideoCore.
---- /dev/null
-+++ b/drivers/staging/vc04_services/vc-sm-cma/Makefile
-@@ -0,0 +1,8 @@
-+ccflags-y += -I$(srctree)/drivers/staging/vc04_services -I$(srctree)/drivers/staging/vc04_services/interface/vchi -I$(srctree)/drivers/staging/vc04_services/interface/vchiq_arm
-+# -I"drivers/staging/android/ion/" -I"$(srctree)/fs/"
-+ccflags-y += -D__VCCOREVER__=0
-+
-+vc-sm-cma-$(CONFIG_BCM_VC_SM_CMA) := \
-+      vc_sm.o vc_sm_cma_vchi.o
-+
-+obj-$(CONFIG_BCM_VC_SM_CMA) += vc-sm-cma.o
---- /dev/null
-+++ b/drivers/staging/vc04_services/vc-sm-cma/TODO
-@@ -0,0 +1 @@
-+No currently outstanding tasks except some clean-up.
---- /dev/null
-+++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm.c
-@@ -0,0 +1,1774 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * VideoCore Shared Memory driver using CMA.
-+ *
-+ * Copyright: 2018, Raspberry Pi (Trading) Ltd
-+ * Dave Stevenson <dave.stevenson@raspberrypi.org>
-+ *
-+ * Based on vmcs_sm driver from Broadcom Corporation for some API,
-+ * and taking some code for buffer allocation and dmabuf handling from
-+ * videobuf2.
-+ *
-+ *
-+ * This driver has 3 main uses:
-+ * 1) Allocating buffers for the kernel or userspace that can be shared with the
-+ *    VPU.
-+ * 2) Importing dmabufs from elsewhere for sharing with the VPU.
-+ * 3) Allocating buffers for use by the VPU.
-+ *
-+ * In the first and second cases the native handle is a dmabuf. Releasing the
-+ * resource inherently comes from releasing the dmabuf, and this will trigger
-+ * unmapping on the VPU. The underlying allocation and our buffer structure are
-+ * retained until the VPU has confirmed that it has finished with it.
-+ *
-+ * For the VPU allocations the VPU is responsible for triggering the release,
-+ * and therefore the released message decrements the dma_buf refcount (with the
-+ * VPU mapping having already been marked as released).
-+ */
-+
-+/* ---- Include Files ----------------------------------------------------- */
-+#include <linux/cdev.h>
-+#include <linux/device.h>
-+#include <linux/debugfs.h>
-+#include <linux/dma-mapping.h>
-+#include <linux/dma-buf.h>
-+#include <linux/errno.h>
-+#include <linux/fs.h>
-+#include <linux/kernel.h>
-+#include <linux/list.h>
-+#include <linux/miscdevice.h>
-+#include <linux/module.h>
-+#include <linux/mm.h>
-+#include <linux/of_device.h>
-+#include <linux/platform_device.h>
-+#include <linux/proc_fs.h>
-+#include <linux/slab.h>
-+#include <linux/seq_file.h>
-+#include <linux/syscalls.h>
-+#include <linux/types.h>
-+#include <asm/cacheflush.h>
-+
-+#include "vchiq_connected.h"
-+#include "vc_sm_cma_vchi.h"
-+
-+#include "vc_sm.h"
-+#include "vc_sm_knl.h"
-+#include <linux/broadcom/vc_sm_cma_ioctl.h>
-+
-+/* ---- Private Constants and Types --------------------------------------- */
-+
-+#define DEVICE_NAME           "vcsm-cma"
-+#define DEVICE_MINOR          0
-+
-+#define VC_SM_RESOURCE_NAME_DEFAULT       "sm-host-resource"
-+
-+#define VC_SM_DIR_ROOT_NAME   "vcsm-cma"
-+#define VC_SM_STATE           "state"
-+
-+/* Private file data associated with each opened device. */
-+struct vc_sm_privdata_t {
-+      pid_t pid;                      /* PID of creator. */
-+
-+      int restart_sys;                /* Tracks restart on interrupt. */
-+      enum vc_sm_msg_type int_action; /* Interrupted action. */
-+      u32 int_trans_id;               /* Interrupted transaction. */
-+};
-+
-+typedef int (*VC_SM_SHOW) (struct seq_file *s, void *v);
-+struct sm_pde_t {
-+      VC_SM_SHOW show;          /* Debug fs function hookup. */
-+      struct dentry *dir_entry; /* Debug fs directory entry. */
-+      void *priv_data;          /* Private data */
-+};
-+
-+/* Global state information. */
-+struct sm_state_t {
-+      struct platform_device *pdev;
-+
-+      struct miscdevice misc_dev;
-+
-+      struct sm_instance *sm_handle;  /* Handle for videocore service. */
-+
-+      spinlock_t kernelid_map_lock;   /* Spinlock protecting kernelid_map */
-+      struct idr kernelid_map;
-+
-+      struct mutex map_lock;          /* Global map lock. */
-+      struct list_head buffer_list;   /* List of buffer. */
-+
-+      struct vc_sm_privdata_t *data_knl;  /* Kernel internal data tracking. */
-+      struct vc_sm_privdata_t *vpu_allocs; /* All allocations from the VPU */
-+      struct dentry *dir_root;        /* Debug fs entries root. */
-+      struct sm_pde_t dir_state;      /* Debug fs entries state sub-tree. */
-+
-+      bool require_released_callback; /* VPU will send a released msg when it
-+                                       * has finished with a resource.
-+                                       */
-+      u32 int_trans_id;               /* Interrupted transaction. */
-+};
-+
-+struct vc_sm_dma_buf_attachment {
-+      struct device *dev;
-+      struct sg_table sg_table;
-+      struct list_head list;
-+      enum dma_data_direction dma_dir;
-+};
-+
-+/* ---- Private Variables ----------------------------------------------- */
-+
-+static struct sm_state_t *sm_state;
-+static int sm_inited;
-+
-+/* ---- Private Function Prototypes -------------------------------------- */
-+
-+/* ---- Private Functions ------------------------------------------------ */
-+
-+static int get_kernel_id(struct vc_sm_buffer *buffer)
-+{
-+      int handle;
-+
-+      spin_lock(&sm_state->kernelid_map_lock);
-+      handle = idr_alloc(&sm_state->kernelid_map, buffer, 0, 0, GFP_KERNEL);
-+      spin_unlock(&sm_state->kernelid_map_lock);
-+
-+      return handle;
-+}
-+
-+static struct vc_sm_buffer *lookup_kernel_id(int handle)
-+{
-+      return idr_find(&sm_state->kernelid_map, handle);
-+}
-+
-+static void free_kernel_id(int handle)
-+{
-+      spin_lock(&sm_state->kernelid_map_lock);
-+      idr_remove(&sm_state->kernelid_map, handle);
-+      spin_unlock(&sm_state->kernelid_map_lock);
-+}
-+
-+static int vc_sm_cma_seq_file_show(struct seq_file *s, void *v)
-+{
-+      struct sm_pde_t *sm_pde;
-+
-+      sm_pde = (struct sm_pde_t *)(s->private);
-+
-+      if (sm_pde && sm_pde->show)
-+              sm_pde->show(s, v);
-+
-+      return 0;
-+}
-+
-+static int vc_sm_cma_single_open(struct inode *inode, struct file *file)
-+{
-+      return single_open(file, vc_sm_cma_seq_file_show, inode->i_private);
-+}
-+
-+static const struct file_operations vc_sm_cma_debug_fs_fops = {
-+      .open = vc_sm_cma_single_open,
-+      .read = seq_read,
-+      .llseek = seq_lseek,
-+      .release = single_release,
-+};
-+
-+static int vc_sm_cma_global_state_show(struct seq_file *s, void *v)
-+{
-+      struct vc_sm_buffer *resource = NULL;
-+      int resource_count = 0;
-+
-+      if (!sm_state)
-+              return 0;
-+
-+      seq_printf(s, "\nVC-ServiceHandle     %p\n", sm_state->sm_handle);
-+
-+      /* Log all applicable mapping(s). */
-+
-+      mutex_lock(&sm_state->map_lock);
-+      seq_puts(s, "\nResources\n");
-+      if (!list_empty(&sm_state->buffer_list)) {
-+              list_for_each_entry(resource, &sm_state->buffer_list,
-+                                  global_buffer_list) {
-+                      resource_count++;
-+
-+                      seq_printf(s, "\nResource                %p\n",
-+                                 resource);
-+                      seq_printf(s, "           NAME         %s\n",
-+                                 resource->name);
-+                      seq_printf(s, "           SIZE         %zu\n",
-+                                 resource->size);
-+                      seq_printf(s, "           DMABUF       %p\n",
-+                                 resource->dma_buf);
-+                      if (resource->imported) {
-+                              seq_printf(s, "           ATTACH       %p\n",
-+                                         resource->import.attach);
-+                              seq_printf(s, "           SGT          %p\n",
-+                                         resource->import.sgt);
-+                      } else {
-+                              seq_printf(s, "           SGT          %p\n",
-+                                         resource->alloc.sg_table);
-+                      }
-+                      seq_printf(s, "           DMA_ADDR     %pad\n",
-+                                 &resource->dma_addr);
-+                      seq_printf(s, "           VC_HANDLE     %08x\n",
-+                                 resource->vc_handle);
-+                      seq_printf(s, "           VC_MAPPING    %d\n",
-+                                 resource->vpu_state);
-+              }
-+      }
-+      seq_printf(s, "\n\nTotal resource count:   %d\n\n", resource_count);
-+
-+      mutex_unlock(&sm_state->map_lock);
-+
-+      return 0;
-+}
-+
-+/*
-+ * Adds a buffer to the private data list which tracks all the allocated
-+ * data.
-+ */
-+static void vc_sm_add_resource(struct vc_sm_privdata_t *privdata,
-+                             struct vc_sm_buffer *buffer)
-+{
-+      mutex_lock(&sm_state->map_lock);
-+      list_add(&buffer->global_buffer_list, &sm_state->buffer_list);
-+      mutex_unlock(&sm_state->map_lock);
-+
-+      pr_debug("[%s]: added buffer %p (name %s, size %zu)\n",
-+               __func__, buffer, buffer->name, buffer->size);
-+}
-+
-+/*
-+ * Cleans up imported dmabuf.
-+ */
-+static void vc_sm_clean_up_dmabuf(struct vc_sm_buffer *buffer)
-+{
-+      if (!buffer->imported)
-+              return;
-+
-+      /* Handle cleaning up imported dmabufs */
-+      mutex_lock(&buffer->lock);
-+      if (buffer->import.sgt) {
-+              dma_buf_unmap_attachment(buffer->import.attach,
-+                                       buffer->import.sgt,
-+                                       DMA_BIDIRECTIONAL);
-+              buffer->import.sgt = NULL;
-+      }
-+      if (buffer->import.attach) {
-+              dma_buf_detach(buffer->dma_buf, buffer->import.attach);
-+              buffer->import.attach = NULL;
-+      }
-+      mutex_unlock(&buffer->lock);
-+}
-+
-+/*
-+ * Instructs VPU to decrement the refcount on a buffer.
-+ */
-+static void vc_sm_vpu_free(struct vc_sm_buffer *buffer)
-+{
-+      if (buffer->vc_handle && buffer->vpu_state == VPU_MAPPED) {
-+              struct vc_sm_free_t free = { buffer->vc_handle, 0 };
-+              int status = vc_sm_cma_vchi_free(sm_state->sm_handle, &free,
-+                                           &sm_state->int_trans_id);
-+              if (status != 0 && status != -EINTR) {
-+                      pr_err("[%s]: failed to free memory on videocore (status: %u, trans_id: %u)\n",
-+                             __func__, status, sm_state->int_trans_id);
-+              }
-+
-+              if (sm_state->require_released_callback) {
-+                      /* Need to wait for the VPU to confirm the free. */
-+
-+                      /* Retain a reference on this until the VPU has
-+                       * released it
-+                       */
-+                      buffer->vpu_state = VPU_UNMAPPING;
-+              } else {
-+                      buffer->vpu_state = VPU_NOT_MAPPED;
-+                      buffer->vc_handle = 0;
-+              }
-+      }
-+}
-+
-+/*
-+ * Release an allocation.
-+ * All refcounting is done via the dma buf object.
-+ *
-+ * Must be called with the mutex held. The function will either release the
-+ * mutex (if defering the release) or destroy it. The caller must therefore not
-+ * reuse the buffer on return.
-+ */
-+static void vc_sm_release_resource(struct vc_sm_buffer *buffer)
-+{
-+      pr_debug("[%s]: buffer %p (name %s, size %zu), imported %u\n",
-+               __func__, buffer, buffer->name, buffer->size,
-+               buffer->imported);
-+
-+      if (buffer->vc_handle) {
-+              /* We've sent the unmap request but not had the response. */
-+              pr_debug("[%s]: Waiting for VPU unmap response on %p\n",
-+                       __func__, buffer);
-+              goto defer;
-+      }
-+      if (buffer->in_use) {
-+              /* dmabuf still in use - we await the release */
-+              pr_debug("[%s]: buffer %p is still in use\n", __func__, buffer);
-+              goto defer;
-+      }
-+
-+      /* Release the allocation (whether imported dmabuf or CMA allocation) */
-+      if (buffer->imported) {
-+              if (buffer->import.dma_buf)
-+                      dma_buf_put(buffer->import.dma_buf);
-+              else
-+                      pr_err("%s: Imported dmabuf already been put for buf %p\n",
-+                             __func__, buffer);
-+              buffer->import.dma_buf = NULL;
-+      } else {
-+              dma_free_coherent(&sm_state->pdev->dev, buffer->size,
-+                                buffer->cookie, buffer->dma_addr);
-+      }
-+
-+      /* Free our buffer. Start by removing it from the list */
-+      mutex_lock(&sm_state->map_lock);
-+      list_del(&buffer->global_buffer_list);
-+      mutex_unlock(&sm_state->map_lock);
-+
-+      pr_debug("%s: Release our allocation - done\n", __func__);
-+      mutex_unlock(&buffer->lock);
-+
-+      mutex_destroy(&buffer->lock);
-+
-+      kfree(buffer);
-+      return;
-+
-+defer:
-+      mutex_unlock(&buffer->lock);
-+}
-+
-+/* Create support for private data tracking. */
-+static struct vc_sm_privdata_t *vc_sm_cma_create_priv_data(pid_t id)
-+{
-+      char alloc_name[32];
-+      struct vc_sm_privdata_t *file_data = NULL;
-+
-+      /* Allocate private structure. */
-+      file_data = kzalloc(sizeof(*file_data), GFP_KERNEL);
-+
-+      if (!file_data)
-+              return NULL;
-+
-+      snprintf(alloc_name, sizeof(alloc_name), "%d", id);
-+
-+      file_data->pid = id;
-+
-+      return file_data;
-+}
-+
-+/* Dma buf operations for use with our own allocations */
-+
-+static int vc_sm_dma_buf_attach(struct dma_buf *dmabuf,
-+                              struct dma_buf_attachment *attachment)
-+
-+{
-+      struct vc_sm_dma_buf_attachment *a;
-+      struct sg_table *sgt;
-+      struct vc_sm_buffer *buf = dmabuf->priv;
-+      struct scatterlist *rd, *wr;
-+      int ret, i;
-+
-+      a = kzalloc(sizeof(*a), GFP_KERNEL);
-+      if (!a)
-+              return -ENOMEM;
-+
-+      pr_debug("%s dmabuf %p attachment %p\n", __func__, dmabuf, attachment);
-+
-+      mutex_lock(&buf->lock);
-+
-+      INIT_LIST_HEAD(&a->list);
-+
-+      sgt = &a->sg_table;
-+
-+      /* Copy the buf->base_sgt scatter list to the attachment, as we can't
-+       * map the same scatter list to multiple attachments at the same time.
-+       */
-+      ret = sg_alloc_table(sgt, buf->alloc.sg_table->orig_nents, GFP_KERNEL);
-+      if (ret) {
-+              kfree(a);
-+              return -ENOMEM;
-+      }
-+
-+      rd = buf->alloc.sg_table->sgl;
-+      wr = sgt->sgl;
-+      for (i = 0; i < sgt->orig_nents; ++i) {
-+              sg_set_page(wr, sg_page(rd), rd->length, rd->offset);
-+              rd = sg_next(rd);
-+              wr = sg_next(wr);
-+      }
-+
-+      a->dma_dir = DMA_NONE;
-+      attachment->priv = a;
-+
-+      list_add(&a->list, &buf->attachments);
-+      mutex_unlock(&buf->lock);
-+
-+      return 0;
-+}
-+
-+static void vc_sm_dma_buf_detach(struct dma_buf *dmabuf,
-+                               struct dma_buf_attachment *attachment)
-+{
-+      struct vc_sm_dma_buf_attachment *a = attachment->priv;
-+      struct vc_sm_buffer *buf = dmabuf->priv;
-+      struct sg_table *sgt;
-+
-+      pr_debug("%s dmabuf %p attachment %p\n", __func__, dmabuf, attachment);
-+      if (!a)
-+              return;
-+
-+      sgt = &a->sg_table;
-+
-+      /* release the scatterlist cache */
-+      if (a->dma_dir != DMA_NONE)
-+              dma_unmap_sg(attachment->dev, sgt->sgl, sgt->orig_nents,
-+                           a->dma_dir);
-+      sg_free_table(sgt);
-+
-+      mutex_lock(&buf->lock);
-+      list_del(&a->list);
-+      mutex_unlock(&buf->lock);
-+
-+      kfree(a);
-+}
-+
-+static struct sg_table *vc_sm_map_dma_buf(struct dma_buf_attachment *attachment,
-+                                        enum dma_data_direction direction)
-+{
-+      struct vc_sm_dma_buf_attachment *a = attachment->priv;
-+      /* stealing dmabuf mutex to serialize map/unmap operations */
-+      struct mutex *lock = &attachment->dmabuf->lock;
-+      struct sg_table *table;
-+
-+      mutex_lock(lock);
-+      pr_debug("%s attachment %p\n", __func__, attachment);
-+      table = &a->sg_table;
-+
-+      /* return previously mapped sg table */
-+      if (a->dma_dir == direction) {
-+              mutex_unlock(lock);
-+              return table;
-+      }
-+
-+      /* release any previous cache */
-+      if (a->dma_dir != DMA_NONE) {
-+              dma_unmap_sg(attachment->dev, table->sgl, table->orig_nents,
-+                           a->dma_dir);
-+              a->dma_dir = DMA_NONE;
-+      }
-+
-+      /* mapping to the client with new direction */
-+      table->nents = dma_map_sg(attachment->dev, table->sgl,
-+                                table->orig_nents, direction);
-+      if (!table->nents) {
-+              pr_err("failed to map scatterlist\n");
-+              mutex_unlock(lock);
-+              return ERR_PTR(-EIO);
-+      }
-+
-+      a->dma_dir = direction;
-+      mutex_unlock(lock);
-+
-+      pr_debug("%s attachment %p\n", __func__, attachment);
-+      return table;
-+}
-+
-+static void vc_sm_unmap_dma_buf(struct dma_buf_attachment *attachment,
-+                              struct sg_table *table,
-+                              enum dma_data_direction direction)
-+{
-+      pr_debug("%s attachment %p\n", __func__, attachment);
-+      dma_unmap_sg(attachment->dev, table->sgl, table->nents, direction);
-+}
-+
-+static int vc_sm_dmabuf_mmap(struct dma_buf *dmabuf, struct vm_area_struct *vma)
-+{
-+      struct vc_sm_buffer *buf = dmabuf->priv;
-+      int ret;
-+
-+      pr_debug("%s dmabuf %p, buf %p, vm_start %08lX\n", __func__, dmabuf,
-+               buf, vma->vm_start);
-+
-+      mutex_lock(&buf->lock);
-+
-+      /* now map it to userspace */
-+      vma->vm_pgoff = 0;
-+
-+      ret = dma_mmap_coherent(&sm_state->pdev->dev, vma, buf->cookie,
-+                              buf->dma_addr, buf->size);
-+
-+      if (ret) {
-+              pr_err("Remapping memory failed, error: %d\n", ret);
-+              return ret;
-+      }
-+
-+      vma->vm_flags |= VM_DONTEXPAND | VM_DONTDUMP;
-+
-+      mutex_unlock(&buf->lock);
-+
-+      if (ret)
-+              pr_err("%s: failure mapping buffer to userspace\n",
-+                     __func__);
-+
-+      return ret;
-+}
-+
-+static void vc_sm_dma_buf_release(struct dma_buf *dmabuf)
-+{
-+      struct vc_sm_buffer *buffer;
-+
-+      if (!dmabuf)
-+              return;
-+
-+      buffer = (struct vc_sm_buffer *)dmabuf->priv;
-+
-+      mutex_lock(&buffer->lock);
-+
-+      pr_debug("%s dmabuf %p, buffer %p\n", __func__, dmabuf, buffer);
-+
-+      buffer->in_use = 0;
-+
-+      /* Unmap on the VPU */
-+      vc_sm_vpu_free(buffer);
-+      pr_debug("%s vpu_free done\n", __func__);
-+
-+      /* Unmap our dma_buf object (the vc_sm_buffer remains until released
-+       * on the VPU).
-+       */
-+      vc_sm_clean_up_dmabuf(buffer);
-+      pr_debug("%s clean_up dmabuf done\n", __func__);
-+
-+      /* buffer->lock will be destroyed by vc_sm_release_resource if finished
-+       * with, otherwise unlocked. Do NOT unlock here.
-+       */
-+      vc_sm_release_resource(buffer);
-+      pr_debug("%s done\n", __func__);
-+}
-+
-+static int vc_sm_dma_buf_begin_cpu_access(struct dma_buf *dmabuf,
-+                                        enum dma_data_direction direction)
-+{
-+      struct vc_sm_buffer *buf;
-+      struct vc_sm_dma_buf_attachment *a;
-+
-+      if (!dmabuf)
-+              return -EFAULT;
-+
-+      buf = dmabuf->priv;
-+      if (!buf)
-+              return -EFAULT;
-+
-+      mutex_lock(&buf->lock);
-+
-+      list_for_each_entry(a, &buf->attachments, list) {
-+              dma_sync_sg_for_cpu(a->dev, a->sg_table.sgl,
-+                                  a->sg_table.nents, direction);
-+      }
-+      mutex_unlock(&buf->lock);
-+
-+      return 0;
-+}
-+
-+static int vc_sm_dma_buf_end_cpu_access(struct dma_buf *dmabuf,
-+                                      enum dma_data_direction direction)
-+{
-+      struct vc_sm_buffer *buf;
-+      struct vc_sm_dma_buf_attachment *a;
-+
-+      if (!dmabuf)
-+              return -EFAULT;
-+      buf = dmabuf->priv;
-+      if (!buf)
-+              return -EFAULT;
-+
-+      mutex_lock(&buf->lock);
-+
-+      list_for_each_entry(a, &buf->attachments, list) {
-+              dma_sync_sg_for_device(a->dev, a->sg_table.sgl,
-+                                     a->sg_table.nents, direction);
-+      }
-+      mutex_unlock(&buf->lock);
-+
-+      return 0;
-+}
-+
-+static void *vc_sm_dma_buf_kmap(struct dma_buf *dmabuf, unsigned long offset)
-+{
-+      /* FIXME */
-+      return NULL;
-+}
-+
-+static void vc_sm_dma_buf_kunmap(struct dma_buf *dmabuf, unsigned long offset,
-+                               void *ptr)
-+{
-+      /* FIXME */
-+}
-+
-+static const struct dma_buf_ops dma_buf_ops = {
-+      .map_dma_buf = vc_sm_map_dma_buf,
-+      .unmap_dma_buf = vc_sm_unmap_dma_buf,
-+      .mmap = vc_sm_dmabuf_mmap,
-+      .release = vc_sm_dma_buf_release,
-+      .attach = vc_sm_dma_buf_attach,
-+      .detach = vc_sm_dma_buf_detach,
-+      .begin_cpu_access = vc_sm_dma_buf_begin_cpu_access,
-+      .end_cpu_access = vc_sm_dma_buf_end_cpu_access,
-+      .map = vc_sm_dma_buf_kmap,
-+      .unmap = vc_sm_dma_buf_kunmap,
-+};
-+
-+/* Dma_buf operations for chaining through to an imported dma_buf */
-+
-+static
-+int vc_sm_import_dma_buf_attach(struct dma_buf *dmabuf,
-+                              struct dma_buf_attachment *attachment)
-+{
-+      struct vc_sm_buffer *buf = dmabuf->priv;
-+
-+      if (!buf->imported)
-+              return -EINVAL;
-+      return buf->import.dma_buf->ops->attach(buf->import.dma_buf,
-+                                              attachment);
-+}
-+
-+static
-+void vc_sm_import_dma_buf_detatch(struct dma_buf *dmabuf,
-+                                struct dma_buf_attachment *attachment)
-+{
-+      struct vc_sm_buffer *buf = dmabuf->priv;
-+
-+      if (!buf->imported)
-+              return;
-+      buf->import.dma_buf->ops->detach(buf->import.dma_buf, attachment);
-+}
-+
-+static
-+struct sg_table *vc_sm_import_map_dma_buf(struct dma_buf_attachment *attachment,
-+                                        enum dma_data_direction direction)
-+{
-+      struct vc_sm_buffer *buf = attachment->dmabuf->priv;
-+
-+      if (!buf->imported)
-+              return NULL;
-+      return buf->import.dma_buf->ops->map_dma_buf(attachment,
-+                                                   direction);
-+}
-+
-+static
-+void vc_sm_import_unmap_dma_buf(struct dma_buf_attachment *attachment,
-+                              struct sg_table *table,
-+                              enum dma_data_direction direction)
-+{
-+      struct vc_sm_buffer *buf = attachment->dmabuf->priv;
-+
-+      if (!buf->imported)
-+              return;
-+      buf->import.dma_buf->ops->unmap_dma_buf(attachment, table, direction);
-+}
-+
-+static
-+int vc_sm_import_dmabuf_mmap(struct dma_buf *dmabuf, struct vm_area_struct *vma)
-+{
-+      struct vc_sm_buffer *buf = dmabuf->priv;
-+
-+      pr_debug("%s: mmap dma_buf %p, buf %p, imported db %p\n", __func__,
-+               dmabuf, buf, buf->import.dma_buf);
-+      if (!buf->imported) {
-+              pr_err("%s: mmap dma_buf %p- not an imported buffer\n",
-+                     __func__, dmabuf);
-+              return -EINVAL;
-+      }
-+      return buf->import.dma_buf->ops->mmap(buf->import.dma_buf, vma);
-+}
-+
-+static
-+void vc_sm_import_dma_buf_release(struct dma_buf *dmabuf)
-+{
-+      struct vc_sm_buffer *buf = dmabuf->priv;
-+
-+      pr_debug("%s: Relasing dma_buf %p\n", __func__, dmabuf);
-+      mutex_lock(&buf->lock);
-+      if (!buf->imported)
-+              return;
-+
-+      buf->in_use = 0;
-+
-+      vc_sm_vpu_free(buf);
-+
-+      vc_sm_release_resource(buf);
-+}
-+
-+static
-+void *vc_sm_import_dma_buf_kmap(struct dma_buf *dmabuf,
-+                              unsigned long offset)
-+{
-+      struct vc_sm_buffer *buf = dmabuf->priv;
-+
-+      if (!buf->imported)
-+              return NULL;
-+      return buf->import.dma_buf->ops->map(buf->import.dma_buf, offset);
-+}
-+
-+static
-+void vc_sm_import_dma_buf_kunmap(struct dma_buf *dmabuf,
-+                               unsigned long offset, void *ptr)
-+{
-+      struct vc_sm_buffer *buf = dmabuf->priv;
-+
-+      if (!buf->imported)
-+              return;
-+      buf->import.dma_buf->ops->unmap(buf->import.dma_buf, offset, ptr);
-+}
-+
-+static
-+int vc_sm_import_dma_buf_begin_cpu_access(struct dma_buf *dmabuf,
-+                                        enum dma_data_direction direction)
-+{
-+      struct vc_sm_buffer *buf = dmabuf->priv;
-+
-+      if (!buf->imported)
-+              return -EINVAL;
-+      return buf->import.dma_buf->ops->begin_cpu_access(buf->import.dma_buf,
-+                                                        direction);
-+}
-+
-+static
-+int vc_sm_import_dma_buf_end_cpu_access(struct dma_buf *dmabuf,
-+                                      enum dma_data_direction direction)
-+{
-+      struct vc_sm_buffer *buf = dmabuf->priv;
-+
-+      if (!buf->imported)
-+              return -EINVAL;
-+      return buf->import.dma_buf->ops->end_cpu_access(buf->import.dma_buf,
-+                                                        direction);
-+}
-+
-+static const struct dma_buf_ops dma_buf_import_ops = {
-+      .map_dma_buf = vc_sm_import_map_dma_buf,
-+      .unmap_dma_buf = vc_sm_import_unmap_dma_buf,
-+      .mmap = vc_sm_import_dmabuf_mmap,
-+      .release = vc_sm_import_dma_buf_release,
-+      .attach = vc_sm_import_dma_buf_attach,
-+      .detach = vc_sm_import_dma_buf_detatch,
-+      .begin_cpu_access = vc_sm_import_dma_buf_begin_cpu_access,
-+      .end_cpu_access = vc_sm_import_dma_buf_end_cpu_access,
-+      .map = vc_sm_import_dma_buf_kmap,
-+      .unmap = vc_sm_import_dma_buf_kunmap,
-+};
-+
-+/* Import a dma_buf to be shared with VC. */
-+int
-+vc_sm_cma_import_dmabuf_internal(struct vc_sm_privdata_t *private,
-+                               struct dma_buf *dma_buf,
-+                               int fd,
-+                               struct dma_buf **imported_buf)
-+{
-+      DEFINE_DMA_BUF_EXPORT_INFO(exp_info);
-+      struct vc_sm_buffer *buffer = NULL;
-+      struct vc_sm_import import = { };
-+      struct vc_sm_import_result result = { };
-+      struct dma_buf_attachment *attach = NULL;
-+      struct sg_table *sgt = NULL;
-+      dma_addr_t dma_addr;
-+      int ret = 0;
-+      int status;
-+
-+      /* Setup our allocation parameters */
-+      pr_debug("%s: importing dma_buf %p/fd %d\n", __func__, dma_buf, fd);
-+
-+      if (fd < 0)
-+              get_dma_buf(dma_buf);
-+      else
-+              dma_buf = dma_buf_get(fd);
-+
-+      if (!dma_buf)
-+              return -EINVAL;
-+
-+      attach = dma_buf_attach(dma_buf, &sm_state->pdev->dev);
-+      if (IS_ERR(attach)) {
-+              ret = PTR_ERR(attach);
-+              goto error;
-+      }
-+
-+      sgt = dma_buf_map_attachment(attach, DMA_BIDIRECTIONAL);
-+      if (IS_ERR(sgt)) {
-+              ret = PTR_ERR(sgt);
-+              goto error;
-+      }
-+
-+      /* Verify that the address block is contiguous */
-+      if (sgt->nents != 1) {
-+              ret = -ENOMEM;
-+              goto error;
-+      }
-+
-+      /* Allocate local buffer to track this allocation. */
-+      buffer = kzalloc(sizeof(*buffer), GFP_KERNEL);
-+      if (!buffer) {
-+              ret = -ENOMEM;
-+              goto error;
-+      }
-+
-+      import.type = VC_SM_ALLOC_NON_CACHED;
-+      dma_addr = sg_dma_address(sgt->sgl);
-+      import.addr = (u32)dma_addr;
-+      if ((import.addr & 0xC0000000) != 0xC0000000) {
-+              pr_err("%s: Expecting an uncached alias for dma_addr %pad\n",
-+                     __func__, &dma_addr);
-+              import.addr |= 0xC0000000;
-+      }
-+      import.size = sg_dma_len(sgt->sgl);
-+      import.allocator = current->tgid;
-+      import.kernel_id = get_kernel_id(buffer);
-+
-+      memcpy(import.name, VC_SM_RESOURCE_NAME_DEFAULT,
-+             sizeof(VC_SM_RESOURCE_NAME_DEFAULT));
-+
-+      pr_debug("[%s]: attempt to import \"%s\" data - type %u, addr %pad, size %u.\n",
-+               __func__, import.name, import.type, &dma_addr, import.size);
-+
-+      /* Allocate the videocore buffer. */
-+      status = vc_sm_cma_vchi_import(sm_state->sm_handle, &import, &result,
-+                                     &sm_state->int_trans_id);
-+      if (status == -EINTR) {
-+              pr_debug("[%s]: requesting import memory action restart (trans_id: %u)\n",
-+                       __func__, sm_state->int_trans_id);
-+              ret = -ERESTARTSYS;
-+              private->restart_sys = -EINTR;
-+              private->int_action = VC_SM_MSG_TYPE_IMPORT;
-+              goto error;
-+      } else if (status || !result.res_handle) {
-+              pr_debug("[%s]: failed to import memory on videocore (status: %u, trans_id: %u)\n",
-+                       __func__, status, sm_state->int_trans_id);
-+              ret = -ENOMEM;
-+              goto error;
-+      }
-+
-+      mutex_init(&buffer->lock);
-+      INIT_LIST_HEAD(&buffer->attachments);
-+      memcpy(buffer->name, import.name,
-+             min(sizeof(buffer->name), sizeof(import.name) - 1));
-+
-+      /* Keep track of the buffer we created. */
-+      buffer->private = private;
-+      buffer->vc_handle = result.res_handle;
-+      buffer->size = import.size;
-+      buffer->vpu_state = VPU_MAPPED;
-+
-+      buffer->imported = 1;
-+      buffer->import.dma_buf = dma_buf;
-+
-+      buffer->import.attach = attach;
-+      buffer->import.sgt = sgt;
-+      buffer->dma_addr = dma_addr;
-+      buffer->in_use = 1;
-+      buffer->kernel_id = import.kernel_id;
-+
-+      /*
-+       * We're done - we need to export a new dmabuf chaining through most
-+       * functions, but enabling us to release our own internal references
-+       * here.
-+       */
-+      exp_info.ops = &dma_buf_import_ops;
-+      exp_info.size = import.size;
-+      exp_info.flags = O_RDWR;
-+      exp_info.priv = buffer;
-+
-+      buffer->dma_buf = dma_buf_export(&exp_info);
-+      if (IS_ERR(buffer->dma_buf)) {
-+              ret = PTR_ERR(buffer->dma_buf);
-+              goto error;
-+      }
-+
-+      vc_sm_add_resource(private, buffer);
-+
-+      *imported_buf = buffer->dma_buf;
-+
-+      return 0;
-+
-+error:
-+      if (result.res_handle) {
-+              struct vc_sm_free_t free = { result.res_handle, 0 };
-+
-+              vc_sm_cma_vchi_free(sm_state->sm_handle, &free,
-+                                  &sm_state->int_trans_id);
-+      }
-+      free_kernel_id(import.kernel_id);
-+      kfree(buffer);
-+      if (sgt)
-+              dma_buf_unmap_attachment(attach, sgt, DMA_BIDIRECTIONAL);
-+      if (attach)
-+              dma_buf_detach(dma_buf, attach);
-+      dma_buf_put(dma_buf);
-+      return ret;
-+}
-+
-+static int vc_sm_cma_vpu_alloc(u32 size, u32 align, const char *name,
-+                             u32 mem_handle, struct vc_sm_buffer **ret_buffer)
-+{
-+      DEFINE_DMA_BUF_EXPORT_INFO(exp_info);
-+      struct vc_sm_buffer *buffer = NULL;
-+      struct sg_table *sgt;
-+      int aligned_size;
-+      int ret = 0;
-+
-+      /* Align to the user requested align */
-+      aligned_size = ALIGN(size, align);
-+      /* and then to a page boundary */
-+      aligned_size = PAGE_ALIGN(aligned_size);
-+
-+      if (!aligned_size)
-+              return -EINVAL;
-+
-+      /* Allocate local buffer to track this allocation. */
-+      buffer = kzalloc(sizeof(*buffer), GFP_KERNEL);
-+      if (!buffer)
-+              return -ENOMEM;
-+
-+      mutex_init(&buffer->lock);
-+      /* Acquire the mutex as vc_sm_release_resource will release it in the
-+       * error path.
-+       */
-+      mutex_lock(&buffer->lock);
-+
-+      buffer->cookie = dma_alloc_coherent(&sm_state->pdev->dev,
-+                                          aligned_size, &buffer->dma_addr,
-+                                          GFP_KERNEL);
-+      if (!buffer->cookie) {
-+              pr_err("[%s]: dma_alloc_coherent alloc of %d bytes failed\n",
-+                     __func__, aligned_size);
-+              ret = -ENOMEM;
-+              goto error;
-+      }
-+
-+      pr_debug("[%s]: alloc of %d bytes success\n",
-+               __func__, aligned_size);
-+
-+      sgt = kmalloc(sizeof(*sgt), GFP_KERNEL);
-+      if (!sgt) {
-+              ret = -ENOMEM;
-+              goto error;
-+      }
-+
-+      ret = dma_get_sgtable(&sm_state->pdev->dev, sgt, buffer->cookie,
-+                            buffer->dma_addr, buffer->size);
-+      if (ret < 0) {
-+              pr_err("failed to get scatterlist from DMA API\n");
-+              kfree(sgt);
-+              ret = -ENOMEM;
-+              goto error;
-+      }
-+      buffer->alloc.sg_table = sgt;
-+
-+      INIT_LIST_HEAD(&buffer->attachments);
-+
-+      memcpy(buffer->name, name,
-+             min(sizeof(buffer->name), strlen(name)));
-+
-+      exp_info.ops = &dma_buf_ops;
-+      exp_info.size = aligned_size;
-+      exp_info.flags = O_RDWR;
-+      exp_info.priv = buffer;
-+
-+      buffer->dma_buf = dma_buf_export(&exp_info);
-+      if (IS_ERR(buffer->dma_buf)) {
-+              ret = PTR_ERR(buffer->dma_buf);
-+              goto error;
-+      }
-+      buffer->dma_addr = (u32)sg_dma_address(buffer->alloc.sg_table->sgl);
-+      if ((buffer->dma_addr & 0xC0000000) != 0xC0000000) {
-+              pr_warn_once("%s: Expecting an uncached alias for dma_addr %pad\n",
-+                           __func__, &buffer->dma_addr);
-+              buffer->dma_addr |= 0xC0000000;
-+      }
-+      buffer->private = sm_state->vpu_allocs;
-+
-+      buffer->vc_handle = mem_handle;
-+      buffer->vpu_state = VPU_MAPPED;
-+      buffer->vpu_allocated = 1;
-+      buffer->size = size;
-+      /*
-+       * Create an ID that will be passed along with our message so
-+       * that when we service the release reply, we can look up which
-+       * resource is being released.
-+       */
-+      buffer->kernel_id = get_kernel_id(buffer);
-+
-+      vc_sm_add_resource(sm_state->vpu_allocs, buffer);
-+
-+      mutex_unlock(&buffer->lock);
-+
-+      *ret_buffer = buffer;
-+      return 0;
-+error:
-+      if (buffer)
-+              vc_sm_release_resource(buffer);
-+      return ret;
-+}
-+
-+static void
-+vc_sm_vpu_event(struct sm_instance *instance, struct vc_sm_result_t *reply,
-+              int reply_len)
-+{
-+      switch (reply->trans_id & ~0x80000000) {
-+      case VC_SM_MSG_TYPE_CLIENT_VERSION:
-+      {
-+              /* Acknowledge that the firmware supports the version command */
-+              pr_debug("%s: firmware acked version msg. Require release cb\n",
-+                       __func__);
-+              sm_state->require_released_callback = true;
-+      }
-+      break;
-+      case VC_SM_MSG_TYPE_RELEASED:
-+      {
-+              struct vc_sm_released *release = (struct vc_sm_released *)reply;
-+              struct vc_sm_buffer *buffer =
-+                                      lookup_kernel_id(release->kernel_id);
-+              if (!buffer) {
-+                      pr_err("%s: VC released a buffer that is already released, kernel_id %d\n",
-+                             __func__, release->kernel_id);
-+                      break;
-+              }
-+              mutex_lock(&buffer->lock);
-+
-+              pr_debug("%s: Released addr %08x, size %u, id %08x, mem_handle %08x\n",
-+                       __func__, release->addr, release->size,
-+                       release->kernel_id, release->vc_handle);
-+
-+              buffer->vc_handle = 0;
-+              buffer->vpu_state = VPU_NOT_MAPPED;
-+              free_kernel_id(release->kernel_id);
-+
-+              if (buffer->vpu_allocated) {
-+                      /* VPU allocation, so release the dmabuf which will
-+                       * trigger the clean up.
-+                       */
-+                      mutex_unlock(&buffer->lock);
-+                      dma_buf_put(buffer->dma_buf);
-+              } else {
-+                      vc_sm_release_resource(buffer);
-+              }
-+      }
-+      break;
-+      case VC_SM_MSG_TYPE_VC_MEM_REQUEST:
-+      {
-+              struct vc_sm_buffer *buffer = NULL;
-+              struct vc_sm_vc_mem_request *req =
-+                                      (struct vc_sm_vc_mem_request *)reply;
-+              struct vc_sm_vc_mem_request_result reply;
-+              int ret;
-+
-+              pr_debug("%s: Request %u bytes of memory, align %d name %s, trans_id %08x\n",
-+                       __func__, req->size, req->align, req->name,
-+                       req->trans_id);
-+              ret = vc_sm_cma_vpu_alloc(req->size, req->align, req->name,
-+                                        req->vc_handle, &buffer);
-+
-+              reply.trans_id = req->trans_id;
-+              if (!ret) {
-+                      reply.addr = buffer->dma_addr;
-+                      reply.kernel_id = buffer->kernel_id;
-+                      pr_debug("%s: Allocated resource buffer %p, addr %pad\n",
-+                               __func__, buffer, &buffer->dma_addr);
-+              } else {
-+                      pr_err("%s: Allocation failed size %u, name %s, vc_handle %u\n",
-+                             __func__, req->size, req->name, req->vc_handle);
-+                      reply.addr = 0;
-+                      reply.kernel_id = 0;
-+              }
-+              vc_sm_vchi_client_vc_mem_req_reply(sm_state->sm_handle, &reply,
-+                                                 &sm_state->int_trans_id);
-+              break;
-+      }
-+      break;
-+      default:
-+              pr_err("%s: Unknown vpu cmd %x\n", __func__, reply->trans_id);
-+              break;
-+      }
-+}
-+
-+/* Userspace handling */
-+/*
-+ * Open the device.  Creates a private state to help track all allocation
-+ * associated with this device.
-+ */
-+static int vc_sm_cma_open(struct inode *inode, struct file *file)
-+{
-+      /* Make sure the device was started properly. */
-+      if (!sm_state) {
-+              pr_err("[%s]: invalid device\n", __func__);
-+              return -EPERM;
-+      }
-+
-+      file->private_data = vc_sm_cma_create_priv_data(current->tgid);
-+      if (!file->private_data) {
-+              pr_err("[%s]: failed to create data tracker\n", __func__);
-+
-+              return -ENOMEM;
-+      }
-+
-+      return 0;
-+}
-+
-+/*
-+ * Close the vcsm-cma device.
-+ * All allocations are file descriptors to the dmabuf objects, so we will get
-+ * the clean up request on those as those are cleaned up.
-+ */
-+static int vc_sm_cma_release(struct inode *inode, struct file *file)
-+{
-+      struct vc_sm_privdata_t *file_data =
-+          (struct vc_sm_privdata_t *)file->private_data;
-+      int ret = 0;
-+
-+      /* Make sure the device was started properly. */
-+      if (!sm_state || !file_data) {
-+              pr_err("[%s]: invalid device\n", __func__);
-+              ret = -EPERM;
-+              goto out;
-+      }
-+
-+      pr_debug("[%s]: using private data %p\n", __func__, file_data);
-+
-+      /* Terminate the private data. */
-+      kfree(file_data);
-+
-+out:
-+      return ret;
-+}
-+
-+/*
-+ * Allocate a shared memory handle and block.
-+ * Allocation is from CMA, and then imported into the VPU mappings.
-+ */
-+int vc_sm_cma_ioctl_alloc(struct vc_sm_privdata_t *private,
-+                        struct vc_sm_cma_ioctl_alloc *ioparam)
-+{
-+      DEFINE_DMA_BUF_EXPORT_INFO(exp_info);
-+      struct vc_sm_buffer *buffer = NULL;
-+      struct vc_sm_import import = { 0 };
-+      struct vc_sm_import_result result = { 0 };
-+      struct dma_buf *dmabuf = NULL;
-+      struct sg_table *sgt;
-+      int aligned_size;
-+      int ret = 0;
-+      int status;
-+      int fd = -1;
-+
-+      aligned_size = PAGE_ALIGN(ioparam->size);
-+
-+      if (!aligned_size)
-+              return -EINVAL;
-+
-+      /* Allocate local buffer to track this allocation. */
-+      buffer = kzalloc(sizeof(*buffer), GFP_KERNEL);
-+      if (!buffer) {
-+              ret = -ENOMEM;
-+              goto error;
-+      }
-+
-+      buffer->cookie = dma_alloc_coherent(&sm_state->pdev->dev,
-+                                          aligned_size,
-+                                          &buffer->dma_addr,
-+                                          GFP_KERNEL);
-+      if (!buffer->cookie) {
-+              pr_err("[%s]: dma_alloc_coherent alloc of %d bytes failed\n",
-+                     __func__, aligned_size);
-+              ret = -ENOMEM;
-+              goto error;
-+      }
-+
-+      import.type = VC_SM_ALLOC_NON_CACHED;
-+      import.allocator = current->tgid;
-+
-+      if (*ioparam->name)
-+              memcpy(import.name, ioparam->name, sizeof(import.name) - 1);
-+      else
-+              memcpy(import.name, VC_SM_RESOURCE_NAME_DEFAULT,
-+                     sizeof(VC_SM_RESOURCE_NAME_DEFAULT));
-+
-+      mutex_init(&buffer->lock);
-+      INIT_LIST_HEAD(&buffer->attachments);
-+      memcpy(buffer->name, import.name,
-+             min(sizeof(buffer->name), sizeof(import.name) - 1));
-+
-+      exp_info.ops = &dma_buf_ops;
-+      exp_info.size = aligned_size;
-+      exp_info.flags = O_RDWR;
-+      exp_info.priv = buffer;
-+
-+      dmabuf = dma_buf_export(&exp_info);
-+      if (IS_ERR(dmabuf)) {
-+              ret = PTR_ERR(dmabuf);
-+              goto error;
-+      }
-+      buffer->dma_buf = dmabuf;
-+
-+      import.addr = buffer->dma_addr;
-+      import.size = aligned_size;
-+      import.kernel_id = get_kernel_id(buffer);
-+
-+      /* Wrap it into a videocore buffer. */
-+      status = vc_sm_cma_vchi_import(sm_state->sm_handle, &import, &result,
-+                                     &sm_state->int_trans_id);
-+      if (status == -EINTR) {
-+              pr_debug("[%s]: requesting import memory action restart (trans_id: %u)\n",
-+                       __func__, sm_state->int_trans_id);
-+              ret = -ERESTARTSYS;
-+              private->restart_sys = -EINTR;
-+              private->int_action = VC_SM_MSG_TYPE_IMPORT;
-+              goto error;
-+      } else if (status || !result.res_handle) {
-+              pr_err("[%s]: failed to import memory on videocore (status: %u, trans_id: %u)\n",
-+                     __func__, status, sm_state->int_trans_id);
-+              ret = -ENOMEM;
-+              goto error;
-+      }
-+
-+      /* Keep track of the buffer we created. */
-+      buffer->private = private;
-+      buffer->vc_handle = result.res_handle;
-+      buffer->size = import.size;
-+      buffer->vpu_state = VPU_MAPPED;
-+      buffer->kernel_id = import.kernel_id;
-+
-+      sgt = kmalloc(sizeof(*sgt), GFP_KERNEL);
-+      if (!sgt) {
-+              ret = -ENOMEM;
-+              goto error;
-+      }
-+
-+      ret = dma_get_sgtable(&sm_state->pdev->dev, sgt, buffer->cookie,
-+                            buffer->dma_addr, buffer->size);
-+      if (ret < 0) {
-+              /* FIXME: error handling */
-+              pr_err("failed to get scatterlist from DMA API\n");
-+              kfree(sgt);
-+              ret = -ENOMEM;
-+              goto error;
-+      }
-+      buffer->alloc.sg_table = sgt;
-+
-+      fd = dma_buf_fd(dmabuf, O_CLOEXEC);
-+      if (fd < 0)
-+              goto error;
-+
-+      vc_sm_add_resource(private, buffer);
-+
-+      pr_debug("[%s]: Added resource as fd %d, buffer %p, private %p, dma_addr %pad\n",
-+               __func__, fd, buffer, private, &buffer->dma_addr);
-+
-+      /* We're done */
-+      ioparam->handle = fd;
-+      ioparam->vc_handle = buffer->vc_handle;
-+      ioparam->dma_addr = buffer->dma_addr;
-+      return 0;
-+
-+error:
-+      pr_err("[%s]: something failed - cleanup. ret %d\n", __func__, ret);
-+
-+      if (dmabuf) {
-+              /* dmabuf has been exported, therefore allow dmabuf cleanup to
-+               * deal with this
-+               */
-+              dma_buf_put(dmabuf);
-+      } else {
-+              /* No dmabuf, therefore just free the buffer here */
-+              if (buffer->cookie)
-+                      dma_free_coherent(&sm_state->pdev->dev, buffer->size,
-+                                        buffer->cookie, buffer->dma_addr);
-+              kfree(buffer);
-+      }
-+      return ret;
-+}
-+
-+#ifndef CONFIG_ARM64
-+/* Converts VCSM_CACHE_OP_* to an operating function. */
-+static void (*cache_op_to_func(const unsigned int cache_op))
-+                                              (const void*, const void*)
-+{
-+      switch (cache_op) {
-+      case VC_SM_CACHE_OP_NOP:
-+              return NULL;
-+
-+      case VC_SM_CACHE_OP_INV:
-+              return dmac_inv_range;
-+
-+      case VC_SM_CACHE_OP_CLEAN:
-+              return dmac_clean_range;
-+
-+      case VC_SM_CACHE_OP_FLUSH:
-+              return dmac_flush_range;
-+
-+      default:
-+              pr_err("[%s]: Invalid cache_op: 0x%08x\n", __func__, cache_op);
-+              return NULL;
-+      }
-+}
-+
-+/*
-+ * Clean/invalid/flush cache of which buffer is already pinned (i.e. accessed).
-+ */
-+static int clean_invalid_contig_2d(const void __user *addr,
-+                                 const size_t block_count,
-+                                 const size_t block_size,
-+                                 const size_t stride,
-+                                 const unsigned int cache_op)
-+{
-+      size_t i;
-+      void (*op_fn)(const void *start, const void *end);
-+
-+      if (!block_size) {
-+              pr_err("[%s]: size cannot be 0\n", __func__);
-+              return -EINVAL;
-+      }
-+
-+      op_fn = cache_op_to_func(cache_op);
-+      if (!op_fn)
-+              return -EINVAL;
-+
-+      for (i = 0; i < block_count; i ++, addr += stride)
-+              op_fn(addr, addr + block_size);
-+
-+      return 0;
-+}
-+
-+static int vc_sm_cma_clean_invalid2(unsigned int cmdnr, unsigned long arg)
-+{
-+      struct vc_sm_cma_ioctl_clean_invalid2 ioparam;
-+      struct vc_sm_cma_ioctl_clean_invalid_block *block = NULL;
-+      int i, ret = 0;
-+
-+      /* Get parameter data. */
-+      if (copy_from_user(&ioparam, (void *)arg, sizeof(ioparam))) {
-+              pr_err("[%s]: failed to copy-from-user header for cmd %x\n",
-+                     __func__, cmdnr);
-+              return -EFAULT;
-+      }
-+      block = kmalloc(ioparam.op_count * sizeof(*block), GFP_KERNEL);
-+      if (!block)
-+              return -EFAULT;
-+
-+      if (copy_from_user(block, (void *)(arg + sizeof(ioparam)),
-+                         ioparam.op_count * sizeof(*block)) != 0) {
-+              pr_err("[%s]: failed to copy-from-user payload for cmd %x\n",
-+                     __func__, cmdnr);
-+              ret = -EFAULT;
-+              goto out;
-+      }
-+
-+      for (i = 0; i < ioparam.op_count; i++) {
-+              const struct vc_sm_cma_ioctl_clean_invalid_block * const op =
-+                                                              block + i;
-+
-+              if (op->invalidate_mode == VC_SM_CACHE_OP_NOP)
-+                      continue;
-+
-+              ret = clean_invalid_contig_2d((void __user *)op->start_address,
-+                                            op->block_count, op->block_size,
-+                                            op->inter_block_stride,
-+                                            op->invalidate_mode);
-+              if (ret)
-+                      break;
-+      }
-+out:
-+      kfree(block);
-+
-+      return ret;
-+}
-+#endif
-+
-+static long vc_sm_cma_ioctl(struct file *file, unsigned int cmd,
-+                          unsigned long arg)
-+{
-+      int ret = 0;
-+      unsigned int cmdnr = _IOC_NR(cmd);
-+      struct vc_sm_privdata_t *file_data =
-+          (struct vc_sm_privdata_t *)file->private_data;
-+
-+      /* Validate we can work with this device. */
-+      if (!sm_state || !file_data) {
-+              pr_err("[%s]: invalid device\n", __func__);
-+              return -EPERM;
-+      }
-+
-+      /* Action is a re-post of a previously interrupted action? */
-+      if (file_data->restart_sys == -EINTR) {
-+              struct vc_sm_action_clean_t action_clean;
-+
-+              pr_debug("[%s]: clean up of action %u (trans_id: %u) following EINTR\n",
-+                       __func__, file_data->int_action,
-+                       file_data->int_trans_id);
-+
-+              action_clean.res_action = file_data->int_action;
-+              action_clean.action_trans_id = file_data->int_trans_id;
-+
-+              file_data->restart_sys = 0;
-+      }
-+
-+      /* Now process the command. */
-+      switch (cmdnr) {
-+              /* New memory allocation.
-+               */
-+      case VC_SM_CMA_CMD_ALLOC:
-+      {
-+              struct vc_sm_cma_ioctl_alloc ioparam;
-+
-+              /* Get the parameter data. */
-+              if (copy_from_user
-+                  (&ioparam, (void *)arg, sizeof(ioparam)) != 0) {
-+                      pr_err("[%s]: failed to copy-from-user for cmd %x\n",
-+                             __func__, cmdnr);
-+                      ret = -EFAULT;
-+                      break;
-+              }
-+
-+              ret = vc_sm_cma_ioctl_alloc(file_data, &ioparam);
-+              if (!ret &&
-+                  (copy_to_user((void *)arg, &ioparam,
-+                                sizeof(ioparam)) != 0)) {
-+                      /* FIXME: Release allocation */
-+                      pr_err("[%s]: failed to copy-to-user for cmd %x\n",
-+                             __func__, cmdnr);
-+                      ret = -EFAULT;
-+              }
-+              break;
-+      }
-+
-+      case VC_SM_CMA_CMD_IMPORT_DMABUF:
-+      {
-+              struct vc_sm_cma_ioctl_import_dmabuf ioparam;
-+              struct dma_buf *new_dmabuf;
-+
-+              /* Get the parameter data. */
-+              if (copy_from_user
-+                  (&ioparam, (void *)arg, sizeof(ioparam)) != 0) {
-+                      pr_err("[%s]: failed to copy-from-user for cmd %x\n",
-+                             __func__, cmdnr);
-+                      ret = -EFAULT;
-+                      break;
-+              }
-+
-+              ret = vc_sm_cma_import_dmabuf_internal(file_data,
-+                                                     NULL,
-+                                                     ioparam.dmabuf_fd,
-+                                                     &new_dmabuf);
-+
-+              if (!ret) {
-+                      struct vc_sm_buffer *buf = new_dmabuf->priv;
-+
-+                      ioparam.size = buf->size;
-+                      ioparam.handle = dma_buf_fd(new_dmabuf,
-+                                                  O_CLOEXEC);
-+                      ioparam.vc_handle = buf->vc_handle;
-+                      ioparam.dma_addr = buf->dma_addr;
-+
-+                      if (ioparam.handle < 0 ||
-+                          (copy_to_user((void *)arg, &ioparam,
-+                                        sizeof(ioparam)) != 0)) {
-+                              dma_buf_put(new_dmabuf);
-+                              /* FIXME: Release allocation */
-+                              ret = -EFAULT;
-+                      }
-+              }
-+              break;
-+      }
-+
-+#ifndef CONFIG_ARM64
-+      /*
-+       * Flush/Invalidate the cache for a given mapping.
-+       * Blocks must be pinned (i.e. accessed) before this call.
-+       */
-+      case VC_SM_CMA_CMD_CLEAN_INVALID2:
-+              ret = vc_sm_cma_clean_invalid2(cmdnr, arg);
-+              break;
-+#endif
-+
-+      default:
-+              pr_debug("[%s]: cmd %x tgid %u, owner %u\n", __func__, cmdnr,
-+                       current->tgid, file_data->pid);
-+
-+              ret = -EINVAL;
-+              break;
-+      }
-+
-+      return ret;
-+}
-+
-+#ifdef CONFIG_COMPAT
-+struct vc_sm_cma_ioctl_clean_invalid2_32 {
-+      u32 op_count;
-+      struct vc_sm_cma_ioctl_clean_invalid_block_32 {
-+              u16 invalidate_mode;
-+              u16 block_count;
-+              compat_uptr_t start_address;
-+              u32 block_size;
-+              u32 inter_block_stride;
-+      } s[0];
-+};
-+
-+#define VC_SM_CMA_CMD_CLEAN_INVALID2_32\
-+      _IOR(VC_SM_CMA_MAGIC_TYPE, VC_SM_CMA_CMD_CLEAN_INVALID2,\
-+       struct vc_sm_cma_ioctl_clean_invalid2_32)
-+
-+static long vc_sm_cma_compat_ioctl(struct file *file, unsigned int cmd,
-+                                 unsigned long arg)
-+{
-+      switch (cmd) {
-+      case VC_SM_CMA_CMD_CLEAN_INVALID2_32:
-+              /* FIXME */
-+              return -EINVAL;
-+
-+      default:
-+              return vc_sm_cma_ioctl(file, cmd, arg);
-+      }
-+}
-+#endif
-+
-+/* Device operations that we managed in this driver. */
-+static const struct file_operations vc_sm_ops = {
-+      .owner = THIS_MODULE,
-+      .unlocked_ioctl = vc_sm_cma_ioctl,
-+#ifdef CONFIG_COMPAT
-+      .compat_ioctl = vc_sm_cma_compat_ioctl,
-+#endif
-+      .open = vc_sm_cma_open,
-+      .release = vc_sm_cma_release,
-+};
-+
-+/* Driver load/unload functions */
-+/* Videocore connected.  */
-+static void vc_sm_connected_init(void)
-+{
-+      int ret;
-+      VCHI_INSTANCE_T vchi_instance;
-+      struct vc_sm_version version;
-+      struct vc_sm_result_t version_result;
-+
-+      pr_info("[%s]: start\n", __func__);
-+
-+      /*
-+       * Initialize and create a VCHI connection for the shared memory service
-+       * running on videocore.
-+       */
-+      ret = vchi_initialise(&vchi_instance);
-+      if (ret) {
-+              pr_err("[%s]: failed to initialise VCHI instance (ret=%d)\n",
-+                     __func__, ret);
-+
-+              return;
-+      }
-+
-+      ret = vchi_connect(vchi_instance);
-+      if (ret) {
-+              pr_err("[%s]: failed to connect VCHI instance (ret=%d)\n",
-+                     __func__, ret);
-+
-+              return;
-+      }
-+
-+      /* Initialize an instance of the shared memory service. */
-+      sm_state->sm_handle = vc_sm_cma_vchi_init(vchi_instance, 1,
-+                                                vc_sm_vpu_event);
-+      if (!sm_state->sm_handle) {
-+              pr_err("[%s]: failed to initialize shared memory service\n",
-+                     __func__);
-+
-+              return;
-+      }
-+
-+      /* Create a debug fs directory entry (root). */
-+      sm_state->dir_root = debugfs_create_dir(VC_SM_DIR_ROOT_NAME, NULL);
-+
-+      sm_state->dir_state.show = &vc_sm_cma_global_state_show;
-+      sm_state->dir_state.dir_entry =
-+              debugfs_create_file(VC_SM_STATE, 0444, sm_state->dir_root,
-+                                  &sm_state->dir_state,
-+                                  &vc_sm_cma_debug_fs_fops);
-+
-+      INIT_LIST_HEAD(&sm_state->buffer_list);
-+
-+      /* Create a shared memory device. */
-+      sm_state->misc_dev.minor = MISC_DYNAMIC_MINOR;
-+      sm_state->misc_dev.name = DEVICE_NAME;
-+      sm_state->misc_dev.fops = &vc_sm_ops;
-+      sm_state->misc_dev.parent = NULL;
-+      /* Temporarily set as 666 until udev rules have been sorted */
-+      sm_state->misc_dev.mode = 0666;
-+      ret = misc_register(&sm_state->misc_dev);
-+      if (ret) {
-+              pr_err("vcsm-cma: failed to register misc device.\n");
-+              goto err_remove_debugfs;
-+      }
-+
-+      sm_state->data_knl = vc_sm_cma_create_priv_data(0);
-+      if (!sm_state->data_knl) {
-+              pr_err("[%s]: failed to create kernel private data tracker\n",
-+                     __func__);
-+              goto err_remove_misc_dev;
-+      }
-+
-+      version.version = 2;
-+      ret = vc_sm_cma_vchi_client_version(sm_state->sm_handle, &version,
-+                                          &version_result,
-+                                          &sm_state->int_trans_id);
-+      if (ret) {
-+              pr_err("[%s]: Failed to send version request %d\n", __func__,
-+                     ret);
-+      }
-+
-+      /* Done! */
-+      sm_inited = 1;
-+      pr_info("[%s]: installed successfully\n", __func__);
-+      return;
-+
-+err_remove_misc_dev:
-+      misc_deregister(&sm_state->misc_dev);
-+err_remove_debugfs:
-+      debugfs_remove_recursive(sm_state->dir_root);
-+      vc_sm_cma_vchi_stop(&sm_state->sm_handle);
-+}
-+
-+/* Driver loading. */
-+static int bcm2835_vc_sm_cma_probe(struct platform_device *pdev)
-+{
-+      pr_info("%s: Videocore shared memory driver\n", __func__);
-+
-+      sm_state = devm_kzalloc(&pdev->dev, sizeof(*sm_state), GFP_KERNEL);
-+      if (!sm_state)
-+              return -ENOMEM;
-+      sm_state->pdev = pdev;
-+      mutex_init(&sm_state->map_lock);
-+
-+      spin_lock_init(&sm_state->kernelid_map_lock);
-+      idr_init_base(&sm_state->kernelid_map, 1);
-+
-+      pdev->dev.dma_parms = devm_kzalloc(&pdev->dev,
-+                                         sizeof(*pdev->dev.dma_parms),
-+                                         GFP_KERNEL);
-+      /* dma_set_max_seg_size checks if dma_parms is NULL. */
-+      dma_set_max_seg_size(&pdev->dev, 0x3FFFFFFF);
-+
-+      vchiq_add_connected_callback(vc_sm_connected_init);
-+      return 0;
-+}
-+
-+/* Driver unloading. */
-+static int bcm2835_vc_sm_cma_remove(struct platform_device *pdev)
-+{
-+      pr_debug("[%s]: start\n", __func__);
-+      if (sm_inited) {
-+              misc_deregister(&sm_state->misc_dev);
-+
-+              /* Remove all proc entries. */
-+              debugfs_remove_recursive(sm_state->dir_root);
-+
-+              /* Stop the videocore shared memory service. */
-+              vc_sm_cma_vchi_stop(&sm_state->sm_handle);
-+      }
-+
-+      if (sm_state) {
-+              idr_destroy(&sm_state->kernelid_map);
-+
-+              /* Free the memory for the state structure. */
-+              mutex_destroy(&sm_state->map_lock);
-+      }
-+
-+      pr_debug("[%s]: end\n", __func__);
-+      return 0;
-+}
-+
-+/* Kernel API calls */
-+/* Get an internal resource handle mapped from the external one. */
-+int vc_sm_cma_int_handle(void *handle)
-+{
-+      struct dma_buf *dma_buf = (struct dma_buf *)handle;
-+      struct vc_sm_buffer *buf;
-+
-+      /* Validate we can work with this device. */
-+      if (!sm_state || !handle) {
-+              pr_err("[%s]: invalid input\n", __func__);
-+              return 0;
-+      }
-+
-+      buf = (struct vc_sm_buffer *)dma_buf->priv;
-+      return buf->vc_handle;
-+}
-+EXPORT_SYMBOL_GPL(vc_sm_cma_int_handle);
-+
-+/* Free a previously allocated shared memory handle and block. */
-+int vc_sm_cma_free(void *handle)
-+{
-+      struct dma_buf *dma_buf = (struct dma_buf *)handle;
-+
-+      /* Validate we can work with this device. */
-+      if (!sm_state || !handle) {
-+              pr_err("[%s]: invalid input\n", __func__);
-+              return -EPERM;
-+      }
-+
-+      pr_debug("%s: handle %p/dmabuf %p\n", __func__, handle, dma_buf);
-+
-+      dma_buf_put(dma_buf);
-+
-+      return 0;
-+}
-+EXPORT_SYMBOL_GPL(vc_sm_cma_free);
-+
-+/* Import a dmabuf to be shared with VC. */
-+int vc_sm_cma_import_dmabuf(struct dma_buf *src_dmabuf, void **handle)
-+{
-+      struct dma_buf *new_dma_buf;
-+      struct vc_sm_buffer *buf;
-+      int ret;
-+
-+      /* Validate we can work with this device. */
-+      if (!sm_state || !src_dmabuf || !handle) {
-+              pr_err("[%s]: invalid input\n", __func__);
-+              return -EPERM;
-+      }
-+
-+      ret = vc_sm_cma_import_dmabuf_internal(sm_state->data_knl, src_dmabuf,
-+                                             -1, &new_dma_buf);
-+
-+      if (!ret) {
-+              pr_debug("%s: imported to ptr %p\n", __func__, new_dma_buf);
-+              buf = (struct vc_sm_buffer *)new_dma_buf->priv;
-+
-+              /* Assign valid handle at this time.*/
-+              *handle = new_dma_buf;
-+      } else {
-+              /*
-+               * succeeded in importing the dma_buf, but then
-+               * failed to look it up again. How?
-+               * Release the fd again.
-+               */
-+              pr_err("%s: imported vc_sm_cma_get_buffer failed %d\n",
-+                     __func__, ret);
-+      }
-+
-+      return ret;
-+}
-+EXPORT_SYMBOL_GPL(vc_sm_cma_import_dmabuf);
-+
-+static struct platform_driver bcm2835_vcsm_cma_driver = {
-+      .probe = bcm2835_vc_sm_cma_probe,
-+      .remove = bcm2835_vc_sm_cma_remove,
-+      .driver = {
-+                 .name = DEVICE_NAME,
-+                 .owner = THIS_MODULE,
-+                 },
-+};
-+
-+module_platform_driver(bcm2835_vcsm_cma_driver);
-+
-+MODULE_AUTHOR("Dave Stevenson");
-+MODULE_DESCRIPTION("VideoCore CMA Shared Memory Driver");
-+MODULE_LICENSE("GPL v2");
-+MODULE_ALIAS("platform:vcsm-cma");
---- /dev/null
-+++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm.h
-@@ -0,0 +1,84 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+
-+/*
-+ * VideoCore Shared Memory driver using CMA.
-+ *
-+ * Copyright: 2018, Raspberry Pi (Trading) Ltd
-+ *
-+ */
-+
-+#ifndef VC_SM_H
-+#define VC_SM_H
-+
-+#include <linux/device.h>
-+#include <linux/dma-direction.h>
-+#include <linux/kref.h>
-+#include <linux/mm_types.h>
-+#include <linux/mutex.h>
-+#include <linux/rbtree.h>
-+#include <linux/sched.h>
-+#include <linux/shrinker.h>
-+#include <linux/types.h>
-+#include <linux/miscdevice.h>
-+
-+#define VC_SM_MAX_NAME_LEN 32
-+
-+enum vc_sm_vpu_mapping_state {
-+      VPU_NOT_MAPPED,
-+      VPU_MAPPED,
-+      VPU_UNMAPPING
-+};
-+
-+struct vc_sm_alloc_data {
-+      unsigned long num_pages;
-+      void *priv_virt;
-+      struct sg_table *sg_table;
-+};
-+
-+struct vc_sm_imported {
-+      struct dma_buf *dma_buf;
-+      struct dma_buf_attachment *attach;
-+      struct sg_table *sgt;
-+};
-+
-+struct vc_sm_buffer {
-+      struct list_head global_buffer_list;    /* Global list of buffers. */
-+
-+      /* Index in the kernel_id idr so that we can find the
-+       * mmal_msg_context again when servicing the VCHI reply.
-+       */
-+      int kernel_id;
-+
-+      size_t size;
-+
-+      /* Lock over all the following state for this buffer */
-+      struct mutex lock;
-+      struct list_head attachments;
-+
-+      char name[VC_SM_MAX_NAME_LEN];
-+
-+      int in_use:1;   /* Kernel is still using this resource */
-+      int imported:1; /* Imported dmabuf */
-+
-+      enum vc_sm_vpu_mapping_state vpu_state;
-+      u32 vc_handle;  /* VideoCore handle for this buffer */
-+      int vpu_allocated;      /*
-+                               * The VPU made this allocation. Release the
-+                               * local dma_buf when the VPU releases the
-+                               * resource.
-+                               */
-+
-+      /* DMABUF related fields */
-+      struct dma_buf *dma_buf;
-+      dma_addr_t dma_addr;
-+      void *cookie;
-+
-+      struct vc_sm_privdata_t *private;
-+
-+      union {
-+              struct vc_sm_alloc_data alloc;
-+              struct vc_sm_imported import;
-+      };
-+};
-+
-+#endif
---- /dev/null
-+++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.c
-@@ -0,0 +1,505 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * VideoCore Shared Memory CMA allocator
-+ *
-+ * Copyright: 2018, Raspberry Pi (Trading) Ltd
-+ * Copyright 2011-2012 Broadcom Corporation.  All rights reserved.
-+ *
-+ * Based on vmcs_sm driver from Broadcom Corporation.
-+ *
-+ */
-+
-+/* ---- Include Files ----------------------------------------------------- */
-+#include <linux/completion.h>
-+#include <linux/kernel.h>
-+#include <linux/kthread.h>
-+#include <linux/list.h>
-+#include <linux/mutex.h>
-+#include <linux/semaphore.h>
-+#include <linux/slab.h>
-+#include <linux/types.h>
-+
-+#include "vc_sm_cma_vchi.h"
-+
-+#define VC_SM_VER  1
-+#define VC_SM_MIN_VER 0
-+
-+/* ---- Private Constants and Types -------------------------------------- */
-+
-+/* Command blocks come from a pool */
-+#define SM_MAX_NUM_CMD_RSP_BLKS 32
-+
-+struct sm_cmd_rsp_blk {
-+      struct list_head head;  /* To create lists */
-+      /* To be signaled when the response is there */
-+      struct completion cmplt;
-+
-+      u16 id;
-+      u16 length;
-+
-+      u8 msg[VC_SM_MAX_MSG_LEN];
-+
-+      uint32_t wait:1;
-+      uint32_t sent:1;
-+      uint32_t alloc:1;
-+
-+};
-+
-+struct sm_instance {
-+      u32 num_connections;
-+      VCHI_SERVICE_HANDLE_T vchi_handle[VCHI_MAX_NUM_CONNECTIONS];
-+      struct task_struct *io_thread;
-+      struct completion io_cmplt;
-+
-+      vpu_event_cb vpu_event;
-+
-+      /* Mutex over the following lists */
-+      struct mutex lock;
-+      u32 trans_id;
-+      struct list_head cmd_list;
-+      struct list_head rsp_list;
-+      struct list_head dead_list;
-+
-+      struct sm_cmd_rsp_blk free_blk[SM_MAX_NUM_CMD_RSP_BLKS];
-+
-+      /* Mutex over the free_list */
-+      struct mutex free_lock;
-+      struct list_head free_list;
-+
-+      struct semaphore free_sema;
-+
-+};
-+
-+/* ---- Private Variables ------------------------------------------------ */
-+
-+/* ---- Private Function Prototypes -------------------------------------- */
-+
-+/* ---- Private Functions ------------------------------------------------ */
-+static int
-+bcm2835_vchi_msg_queue(VCHI_SERVICE_HANDLE_T handle,
-+                     void *data,
-+                     unsigned int size)
-+{
-+      return vchi_queue_kernel_message(handle,
-+                                       data,
-+                                       size);
-+}
-+
-+static struct
-+sm_cmd_rsp_blk *vc_vchi_cmd_create(struct sm_instance *instance,
-+                                 enum vc_sm_msg_type id, void *msg,
-+                                 u32 size, int wait)
-+{
-+      struct sm_cmd_rsp_blk *blk;
-+      struct vc_sm_msg_hdr_t *hdr;
-+
-+      if (down_interruptible(&instance->free_sema)) {
-+              blk = kmalloc(sizeof(*blk), GFP_KERNEL);
-+              if (!blk)
-+                      return NULL;
-+
-+              blk->alloc = 1;
-+              init_completion(&blk->cmplt);
-+      } else {
-+              mutex_lock(&instance->free_lock);
-+              blk =
-+                  list_first_entry(&instance->free_list,
-+                                   struct sm_cmd_rsp_blk, head);
-+              list_del(&blk->head);
-+              mutex_unlock(&instance->free_lock);
-+      }
-+
-+      blk->sent = 0;
-+      blk->wait = wait;
-+      blk->length = sizeof(*hdr) + size;
-+
-+      hdr = (struct vc_sm_msg_hdr_t *)blk->msg;
-+      hdr->type = id;
-+      mutex_lock(&instance->lock);
-+      instance->trans_id++;
-+      /*
-+       * Retain the top bit for identifying asynchronous events, or VPU cmds.
-+       */
-+      instance->trans_id &= ~0x80000000;
-+      hdr->trans_id = instance->trans_id;
-+      blk->id = instance->trans_id;
-+      mutex_unlock(&instance->lock);
-+
-+      if (size)
-+              memcpy(hdr->body, msg, size);
-+
-+      return blk;
-+}
-+
-+static void
-+vc_vchi_cmd_delete(struct sm_instance *instance, struct sm_cmd_rsp_blk *blk)
-+{
-+      if (blk->alloc) {
-+              kfree(blk);
-+              return;
-+      }
-+
-+      mutex_lock(&instance->free_lock);
-+      list_add(&blk->head, &instance->free_list);
-+      mutex_unlock(&instance->free_lock);
-+      up(&instance->free_sema);
-+}
-+
-+static void vc_sm_cma_vchi_rx_ack(struct sm_instance *instance,
-+                                struct sm_cmd_rsp_blk *cmd,
-+                                struct vc_sm_result_t *reply,
-+                                u32 reply_len)
-+{
-+      mutex_lock(&instance->lock);
-+      list_for_each_entry(cmd,
-+                          &instance->rsp_list,
-+                          head) {
-+              if (cmd->id == reply->trans_id)
-+                      break;
-+      }
-+      mutex_unlock(&instance->lock);
-+
-+      if (&cmd->head == &instance->rsp_list) {
-+              //pr_debug("%s: received response %u, throw away...",
-+              pr_err("%s: received response %u, throw away...",
-+                     __func__,
-+                     reply->trans_id);
-+      } else if (reply_len > sizeof(cmd->msg)) {
-+              pr_err("%s: reply too big (%u) %u, throw away...",
-+                     __func__, reply_len,
-+                   reply->trans_id);
-+      } else {
-+              memcpy(cmd->msg, reply,
-+                     reply_len);
-+              complete(&cmd->cmplt);
-+      }
-+}
-+
-+static int vc_sm_cma_vchi_videocore_io(void *arg)
-+{
-+      struct sm_instance *instance = arg;
-+      struct sm_cmd_rsp_blk *cmd = NULL, *cmd_tmp;
-+      struct vc_sm_result_t *reply;
-+      u32 reply_len;
-+      s32 status;
-+      int svc_use = 1;
-+
-+      while (1) {
-+              if (svc_use)
-+                      vchi_service_release(instance->vchi_handle[0]);
-+              svc_use = 0;
-+
-+              if (wait_for_completion_interruptible(&instance->io_cmplt))
-+                      continue;
-+
-+              vchi_service_use(instance->vchi_handle[0]);
-+              svc_use = 1;
-+
-+              do {
-+                      /*
-+                       * Get new command and move it to response list
-+                       */
-+                      mutex_lock(&instance->lock);
-+                      if (list_empty(&instance->cmd_list)) {
-+                              /* no more commands to process */
-+                              mutex_unlock(&instance->lock);
-+                              break;
-+                      }
-+                      cmd = list_first_entry(&instance->cmd_list,
-+                                             struct sm_cmd_rsp_blk, head);
-+                      list_move(&cmd->head, &instance->rsp_list);
-+                      cmd->sent = 1;
-+                      mutex_unlock(&instance->lock);
-+
-+                      /* Send the command */
-+                      status =
-+                              bcm2835_vchi_msg_queue(instance->vchi_handle[0],
-+                                                     cmd->msg, cmd->length);
-+                      if (status) {
-+                              pr_err("%s: failed to queue message (%d)",
-+                                     __func__, status);
-+                      }
-+
-+                      /* If no reply is needed then we're done */
-+                      if (!cmd->wait) {
-+                              mutex_lock(&instance->lock);
-+                              list_del(&cmd->head);
-+                              mutex_unlock(&instance->lock);
-+                              vc_vchi_cmd_delete(instance, cmd);
-+                              continue;
-+                      }
-+
-+                      if (status) {
-+                              complete(&cmd->cmplt);
-+                              continue;
-+                      }
-+
-+              } while (1);
-+
-+              while (!vchi_msg_peek(instance->vchi_handle[0], (void **)&reply,
-+                                    &reply_len, VCHI_FLAGS_NONE)) {
-+                      if (reply->trans_id & 0x80000000) {
-+                              /* Async event or cmd from the VPU */
-+                              if (instance->vpu_event)
-+                                      instance->vpu_event(instance, reply,
-+                                                          reply_len);
-+                      } else {
-+                              vc_sm_cma_vchi_rx_ack(instance, cmd, reply,
-+                                                    reply_len);
-+                      }
-+
-+                      vchi_msg_remove(instance->vchi_handle[0]);
-+              }
-+
-+              /* Go through the dead list and free them */
-+              mutex_lock(&instance->lock);
-+              list_for_each_entry_safe(cmd, cmd_tmp, &instance->dead_list,
-+                                       head) {
-+                      list_del(&cmd->head);
-+                      vc_vchi_cmd_delete(instance, cmd);
-+              }
-+              mutex_unlock(&instance->lock);
-+      }
-+
-+      return 0;
-+}
-+
-+static void vc_sm_cma_vchi_callback(void *param,
-+                                  const VCHI_CALLBACK_REASON_T reason,
-+                                  void *msg_handle)
-+{
-+      struct sm_instance *instance = param;
-+
-+      (void)msg_handle;
-+
-+      switch (reason) {
-+      case VCHI_CALLBACK_MSG_AVAILABLE:
-+              complete(&instance->io_cmplt);
-+              break;
-+
-+      case VCHI_CALLBACK_SERVICE_CLOSED:
-+              pr_info("%s: service CLOSED!!", __func__);
-+      default:
-+              break;
-+      }
-+}
-+
-+struct sm_instance *vc_sm_cma_vchi_init(VCHI_INSTANCE_T vchi_instance,
-+                                      unsigned int num_connections,
-+                                      vpu_event_cb vpu_event)
-+{
-+      u32 i;
-+      struct sm_instance *instance;
-+      int status;
-+
-+      pr_debug("%s: start", __func__);
-+
-+      if (num_connections > VCHI_MAX_NUM_CONNECTIONS) {
-+              pr_err("%s: unsupported number of connections %u (max=%u)",
-+                     __func__, num_connections, VCHI_MAX_NUM_CONNECTIONS);
-+
-+              goto err_null;
-+      }
-+      /* Allocate memory for this instance */
-+      instance = kzalloc(sizeof(*instance), GFP_KERNEL);
-+
-+      /* Misc initialisations */
-+      mutex_init(&instance->lock);
-+      init_completion(&instance->io_cmplt);
-+      INIT_LIST_HEAD(&instance->cmd_list);
-+      INIT_LIST_HEAD(&instance->rsp_list);
-+      INIT_LIST_HEAD(&instance->dead_list);
-+      INIT_LIST_HEAD(&instance->free_list);
-+      sema_init(&instance->free_sema, SM_MAX_NUM_CMD_RSP_BLKS);
-+      mutex_init(&instance->free_lock);
-+      for (i = 0; i < SM_MAX_NUM_CMD_RSP_BLKS; i++) {
-+              init_completion(&instance->free_blk[i].cmplt);
-+              list_add(&instance->free_blk[i].head, &instance->free_list);
-+      }
-+
-+      /* Open the VCHI service connections */
-+      instance->num_connections = num_connections;
-+      for (i = 0; i < num_connections; i++) {
-+              struct service_creation params = {
-+                      .version = VCHI_VERSION_EX(VC_SM_VER, VC_SM_MIN_VER),
-+                      .service_id = VC_SM_SERVER_NAME,
-+                      .callback = vc_sm_cma_vchi_callback,
-+                      .callback_param = instance,
-+              };
-+
-+              status = vchi_service_open(vchi_instance,
-+                                         &params, &instance->vchi_handle[i]);
-+              if (status) {
-+                      pr_err("%s: failed to open VCHI service (%d)",
-+                             __func__, status);
-+
-+                      goto err_close_services;
-+              }
-+      }
-+
-+      /* Create the thread which takes care of all io to/from videoocore. */
-+      instance->io_thread = kthread_create(&vc_sm_cma_vchi_videocore_io,
-+                                           (void *)instance, "SMIO");
-+      if (!instance->io_thread) {
-+              pr_err("%s: failed to create SMIO thread", __func__);
-+
-+              goto err_close_services;
-+      }
-+      instance->vpu_event = vpu_event;
-+      set_user_nice(instance->io_thread, -10);
-+      wake_up_process(instance->io_thread);
-+
-+      pr_debug("%s: success - instance %p", __func__, instance);
-+      return instance;
-+
-+err_close_services:
-+      for (i = 0; i < instance->num_connections; i++) {
-+              if (instance->vchi_handle[i])
-+                      vchi_service_close(instance->vchi_handle[i]);
-+      }
-+      kfree(instance);
-+err_null:
-+      pr_debug("%s: FAILED", __func__);
-+      return NULL;
-+}
-+
-+int vc_sm_cma_vchi_stop(struct sm_instance **handle)
-+{
-+      struct sm_instance *instance;
-+      u32 i;
-+
-+      if (!handle) {
-+              pr_err("%s: invalid pointer to handle %p", __func__, handle);
-+              goto lock;
-+      }
-+
-+      if (!*handle) {
-+              pr_err("%s: invalid handle %p", __func__, *handle);
-+              goto lock;
-+      }
-+
-+      instance = *handle;
-+
-+      /* Close all VCHI service connections */
-+      for (i = 0; i < instance->num_connections; i++) {
-+              s32 success;
-+
-+              vchi_service_use(instance->vchi_handle[i]);
-+
-+              success = vchi_service_close(instance->vchi_handle[i]);
-+      }
-+
-+      kfree(instance);
-+
-+      *handle = NULL;
-+      return 0;
-+
-+lock:
-+      return -EINVAL;
-+}
-+
-+static int vc_sm_cma_vchi_send_msg(struct sm_instance *handle,
-+                                 enum vc_sm_msg_type msg_id, void *msg,
-+                                 u32 msg_size, void *result, u32 result_size,
-+                                 u32 *cur_trans_id, u8 wait_reply)
-+{
-+      int status = 0;
-+      struct sm_instance *instance = handle;
-+      struct sm_cmd_rsp_blk *cmd_blk;
-+
-+      if (!handle) {
-+              pr_err("%s: invalid handle", __func__);
-+              return -EINVAL;
-+      }
-+      if (!msg) {
-+              pr_err("%s: invalid msg pointer", __func__);
-+              return -EINVAL;
-+      }
-+
-+      cmd_blk =
-+          vc_vchi_cmd_create(instance, msg_id, msg, msg_size, wait_reply);
-+      if (!cmd_blk) {
-+              pr_err("[%s]: failed to allocate global tracking resource",
-+                     __func__);
-+              return -ENOMEM;
-+      }
-+
-+      if (cur_trans_id)
-+              *cur_trans_id = cmd_blk->id;
-+
-+      mutex_lock(&instance->lock);
-+      list_add_tail(&cmd_blk->head, &instance->cmd_list);
-+      mutex_unlock(&instance->lock);
-+      complete(&instance->io_cmplt);
-+
-+      if (!wait_reply)
-+              /* We're done */
-+              return 0;
-+
-+      /* Wait for the response */
-+      if (wait_for_completion_interruptible(&cmd_blk->cmplt)) {
-+              mutex_lock(&instance->lock);
-+              if (!cmd_blk->sent) {
-+                      list_del(&cmd_blk->head);
-+                      mutex_unlock(&instance->lock);
-+                      vc_vchi_cmd_delete(instance, cmd_blk);
-+                      return -ENXIO;
-+              }
-+
-+              list_move(&cmd_blk->head, &instance->dead_list);
-+              mutex_unlock(&instance->lock);
-+              complete(&instance->io_cmplt);
-+              return -EINTR;  /* We're done */
-+      }
-+
-+      if (result && result_size) {
-+              memcpy(result, cmd_blk->msg, result_size);
-+      } else {
-+              struct vc_sm_result_t *res =
-+                      (struct vc_sm_result_t *)cmd_blk->msg;
-+              status = (res->success == 0) ? 0 : -ENXIO;
-+      }
-+
-+      mutex_lock(&instance->lock);
-+      list_del(&cmd_blk->head);
-+      mutex_unlock(&instance->lock);
-+      vc_vchi_cmd_delete(instance, cmd_blk);
-+      return status;
-+}
-+
-+int vc_sm_cma_vchi_free(struct sm_instance *handle, struct vc_sm_free_t *msg,
-+                      u32 *cur_trans_id)
-+{
-+      return vc_sm_cma_vchi_send_msg(handle, VC_SM_MSG_TYPE_FREE,
-+                                 msg, sizeof(*msg), 0, 0, cur_trans_id, 0);
-+}
-+
-+int vc_sm_cma_vchi_import(struct sm_instance *handle, struct vc_sm_import *msg,
-+                        struct vc_sm_import_result *result, u32 *cur_trans_id)
-+{
-+      return vc_sm_cma_vchi_send_msg(handle, VC_SM_MSG_TYPE_IMPORT,
-+                                 msg, sizeof(*msg), result, sizeof(*result),
-+                                 cur_trans_id, 1);
-+}
-+
-+int vc_sm_cma_vchi_client_version(struct sm_instance *handle,
-+                                struct vc_sm_version *msg,
-+                                struct vc_sm_result_t *result,
-+                                u32 *cur_trans_id)
-+{
-+      return vc_sm_cma_vchi_send_msg(handle, VC_SM_MSG_TYPE_CLIENT_VERSION,
-+                                 //msg, sizeof(*msg), result, sizeof(*result),
-+                                 //cur_trans_id, 1);
-+                                 msg, sizeof(*msg), NULL, 0,
-+                                 cur_trans_id, 0);
-+}
-+
-+int vc_sm_vchi_client_vc_mem_req_reply(struct sm_instance *handle,
-+                                     struct vc_sm_vc_mem_request_result *msg,
-+                                     uint32_t *cur_trans_id)
-+{
-+      return vc_sm_cma_vchi_send_msg(handle,
-+                                     VC_SM_MSG_TYPE_VC_MEM_REQUEST_REPLY,
-+                                     msg, sizeof(*msg), 0, 0, cur_trans_id,
-+                                     0);
-+}
---- /dev/null
-+++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.h
-@@ -0,0 +1,63 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+
-+/*
-+ * VideoCore Shared Memory CMA allocator
-+ *
-+ * Copyright: 2018, Raspberry Pi (Trading) Ltd
-+ * Copyright 2011-2012 Broadcom Corporation.  All rights reserved.
-+ *
-+ * Based on vmcs_sm driver from Broadcom Corporation.
-+ *
-+ */
-+
-+#ifndef __VC_SM_CMA_VCHI_H__INCLUDED__
-+#define __VC_SM_CMA_VCHI_H__INCLUDED__
-+
-+#include "interface/vchi/vchi.h"
-+
-+#include "vc_sm_defs.h"
-+
-+/*
-+ * Forward declare.
-+ */
-+struct sm_instance;
-+
-+typedef void (*vpu_event_cb)(struct sm_instance *instance,
-+                           struct vc_sm_result_t *reply, int reply_len);
-+
-+/*
-+ * Initialize the shared memory service, opens up vchi connection to talk to it.
-+ */
-+struct sm_instance *vc_sm_cma_vchi_init(VCHI_INSTANCE_T vchi_instance,
-+                                      unsigned int num_connections,
-+                                      vpu_event_cb vpu_event);
-+
-+/*
-+ * Terminates the shared memory service.
-+ */
-+int vc_sm_cma_vchi_stop(struct sm_instance **handle);
-+
-+/*
-+ * Ask the shared memory service to free up some memory that was previously
-+ * allocated by the vc_sm_cma_vchi_alloc function call.
-+ */
-+int vc_sm_cma_vchi_free(struct sm_instance *handle, struct vc_sm_free_t *msg,
-+                      u32 *cur_trans_id);
-+
-+/*
-+ * Import a contiguous block of memory and wrap it in a GPU MEM_HANDLE_T.
-+ */
-+int vc_sm_cma_vchi_import(struct sm_instance *handle, struct vc_sm_import *msg,
-+                        struct vc_sm_import_result *result,
-+                        u32 *cur_trans_id);
-+
-+int vc_sm_cma_vchi_client_version(struct sm_instance *handle,
-+                                struct vc_sm_version *msg,
-+                                struct vc_sm_result_t *result,
-+                                u32 *cur_trans_id);
-+
-+int vc_sm_vchi_client_vc_mem_req_reply(struct sm_instance *handle,
-+                                     struct vc_sm_vc_mem_request_result *msg,
-+                                     uint32_t *cur_trans_id);
-+
-+#endif /* __VC_SM_CMA_VCHI_H__INCLUDED__ */
---- /dev/null
-+++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm_defs.h
-@@ -0,0 +1,300 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+
-+/*
-+ * VideoCore Shared Memory CMA allocator
-+ *
-+ * Copyright: 2018, Raspberry Pi (Trading) Ltd
-+ *
-+ * Based on vc_sm_defs.h from the vmcs_sm driver Copyright Broadcom Corporation.
-+ * All IPC messages are copied across to this file, even if the vc-sm-cma
-+ * driver is not currently using them.
-+ *
-+ ****************************************************************************
-+ */
-+
-+#ifndef __VC_SM_DEFS_H__INCLUDED__
-+#define __VC_SM_DEFS_H__INCLUDED__
-+
-+/* FourCC code used for VCHI connection */
-+#define VC_SM_SERVER_NAME MAKE_FOURCC("SMEM")
-+
-+/* Maximum message length */
-+#define VC_SM_MAX_MSG_LEN (sizeof(union vc_sm_msg_union_t) + \
-+      sizeof(struct vc_sm_msg_hdr_t))
-+#define VC_SM_MAX_RSP_LEN (sizeof(union vc_sm_msg_union_t))
-+
-+/* Resource name maximum size */
-+#define VC_SM_RESOURCE_NAME 32
-+
-+/*
-+ * Version to be reported to the VPU
-+ * VPU assumes 0 (aka 1) which does not require the released callback, nor
-+ * expect the client to handle VC_MEM_REQUESTS.
-+ * Version 2 requires the released callback, and must support VC_MEM_REQUESTS.
-+ */
-+#define VC_SM_PROTOCOL_VERSION        2
-+
-+enum vc_sm_msg_type {
-+      /* Message types supported for HOST->VC direction */
-+
-+      /* Allocate shared memory block */
-+      VC_SM_MSG_TYPE_ALLOC,
-+      /* Lock allocated shared memory block */
-+      VC_SM_MSG_TYPE_LOCK,
-+      /* Unlock allocated shared memory block */
-+      VC_SM_MSG_TYPE_UNLOCK,
-+      /* Unlock allocated shared memory block, do not answer command */
-+      VC_SM_MSG_TYPE_UNLOCK_NOANS,
-+      /* Free shared memory block */
-+      VC_SM_MSG_TYPE_FREE,
-+      /* Resize a shared memory block */
-+      VC_SM_MSG_TYPE_RESIZE,
-+      /* Walk the allocated shared memory block(s) */
-+      VC_SM_MSG_TYPE_WALK_ALLOC,
-+
-+      /* A previously applied action will need to be reverted */
-+      VC_SM_MSG_TYPE_ACTION_CLEAN,
-+
-+      /*
-+       * Import a physical address and wrap into a MEM_HANDLE_T.
-+       * Release with VC_SM_MSG_TYPE_FREE.
-+       */
-+      VC_SM_MSG_TYPE_IMPORT,
-+      /*
-+       *Tells VC the protocol version supported by this client.
-+       * 2 supports the async/cmd messages from the VPU for final release
-+       * of memory, and for VC allocations.
-+       */
-+      VC_SM_MSG_TYPE_CLIENT_VERSION,
-+      /* Response to VC request for memory */
-+      VC_SM_MSG_TYPE_VC_MEM_REQUEST_REPLY,
-+
-+      /*
-+       * Asynchronous/cmd messages supported for VC->HOST direction.
-+       * Signalled by setting the top bit in vc_sm_result_t trans_id.
-+       */
-+
-+      /*
-+       * VC has finished with an imported memory allocation.
-+       * Release any Linux reference counts on the underlying block.
-+       */
-+      VC_SM_MSG_TYPE_RELEASED,
-+      /* VC request for memory */
-+      VC_SM_MSG_TYPE_VC_MEM_REQUEST,
-+
-+      VC_SM_MSG_TYPE_MAX
-+};
-+
-+/* Type of memory to be allocated */
-+enum vc_sm_alloc_type_t {
-+      VC_SM_ALLOC_CACHED,
-+      VC_SM_ALLOC_NON_CACHED,
-+};
-+
-+/* Message header for all messages in HOST->VC direction */
-+struct vc_sm_msg_hdr_t {
-+      u32 type;
-+      u32 trans_id;
-+      u8 body[0];
-+
-+};
-+
-+/* Request to allocate memory (HOST->VC) */
-+struct vc_sm_alloc_t {
-+      /* type of memory to allocate */
-+      enum vc_sm_alloc_type_t type;
-+      /* byte amount of data to allocate per unit */
-+      u32 base_unit;
-+      /* number of unit to allocate */
-+      u32 num_unit;
-+      /* alignment to be applied on allocation */
-+      u32 alignment;
-+      /* identity of who allocated this block */
-+      u32 allocator;
-+      /* resource name (for easier tracking on vc side) */
-+      char name[VC_SM_RESOURCE_NAME];
-+
-+};
-+
-+/* Result of a requested memory allocation (VC->HOST) */
-+struct vc_sm_alloc_result_t {
-+      /* Transaction identifier */
-+      u32 trans_id;
-+
-+      /* Resource handle */
-+      u32 res_handle;
-+      /* Pointer to resource buffer */
-+      u32 res_mem;
-+      /* Resource base size (bytes) */
-+      u32 res_base_size;
-+      /* Resource number */
-+      u32 res_num;
-+
-+};
-+
-+/* Request to free a previously allocated memory (HOST->VC) */
-+struct vc_sm_free_t {
-+      /* Resource handle (returned from alloc) */
-+      u32 res_handle;
-+      /* Resource buffer (returned from alloc) */
-+      u32 res_mem;
-+
-+};
-+
-+/* Request to lock a previously allocated memory (HOST->VC) */
-+struct vc_sm_lock_unlock_t {
-+      /* Resource handle (returned from alloc) */
-+      u32 res_handle;
-+      /* Resource buffer (returned from alloc) */
-+      u32 res_mem;
-+
-+};
-+
-+/* Request to resize a previously allocated memory (HOST->VC) */
-+struct vc_sm_resize_t {
-+      /* Resource handle (returned from alloc) */
-+      u32 res_handle;
-+      /* Resource buffer (returned from alloc) */
-+      u32 res_mem;
-+      /* Resource *new* size requested (bytes) */
-+      u32 res_new_size;
-+
-+};
-+
-+/* Result of a requested memory lock (VC->HOST) */
-+struct vc_sm_lock_result_t {
-+      /* Transaction identifier */
-+      u32 trans_id;
-+
-+      /* Resource handle */
-+      u32 res_handle;
-+      /* Pointer to resource buffer */
-+      u32 res_mem;
-+      /*
-+       * Pointer to former resource buffer if the memory
-+       * was reallocated
-+       */
-+      u32 res_old_mem;
-+
-+};
-+
-+/* Generic result for a request (VC->HOST) */
-+struct vc_sm_result_t {
-+      /* Transaction identifier */
-+      u32 trans_id;
-+
-+      s32 success;
-+
-+};
-+
-+/* Request to revert a previously applied action (HOST->VC) */
-+struct vc_sm_action_clean_t {
-+      /* Action of interest */
-+      enum vc_sm_msg_type res_action;
-+      /* Transaction identifier for the action of interest */
-+      u32 action_trans_id;
-+
-+};
-+
-+/* Request to remove all data associated with a given allocator (HOST->VC) */
-+struct vc_sm_free_all_t {
-+      /* Allocator identifier */
-+      u32 allocator;
-+};
-+
-+/* Request to import memory (HOST->VC) */
-+struct vc_sm_import {
-+      /* type of memory to allocate */
-+      enum vc_sm_alloc_type_t type;
-+      /* pointer to the VC (ie physical) address of the allocated memory */
-+      u32 addr;
-+      /* size of buffer */
-+      u32 size;
-+      /* opaque handle returned in RELEASED messages */
-+      u32 kernel_id;
-+      /* Allocator identifier */
-+      u32 allocator;
-+      /* resource name (for easier tracking on vc side) */
-+      char     name[VC_SM_RESOURCE_NAME];
-+};
-+
-+/* Result of a requested memory import (VC->HOST) */
-+struct vc_sm_import_result {
-+      /* Transaction identifier */
-+      u32 trans_id;
-+
-+      /* Resource handle */
-+      u32 res_handle;
-+};
-+
-+/* Notification that VC has finished with an allocation (VC->HOST) */
-+struct vc_sm_released {
-+      /* cmd type / trans_id */
-+      u32 cmd;
-+
-+      /* pointer to the VC (ie physical) address of the allocated memory */
-+      u32 addr;
-+      /* size of buffer */
-+      u32 size;
-+      /* opaque handle returned in RELEASED messages */
-+      u32 kernel_id;
-+      u32 vc_handle;
-+};
-+
-+/*
-+ * Client informing VC as to the protocol version it supports.
-+ * >=2 requires the released callback, and supports VC asking for memory.
-+ * Failure means that the firmware doesn't support this call, and therefore the
-+ * client should either fail, or NOT rely on getting the released callback.
-+ */
-+struct vc_sm_version {
-+      u32 version;
-+};
-+
-+/* Request FROM VideoCore for some memory */
-+struct vc_sm_vc_mem_request {
-+      /* cmd type */
-+      u32 cmd;
-+
-+      /* trans_id (from VPU) */
-+      u32 trans_id;
-+      /* size of buffer */
-+      u32 size;
-+      /* alignment of buffer */
-+      u32 align;
-+      /* resource name (for easier tracking) */
-+      char     name[VC_SM_RESOURCE_NAME];
-+      /* VPU handle for the resource */
-+      u32 vc_handle;
-+};
-+
-+/* Response from the kernel to provide the VPU with some memory */
-+struct vc_sm_vc_mem_request_result {
-+      /* Transaction identifier for the VPU */
-+      u32 trans_id;
-+      /* pointer to the physical address of the allocated memory */
-+      u32 addr;
-+      /* opaque handle returned in RELEASED messages */
-+      u32 kernel_id;
-+};
-+
-+/* Union of ALL messages */
-+union vc_sm_msg_union_t {
-+      struct vc_sm_alloc_t alloc;
-+      struct vc_sm_alloc_result_t alloc_result;
-+      struct vc_sm_free_t free;
-+      struct vc_sm_lock_unlock_t lock_unlock;
-+      struct vc_sm_action_clean_t action_clean;
-+      struct vc_sm_resize_t resize;
-+      struct vc_sm_lock_result_t lock_result;
-+      struct vc_sm_result_t result;
-+      struct vc_sm_free_all_t free_all;
-+      struct vc_sm_import import;
-+      struct vc_sm_import_result import_result;
-+      struct vc_sm_version version;
-+      struct vc_sm_released released;
-+      struct vc_sm_vc_mem_request vc_request;
-+      struct vc_sm_vc_mem_request_result vc_request_result;
-+};
-+
-+#endif /* __VC_SM_DEFS_H__INCLUDED__ */
---- /dev/null
-+++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm_knl.h
-@@ -0,0 +1,28 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+
-+/*
-+ * VideoCore Shared Memory CMA allocator
-+ *
-+ * Copyright: 2018, Raspberry Pi (Trading) Ltd
-+ *
-+ * Based on vc_sm_defs.h from the vmcs_sm driver Copyright Broadcom Corporation.
-+ *
-+ */
-+
-+#ifndef __VC_SM_KNL_H__INCLUDED__
-+#define __VC_SM_KNL_H__INCLUDED__
-+
-+#if !defined(__KERNEL__)
-+#error "This interface is for kernel use only..."
-+#endif
-+
-+/* Free a previously allocated or imported shared memory handle and block. */
-+int vc_sm_cma_free(void *handle);
-+
-+/* Get an internal resource handle mapped from the external one. */
-+int vc_sm_cma_int_handle(void *handle);
-+
-+/* Import a block of memory into the GPU space. */
-+int vc_sm_cma_import_dmabuf(struct dma_buf *dmabuf, void **handle);
-+
-+#endif /* __VC_SM_KNL_H__INCLUDED__ */
---- a/drivers/staging/vc04_services/vchiq-mmal/Makefile
-+++ b/drivers/staging/vc04_services/vchiq-mmal/Makefile
-@@ -4,5 +4,5 @@ bcm2835-mmal-vchiq-objs := mmal-vchiq.o
- obj-$(CONFIG_BCM2835_VCHIQ_MMAL) += bcm2835-mmal-vchiq.o
- ccflags-y += \
--      -Idrivers/staging/vc04_services \
-+      -I$(srctree)/drivers/staging/vc04_services \
-       -D__VCCOREVER__=0x04000000
---- /dev/null
-+++ b/include/linux/broadcom/vc_sm_cma_ioctl.h
-@@ -0,0 +1,114 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+
-+/*
-+ * Copyright 2019 Raspberry Pi (Trading) Ltd.  All rights reserved.
-+ *
-+ * Based on vmcs_sm_ioctl.h Copyright Broadcom Corporation.
-+ */
-+
-+#ifndef __VC_SM_CMA_IOCTL_H
-+#define __VC_SM_CMA_IOCTL_H
-+
-+/* ---- Include Files ---------------------------------------------------- */
-+
-+#if defined(__KERNEL__)
-+#include <linux/types.h>      /* Needed for standard types */
-+#else
-+#include <stdint.h>
-+#endif
-+
-+#include <linux/ioctl.h>
-+
-+/* ---- Constants and Types ---------------------------------------------- */
-+
-+#define VC_SM_CMA_RESOURCE_NAME               32
-+#define VC_SM_CMA_RESOURCE_NAME_DEFAULT       "sm-host-resource"
-+
-+/* Type define used to create unique IOCTL number */
-+#define VC_SM_CMA_MAGIC_TYPE                  'J'
-+
-+/* IOCTL commands on /dev/vc-sm-cma */
-+enum vc_sm_cma_cmd_e {
-+      VC_SM_CMA_CMD_ALLOC = 0x5A,     /* Start at 0x5A arbitrarily */
-+
-+      VC_SM_CMA_CMD_IMPORT_DMABUF,
-+
-+      VC_SM_CMA_CMD_CLEAN_INVALID2,
-+
-+      VC_SM_CMA_CMD_LAST      /* Do not delete */
-+};
-+
-+/* Cache type supported, conveniently matches the user space definition in
-+ * user-vcsm.h.
-+ */
-+enum vc_sm_cma_cache_e {
-+      VC_SM_CMA_CACHE_NONE,
-+      VC_SM_CMA_CACHE_HOST,
-+      VC_SM_CMA_CACHE_VC,
-+      VC_SM_CMA_CACHE_BOTH,
-+};
-+
-+/* IOCTL Data structures */
-+struct vc_sm_cma_ioctl_alloc {
-+      /* user -> kernel */
-+      __u32 size;
-+      __u32 num;
-+      __u32 cached;           /* enum vc_sm_cma_cache_e */
-+      __u32 pad;
-+      __u8 name[VC_SM_CMA_RESOURCE_NAME];
-+
-+      /* kernel -> user */
-+      __s32 handle;
-+      __u32 vc_handle;
-+      __u64 dma_addr;
-+};
-+
-+struct vc_sm_cma_ioctl_import_dmabuf {
-+      /* user -> kernel */
-+      __s32 dmabuf_fd;
-+      __u32 cached;           /* enum vc_sm_cma_cache_e */
-+      __u8 name[VC_SM_CMA_RESOURCE_NAME];
-+
-+      /* kernel -> user */
-+      __s32 handle;
-+      __u32 vc_handle;
-+      __u32 size;
-+      __u32 pad;
-+      __u64 dma_addr;
-+};
-+
-+/*
-+ * Cache functions to be set to struct vc_sm_cma_ioctl_clean_invalid2
-+ * invalidate_mode.
-+ */
-+#define VC_SM_CACHE_OP_NOP       0x00
-+#define VC_SM_CACHE_OP_INV       0x01
-+#define VC_SM_CACHE_OP_CLEAN     0x02
-+#define VC_SM_CACHE_OP_FLUSH     0x03
-+
-+struct vc_sm_cma_ioctl_clean_invalid2 {
-+      __u32 op_count;
-+      __u32 pad;
-+      struct vc_sm_cma_ioctl_clean_invalid_block {
-+              __u32 invalidate_mode;
-+              __u32 block_count;
-+              void *  __user start_address;
-+              __u32 block_size;
-+              __u32 inter_block_stride;
-+      } s[0];
-+};
-+
-+/* IOCTL numbers */
-+#define VC_SM_CMA_IOCTL_MEM_ALLOC\
-+      _IOR(VC_SM_CMA_MAGIC_TYPE, VC_SM_CMA_CMD_ALLOC,\
-+       struct vc_sm_cma_ioctl_alloc)
-+
-+#define VC_SM_CMA_IOCTL_MEM_IMPORT_DMABUF\
-+      _IOR(VC_SM_CMA_MAGIC_TYPE, VC_SM_CMA_CMD_IMPORT_DMABUF,\
-+       struct vc_sm_cma_ioctl_import_dmabuf)
-+
-+#define VC_SM_CMA_IOCTL_MEM_CLEAN_INVALID2\
-+      _IOR(VC_SM_CMA_MAGIC_TYPE, VC_SM_CMA_CMD_CLEAN_INVALID2,\
-+       struct vc_sm_cma_ioctl_clean_invalid2)
-+
-+#endif /* __VC_SM_CMA_IOCTL_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0175-staging-vc04_services-Add-a-V4L2-M2M-codec-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0175-staging-vc04_services-Add-a-V4L2-M2M-codec-driver.patch
new file mode 100644 (file)
index 0000000..2093cf0
--- /dev/null
@@ -0,0 +1,2467 @@
+From 6b2e734af2943dbf31bafb4c4c2fb588eec8059f Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 25 Sep 2018 14:53:49 +0100
+Subject: [PATCH] staging: vc04_services: Add a V4L2 M2M codec driver
+
+This adds a V4L2 memory to memory device that wraps the MMAL
+video decode and video_encode components for H264 and MJPEG encode
+and decode, MPEG4, H263, and VP8 decode (and MPEG2 decode
+if the appropriate licence has been purchased).
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/staging/vc04_services/Kconfig         |    1 +
+ drivers/staging/vc04_services/Makefile        |    9 +-
+ .../vc04_services/bcm2835-codec/Kconfig       |   11 +
+ .../vc04_services/bcm2835-codec/Makefile      |    8 +
+ .../staging/vc04_services/bcm2835-codec/TODO  |   24 +
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 2359 +++++++++++++++++
+ 6 files changed, 2408 insertions(+), 4 deletions(-)
+ create mode 100644 drivers/staging/vc04_services/bcm2835-codec/Kconfig
+ create mode 100644 drivers/staging/vc04_services/bcm2835-codec/Makefile
+ create mode 100644 drivers/staging/vc04_services/bcm2835-codec/TODO
+ create mode 100644 drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+
+--- a/drivers/staging/vc04_services/Kconfig
++++ b/drivers/staging/vc04_services/Kconfig
+@@ -24,6 +24,7 @@ source "drivers/staging/vc04_services/bc
+ source "drivers/staging/vc04_services/bcm2835-camera/Kconfig"
+ source "drivers/staging/vc04_services/vchiq-mmal/Kconfig"
+ source "drivers/staging/vc04_services/vc-sm-cma/Kconfig"
++source "drivers/staging/vc04_services/bcm2835-codec/Kconfig"
+ endif
+--- a/drivers/staging/vc04_services/Makefile
++++ b/drivers/staging/vc04_services/Makefile
+@@ -10,10 +10,11 @@ vchiq-objs := \
+    interface/vchiq_arm/vchiq_util.o \
+    interface/vchiq_arm/vchiq_connected.o \
+-obj-$(CONFIG_SND_BCM2835)     += bcm2835-audio/
+-obj-$(CONFIG_VIDEO_BCM2835)   += bcm2835-camera/
+-obj-$(CONFIG_BCM2835_VCHIQ_MMAL) += vchiq-mmal/
+-obj-$(CONFIG_BCM_VC_SM_CMA)   += vc-sm-cma/
++obj-$(CONFIG_SND_BCM2835)             += bcm2835-audio/
++obj-$(CONFIG_VIDEO_BCM2835)           += bcm2835-camera/
++obj-$(CONFIG_BCM2835_VCHIQ_MMAL)      += vchiq-mmal/
++obj-$(CONFIG_BCM_VC_SM_CMA)           += vc-sm-cma/
++obj-$(CONFIG_VIDEO_CODEC_BCM2835)     += bcm2835-codec/
+ ccflags-y += -Idrivers/staging/vc04_services -D__VCCOREVER__=0x04000000
+--- /dev/null
++++ b/drivers/staging/vc04_services/bcm2835-codec/Kconfig
+@@ -0,0 +1,11 @@
++config VIDEO_CODEC_BCM2835
++      tristate "BCM2835 Video codec support"
++      depends on MEDIA_SUPPORT
++      depends on VIDEO_V4L2 && (ARCH_BCM2835 || COMPILE_TEST)
++      select BCM2835_VCHIQ_MMAL
++      select VIDEOBUF2_DMA_CONTIG
++      select V4L2_MEM2MEM_DEV
++      help
++        Say Y here to enable the V4L2 video codecs for
++        Broadcom BCM2835 SoC. This operates over the VCHIQ interface
++        to a service running on VideoCore.
+--- /dev/null
++++ b/drivers/staging/vc04_services/bcm2835-codec/Makefile
+@@ -0,0 +1,8 @@
++# SPDX-License-Identifier: GPL-2.0
++bcm2835-codec-objs := bcm2835-v4l2-codec.o
++
++obj-$(CONFIG_VIDEO_CODEC_BCM2835) += bcm2835-codec.o
++
++ccflags-y += \
++      -Idrivers/staging/vc04_services \
++      -D__VCCOREVER__=0x04000000
+--- /dev/null
++++ b/drivers/staging/vc04_services/bcm2835-codec/TODO
+@@ -0,0 +1,24 @@
++1) Convert to be a platform driver.
++
++Right now when the module probes, it tries to initialize VCHI and
++errors out if it wasn't ready yet.  If bcm2835-v4l2 was built in, then
++VCHI generally isn't ready because it depends on both the firmware and
++mailbox drivers having already loaded.
++
++We should have VCHI create a platform device once it's initialized,
++and have this driver bind to it, so that we automatically load the
++v4l2 module after VCHI loads.
++
++2) Support SELECTION API to define crop region on the image for encode.
++
++Particularly for resolutions that aren't a multiple of the macroblock
++size, the codec will report a resolution that is a multiple of the macroblock
++size (it has to have the memory to decode into), and then a different crop
++region within that buffer.
++The most common example is 1080P, where the buffer will be 1920x1088 with a
++crop region of 1920x1080.
++
++3) Refactor so that the component creation is only on queue_setup, not open.
++
++Fixes v4l2-compliance failure on trying to open 100 instances of the
++device.
+\ No newline at end of file
+--- /dev/null
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -0,0 +1,2359 @@
++// SPDX-License-Identifier: GPL-2.0
++
++/*
++ * A v4l2-mem2mem device that wraps the video codec MMAL component.
++ *
++ * Copyright 2018 Raspberry Pi (Trading) Ltd.
++ * Author: Dave Stevenson (dave.stevenson@raspberrypi.org)
++ *
++ * Loosely based on the vim2m virtual driver by Pawel Osciak
++ * Copyright (c) 2009-2010 Samsung Electronics Co., Ltd.
++ * Pawel Osciak, <pawel@osciak.com>
++ * Marek Szyprowski, <m.szyprowski@samsung.com>
++ *
++ * Whilst this driver uses the v4l2_mem2mem framework, it does not need the
++ * scheduling aspects, so will always take the buffers, pass them to the VPU,
++ * and then signal the job as complete.
++ *
++ * This program is free software; you can redistribute it and/or modify
++ * it under the terms of the GNU General Public License as published by the
++ * Free Software Foundation; either version 2 of the
++ * License, or (at your option) any later version
++ */
++#include <linux/module.h>
++#include <linux/delay.h>
++#include <linux/fs.h>
++#include <linux/timer.h>
++#include <linux/sched.h>
++#include <linux/slab.h>
++#include <linux/platform_device.h>
++#include <linux/syscalls.h>
++
++#include <media/v4l2-mem2mem.h>
++#include <media/v4l2-device.h>
++#include <media/v4l2-ioctl.h>
++#include <media/v4l2-ctrls.h>
++#include <media/v4l2-event.h>
++#include <media/videobuf2-dma-contig.h>
++
++#include "vchiq-mmal/mmal-encodings.h"
++#include "vchiq-mmal/mmal-msg.h"
++#include "vchiq-mmal/mmal-parameters.h"
++#include "vchiq-mmal/mmal-vchiq.h"
++
++/*
++ * Default /dev/videoN node numbers for decode and encode.
++ * Deliberately avoid the very low numbers as these are often taken by webcams
++ * etc, and simple apps tend to only go for /dev/video0.
++ */
++static int decode_video_nr = 10;
++module_param(decode_video_nr, int, 0644);
++MODULE_PARM_DESC(decode_video_nr, "decoder video device number");
++
++static int encode_video_nr = 11;
++module_param(encode_video_nr, int, 0644);
++MODULE_PARM_DESC(encode_video_nr, "encoder video device number");
++
++static unsigned int debug;
++module_param(debug, uint, 0644);
++MODULE_PARM_DESC(debug, "activates debug info (0-3)");
++
++#define MIN_W         32
++#define MIN_H         32
++#define MAX_W         1920
++#define MAX_H         1088
++#define BPL_ALIGN     32
++#define DEFAULT_WIDTH 640
++#define DEFAULT_HEIGHT        480
++/*
++ * The unanswered question - what is the maximum size of a compressed frame?
++ * V4L2 mandates that the encoded frame must fit in a single buffer. Sizing
++ * that buffer is a compromise between wasting memory and risking not fitting.
++ * The 1080P version of Big Buck Bunny has some frames that exceed 512kB.
++ * Adopt a moderately arbitrary split at 720P for switching between 512 and
++ * 768kB buffers.
++ */
++#define DEF_COMP_BUF_SIZE_GREATER_720P        (768 << 10)
++#define DEF_COMP_BUF_SIZE_720P_OR_LESS        (512 << 10)
++
++/* Flags that indicate a format can be used for capture/output */
++#define MEM2MEM_CAPTURE               BIT(0)
++#define MEM2MEM_OUTPUT                BIT(1)
++
++#define MEM2MEM_NAME          "bcm2835-codec"
++
++struct bcm2835_codec_fmt {
++      u32     fourcc;
++      int     depth;
++      int     bytesperline_align;
++      u32     flags;
++      u32     mmal_fmt;
++      bool    decode_only;
++      bool    encode_only;
++      int     size_multiplier_x2;
++};
++
++/* Supported raw pixel formats. Those supported for both encode and decode
++ * must come first, with those only supported for decode coming after (there
++ * are no formats supported for encode only).
++ */
++static struct bcm2835_codec_fmt raw_formats[] = {
++      {
++              .fourcc                 = V4L2_PIX_FMT_YUV420,
++              .depth                  = 8,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_I420,
++              .size_multiplier_x2     = 3,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_YVU420,
++              .depth                  = 8,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_YV12,
++              .size_multiplier_x2     = 3,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_NV12,
++              .depth                  = 8,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_NV12,
++              .size_multiplier_x2     = 3,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_NV21,
++              .depth                  = 8,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_NV21,
++              .size_multiplier_x2     = 3,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_RGB565,
++              .depth                  = 16,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_RGB16,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_YUYV,
++              .depth                  = 16,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_YUYV,
++              .encode_only            = true,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_UYVY,
++              .depth                  = 16,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_UYVY,
++              .encode_only            = true,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_YVYU,
++              .depth                  = 16,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_YVYU,
++              .encode_only            = true,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_VYUY,
++              .depth                  = 16,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_VYUY,
++              .encode_only            = true,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_RGB24,
++              .depth                  = 24,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_RGB24,
++              .encode_only            = true,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_BGR24,
++              .depth                  = 24,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BGR24,
++              .encode_only            = true,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_BGR32,
++              .depth                  = 32,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BGRA,
++              .encode_only            = true,
++              .size_multiplier_x2     = 2,
++      },
++};
++
++/* Supported encoded formats. Those supported for both encode and decode
++ * must come first, with those only supported for decode coming after (there
++ * are no formats supported for encode only).
++ */
++static struct bcm2835_codec_fmt encoded_formats[] = {
++      {
++              .fourcc                 = V4L2_PIX_FMT_H264,
++              .depth                  = 0,
++              .flags                  = V4L2_FMT_FLAG_COMPRESSED,
++              .mmal_fmt               = MMAL_ENCODING_H264,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_MJPEG,
++              .depth                  = 0,
++              .flags                  = V4L2_FMT_FLAG_COMPRESSED,
++              .mmal_fmt               = MMAL_ENCODING_MJPEG,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_MPEG4,
++              .depth                  = 0,
++              .flags                  = V4L2_FMT_FLAG_COMPRESSED,
++              .mmal_fmt               = MMAL_ENCODING_MP4V,
++              .decode_only            = true,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_H263,
++              .depth                  = 0,
++              .flags                  = V4L2_FMT_FLAG_COMPRESSED,
++              .mmal_fmt               = MMAL_ENCODING_H263,
++              .decode_only            = true,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_MPEG2,
++              .depth                  = 0,
++              .flags                  = V4L2_FMT_FLAG_COMPRESSED,
++              .mmal_fmt               = MMAL_ENCODING_MP2V,
++              .decode_only            = true,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_VP8,
++              .depth                  = 0,
++              .flags                  = V4L2_FMT_FLAG_COMPRESSED,
++              .mmal_fmt               = MMAL_ENCODING_VP8,
++              .decode_only            = true,
++      },
++      /*
++       * This list couold include VP6 and Theorafor decode, but V4L2 doesn't
++       * support them.
++       */
++};
++
++struct bcm2835_codec_fmt_list {
++      struct bcm2835_codec_fmt *list;
++      unsigned int num_entries;
++};
++
++#define RAW_LIST      0
++#define ENCODED_LIST  1
++
++struct bcm2835_codec_fmt_list formats[] = {
++      {
++              .list = raw_formats,
++              .num_entries = ARRAY_SIZE(raw_formats),
++      }, {
++              .list = encoded_formats,
++              .num_entries = ARRAY_SIZE(encoded_formats),
++      },
++};
++
++struct m2m_mmal_buffer {
++      struct v4l2_m2m_buffer  m2m;
++      struct mmal_buffer      mmal;
++};
++
++/* Per-queue, driver-specific private data */
++struct bcm2835_codec_q_data {
++      /*
++       * These parameters should be treated as gospel, with everything else
++       * being determined from them.
++       */
++      /* Buffer width/height */
++      unsigned int            bytesperline;
++      unsigned int            height;
++      /* Crop size used for selection handling */
++      unsigned int            crop_width;
++      unsigned int            crop_height;
++      bool                    selection_set;
++
++      unsigned int            sizeimage;
++      unsigned int            sequence;
++      struct bcm2835_codec_fmt        *fmt;
++
++      /* One extra buffer header so we can send an EOS. */
++      struct m2m_mmal_buffer  eos_buffer;
++      bool                    eos_buffer_in_use;      /* debug only */
++};
++
++enum {
++      V4L2_M2M_SRC = 0,
++      V4L2_M2M_DST = 1,
++};
++
++static inline struct bcm2835_codec_fmt_list *get_format_list(bool decode,
++                                                           bool capture)
++{
++      return decode ^ capture ? &formats[ENCODED_LIST] : &formats[RAW_LIST];
++}
++
++static struct bcm2835_codec_fmt *get_default_format(bool decode, bool capture)
++{
++      return &get_format_list(decode, capture)->list[0];
++}
++
++static struct bcm2835_codec_fmt *find_format(struct v4l2_format *f, bool decode,
++                                           bool capture)
++{
++      struct bcm2835_codec_fmt *fmt;
++      unsigned int k;
++      struct bcm2835_codec_fmt_list *fmts = get_format_list(decode, capture);
++
++      for (k = 0; k < fmts->num_entries; k++) {
++              fmt = &fmts->list[k];
++              if (fmt->fourcc == f->fmt.pix.pixelformat)
++                      break;
++      }
++
++      /*
++       * Some compressed formats are only supported for decoding, not
++       * encoding.
++       */
++      if (!decode && fmts->list[k].decode_only)
++              return NULL;
++
++      /* Some pixel formats are only supported for encoding, not decoding. */
++      if (decode && fmts->list[k].encode_only)
++              return NULL;
++
++      if (k == fmts->num_entries)
++              return NULL;
++
++      return &fmts->list[k];
++}
++
++struct bcm2835_codec_dev {
++      struct platform_device *pdev;
++
++      /* v4l2 devices */
++      struct v4l2_device      v4l2_dev;
++      struct video_device     vfd;
++      /* mutex for the v4l2 device */
++      struct mutex            dev_mutex;
++      atomic_t                num_inst;
++
++      /* allocated mmal instance and components */
++      bool                    decode;  /* Is this instance a decoder? */
++      struct vchiq_mmal_instance      *instance;
++
++      struct v4l2_m2m_dev     *m2m_dev;
++};
++
++struct bcm2835_codec_ctx {
++      struct v4l2_fh          fh;
++      struct bcm2835_codec_dev        *dev;
++
++      struct v4l2_ctrl_handler hdl;
++
++      struct vchiq_mmal_component  *component;
++      bool component_enabled;
++
++      enum v4l2_colorspace    colorspace;
++      enum v4l2_ycbcr_encoding ycbcr_enc;
++      enum v4l2_xfer_func     xfer_func;
++      enum v4l2_quantization  quant;
++
++      /* Source and destination queue data */
++      struct bcm2835_codec_q_data   q_data[2];
++      s32  bitrate;
++
++      bool aborting;
++      int num_ip_buffers;
++      int num_op_buffers;
++      struct completion frame_cmplt;
++};
++
++struct bcm2835_codec_driver {
++      struct bcm2835_codec_dev *encode;
++      struct bcm2835_codec_dev *decode;
++};
++
++static inline struct bcm2835_codec_ctx *file2ctx(struct file *file)
++{
++      return container_of(file->private_data, struct bcm2835_codec_ctx, fh);
++}
++
++static struct bcm2835_codec_q_data *get_q_data(struct bcm2835_codec_ctx *ctx,
++                                             enum v4l2_buf_type type)
++{
++      switch (type) {
++      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
++              return &ctx->q_data[V4L2_M2M_SRC];
++      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
++              return &ctx->q_data[V4L2_M2M_DST];
++      default:
++              v4l2_err(&ctx->dev->v4l2_dev, "%s: Invalid queue type %u\n",
++                       __func__, type);
++              break;
++      }
++      return NULL;
++}
++
++static struct vchiq_mmal_port *get_port_data(struct bcm2835_codec_ctx *ctx,
++                                           enum v4l2_buf_type type)
++{
++      if (!ctx->component)
++              return NULL;
++
++      switch (type) {
++      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
++              return &ctx->component->input[0];
++      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
++              return &ctx->component->output[0];
++      default:
++              v4l2_err(&ctx->dev->v4l2_dev, "%s: Invalid queue type %u\n",
++                       __func__, type);
++              break;
++      }
++      return NULL;
++}
++
++/*
++ * mem2mem callbacks
++ */
++
++/**
++ * job_ready() - check whether an instance is ready to be scheduled to run
++ */
++static int job_ready(void *priv)
++{
++      struct bcm2835_codec_ctx *ctx = priv;
++
++      if (!v4l2_m2m_num_src_bufs_ready(ctx->fh.m2m_ctx) &&
++          !v4l2_m2m_num_dst_bufs_ready(ctx->fh.m2m_ctx))
++              return 0;
++
++      return 1;
++}
++
++static void job_abort(void *priv)
++{
++      struct bcm2835_codec_ctx *ctx = priv;
++
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s\n", __func__);
++      /* Will cancel the transaction in the next interrupt handler */
++      ctx->aborting = 1;
++}
++
++static inline unsigned int get_sizeimage(int bpl, int height,
++                                       struct bcm2835_codec_fmt *fmt)
++{
++      return (bpl * height * fmt->size_multiplier_x2) >> 1;
++}
++
++static inline unsigned int get_bytesperline(int width,
++                                          struct bcm2835_codec_fmt *fmt)
++{
++      return ALIGN((width * fmt->depth) >> 3, fmt->bytesperline_align);
++}
++
++static void setup_mmal_port_format(struct bcm2835_codec_ctx *ctx,
++                                 bool decode,
++                                 struct bcm2835_codec_q_data *q_data,
++                                 struct vchiq_mmal_port *port)
++{
++      port->format.encoding = q_data->fmt->mmal_fmt;
++
++      if (!(q_data->fmt->flags & V4L2_FMT_FLAG_COMPRESSED)) {
++              /* Raw image format - set width/height */
++              port->es.video.width = q_data->bytesperline /
++                                              (q_data->fmt->depth >> 3);
++              port->es.video.height = q_data->height;
++              port->es.video.crop.width = q_data->crop_width;
++              port->es.video.crop.height = q_data->crop_height;
++              port->es.video.frame_rate.num = 0;
++              port->es.video.frame_rate.den = 1;
++      } else {
++              /* Compressed format - leave resolution as 0 for decode */
++              if (decode) {
++                      port->es.video.width = 0;
++                      port->es.video.height = 0;
++                      port->es.video.crop.width = 0;
++                      port->es.video.crop.height = 0;
++              } else {
++                      port->es.video.width = q_data->crop_width;
++                      port->es.video.height = q_data->height;
++                      port->es.video.crop.width = q_data->crop_width;
++                      port->es.video.crop.height = q_data->crop_height;
++                      port->format.bitrate = ctx->bitrate;
++              }
++              port->es.video.frame_rate.num = 0;
++              port->es.video.frame_rate.den = 1;
++      }
++      port->es.video.crop.x = 0;
++      port->es.video.crop.y = 0;
++
++      port->current_buffer.size = q_data->sizeimage;
++};
++
++static void ip_buffer_cb(struct vchiq_mmal_instance *instance,
++                       struct vchiq_mmal_port *port, int status,
++                       struct mmal_buffer *mmal_buf)
++{
++      struct bcm2835_codec_ctx *ctx = port->cb_ctx/*, *curr_ctx*/;
++      struct m2m_mmal_buffer *buf =
++                      container_of(mmal_buf, struct m2m_mmal_buffer, mmal);
++
++      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: port %p buf %p length %lu, flags %x\n",
++               __func__, port, mmal_buf, mmal_buf->length,
++               mmal_buf->mmal_flags);
++
++      if (buf == &ctx->q_data[V4L2_M2M_SRC].eos_buffer) {
++              /* Do we need to add lcoking to prevent multiple submission of
++               * the EOS, and therefore handle mutliple return here?
++               */
++              v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: eos buffer returned.\n",
++                       __func__);
++              ctx->q_data[V4L2_M2M_SRC].eos_buffer_in_use = false;
++              return;
++      }
++
++      if (status) {
++              /* error in transfer */
++              if (buf)
++                      /* there was a buffer with the error so return it */
++                      vb2_buffer_done(&buf->m2m.vb.vb2_buf,
++                                      VB2_BUF_STATE_ERROR);
++              return;
++      }
++      if (mmal_buf->cmd) {
++              v4l2_err(&ctx->dev->v4l2_dev, "%s: Not expecting cmd msgs on ip callback - %08x\n",
++                       __func__, mmal_buf->cmd);
++              /*
++               * CHECKME: Should we return here. The buffer shouldn't have a
++               * message context or vb2 buf associated.
++               */
++      }
++
++      v4l2_dbg(3, debug, &ctx->dev->v4l2_dev, "%s: no error. Return buffer %p\n",
++               __func__, &buf->m2m.vb.vb2_buf);
++      vb2_buffer_done(&buf->m2m.vb.vb2_buf, VB2_BUF_STATE_DONE);
++
++      ctx->num_ip_buffers++;
++      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: done %d input buffers\n",
++               __func__, ctx->num_ip_buffers);
++
++      if (!port->enabled)
++              complete(&ctx->frame_cmplt);
++}
++
++static void queue_res_chg_event(struct bcm2835_codec_ctx *ctx)
++{
++      static const struct v4l2_event ev_src_ch = {
++              .type = V4L2_EVENT_SOURCE_CHANGE,
++              .u.src_change.changes =
++              V4L2_EVENT_SRC_CH_RESOLUTION,
++      };
++
++      v4l2_event_queue_fh(&ctx->fh, &ev_src_ch);
++}
++
++static void send_eos_event(struct bcm2835_codec_ctx *ctx)
++{
++      static const struct v4l2_event ev = {
++              .type = V4L2_EVENT_EOS,
++      };
++
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Sending EOS event\n");
++
++      v4l2_event_queue_fh(&ctx->fh, &ev);
++}
++
++static void color_mmal2v4l(struct bcm2835_codec_ctx *ctx, u32 mmal_color_space)
++{
++      switch (mmal_color_space) {
++      case MMAL_COLOR_SPACE_ITUR_BT601:
++              ctx->colorspace = V4L2_COLORSPACE_REC709;
++              ctx->xfer_func = V4L2_XFER_FUNC_709;
++              ctx->ycbcr_enc = V4L2_YCBCR_ENC_601;
++              ctx->quant = V4L2_QUANTIZATION_LIM_RANGE;
++              break;
++
++      case MMAL_COLOR_SPACE_ITUR_BT709:
++              ctx->colorspace = V4L2_COLORSPACE_REC709;
++              ctx->xfer_func = V4L2_XFER_FUNC_709;
++              ctx->ycbcr_enc = V4L2_YCBCR_ENC_709;
++              ctx->quant = V4L2_QUANTIZATION_LIM_RANGE;
++              break;
++      }
++}
++
++static void handle_fmt_changed(struct bcm2835_codec_ctx *ctx,
++                             struct mmal_buffer *mmal_buf)
++{
++      struct bcm2835_codec_q_data *q_data;
++      struct mmal_msg_event_format_changed *format =
++              (struct mmal_msg_event_format_changed *)mmal_buf->buffer;
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: Format changed: buff size min %u, rec %u, buff num min %u, rec %u\n",
++               __func__,
++               format->buffer_size_min,
++               format->buffer_size_recommended,
++               format->buffer_num_min,
++               format->buffer_num_recommended
++              );
++      if (format->format.type != MMAL_ES_TYPE_VIDEO) {
++              v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: Format changed but not video %u\n",
++                       __func__, format->format.type);
++              return;
++      }
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: Format changed to %ux%u, crop %ux%u, colourspace %08X\n",
++               __func__, format->es.video.width, format->es.video.height,
++               format->es.video.crop.width, format->es.video.crop.height,
++               format->es.video.color_space);
++
++      q_data = get_q_data(ctx, V4L2_BUF_TYPE_VIDEO_CAPTURE);
++      q_data->crop_width = format->es.video.crop.width;
++      q_data->crop_height = format->es.video.crop.height;
++      q_data->bytesperline = format->es.video.crop.width;
++      q_data->height = format->es.video.height;
++      q_data->sizeimage = format->buffer_size_min;
++      if (format->es.video.color_space)
++              color_mmal2v4l(ctx, format->es.video.color_space);
++
++      queue_res_chg_event(ctx);
++}
++
++static void op_buffer_cb(struct vchiq_mmal_instance *instance,
++                       struct vchiq_mmal_port *port, int status,
++                       struct mmal_buffer *mmal_buf)
++{
++      struct bcm2835_codec_ctx *ctx = port->cb_ctx;
++      struct m2m_mmal_buffer *buf;
++      struct vb2_v4l2_buffer *vb2;
++
++      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev,
++               "%s: status:%d, buf:%p, length:%lu, flags %u, pts %lld\n",
++               __func__, status, mmal_buf, mmal_buf->length,
++               mmal_buf->mmal_flags, mmal_buf->pts);
++
++      if (status) {
++              /* error in transfer */
++              if (vb2) {
++                      /* there was a buffer with the error so return it */
++                      vb2_buffer_done(&vb2->vb2_buf, VB2_BUF_STATE_ERROR);
++              }
++              return;
++      }
++
++      if (mmal_buf->cmd) {
++              switch (mmal_buf->cmd) {
++              case MMAL_EVENT_FORMAT_CHANGED:
++              {
++                      handle_fmt_changed(ctx, mmal_buf);
++                      break;
++              }
++              default:
++                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Unexpected event on output callback - %08x\n",
++                               __func__, mmal_buf->cmd);
++                      break;
++              }
++              return;
++      }
++
++      buf = container_of(mmal_buf, struct m2m_mmal_buffer, mmal);
++      vb2 = &buf->m2m.vb;
++
++      v4l2_dbg(3, debug, &ctx->dev->v4l2_dev, "%s: length %lu, flags %x, idx %u\n",
++               __func__, mmal_buf->length, mmal_buf->mmal_flags,
++               vb2->vb2_buf.index);
++
++      if (mmal_buf->length == 0) {
++              /* stream ended, or buffer being returned during disable. */
++              v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: Empty buffer - flags %04x",
++                       __func__, mmal_buf->mmal_flags);
++              if (!mmal_buf->mmal_flags & MMAL_BUFFER_HEADER_FLAG_EOS) {
++                      vb2_buffer_done(&vb2->vb2_buf, VB2_BUF_STATE_ERROR);
++                      if (!port->enabled)
++                              complete(&ctx->frame_cmplt);
++                      return;
++              }
++      }
++      if (mmal_buf->mmal_flags & MMAL_BUFFER_HEADER_FLAG_EOS) {
++              /* EOS packet from the VPU */
++              send_eos_event(ctx);
++              vb2->flags |= V4L2_BUF_FLAG_LAST;
++      }
++
++      vb2->vb2_buf.timestamp = mmal_buf->pts;
++
++      vb2_set_plane_payload(&vb2->vb2_buf, 0, mmal_buf->length);
++      if (mmal_buf->mmal_flags & MMAL_BUFFER_HEADER_FLAG_KEYFRAME)
++              vb2->flags |= V4L2_BUF_FLAG_KEYFRAME;
++
++      vb2_buffer_done(&vb2->vb2_buf, VB2_BUF_STATE_DONE);
++      ctx->num_op_buffers++;
++
++      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: done %d output buffers\n",
++               __func__, ctx->num_op_buffers);
++
++      if (!port->enabled)
++              complete(&ctx->frame_cmplt);
++}
++
++/* vb2_to_mmal_buffer() - converts vb2 buffer header to MMAL
++ *
++ * Copies all the required fields from a VB2 buffer to the MMAL buffer header,
++ * ready for sending to the VPU.
++ */
++static void vb2_to_mmal_buffer(struct m2m_mmal_buffer *buf,
++                             struct vb2_v4l2_buffer *vb2)
++{
++      buf->mmal.mmal_flags = 0;
++      if (vb2->flags & V4L2_BUF_FLAG_KEYFRAME)
++              buf->mmal.mmal_flags |= MMAL_BUFFER_HEADER_FLAG_KEYFRAME;
++
++      /*
++       * Adding this means that the data must be framed correctly as one frame
++       * per buffer. The underlying decoder has no such requirement, but it
++       * will reduce latency as the bistream parser will be kicked immediately
++       * to parse the frame, rather than relying on its own heuristics for
++       * when to wake up.
++       */
++      buf->mmal.mmal_flags |= MMAL_BUFFER_HEADER_FLAG_FRAME_END;
++
++      buf->mmal.length = vb2->vb2_buf.planes[0].bytesused;
++      /*
++       * Minor ambiguity in the V4L2 spec as to whether passing in a 0 length
++       * buffer, or one with V4L2_BUF_FLAG_LAST set denotes end of stream.
++       * Handle either.
++       */
++      if (!buf->mmal.length || vb2->flags & V4L2_BUF_FLAG_LAST)
++              buf->mmal.mmal_flags |= MMAL_BUFFER_HEADER_FLAG_EOS;
++
++      buf->mmal.pts = vb2->vb2_buf.timestamp;
++      buf->mmal.dts = MMAL_TIME_UNKNOWN;
++}
++
++/* device_run() - prepares and starts the device
++ *
++ * This simulates all the immediate preparations required before starting
++ * a device. This will be called by the framework when it decides to schedule
++ * a particular instance.
++ */
++static void device_run(void *priv)
++{
++      struct bcm2835_codec_ctx *ctx = priv;
++      struct bcm2835_codec_dev *dev = ctx->dev;
++      struct vb2_v4l2_buffer *src_buf, *dst_buf;
++      struct m2m_mmal_buffer *src_m2m_buf, *dst_m2m_buf;
++      struct v4l2_m2m_buffer *m2m;
++      int ret;
++
++      v4l2_dbg(3, debug, &ctx->dev->v4l2_dev, "%s: off we go\n", __func__);
++
++      src_buf = v4l2_m2m_buf_remove(&ctx->fh.m2m_ctx->out_q_ctx);
++      if (src_buf) {
++              m2m = container_of(src_buf, struct v4l2_m2m_buffer, vb);
++              src_m2m_buf = container_of(m2m, struct m2m_mmal_buffer, m2m);
++              vb2_to_mmal_buffer(src_m2m_buf, src_buf);
++
++              ret = vchiq_mmal_submit_buffer(dev->instance,
++                                             &ctx->component->input[0],
++                                             &src_m2m_buf->mmal);
++              v4l2_dbg(3, debug, &ctx->dev->v4l2_dev, "%s: Submitted ip buffer len %lu, pts %llu, flags %04x\n",
++                       __func__, src_m2m_buf->mmal.length,
++                       src_m2m_buf->mmal.pts, src_m2m_buf->mmal.mmal_flags);
++              if (ret)
++                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed submitting ip buffer\n",
++                               __func__);
++      }
++
++      dst_buf = v4l2_m2m_buf_remove(&ctx->fh.m2m_ctx->cap_q_ctx);
++      if (dst_buf) {
++              m2m = container_of(dst_buf, struct v4l2_m2m_buffer, vb);
++              dst_m2m_buf = container_of(m2m, struct m2m_mmal_buffer, m2m);
++              vb2_to_mmal_buffer(dst_m2m_buf, dst_buf);
++
++              ret = vchiq_mmal_submit_buffer(dev->instance,
++                                             &ctx->component->output[0],
++                                             &dst_m2m_buf->mmal);
++              if (ret)
++                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed submitting op buffer\n",
++                               __func__);
++      }
++
++      v4l2_dbg(3, debug, &ctx->dev->v4l2_dev, "%s: Submitted src %p, dst %p\n",
++               __func__, src_m2m_buf, dst_m2m_buf);
++
++      /* Complete the job here. */
++      v4l2_m2m_job_finish(ctx->dev->m2m_dev, ctx->fh.m2m_ctx);
++}
++
++/*
++ * video ioctls
++ */
++static int vidioc_querycap(struct file *file, void *priv,
++                         struct v4l2_capability *cap)
++{
++      strncpy(cap->driver, MEM2MEM_NAME, sizeof(cap->driver) - 1);
++      strncpy(cap->card, MEM2MEM_NAME, sizeof(cap->card) - 1);
++      snprintf(cap->bus_info, sizeof(cap->bus_info), "platform:%s",
++               MEM2MEM_NAME);
++      cap->device_caps = V4L2_CAP_VIDEO_M2M | V4L2_CAP_STREAMING;
++      cap->capabilities = cap->device_caps | V4L2_CAP_DEVICE_CAPS;
++      return 0;
++}
++
++static int enum_fmt(struct v4l2_fmtdesc *f, bool decode, bool capture)
++{
++      struct bcm2835_codec_fmt *fmt;
++      struct bcm2835_codec_fmt_list *fmts = get_format_list(decode, capture);
++
++      if (f->index < fmts->num_entries) {
++              /* Format found */
++              /* Check format isn't a decode only format when encoding */
++              if (!decode &&
++                  fmts->list[f->index].decode_only)
++                      return -EINVAL;
++              /* Check format isn't a decode only format when encoding */
++              if (decode &&
++                  fmts->list[f->index].encode_only)
++                      return -EINVAL;
++
++              fmt = &fmts->list[f->index];
++              f->pixelformat = fmt->fourcc;
++              f->flags = fmt->flags;
++              return 0;
++      }
++
++      /* Format not found */
++      return -EINVAL;
++}
++
++static int vidioc_enum_fmt_vid_cap(struct file *file, void *priv,
++                                 struct v4l2_fmtdesc *f)
++{
++      struct bcm2835_codec_ctx *ctx = file2ctx(file);
++
++      return enum_fmt(f, ctx->dev->decode, true);
++}
++
++static int vidioc_enum_fmt_vid_out(struct file *file, void *priv,
++                                 struct v4l2_fmtdesc *f)
++{
++      struct bcm2835_codec_ctx *ctx = file2ctx(file);
++
++      return enum_fmt(f, ctx->dev->decode, false);
++}
++
++static int vidioc_g_fmt(struct bcm2835_codec_ctx *ctx, struct v4l2_format *f)
++{
++      struct vb2_queue *vq;
++      struct bcm2835_codec_q_data *q_data;
++
++      vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, f->type);
++      if (!vq)
++              return -EINVAL;
++
++      q_data = get_q_data(ctx, f->type);
++
++      f->fmt.pix.width        = q_data->crop_width;
++      f->fmt.pix.height       = q_data->height;
++      f->fmt.pix.field        = V4L2_FIELD_NONE;
++      f->fmt.pix.pixelformat  = q_data->fmt->fourcc;
++      f->fmt.pix.bytesperline = q_data->bytesperline;
++      f->fmt.pix.sizeimage    = q_data->sizeimage;
++      f->fmt.pix.colorspace   = ctx->colorspace;
++      f->fmt.pix.xfer_func    = ctx->xfer_func;
++      f->fmt.pix.ycbcr_enc    = ctx->ycbcr_enc;
++      f->fmt.pix.quantization = ctx->quant;
++
++      return 0;
++}
++
++static int vidioc_g_fmt_vid_out(struct file *file, void *priv,
++                              struct v4l2_format *f)
++{
++      return vidioc_g_fmt(file2ctx(file), f);
++}
++
++static int vidioc_g_fmt_vid_cap(struct file *file, void *priv,
++                              struct v4l2_format *f)
++{
++      return vidioc_g_fmt(file2ctx(file), f);
++}
++
++static int vidioc_try_fmt(struct v4l2_format *f, struct bcm2835_codec_fmt *fmt)
++{
++      /*
++       * The V4L2 specification requires the driver to correct the format
++       * struct if any of the dimensions is unsupported
++       */
++      if (f->fmt.pix.width > MAX_W)
++              f->fmt.pix.width = MAX_W;
++      if (f->fmt.pix.height > MAX_H)
++              f->fmt.pix.height = MAX_H;
++
++      if (!fmt->flags & V4L2_FMT_FLAG_COMPRESSED) {
++              /* Only clip min w/h on capture. Treat 0x0 as unknown. */
++              if (f->fmt.pix.width < MIN_W)
++                      f->fmt.pix.width = MIN_W;
++              if (f->fmt.pix.height < MIN_H)
++                      f->fmt.pix.height = MIN_H;
++
++              /*
++               * Buffer must have a vertical alignment of 16 lines.
++               * The selection will reflect any cropping rectangle when only
++               * some of the pixels are active.
++               */
++              f->fmt.pix.height = ALIGN(f->fmt.pix.height, 16);
++
++              f->fmt.pix.bytesperline = get_bytesperline(f->fmt.pix.width,
++                                                         fmt);
++              f->fmt.pix.sizeimage = get_sizeimage(f->fmt.pix.bytesperline,
++                                                   f->fmt.pix.height,
++                                                   fmt);
++      } else {
++              u32 min_size = f->fmt.pix.width > 1280 ||
++                             f->fmt.pix.height > 720 ?
++                             DEF_COMP_BUF_SIZE_GREATER_720P :
++                             DEF_COMP_BUF_SIZE_720P_OR_LESS;
++
++              f->fmt.pix.bytesperline = 0;
++              if (f->fmt.pix.sizeimage < min_size)
++                      f->fmt.pix.sizeimage = min_size;
++      }
++
++      f->fmt.pix.field = V4L2_FIELD_NONE;
++
++      return 0;
++}
++
++static int vidioc_try_fmt_vid_cap(struct file *file, void *priv,
++                                struct v4l2_format *f)
++{
++      struct bcm2835_codec_fmt *fmt;
++      struct bcm2835_codec_ctx *ctx = file2ctx(file);
++
++      fmt = find_format(f, ctx->dev->decode, true);
++      if (!fmt) {
++              f->fmt.pix.pixelformat = get_default_format(ctx->dev->decode,
++                                                          true)->fourcc;
++              fmt = find_format(f, ctx->dev->decode, true);
++      }
++
++      return vidioc_try_fmt(f, fmt);
++}
++
++static int vidioc_try_fmt_vid_out(struct file *file, void *priv,
++                                struct v4l2_format *f)
++{
++      struct bcm2835_codec_fmt *fmt;
++      struct bcm2835_codec_ctx *ctx = file2ctx(file);
++
++      fmt = find_format(f, ctx->dev->decode, false);
++      if (!fmt) {
++              f->fmt.pix.pixelformat = get_default_format(ctx->dev->decode,
++                                                          false)->fourcc;
++              fmt = find_format(f, ctx->dev->decode, false);
++      }
++
++      if (!f->fmt.pix.colorspace)
++              f->fmt.pix.colorspace = ctx->colorspace;
++
++      return vidioc_try_fmt(f, fmt);
++}
++
++static int vidioc_s_fmt(struct bcm2835_codec_ctx *ctx, struct v4l2_format *f,
++                      unsigned int requested_height)
++{
++      struct bcm2835_codec_q_data *q_data;
++      struct vb2_queue *vq;
++      struct vchiq_mmal_port *port;
++      bool update_capture_port = false;
++      int ret;
++
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Setting format for type %d, wxh: %dx%d, fmt: %08x, size %u\n",
++               f->type, f->fmt.pix.width, f->fmt.pix.height,
++               f->fmt.pix.pixelformat, f->fmt.pix.sizeimage);
++
++      vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, f->type);
++      if (!vq)
++              return -EINVAL;
++
++      q_data = get_q_data(ctx, f->type);
++      if (!q_data)
++              return -EINVAL;
++
++      if (vb2_is_busy(vq)) {
++              v4l2_err(&ctx->dev->v4l2_dev, "%s queue busy\n", __func__);
++              return -EBUSY;
++      }
++
++      q_data->fmt = find_format(f, ctx->dev->decode,
++                                f->type == V4L2_BUF_TYPE_VIDEO_CAPTURE);
++      q_data->crop_width = f->fmt.pix.width;
++      q_data->height = f->fmt.pix.height;
++      if (!q_data->selection_set)
++              q_data->crop_height = requested_height;
++
++      /*
++       * Copying the behaviour of vicodec which retains a single set of
++       * colorspace parameters for both input and output.
++       */
++      ctx->colorspace = f->fmt.pix.colorspace;
++      ctx->xfer_func = f->fmt.pix.xfer_func;
++      ctx->ycbcr_enc = f->fmt.pix.ycbcr_enc;
++      ctx->quant = f->fmt.pix.quantization;
++
++      /* All parameters should have been set correctly by try_fmt */
++      q_data->bytesperline = f->fmt.pix.bytesperline;
++      q_data->sizeimage = f->fmt.pix.sizeimage;
++
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Calulated bpl as %u, size %u\n",
++               q_data->bytesperline, q_data->sizeimage);
++
++      if (ctx->dev->decode && q_data->fmt->flags & V4L2_FMT_FLAG_COMPRESSED &&
++          f->fmt.pix.width && f->fmt.pix.height) {
++              /*
++               * On the decoder, if provided with a resolution on the input
++               * side, then replicate that to the output side.
++               * GStreamer appears not to support V4L2_EVENT_SOURCE_CHANGE,
++               * nor set up a resolution on the output side, therefore
++               * we can't decode anything at a resolution other than the
++               * default one.
++               */
++              struct bcm2835_codec_q_data *q_data_dst =
++                                              &ctx->q_data[V4L2_M2M_DST];
++
++              q_data_dst->crop_width = q_data->crop_width;
++              q_data_dst->crop_height = q_data->crop_height;
++              q_data_dst->height = ALIGN(q_data->crop_height, 16);
++
++              q_data_dst->bytesperline =
++                      get_bytesperline(f->fmt.pix.width, q_data_dst->fmt);
++              q_data_dst->sizeimage = get_sizeimage(q_data_dst->bytesperline,
++                                                    q_data_dst->height,
++                                                    q_data_dst->fmt);
++              update_capture_port = true;
++      }
++
++      /* If we have a component then setup the port as well */
++      port = get_port_data(ctx, vq->type);
++      if (!port)
++              return 0;
++
++      setup_mmal_port_format(ctx, ctx->dev->decode, q_data, port);
++      ret = vchiq_mmal_port_set_format(ctx->dev->instance, port);
++      if (ret) {
++              v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed vchiq_mmal_port_set_format on port, ret %d\n",
++                       __func__, ret);
++              ret = -EINVAL;
++      }
++
++      if (q_data->sizeimage < port->minimum_buffer.size) {
++              v4l2_err(&ctx->dev->v4l2_dev, "%s: Current buffer size of %u < min buf size %u - driver mismatch to MMAL\n",
++                       __func__, q_data->sizeimage,
++                       port->minimum_buffer.size);
++      }
++
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Set format for type %d, wxh: %dx%d, fmt: %08x, size %u\n",
++               f->type, q_data->crop_width, q_data->height,
++               q_data->fmt->fourcc, q_data->sizeimage);
++
++      if (update_capture_port) {
++              struct vchiq_mmal_port *port_dst = &ctx->component->output[0];
++              struct bcm2835_codec_q_data *q_data_dst =
++                                              &ctx->q_data[V4L2_M2M_DST];
++
++              setup_mmal_port_format(ctx, ctx->dev->decode, q_data_dst,
++                                     port_dst);
++              ret = vchiq_mmal_port_set_format(ctx->dev->instance, port_dst);
++              if (ret) {
++                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed vchiq_mmal_port_set_format on output port, ret %d\n",
++                               __func__, ret);
++                      ret = -EINVAL;
++              }
++      }
++      return ret;
++}
++
++static int vidioc_s_fmt_vid_cap(struct file *file, void *priv,
++                              struct v4l2_format *f)
++{
++      unsigned int height = f->fmt.pix.height;
++      int ret;
++
++      ret = vidioc_try_fmt_vid_cap(file, priv, f);
++      if (ret)
++              return ret;
++
++      return vidioc_s_fmt(file2ctx(file), f, height);
++}
++
++static int vidioc_s_fmt_vid_out(struct file *file, void *priv,
++                              struct v4l2_format *f)
++{
++      unsigned int height = f->fmt.pix.height;
++      int ret;
++
++      ret = vidioc_try_fmt_vid_out(file, priv, f);
++      if (ret)
++              return ret;
++
++      ret = vidioc_s_fmt(file2ctx(file), f, height);
++      return ret;
++}
++
++static int vidioc_g_selection(struct file *file, void *priv,
++                            struct v4l2_selection *s)
++{
++      struct bcm2835_codec_ctx *ctx = file2ctx(file);
++      struct bcm2835_codec_q_data *q_data;
++      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE ?
++                                                              true : false;
++
++      if (capture_queue ^ ctx->dev->decode)
++              /* OUTPUT on decoder and CAPTURE on encoder are not valid. */
++              return -EINVAL;
++
++      q_data = get_q_data(ctx, s->type);
++      if (!q_data)
++              return -EINVAL;
++
++      if (ctx->dev->decode) {
++              switch (s->target) {
++              case V4L2_SEL_TGT_COMPOSE_DEFAULT:
++              case V4L2_SEL_TGT_COMPOSE:
++                      s->r.left = 0;
++                      s->r.top = 0;
++                      s->r.width = q_data->crop_width;
++                      s->r.height = q_data->crop_height;
++                      break;
++              case V4L2_SEL_TGT_COMPOSE_BOUNDS:
++                      s->r.left = 0;
++                      s->r.top = 0;
++                      s->r.width = q_data->crop_width;
++                      s->r.height = q_data->crop_height;
++                      break;
++              default:
++                      return -EINVAL;
++              }
++      } else {
++              switch (s->target) {
++              case V4L2_SEL_TGT_CROP_DEFAULT:
++              case V4L2_SEL_TGT_CROP_BOUNDS:
++                      s->r.top = 0;
++                      s->r.left = 0;
++                      s->r.width = q_data->bytesperline;
++                      s->r.height = q_data->height;
++                      break;
++              case V4L2_SEL_TGT_CROP:
++                      s->r.top = 0;
++                      s->r.left = 0;
++                      s->r.width = q_data->crop_width;
++                      s->r.height = q_data->crop_height;
++                      break;
++              default:
++                      return -EINVAL;
++              }
++      }
++
++      return 0;
++}
++
++static int vidioc_s_selection(struct file *file, void *priv,
++                            struct v4l2_selection *s)
++{
++      struct bcm2835_codec_ctx *ctx = file2ctx(file);
++      struct bcm2835_codec_q_data *q_data = NULL;
++      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE ?
++                                                              true : false;
++
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: ctx %p, type %d, q_data %p, target %d, rect x/y %d/%d, w/h %ux%u\n",
++               __func__, ctx, s->type, q_data, s->target, s->r.left, s->r.top,
++               s->r.width, s->r.height);
++
++      if (capture_queue ^ ctx->dev->decode)
++              /* OUTPUT on decoder and CAPTURE on encoder are not valid. */
++              return -EINVAL;
++
++      q_data = get_q_data(ctx, s->type);
++      if (!q_data)
++              return -EINVAL;
++
++      if (ctx->dev->decode) {
++              switch (s->target) {
++              case V4L2_SEL_TGT_COMPOSE:
++                      /* Accept cropped image */
++                      s->r.left = 0;
++                      s->r.top = 0;
++                      s->r.width = min(s->r.width, q_data->crop_width);
++                      s->r.height = min(s->r.height, q_data->height);
++                      q_data->crop_width = s->r.width;
++                      q_data->crop_height = s->r.height;
++                      q_data->selection_set = true;
++                      break;
++              default:
++                      return -EINVAL;
++              }
++      } else {
++              switch (s->target) {
++              case V4L2_SEL_TGT_CROP:
++                      /* Only support crop from (0,0) */
++                      s->r.top = 0;
++                      s->r.left = 0;
++                      s->r.width = min(s->r.width, q_data->crop_width);
++                      s->r.height = min(s->r.height, q_data->crop_height);
++                      q_data->crop_width = s->r.width;
++                      q_data->crop_height = s->r.height;
++                      q_data->selection_set = true;
++                      break;
++              default:
++                      return -EINVAL;
++              }
++      }
++
++      return 0;
++}
++
++static int vidioc_subscribe_evt(struct v4l2_fh *fh,
++                              const struct v4l2_event_subscription *sub)
++{
++      switch (sub->type) {
++      case V4L2_EVENT_EOS:
++              return v4l2_event_subscribe(fh, sub, 2, NULL);
++      case V4L2_EVENT_SOURCE_CHANGE:
++              return v4l2_src_change_event_subscribe(fh, sub);
++      default:
++              return v4l2_ctrl_subscribe_event(fh, sub);
++      }
++}
++
++static int bcm2835_codec_set_level_profile(struct bcm2835_codec_ctx *ctx,
++                                         struct v4l2_ctrl *ctrl)
++{
++      struct mmal_parameter_video_profile param;
++      int param_size = sizeof(param);
++      int ret;
++
++      /*
++       * Level and Profile are set via the same MMAL parameter.
++       * Retrieve the current settings and amend the one that has changed.
++       */
++      ret = vchiq_mmal_port_parameter_get(ctx->dev->instance,
++                                          &ctx->component->output[0],
++                                          MMAL_PARAMETER_PROFILE,
++                                          &param,
++                                          &param_size);
++      if (ret)
++              return ret;
++
++      switch (ctrl->id) {
++      case V4L2_CID_MPEG_VIDEO_H264_PROFILE:
++              switch (ctrl->val) {
++              case V4L2_MPEG_VIDEO_H264_PROFILE_BASELINE:
++                      param.profile = MMAL_VIDEO_PROFILE_H264_BASELINE;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_PROFILE_CONSTRAINED_BASELINE:
++                      param.profile =
++                              MMAL_VIDEO_PROFILE_H264_CONSTRAINED_BASELINE;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_PROFILE_MAIN:
++                      param.profile = MMAL_VIDEO_PROFILE_H264_MAIN;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_PROFILE_HIGH:
++                      param.profile = MMAL_VIDEO_PROFILE_H264_HIGH;
++                      break;
++              default:
++                      /* Should never get here */
++                      break;
++              }
++              break;
++
++      case V4L2_CID_MPEG_VIDEO_H264_LEVEL:
++              switch (ctrl->val) {
++              case V4L2_MPEG_VIDEO_H264_LEVEL_1_0:
++                      param.level = MMAL_VIDEO_LEVEL_H264_1;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_LEVEL_1B:
++                      param.level = MMAL_VIDEO_LEVEL_H264_1b;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_LEVEL_1_1:
++                      param.level = MMAL_VIDEO_LEVEL_H264_11;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_LEVEL_1_2:
++                      param.level = MMAL_VIDEO_LEVEL_H264_12;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_LEVEL_1_3:
++                      param.level = MMAL_VIDEO_LEVEL_H264_13;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_LEVEL_2_0:
++                      param.level = MMAL_VIDEO_LEVEL_H264_2;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_LEVEL_2_1:
++                      param.level = MMAL_VIDEO_LEVEL_H264_21;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_LEVEL_2_2:
++                      param.level = MMAL_VIDEO_LEVEL_H264_22;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_LEVEL_3_0:
++                      param.level = MMAL_VIDEO_LEVEL_H264_3;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_LEVEL_3_1:
++                      param.level = MMAL_VIDEO_LEVEL_H264_31;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_LEVEL_3_2:
++                      param.level = MMAL_VIDEO_LEVEL_H264_32;
++                      break;
++              case V4L2_MPEG_VIDEO_H264_LEVEL_4_0:
++                      param.level = MMAL_VIDEO_LEVEL_H264_4;
++                      break;
++              default:
++                      /* Should never get here */
++                      break;
++              }
++      }
++      ret = vchiq_mmal_port_parameter_set(ctx->dev->instance,
++                                          &ctx->component->output[0],
++                                          MMAL_PARAMETER_PROFILE,
++                                          &param,
++                                          param_size);
++
++      return ret;
++}
++
++static int bcm2835_codec_s_ctrl(struct v4l2_ctrl *ctrl)
++{
++      struct bcm2835_codec_ctx *ctx =
++              container_of(ctrl->handler, struct bcm2835_codec_ctx, hdl);
++      int ret = 0;
++
++      switch (ctrl->id) {
++      case V4L2_CID_MPEG_VIDEO_BITRATE:
++              ctx->bitrate = ctrl->val;
++              if (!ctx->component)
++                      break;
++
++              ret = vchiq_mmal_port_parameter_set(ctx->dev->instance,
++                                                  &ctx->component->output[0],
++                                                  MMAL_PARAMETER_VIDEO_BIT_RATE,
++                                                  &ctrl->val,
++                                                  sizeof(ctrl->val));
++              break;
++
++      case V4L2_CID_MPEG_VIDEO_BITRATE_MODE: {
++              u32 bitrate_mode;
++
++              if (!ctx->component)
++                      break;
++
++              switch (ctrl->val) {
++              default:
++              case V4L2_MPEG_VIDEO_BITRATE_MODE_VBR:
++                      bitrate_mode = MMAL_VIDEO_RATECONTROL_VARIABLE;
++                      break;
++              case V4L2_MPEG_VIDEO_BITRATE_MODE_CBR:
++                      bitrate_mode = MMAL_VIDEO_RATECONTROL_CONSTANT;
++                      break;
++              }
++
++              ret = vchiq_mmal_port_parameter_set(ctx->dev->instance,
++                                                  &ctx->component->output[0],
++                                                  MMAL_PARAMETER_RATECONTROL,
++                                                  &bitrate_mode,
++                                                  sizeof(bitrate_mode));
++              break;
++      }
++      case V4L2_CID_MPEG_VIDEO_REPEAT_SEQ_HEADER:
++              if (!ctx->component)
++                      break;
++
++              ret = vchiq_mmal_port_parameter_set(ctx->dev->instance,
++                                                  &ctx->component->output[0],
++                                                  MMAL_PARAMETER_VIDEO_ENCODE_INLINE_HEADER,
++                                                  &ctrl->val,
++                                                  sizeof(ctrl->val));
++              break;
++
++      case V4L2_CID_MPEG_VIDEO_H264_I_PERIOD:
++              if (!ctx->component)
++                      break;
++
++              ret = vchiq_mmal_port_parameter_set(ctx->dev->instance,
++                                                  &ctx->component->output[0],
++                                                  MMAL_PARAMETER_INTRAPERIOD,
++                                                  &ctrl->val,
++                                                  sizeof(ctrl->val));
++              break;
++
++      case V4L2_CID_MPEG_VIDEO_H264_PROFILE:
++      case V4L2_CID_MPEG_VIDEO_H264_LEVEL:
++              if (!ctx->component)
++                      break;
++
++              ret = bcm2835_codec_set_level_profile(ctx, ctrl);
++              break;
++
++      default:
++              v4l2_err(&ctx->dev->v4l2_dev, "Invalid control\n");
++              return -EINVAL;
++      }
++
++      if (ret)
++              v4l2_err(&ctx->dev->v4l2_dev, "Failed setting ctrl %08x, ret %d\n",
++                       ctrl->id, ret);
++      return ret ? -EINVAL : 0;
++}
++
++static const struct v4l2_ctrl_ops bcm2835_codec_ctrl_ops = {
++      .s_ctrl = bcm2835_codec_s_ctrl,
++};
++
++static int vidioc_try_decoder_cmd(struct file *file, void *priv,
++                                struct v4l2_decoder_cmd *cmd)
++{
++      struct bcm2835_codec_ctx *ctx = file2ctx(file);
++
++      if (!ctx->dev->decode)
++              return -EINVAL;
++
++      switch (cmd->cmd) {
++      case V4L2_DEC_CMD_STOP:
++              if (cmd->flags & V4L2_DEC_CMD_STOP_TO_BLACK) {
++                      v4l2_err(&ctx->dev->v4l2_dev, "%s: DEC cmd->flags=%u stop to black not supported",
++                               __func__, cmd->flags);
++                      return -EINVAL;
++              }
++              break;
++      case V4L2_DEC_CMD_START:
++              break;
++      default:
++              return -EINVAL;
++      }
++      return 0;
++}
++
++static int vidioc_decoder_cmd(struct file *file, void *priv,
++                            struct v4l2_decoder_cmd *cmd)
++{
++      struct bcm2835_codec_ctx *ctx = file2ctx(file);
++      struct bcm2835_codec_q_data *q_data = &ctx->q_data[V4L2_M2M_SRC];
++      int ret;
++
++      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s, cmd %u", __func__,
++               cmd->cmd);
++      ret = vidioc_try_decoder_cmd(file, priv, cmd);
++      if (ret)
++              return ret;
++
++      switch (cmd->cmd) {
++      case V4L2_DEC_CMD_STOP:
++              if (q_data->eos_buffer_in_use)
++                      v4l2_err(&ctx->dev->v4l2_dev, "EOS buffers already in use\n");
++              q_data->eos_buffer_in_use = true;
++
++              q_data->eos_buffer.mmal.buffer_size = 0;
++              q_data->eos_buffer.mmal.length = 0;
++              q_data->eos_buffer.mmal.mmal_flags =
++                                              MMAL_BUFFER_HEADER_FLAG_EOS;
++              q_data->eos_buffer.mmal.pts = 0;
++              q_data->eos_buffer.mmal.dts = 0;
++
++              if (!ctx->component)
++                      break;
++
++              ret = vchiq_mmal_submit_buffer(ctx->dev->instance,
++                                             &ctx->component->input[0],
++                                             &q_data->eos_buffer.mmal);
++              if (ret)
++                      v4l2_err(&ctx->dev->v4l2_dev,
++                               "%s: EOS buffer submit failed %d\n",
++                               __func__, ret);
++
++              break;
++
++      case V4L2_DEC_CMD_START:
++              /* Do we need to do anything here? */
++              break;
++
++      default:
++              return -EINVAL;
++      }
++
++      return 0;
++}
++
++static int vidioc_try_encoder_cmd(struct file *file, void *priv,
++                                struct v4l2_encoder_cmd *cmd)
++{
++      struct bcm2835_codec_ctx *ctx = file2ctx(file);
++
++      if (ctx->dev->decode)
++              return -EINVAL;
++
++      switch (cmd->cmd) {
++      case V4L2_ENC_CMD_STOP:
++              break;
++
++      case V4L2_ENC_CMD_START:
++              /* Do we need to do anything here? */
++              break;
++      default:
++              return -EINVAL;
++      }
++      return 0;
++}
++
++static int vidioc_encoder_cmd(struct file *file, void *priv,
++                            struct v4l2_encoder_cmd *cmd)
++{
++      struct bcm2835_codec_ctx *ctx = file2ctx(file);
++      struct bcm2835_codec_q_data *q_data = &ctx->q_data[V4L2_M2M_SRC];
++      int ret;
++
++      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s, cmd %u", __func__,
++               cmd->cmd);
++      ret = vidioc_try_encoder_cmd(file, priv, cmd);
++      if (ret)
++              return ret;
++
++      switch (cmd->cmd) {
++      case V4L2_ENC_CMD_STOP:
++              if (q_data->eos_buffer_in_use)
++                      v4l2_err(&ctx->dev->v4l2_dev, "EOS buffers already in use\n");
++              q_data->eos_buffer_in_use = true;
++
++              q_data->eos_buffer.mmal.buffer_size = 0;
++              q_data->eos_buffer.mmal.length = 0;
++              q_data->eos_buffer.mmal.mmal_flags =
++                                              MMAL_BUFFER_HEADER_FLAG_EOS;
++              q_data->eos_buffer.mmal.pts = 0;
++              q_data->eos_buffer.mmal.dts = 0;
++
++              if (!ctx->component)
++                      break;
++
++              ret = vchiq_mmal_submit_buffer(ctx->dev->instance,
++                                             &ctx->component->input[0],
++                                             &q_data->eos_buffer.mmal);
++              if (ret)
++                      v4l2_err(&ctx->dev->v4l2_dev,
++                               "%s: EOS buffer submit failed %d\n",
++                               __func__, ret);
++
++              break;
++      case V4L2_ENC_CMD_START:
++              /* Do we need to do anything here? */
++              break;
++
++      default:
++              return -EINVAL;
++      }
++
++      return 0;
++}
++
++static const struct v4l2_ioctl_ops bcm2835_codec_ioctl_ops = {
++      .vidioc_querycap        = vidioc_querycap,
++
++      .vidioc_enum_fmt_vid_cap = vidioc_enum_fmt_vid_cap,
++      .vidioc_g_fmt_vid_cap   = vidioc_g_fmt_vid_cap,
++      .vidioc_try_fmt_vid_cap = vidioc_try_fmt_vid_cap,
++      .vidioc_s_fmt_vid_cap   = vidioc_s_fmt_vid_cap,
++
++      .vidioc_enum_fmt_vid_out = vidioc_enum_fmt_vid_out,
++      .vidioc_g_fmt_vid_out   = vidioc_g_fmt_vid_out,
++      .vidioc_try_fmt_vid_out = vidioc_try_fmt_vid_out,
++      .vidioc_s_fmt_vid_out   = vidioc_s_fmt_vid_out,
++
++      .vidioc_reqbufs         = v4l2_m2m_ioctl_reqbufs,
++      .vidioc_querybuf        = v4l2_m2m_ioctl_querybuf,
++      .vidioc_qbuf            = v4l2_m2m_ioctl_qbuf,
++      .vidioc_dqbuf           = v4l2_m2m_ioctl_dqbuf,
++      .vidioc_prepare_buf     = v4l2_m2m_ioctl_prepare_buf,
++      .vidioc_create_bufs     = v4l2_m2m_ioctl_create_bufs,
++      .vidioc_expbuf          = v4l2_m2m_ioctl_expbuf,
++
++      .vidioc_streamon        = v4l2_m2m_ioctl_streamon,
++      .vidioc_streamoff       = v4l2_m2m_ioctl_streamoff,
++
++      .vidioc_g_selection     = vidioc_g_selection,
++      .vidioc_s_selection     = vidioc_s_selection,
++
++      .vidioc_subscribe_event = vidioc_subscribe_evt,
++      .vidioc_unsubscribe_event = v4l2_event_unsubscribe,
++
++      .vidioc_decoder_cmd = vidioc_decoder_cmd,
++      .vidioc_try_decoder_cmd = vidioc_try_decoder_cmd,
++      .vidioc_encoder_cmd = vidioc_encoder_cmd,
++      .vidioc_try_encoder_cmd = vidioc_try_encoder_cmd,
++};
++
++static int bcm2835_codec_set_ctrls(struct bcm2835_codec_ctx *ctx)
++{
++      /*
++       * Query the control handler for the value of the various controls and
++       * set them.
++       */
++      const u32 control_ids[] = {
++              V4L2_CID_MPEG_VIDEO_BITRATE_MODE,
++              V4L2_CID_MPEG_VIDEO_REPEAT_SEQ_HEADER,
++              V4L2_CID_MPEG_VIDEO_H264_I_PERIOD,
++              V4L2_CID_MPEG_VIDEO_H264_LEVEL,
++              V4L2_CID_MPEG_VIDEO_H264_PROFILE,
++      };
++      int i;
++
++      for (i = 0; i < ARRAY_SIZE(control_ids); i++) {
++              struct v4l2_ctrl *ctrl;
++
++              ctrl = v4l2_ctrl_find(&ctx->hdl, control_ids[i]);
++              if (ctrl)
++                      bcm2835_codec_s_ctrl(ctrl);
++      }
++
++      return 0;
++}
++
++static int bcm2835_codec_create_component(struct bcm2835_codec_ctx *ctx)
++{
++      struct bcm2835_codec_dev *dev = ctx->dev;
++      unsigned int enable = 1;
++      int ret;
++
++      ret = vchiq_mmal_component_init(dev->instance, dev->decode ?
++                                      "ril.video_decode" : "ril.video_encode",
++                                      &ctx->component);
++      if (ret < 0) {
++              v4l2_err(&dev->v4l2_dev, "%s: failed to create component for %s\n",
++                       __func__, dev->decode ? "decode" : "encode");
++              return -ENOMEM;
++      }
++
++      vchiq_mmal_port_parameter_set(dev->instance, &ctx->component->input[0],
++                                    MMAL_PARAMETER_ZERO_COPY, &enable,
++                                    sizeof(enable));
++      vchiq_mmal_port_parameter_set(dev->instance, &ctx->component->output[0],
++                                    MMAL_PARAMETER_ZERO_COPY, &enable,
++                                    sizeof(enable));
++
++      setup_mmal_port_format(ctx, dev->decode, &ctx->q_data[V4L2_M2M_SRC],
++                             &ctx->component->input[0]);
++
++      setup_mmal_port_format(ctx, dev->decode, &ctx->q_data[V4L2_M2M_DST],
++                             &ctx->component->output[0]);
++
++      ret = vchiq_mmal_port_set_format(dev->instance,
++                                       &ctx->component->input[0]);
++      if (ret < 0)
++              goto destroy_component;
++
++      ret = vchiq_mmal_port_set_format(dev->instance,
++                                       &ctx->component->output[0]);
++      if (ret < 0)
++              goto destroy_component;
++
++      if (dev->decode) {
++              if (ctx->q_data[V4L2_M2M_DST].sizeimage <
++                      ctx->component->output[0].minimum_buffer.size)
++                      v4l2_err(&dev->v4l2_dev, "buffer size mismatch sizeimage %u < min size %u\n",
++                               ctx->q_data[V4L2_M2M_DST].sizeimage,
++                               ctx->component->output[0].minimum_buffer.size);
++      } else {
++              if (ctx->q_data[V4L2_M2M_SRC].sizeimage <
++                      ctx->component->output[0].minimum_buffer.size)
++                      v4l2_err(&dev->v4l2_dev, "buffer size mismatch sizeimage %u < min size %u\n",
++                               ctx->q_data[V4L2_M2M_SRC].sizeimage,
++                               ctx->component->output[0].minimum_buffer.size);
++
++              /* Now we have a component we can set all the ctrls */
++              bcm2835_codec_set_ctrls(ctx);
++      }
++
++      return 0;
++
++destroy_component:
++      vchiq_mmal_component_finalise(ctx->dev->instance, ctx->component);
++
++      return ret;
++}
++
++/*
++ * Queue operations
++ */
++
++static int bcm2835_codec_queue_setup(struct vb2_queue *vq,
++                                   unsigned int *nbuffers,
++                                   unsigned int *nplanes,
++                                   unsigned int sizes[],
++                                   struct device *alloc_devs[])
++{
++      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(vq);
++      struct bcm2835_codec_q_data *q_data;
++      struct vchiq_mmal_port *port;
++      unsigned int size;
++
++      q_data = get_q_data(ctx, vq->type);
++      if (!q_data)
++              return -EINVAL;
++
++      if (!ctx->component)
++              if (bcm2835_codec_create_component(ctx))
++                      return -EINVAL;
++
++      port = get_port_data(ctx, vq->type);
++
++      size = q_data->sizeimage;
++
++      if (*nplanes)
++              return sizes[0] < size ? -EINVAL : 0;
++
++      *nplanes = 1;
++
++      sizes[0] = size;
++      port->current_buffer.size = size;
++
++      if (*nbuffers < port->minimum_buffer.num)
++              *nbuffers = port->minimum_buffer.num;
++      /* Add one buffer to take an EOS */
++      port->current_buffer.num = *nbuffers + 1;
++
++      return 0;
++}
++
++static int bcm2835_codec_buf_init(struct vb2_buffer *vb)
++{
++      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
++      struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
++      struct v4l2_m2m_buffer *m2m = container_of(vb2, struct v4l2_m2m_buffer,
++                                                 vb);
++      struct m2m_mmal_buffer *buf = container_of(m2m, struct m2m_mmal_buffer,
++                                                 m2m);
++
++      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: ctx:%p, vb %p\n",
++               __func__, ctx, vb);
++      buf->mmal.buffer = vb2_plane_vaddr(&buf->m2m.vb.vb2_buf, 0);
++      buf->mmal.buffer_size = vb2_plane_size(&buf->m2m.vb.vb2_buf, 0);
++
++      mmal_vchi_buffer_init(ctx->dev->instance, &buf->mmal);
++
++      return 0;
++}
++
++static int bcm2835_codec_buf_prepare(struct vb2_buffer *vb)
++{
++      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
++      struct bcm2835_codec_q_data *q_data;
++      struct vb2_v4l2_buffer *vbuf = to_vb2_v4l2_buffer(vb);
++      struct v4l2_m2m_buffer *m2m = container_of(vbuf, struct v4l2_m2m_buffer,
++                                                 vb);
++      struct m2m_mmal_buffer *buf = container_of(m2m, struct m2m_mmal_buffer,
++                                                 m2m);
++      int ret;
++
++      v4l2_dbg(4, debug, &ctx->dev->v4l2_dev, "%s: type: %d ptr %p\n",
++               __func__, vb->vb2_queue->type, vb);
++
++      q_data = get_q_data(ctx, vb->vb2_queue->type);
++      if (V4L2_TYPE_IS_OUTPUT(vb->vb2_queue->type)) {
++              if (vbuf->field == V4L2_FIELD_ANY)
++                      vbuf->field = V4L2_FIELD_NONE;
++              if (vbuf->field != V4L2_FIELD_NONE) {
++                      v4l2_err(&ctx->dev->v4l2_dev, "%s field isn't supported\n",
++                               __func__);
++                      return -EINVAL;
++              }
++      }
++
++      if (vb2_plane_size(vb, 0) < q_data->sizeimage) {
++              v4l2_err(&ctx->dev->v4l2_dev, "%s data will not fit into plane (%lu < %lu)\n",
++                       __func__, vb2_plane_size(vb, 0),
++                       (long)q_data->sizeimage);
++              return -EINVAL;
++      }
++
++      if (!V4L2_TYPE_IS_OUTPUT(vb->vb2_queue->type))
++              vb2_set_plane_payload(vb, 0, q_data->sizeimage);
++
++      /*
++       * We want to do this at init, but vb2_core_expbuf checks that the
++       * index < q->num_buffers, and q->num_buffers only gets updated once
++       * all the buffers are allocated.
++       */
++      if (!buf->mmal.dma_buf) {
++              ret = vb2_core_expbuf_dmabuf(vb->vb2_queue,
++                                           vb->vb2_queue->type, vb->index, 0,
++                                           O_CLOEXEC, &buf->mmal.dma_buf);
++              if (ret)
++                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed to expbuf idx %d, ret %d\n",
++                               __func__, vb->index, ret);
++      } else {
++              ret = 0;
++      }
++
++      return ret;
++}
++
++static void bcm2835_codec_buf_queue(struct vb2_buffer *vb)
++{
++      struct vb2_v4l2_buffer *vbuf = to_vb2_v4l2_buffer(vb);
++      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
++
++      v4l2_dbg(4, debug, &ctx->dev->v4l2_dev, "%s: type: %d ptr %p vbuf->flags %u, seq %u, bytesused %u\n",
++               __func__, vb->vb2_queue->type, vb, vbuf->flags, vbuf->sequence,
++               vb->planes[0].bytesused);
++      v4l2_m2m_buf_queue(ctx->fh.m2m_ctx, vbuf);
++}
++
++static void bcm2835_codec_buffer_cleanup(struct vb2_buffer *vb)
++{
++      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
++      struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
++      struct v4l2_m2m_buffer *m2m = container_of(vb2, struct v4l2_m2m_buffer,
++                                                 vb);
++      struct m2m_mmal_buffer *buf = container_of(m2m, struct m2m_mmal_buffer,
++                                                 m2m);
++
++      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: ctx:%p, vb %p\n",
++               __func__, ctx, vb);
++
++      mmal_vchi_buffer_cleanup(&buf->mmal);
++
++      if (buf->mmal.dma_buf) {
++              dma_buf_put(buf->mmal.dma_buf);
++              buf->mmal.dma_buf = NULL;
++      }
++}
++
++static int bcm2835_codec_start_streaming(struct vb2_queue *q,
++                                       unsigned int count)
++{
++      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(q);
++      struct bcm2835_codec_dev *dev = ctx->dev;
++      struct bcm2835_codec_q_data *q_data = get_q_data(ctx, q->type);
++      int ret;
++
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: type: %d count %d\n",
++               __func__, q->type, count);
++      q_data->sequence = 0;
++
++      if (!ctx->component_enabled) {
++              ret = vchiq_mmal_component_enable(dev->instance,
++                                                ctx->component);
++              if (ret)
++                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed enabling component, ret %d\n",
++                               __func__, ret);
++              ctx->component_enabled = true;
++      }
++
++      if (q->type == V4L2_BUF_TYPE_VIDEO_OUTPUT) {
++              /*
++               * Create the EOS buffer.
++               * We only need the MMAL part, and want to NOT attach a memory
++               * buffer to it as it should only take flags.
++               */
++              memset(&q_data->eos_buffer, 0, sizeof(q_data->eos_buffer));
++              mmal_vchi_buffer_init(dev->instance,
++                                    &q_data->eos_buffer.mmal);
++              q_data->eos_buffer_in_use = false;
++
++              ctx->component->input[0].cb_ctx = ctx;
++              ret = vchiq_mmal_port_enable(dev->instance,
++                                           &ctx->component->input[0],
++                                           ip_buffer_cb);
++              if (ret)
++                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed enabling i/p port, ret %d\n",
++                               __func__, ret);
++      } else {
++              ctx->component->output[0].cb_ctx = ctx;
++              ret = vchiq_mmal_port_enable(dev->instance,
++                                           &ctx->component->output[0],
++                                           op_buffer_cb);
++              if (ret)
++                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed enabling o/p port, ret %d\n",
++                               __func__, ret);
++      }
++      return ret;
++}
++
++static void bcm2835_codec_stop_streaming(struct vb2_queue *q)
++{
++      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(q);
++      struct bcm2835_codec_dev *dev = ctx->dev;
++      struct bcm2835_codec_q_data *q_data = get_q_data(ctx, q->type);
++      struct vchiq_mmal_port *port = get_port_data(ctx, q->type);
++      struct vb2_v4l2_buffer *vbuf;
++      struct vb2_v4l2_buffer *vb2;
++      struct v4l2_m2m_buffer *m2m;
++      struct m2m_mmal_buffer *buf;
++      int ret, i;
++
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: type: %d - return buffers\n",
++               __func__, q->type);
++
++      init_completion(&ctx->frame_cmplt);
++
++      /* Clear out all buffers held by m2m framework */
++      for (;;) {
++              if (V4L2_TYPE_IS_OUTPUT(q->type))
++                      vbuf = v4l2_m2m_src_buf_remove(ctx->fh.m2m_ctx);
++              else
++                      vbuf = v4l2_m2m_dst_buf_remove(ctx->fh.m2m_ctx);
++              if (!vbuf)
++                      break;
++              v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: return buffer %p\n",
++                       __func__, vbuf);
++
++              v4l2_m2m_buf_done(vbuf, VB2_BUF_STATE_ERROR);
++      }
++
++      /* Disable MMAL port - this will flush buffers back */
++      ret = vchiq_mmal_port_disable(dev->instance, port);
++      if (ret)
++              v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed disabling %s port, ret %d\n",
++                       __func__, V4L2_TYPE_IS_OUTPUT(q->type) ? "i/p" : "o/p",
++                       ret);
++
++      while (atomic_read(&port->buffers_with_vpu)) {
++              v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: Waiting for buffers to be returned - %d outstanding\n",
++                       __func__, atomic_read(&port->buffers_with_vpu));
++              ret = wait_for_completion_timeout(&ctx->frame_cmplt, HZ);
++              if (ret <= 0) {
++                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Timeout waiting for buffers to be returned - %d outstanding\n",
++                               __func__,
++                               atomic_read(&port->buffers_with_vpu));
++                      break;
++              }
++      }
++
++      /*
++       * Release the VCSM handle here as otherwise REQBUFS(0) aborts because
++       * someone is using the dmabuf before giving the driver a chance to do
++       * anything about it.
++       */
++      for (i = 0; i < q->num_buffers; i++) {
++              vb2 = to_vb2_v4l2_buffer(q->bufs[i]);
++              m2m = container_of(vb2, struct v4l2_m2m_buffer, vb);
++              buf = container_of(m2m, struct m2m_mmal_buffer, m2m);
++
++              mmal_vchi_buffer_cleanup(&buf->mmal);
++              if (buf->mmal.dma_buf) {
++                      dma_buf_put(buf->mmal.dma_buf);
++                      buf->mmal.dma_buf = NULL;
++              }
++      }
++
++      /* If both ports disabled, then disable the component */
++      if (!ctx->component->input[0].enabled &&
++          !ctx->component->output[0].enabled) {
++              ret = vchiq_mmal_component_disable(dev->instance,
++                                                 ctx->component);
++              if (ret)
++                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed enabling component, ret %d\n",
++                               __func__, ret);
++      }
++
++      if (V4L2_TYPE_IS_OUTPUT(q->type))
++              mmal_vchi_buffer_cleanup(&q_data->eos_buffer.mmal);
++
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: done\n", __func__);
++}
++
++static const struct vb2_ops bcm2835_codec_qops = {
++      .queue_setup     = bcm2835_codec_queue_setup,
++      .buf_init        = bcm2835_codec_buf_init,
++      .buf_prepare     = bcm2835_codec_buf_prepare,
++      .buf_queue       = bcm2835_codec_buf_queue,
++      .buf_cleanup     = bcm2835_codec_buffer_cleanup,
++      .start_streaming = bcm2835_codec_start_streaming,
++      .stop_streaming  = bcm2835_codec_stop_streaming,
++      .wait_prepare    = vb2_ops_wait_prepare,
++      .wait_finish     = vb2_ops_wait_finish,
++};
++
++static int queue_init(void *priv, struct vb2_queue *src_vq,
++                    struct vb2_queue *dst_vq)
++{
++      struct bcm2835_codec_ctx *ctx = priv;
++      int ret;
++
++      src_vq->type = V4L2_BUF_TYPE_VIDEO_OUTPUT;
++      src_vq->io_modes = VB2_MMAP | VB2_DMABUF;
++      src_vq->drv_priv = ctx;
++      src_vq->buf_struct_size = sizeof(struct m2m_mmal_buffer);
++      src_vq->ops = &bcm2835_codec_qops;
++      src_vq->mem_ops = &vb2_dma_contig_memops;
++      src_vq->dev = &ctx->dev->pdev->dev;
++      src_vq->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_COPY;
++      src_vq->lock = &ctx->dev->dev_mutex;
++
++      ret = vb2_queue_init(src_vq);
++      if (ret)
++              return ret;
++
++      dst_vq->type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
++      dst_vq->io_modes = VB2_MMAP | VB2_DMABUF;
++      dst_vq->drv_priv = ctx;
++      dst_vq->buf_struct_size = sizeof(struct m2m_mmal_buffer);
++      dst_vq->ops = &bcm2835_codec_qops;
++      dst_vq->mem_ops = &vb2_dma_contig_memops;
++      dst_vq->dev = &ctx->dev->pdev->dev;
++      dst_vq->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_COPY;
++      dst_vq->lock = &ctx->dev->dev_mutex;
++
++      return vb2_queue_init(dst_vq);
++}
++
++/*
++ * File operations
++ */
++static int bcm2835_codec_open(struct file *file)
++{
++      struct bcm2835_codec_dev *dev = video_drvdata(file);
++      struct bcm2835_codec_ctx *ctx = NULL;
++      struct v4l2_ctrl_handler *hdl;
++      int rc = 0;
++
++      v4l2_dbg(1, debug, &dev->v4l2_dev, "Creating instance for %s\n",
++               dev->decode ? "decode" : "encode");
++      if (mutex_lock_interruptible(&dev->dev_mutex)) {
++              v4l2_err(&dev->v4l2_dev, "Mutex fail\n");
++              return -ERESTARTSYS;
++      }
++      ctx = kzalloc(sizeof(*ctx), GFP_KERNEL);
++      if (!ctx) {
++              rc = -ENOMEM;
++              goto open_unlock;
++      }
++
++      ctx->q_data[V4L2_M2M_SRC].fmt = get_default_format(dev->decode, false);
++      ctx->q_data[V4L2_M2M_DST].fmt = get_default_format(dev->decode, true);
++      if (dev->decode) {
++              /*
++               * Input width and height are irrelevant as they will be defined
++               * by the bitstream not the format. Required by V4L2 though.
++               */
++              ctx->q_data[V4L2_M2M_SRC].crop_width = DEFAULT_WIDTH;
++              ctx->q_data[V4L2_M2M_SRC].crop_height = DEFAULT_HEIGHT;
++              ctx->q_data[V4L2_M2M_SRC].height = DEFAULT_HEIGHT;
++              ctx->q_data[V4L2_M2M_SRC].bytesperline = 0;
++              ctx->q_data[V4L2_M2M_SRC].sizeimage =
++                                              DEF_COMP_BUF_SIZE_720P_OR_LESS;
++
++              ctx->q_data[V4L2_M2M_DST].crop_width = DEFAULT_WIDTH;
++              ctx->q_data[V4L2_M2M_DST].crop_height = DEFAULT_HEIGHT;
++              ctx->q_data[V4L2_M2M_DST].height = DEFAULT_HEIGHT;
++              ctx->q_data[V4L2_M2M_DST].bytesperline =
++                              get_bytesperline(DEFAULT_WIDTH,
++                                               ctx->q_data[V4L2_M2M_DST].fmt);
++              ctx->q_data[V4L2_M2M_DST].sizeimage =
++                      get_sizeimage(ctx->q_data[V4L2_M2M_DST].bytesperline,
++                                    ctx->q_data[V4L2_M2M_DST].height,
++                                    ctx->q_data[V4L2_M2M_DST].fmt);
++      } else {
++              ctx->q_data[V4L2_M2M_SRC].crop_width = DEFAULT_WIDTH;
++              ctx->q_data[V4L2_M2M_SRC].crop_height = DEFAULT_HEIGHT;
++              ctx->q_data[V4L2_M2M_SRC].height = DEFAULT_HEIGHT;
++              ctx->q_data[V4L2_M2M_SRC].bytesperline =
++                              get_bytesperline(DEFAULT_WIDTH,
++                                               ctx->q_data[V4L2_M2M_SRC].fmt);
++              ctx->q_data[V4L2_M2M_SRC].sizeimage =
++                      get_sizeimage(ctx->q_data[V4L2_M2M_SRC].bytesperline,
++                                    ctx->q_data[V4L2_M2M_SRC].height,
++                                    ctx->q_data[V4L2_M2M_SRC].fmt);
++
++              ctx->q_data[V4L2_M2M_DST].crop_width = DEFAULT_WIDTH;
++              ctx->q_data[V4L2_M2M_DST].crop_height = DEFAULT_HEIGHT;
++              ctx->q_data[V4L2_M2M_DST].bytesperline = 0;
++              ctx->q_data[V4L2_M2M_DST].height = DEFAULT_HEIGHT;
++              ctx->q_data[V4L2_M2M_DST].sizeimage =
++                                              DEF_COMP_BUF_SIZE_720P_OR_LESS;
++      }
++
++      ctx->colorspace = V4L2_COLORSPACE_REC709;
++      ctx->bitrate = 10 * 1000 * 1000;
++
++      /* Initialise V4L2 contexts */
++      v4l2_fh_init(&ctx->fh, video_devdata(file));
++      file->private_data = &ctx->fh;
++      ctx->dev = dev;
++      hdl = &ctx->hdl;
++      if (!dev->decode) {
++              /* Encode controls */
++              v4l2_ctrl_handler_init(hdl, 6);
++
++              v4l2_ctrl_new_std_menu(hdl, &bcm2835_codec_ctrl_ops,
++                                     V4L2_CID_MPEG_VIDEO_BITRATE_MODE,
++                                     V4L2_MPEG_VIDEO_BITRATE_MODE_CBR, 0,
++                                     V4L2_MPEG_VIDEO_BITRATE_MODE_VBR);
++              v4l2_ctrl_new_std(hdl, &bcm2835_codec_ctrl_ops,
++                                V4L2_CID_MPEG_VIDEO_BITRATE,
++                                25 * 1000, 25 * 1000 * 1000,
++                                25 * 1000, 10 * 1000 * 1000);
++              v4l2_ctrl_new_std(hdl, &bcm2835_codec_ctrl_ops,
++                                V4L2_CID_MPEG_VIDEO_REPEAT_SEQ_HEADER,
++                                0, 1,
++                                1, 0);
++              v4l2_ctrl_new_std(hdl, &bcm2835_codec_ctrl_ops,
++                                V4L2_CID_MPEG_VIDEO_H264_I_PERIOD,
++                                0, 0x7FFFFFFF,
++                                1, 60);
++              v4l2_ctrl_new_std_menu(hdl, &bcm2835_codec_ctrl_ops,
++                                     V4L2_CID_MPEG_VIDEO_H264_LEVEL,
++                                     V4L2_MPEG_VIDEO_H264_LEVEL_4_2,
++                                     ~(BIT(V4L2_MPEG_VIDEO_H264_LEVEL_1_0) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_1B) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_1_1) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_1_2) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_1_3) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_2_0) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_2_1) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_2_2) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_3_0) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_3_1) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_3_2) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_4_0) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_4_1) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_4_2)),
++                                     V4L2_MPEG_VIDEO_H264_LEVEL_4_0);
++              v4l2_ctrl_new_std_menu(hdl, &bcm2835_codec_ctrl_ops,
++                                     V4L2_CID_MPEG_VIDEO_H264_PROFILE,
++                                     V4L2_MPEG_VIDEO_H264_PROFILE_HIGH,
++                                     ~(BIT(V4L2_MPEG_VIDEO_H264_PROFILE_BASELINE) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_PROFILE_CONSTRAINED_BASELINE) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_PROFILE_MAIN) |
++                                       BIT(V4L2_MPEG_VIDEO_H264_PROFILE_HIGH)),
++                                      V4L2_MPEG_VIDEO_H264_PROFILE_HIGH);
++              if (hdl->error) {
++                      rc = hdl->error;
++                      goto free_ctrl_handler;
++              }
++              ctx->fh.ctrl_handler = hdl;
++              v4l2_ctrl_handler_setup(hdl);
++      }
++
++      ctx->fh.m2m_ctx = v4l2_m2m_ctx_init(dev->m2m_dev, ctx, &queue_init);
++
++      if (IS_ERR(ctx->fh.m2m_ctx)) {
++              rc = PTR_ERR(ctx->fh.m2m_ctx);
++
++              goto free_ctrl_handler;
++      }
++
++      /* Set both queues as buffered as we have buffering in the VPU. That
++       * means that we will be scheduled whenever either an input or output
++       * buffer is available (otherwise one of each are required).
++       */
++      v4l2_m2m_set_src_buffered(ctx->fh.m2m_ctx, true);
++      v4l2_m2m_set_dst_buffered(ctx->fh.m2m_ctx, true);
++
++      v4l2_fh_add(&ctx->fh);
++      atomic_inc(&dev->num_inst);
++
++      mutex_unlock(&dev->dev_mutex);
++      return 0;
++
++free_ctrl_handler:
++      v4l2_ctrl_handler_free(hdl);
++      kfree(ctx);
++open_unlock:
++      mutex_unlock(&dev->dev_mutex);
++      return rc;
++}
++
++static int bcm2835_codec_release(struct file *file)
++{
++      struct bcm2835_codec_dev *dev = video_drvdata(file);
++      struct bcm2835_codec_ctx *ctx = file2ctx(file);
++
++      v4l2_dbg(1, debug, &dev->v4l2_dev, "%s: Releasing instance %p\n",
++               __func__, ctx);
++
++      v4l2_fh_del(&ctx->fh);
++      v4l2_fh_exit(&ctx->fh);
++      v4l2_ctrl_handler_free(&ctx->hdl);
++      mutex_lock(&dev->dev_mutex);
++      v4l2_m2m_ctx_release(ctx->fh.m2m_ctx);
++
++      if (ctx->component)
++              vchiq_mmal_component_finalise(dev->instance, ctx->component);
++
++      mutex_unlock(&dev->dev_mutex);
++      kfree(ctx);
++
++      atomic_dec(&dev->num_inst);
++
++      return 0;
++}
++
++static const struct v4l2_file_operations bcm2835_codec_fops = {
++      .owner          = THIS_MODULE,
++      .open           = bcm2835_codec_open,
++      .release        = bcm2835_codec_release,
++      .poll           = v4l2_m2m_fop_poll,
++      .unlocked_ioctl = video_ioctl2,
++      .mmap           = v4l2_m2m_fop_mmap,
++};
++
++static const struct video_device bcm2835_codec_videodev = {
++      .name           = MEM2MEM_NAME,
++      .vfl_dir        = VFL_DIR_M2M,
++      .fops           = &bcm2835_codec_fops,
++      .ioctl_ops      = &bcm2835_codec_ioctl_ops,
++      .minor          = -1,
++      .release        = video_device_release_empty,
++};
++
++static const struct v4l2_m2m_ops m2m_ops = {
++      .device_run     = device_run,
++      .job_ready      = job_ready,
++      .job_abort      = job_abort,
++};
++
++static int bcm2835_codec_create(struct platform_device *pdev,
++                              struct bcm2835_codec_dev **new_dev,
++                              bool decode)
++{
++      struct bcm2835_codec_dev *dev;
++      struct video_device *vfd;
++      struct vchiq_mmal_instance *instance = NULL;
++      int video_nr;
++      int ret;
++
++      dev = devm_kzalloc(&pdev->dev, sizeof(*dev), GFP_KERNEL);
++      if (!dev)
++              return -ENOMEM;
++
++      dev->pdev = pdev;
++
++      dev->decode = decode;
++
++      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
++      if (ret)
++              return ret;
++
++      atomic_set(&dev->num_inst, 0);
++      mutex_init(&dev->dev_mutex);
++
++      dev->vfd = bcm2835_codec_videodev;
++      vfd = &dev->vfd;
++      vfd->lock = &dev->dev_mutex;
++      vfd->v4l2_dev = &dev->v4l2_dev;
++
++      if (dev->decode) {
++              v4l2_disable_ioctl(vfd, VIDIOC_ENCODER_CMD);
++              v4l2_disable_ioctl(vfd, VIDIOC_TRY_ENCODER_CMD);
++              video_nr = decode_video_nr;
++      } else {
++              v4l2_disable_ioctl(vfd, VIDIOC_DECODER_CMD);
++              v4l2_disable_ioctl(vfd, VIDIOC_TRY_DECODER_CMD);
++              video_nr = encode_video_nr;
++      }
++
++      ret = video_register_device(vfd, VFL_TYPE_GRABBER, video_nr);
++      if (ret) {
++              v4l2_err(&dev->v4l2_dev, "Failed to register video device\n");
++              goto unreg_dev;
++      }
++
++      video_set_drvdata(vfd, dev);
++      snprintf(vfd->name, sizeof(vfd->name), "%s",
++               bcm2835_codec_videodev.name);
++      v4l2_info(&dev->v4l2_dev, "Device registered as /dev/video%d\n",
++                vfd->num);
++
++      *new_dev = dev;
++
++      dev->m2m_dev = v4l2_m2m_init(&m2m_ops);
++      if (IS_ERR(dev->m2m_dev)) {
++              v4l2_err(&dev->v4l2_dev, "Failed to init mem2mem device\n");
++              ret = PTR_ERR(dev->m2m_dev);
++              goto err_m2m;
++      }
++
++      ret = vchiq_mmal_init(&instance);
++      if (ret < 0)
++              goto err_m2m;
++      dev->instance = instance;
++
++      v4l2_info(&dev->v4l2_dev, "Loaded V4L2 %s codec\n",
++                dev->decode ? "decode" : "encode");
++      return 0;
++
++err_m2m:
++      v4l2_m2m_release(dev->m2m_dev);
++      video_unregister_device(&dev->vfd);
++unreg_dev:
++      v4l2_device_unregister(&dev->v4l2_dev);
++
++      return ret;
++}
++
++static int bcm2835_codec_destroy(struct bcm2835_codec_dev *dev)
++{
++      if (!dev)
++              return -ENODEV;
++
++      v4l2_info(&dev->v4l2_dev, "Removing " MEM2MEM_NAME);
++      v4l2_m2m_release(dev->m2m_dev);
++      video_unregister_device(&dev->vfd);
++      v4l2_device_unregister(&dev->v4l2_dev);
++
++      return 0;
++}
++
++static int bcm2835_codec_probe(struct platform_device *pdev)
++{
++      struct bcm2835_codec_driver *drv;
++      int ret = 0;
++
++      drv = devm_kzalloc(&pdev->dev, sizeof(*drv), GFP_KERNEL);
++      if (!drv)
++              return -ENOMEM;
++
++      ret = bcm2835_codec_create(pdev, &drv->encode, false);
++      if (ret)
++              goto out;
++
++      ret = bcm2835_codec_create(pdev, &drv->decode, true);
++      if (ret)
++              goto out;
++
++      platform_set_drvdata(pdev, drv);
++
++      return 0;
++
++out:
++      if (drv->encode) {
++              bcm2835_codec_destroy(drv->encode);
++              drv->encode = NULL;
++      }
++      return ret;
++}
++
++static int bcm2835_codec_remove(struct platform_device *pdev)
++{
++      struct bcm2835_codec_driver *drv = platform_get_drvdata(pdev);
++
++      bcm2835_codec_destroy(drv->encode);
++
++      bcm2835_codec_destroy(drv->decode);
++
++      return 0;
++}
++
++static struct platform_driver bcm2835_v4l2_codec_driver = {
++      .probe = bcm2835_codec_probe,
++      .remove = bcm2835_codec_remove,
++      .driver = {
++                 .name = "bcm2835-codec",
++                 .owner = THIS_MODULE,
++                 },
++};
++
++module_platform_driver(bcm2835_v4l2_codec_driver);
++
++MODULE_DESCRIPTION("BCM2835 codec V4L2 driver");
++MODULE_AUTHOR("Dave Stevenson, <dave.stevenson@raspberrypi.org>");
++MODULE_LICENSE("GPL");
++MODULE_VERSION("0.0.1");
++MODULE_ALIAS("platform:bcm2835-codec");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0175-staging-vc04_services-Use-vc-sm-cma-to-support-zero-.patch b/target/linux/bcm27xx/patches-5.4/950-0175-staging-vc04_services-Use-vc-sm-cma-to-support-zero-.patch
deleted file mode 100644 (file)
index 62cce8a..0000000
+++ /dev/null
@@ -1,177 +0,0 @@
-From 511b809d5b227b179acca537cba85e2bdff87b94 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 25 Sep 2018 16:07:55 +0100
-Subject: [PATCH] staging: vc04_services: Use vc-sm-cma to support zero
- copy
-
-With the vc-sm-cma driver we can support zero copy of buffers between
-the kernel and VPU. Add this support to vchiq-mmal.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
-
-staging: vc-sm-cma: Use a void* pointer as the handle within the kernel
-
-The driver was using an unsigned int as the handle to the outside world,
-and doing a nasty cast to the struct dmabuf when handed it back.
-This breaks badly with a 64 bit kernel where the pointer doesn't fit
-in an unsigned int.
-
-Switch to using a void* within the kernel. Reality is that it is
-a struct dma_buf*, but advertising it as such to other drivers seems
-to encourage the use of it as such, and I'm not sure on the implications
-of that.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../staging/vc04_services/vchiq-mmal/Kconfig  |  1 +
- .../vc04_services/vchiq-mmal/mmal-common.h    |  4 ++
- .../vc04_services/vchiq-mmal/mmal-vchiq.c     | 66 ++++++++++++++++++-
- .../vc04_services/vchiq-mmal/mmal-vchiq.h     |  1 +
- 4 files changed, 70 insertions(+), 2 deletions(-)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/Kconfig
-+++ b/drivers/staging/vc04_services/vchiq-mmal/Kconfig
-@@ -2,6 +2,7 @@ config BCM2835_VCHIQ_MMAL
-       tristate "BCM2835 MMAL VCHIQ service"
-       depends on (ARCH_BCM2835 || COMPILE_TEST)
-       select BCM2835_VCHIQ
-+      select BCM_VC_SM_CMA
-       help
-         Enables the MMAL API over VCHIQ as used for the
-         majority of the multimedia services on VideoCore.
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-common.h
-@@ -50,6 +50,10 @@ struct mmal_buffer {
-       struct mmal_msg_context *msg_context;
-+      struct dma_buf *dma_buf;/* Exported dmabuf fd from videobuf2 */
-+      void *vcsm_handle;      /* VCSM handle having imported the dmabuf */
-+      u32 vc_handle;          /* VC handle to that dmabuf */
-+
-       u32 cmd;                /* MMAL command. 0=data. */
-       unsigned long length;
-       u32 mmal_flags;
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -26,9 +26,12 @@
- #include <media/videobuf2-vmalloc.h>
- #include "mmal-common.h"
-+#include "mmal-parameters.h"
- #include "mmal-vchiq.h"
- #include "mmal-msg.h"
-+#include "vc-sm-cma/vc_sm_knl.h"
-+
- #define USE_VCHIQ_ARM
- #include "interface/vchi/vchi.h"
-@@ -424,8 +427,13 @@ buffer_from_host(struct vchiq_mmal_insta
-       /* buffer header */
-       m.u.buffer_from_host.buffer_header.cmd = 0;
--      m.u.buffer_from_host.buffer_header.data =
--              (u32)(unsigned long)buf->buffer;
-+      if (port->zero_copy) {
-+              m.u.buffer_from_host.buffer_header.data = buf->vc_handle;
-+      } else {
-+              m.u.buffer_from_host.buffer_header.data =
-+                      (u32)(unsigned long)buf->buffer;
-+      }
-+
-       m.u.buffer_from_host.buffer_header.alloc_size = buf->buffer_size;
-       if (port->type == MMAL_PORT_TYPE_OUTPUT) {
-               m.u.buffer_from_host.buffer_header.length = 0;
-@@ -590,6 +598,22 @@ static void buffer_to_host_cb(struct vch
-               msg_context->u.bulk.status = msg->h.status;
-+      } else if (msg->u.buffer_from_host.is_zero_copy) {
-+              /*
-+               * Zero copy buffer, so nothing to do.
-+               * Copy buffer info and make callback.
-+               */
-+              msg_context->u.bulk.buffer_used =
-+                              msg->u.buffer_from_host.buffer_header.length;
-+              msg_context->u.bulk.mmal_flags =
-+                              msg->u.buffer_from_host.buffer_header.flags;
-+              msg_context->u.bulk.dts =
-+                              msg->u.buffer_from_host.buffer_header.dts;
-+              msg_context->u.bulk.pts =
-+                              msg->u.buffer_from_host.buffer_header.pts;
-+              msg_context->u.bulk.cmd =
-+                              msg->u.buffer_from_host.buffer_header.cmd;
-+
-       } else if (msg->u.buffer_from_host.buffer_header.length == 0) {
-               /* empty buffer */
-               if (msg->u.buffer_from_host.buffer_header.flags &
-@@ -1537,6 +1561,9 @@ int vchiq_mmal_port_parameter_set(struct
-       mutex_unlock(&instance->vchiq_mutex);
-+      if (parameter == MMAL_PARAMETER_ZERO_COPY && !ret)
-+              port->zero_copy = !!(*(bool *)value);
-+
-       return ret;
- }
- EXPORT_SYMBOL_GPL(vchiq_mmal_port_parameter_set);
-@@ -1705,6 +1732,31 @@ int vchiq_mmal_submit_buffer(struct vchi
-       unsigned long flags = 0;
-       int ret;
-+      /*
-+       * We really want to do this in mmal_vchi_buffer_init but can't as
-+       * videobuf2 won't let us have the dmabuf there.
-+       */
-+      if (port->zero_copy && buffer->dma_buf && !buffer->vcsm_handle) {
-+              pr_debug("%s: import dmabuf %p\n", __func__, buffer->dma_buf);
-+              ret = vc_sm_cma_import_dmabuf(buffer->dma_buf,
-+                                            &buffer->vcsm_handle);
-+              if (ret) {
-+                      pr_err("%s: vc_sm_import_dmabuf_fd failed, ret %d\n",
-+                             __func__, ret);
-+                      return ret;
-+              }
-+
-+              buffer->vc_handle = vc_sm_cma_int_handle(buffer->vcsm_handle);
-+              if (!buffer->vc_handle) {
-+                      pr_err("%s: vc_sm_int_handle failed %d\n",
-+                             __func__, ret);
-+                      vc_sm_cma_free(buffer->vcsm_handle);
-+                      return ret;
-+              }
-+              pr_debug("%s: import dmabuf %p - got vc handle %08X\n",
-+                       __func__, buffer->dma_buf, buffer->vc_handle);
-+      }
-+
-       ret = buffer_from_host(instance, port, buffer);
-       if (ret == -EINVAL) {
-               /* Port is disabled. Queue for when it is enabled. */
-@@ -1738,6 +1790,16 @@ int mmal_vchi_buffer_cleanup(struct mmal
-               release_msg_context(msg_context);
-       buf->msg_context = NULL;
-+      if (buf->vcsm_handle) {
-+              int ret;
-+
-+              pr_debug("%s: vc_sm_cma_free on handle %p\n", __func__,
-+                       buf->vcsm_handle);
-+              ret = vc_sm_cma_free(buf->vcsm_handle);
-+              if (ret)
-+                      pr_err("%s: vcsm_free failed, ret %d\n", __func__, ret);
-+              buf->vcsm_handle = 0;
-+      }
-       return 0;
- }
- EXPORT_SYMBOL_GPL(mmal_vchi_buffer_cleanup);
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-@@ -49,6 +49,7 @@ typedef void (*vchiq_mmal_buffer_cb)(
- struct vchiq_mmal_port {
-       u32 enabled:1;
-+      u32 zero_copy:1;
-       u32 handle;
-       u32 type; /* port type, cached to use on port info set */
-       u32 index; /* port index, cached to use on port info set */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0176-media-videobuf2-Allow-exporting-of-a-struct-dmabuf.patch b/target/linux/bcm27xx/patches-5.4/950-0176-media-videobuf2-Allow-exporting-of-a-struct-dmabuf.patch
deleted file mode 100644 (file)
index 74d0354..0000000
+++ /dev/null
@@ -1,83 +0,0 @@
-From 74ac8bd3b5c6ed23308341fa41681db6a3b45c46 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 29 Oct 2018 17:57:45 +0000
-Subject: [PATCH] media: videobuf2: Allow exporting of a struct dmabuf
-
-videobuf2 only allowed exporting a dmabuf as a file descriptor,
-but there are instances where having the struct dma_buf is
-useful within the kernel.
-
-Split the current implementation into two, one step which
-exports a struct dma_buf, and the second which converts that
-into an fd.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../media/common/videobuf2/videobuf2-core.c   | 21 ++++++++++++++++---
- include/media/videobuf2-core.h                | 15 +++++++++++++
- 2 files changed, 33 insertions(+), 3 deletions(-)
-
---- a/drivers/media/common/videobuf2/videobuf2-core.c
-+++ b/drivers/media/common/videobuf2/videobuf2-core.c
-@@ -2073,12 +2073,12 @@ static int __find_plane_by_offset(struct
-       return -EINVAL;
- }
--int vb2_core_expbuf(struct vb2_queue *q, int *fd, unsigned int type,
--              unsigned int index, unsigned int plane, unsigned int flags)
-+int vb2_core_expbuf_dmabuf(struct vb2_queue *q, unsigned int type,
-+                         unsigned int index, unsigned int plane,
-+                         unsigned int flags, struct dma_buf **dmabuf)
- {
-       struct vb2_buffer *vb = NULL;
-       struct vb2_plane *vb_plane;
--      int ret;
-       struct dma_buf *dbuf;
-       if (q->memory != VB2_MEMORY_MMAP) {
-@@ -2128,6 +2128,21 @@ int vb2_core_expbuf(struct vb2_queue *q,
-               return -EINVAL;
-       }
-+      *dmabuf = dbuf;
-+      return 0;
-+}
-+EXPORT_SYMBOL_GPL(vb2_core_expbuf_dmabuf);
-+
-+int vb2_core_expbuf(struct vb2_queue *q, int *fd, unsigned int type,
-+                  unsigned int index, unsigned int plane, unsigned int flags)
-+{
-+      struct dma_buf *dbuf;
-+      int ret;
-+
-+      ret = vb2_core_expbuf_dmabuf(q, type, index, plane, flags, &dbuf);
-+      if (ret)
-+              return ret;
-+
-       ret = dma_buf_fd(dbuf, flags & ~O_ACCMODE);
-       if (ret < 0) {
-               dprintk(3, "buffer %d, plane %d failed to export (%d)\n",
---- a/include/media/videobuf2-core.h
-+++ b/include/media/videobuf2-core.h
-@@ -870,6 +870,21 @@ int vb2_core_streamon(struct vb2_queue *
- int vb2_core_streamoff(struct vb2_queue *q, unsigned int type);
- /**
-+ * vb2_core_expbuf_dmabuf() - Export a buffer as a dma_buf structure
-+ * @q:         videobuf2 queue
-+ * @type:      buffer type
-+ * @index:     id number of the buffer
-+ * @plane:     index of the plane to be exported, 0 for single plane queues
-+ * @flags:     flags for newly created file, currently only O_CLOEXEC is
-+ *             supported, refer to manual of open syscall for more details
-+ * @dmabuf:    Returns the dmabuf pointer
-+ *
-+ */
-+int vb2_core_expbuf_dmabuf(struct vb2_queue *q, unsigned int type,
-+                         unsigned int index, unsigned int plane,
-+                         unsigned int flags, struct dma_buf **dmabuf);
-+
-+/**
-  * vb2_core_expbuf() - Export a buffer as a file descriptor.
-  * @q:                pointer to &struct vb2_queue with videobuf2 queue.
-  * @fd:               pointer to the file descriptor associated with DMABUF
diff --git a/target/linux/bcm27xx/patches-5.4/950-0176-staging-mmal-vchiq-Fix-client_component-for-64-bit-k.patch b/target/linux/bcm27xx/patches-5.4/950-0176-staging-mmal-vchiq-Fix-client_component-for-64-bit-k.patch
new file mode 100644 (file)
index 0000000..5c64238
--- /dev/null
@@ -0,0 +1,68 @@
+From 50df0b1532cf88e2ec152caa2cf89af0d0646b4a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 22 Jan 2019 12:04:09 +0000
+Subject: [PATCH] staging: mmal-vchiq: Fix client_component for 64 bit
+ kernel
+
+The MMAL client_component field is used with the event
+mechanism to allow the client to identify the component for
+which the event is generated.
+The field is only 32bits in size, therefore we can't use a
+pointer to the component in a 64 bit kernel.
+
+Component handles are already held in an array per VCHI
+instance, so use the array index as the client_component handle
+to avoid having to create a new IDR for this purpose.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../staging/vc04_services/vchiq-mmal/mmal-vchiq.c    | 12 +++++++++---
+ .../staging/vc04_services/vchiq-mmal/mmal-vchiq.h    |  1 +
+ 2 files changed, 10 insertions(+), 3 deletions(-)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -472,9 +472,9 @@ buffer_from_host(struct vchiq_mmal_insta
+ static void event_to_host_cb(struct vchiq_mmal_instance *instance,
+                            struct mmal_msg *msg, u32 msg_len)
+ {
+-      /* FIXME: Not going to work on 64 bit */
++      int comp_idx = msg->u.event_to_host.client_component;
+       struct vchiq_mmal_component *component =
+-              (struct vchiq_mmal_component *)msg->u.event_to_host.client_component;
++                                      &instance->component[comp_idx];
+       struct vchiq_mmal_port *port = NULL;
+       struct mmal_msg_context *msg_context;
+       u32 port_num = msg->u.event_to_host.port_num;
+@@ -1073,7 +1073,7 @@ static int create_component(struct vchiq
+       /* build component create message */
+       m.h.type = MMAL_MSG_TYPE_COMPONENT_CREATE;
+-      m.u.component_create.client_component = (u32)(unsigned long)component;
++      m.u.component_create.client_component = component->client_component;
+       strncpy(m.u.component_create.name, name,
+               sizeof(m.u.component_create.name));
+@@ -1868,6 +1868,12 @@ int vchiq_mmal_component_init(struct vch
+               goto unlock;
+       }
++      /* We need a handle to reference back to our component structure.
++       * Use the array index in instance->component rather than rolling
++       * another IDR.
++       */
++      component->client_component = idx;
++
+       ret = create_component(instance, component, name);
+       if (ret < 0) {
+               pr_err("%s: failed to create component %d (Not enough GPU mem?)\n",
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
+@@ -97,6 +97,7 @@ struct vchiq_mmal_component {
+       struct vchiq_mmal_port input[MAX_PORT_COUNT]; /* input ports */
+       struct vchiq_mmal_port output[MAX_PORT_COUNT]; /* output ports */
+       struct vchiq_mmal_port clock[MAX_PORT_COUNT]; /* clock ports */
++      u32 client_component;   /* Used to ref back to client struct */
+ };
+ int vchiq_mmal_init(struct vchiq_mmal_instance **out_instance);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0177-clk-clk-bcm2835-Use-zd-when-printing-size_t.patch b/target/linux/bcm27xx/patches-5.4/950-0177-clk-clk-bcm2835-Use-zd-when-printing-size_t.patch
new file mode 100644 (file)
index 0000000..c8acb1a
--- /dev/null
@@ -0,0 +1,24 @@
+From 608b88a72331d8c3d6561a6a25a2955d211b9c70 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 24 Jan 2019 15:09:28 +0000
+Subject: [PATCH] clk: clk-bcm2835: Use %zd when printing size_t
+
+The debug text for how many clocks have been registered
+uses "%d" with a size_t. Correct it to "%zd".
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/clk/bcm/clk-bcm2835.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/clk/bcm/clk-bcm2835.c
++++ b/drivers/clk/bcm/clk-bcm2835.c
+@@ -2354,7 +2354,7 @@ static int bcm2835_clk_probe(struct plat
+               return ret;
+       /* note that we have registered all the clocks */
+-      dev_dbg(dev, "registered %d clocks\n", asize);
++      dev_dbg(dev, "registered %zd clocks\n", asize);
+       return 0;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0177-staging-vc04_services-Add-a-V4L2-M2M-codec-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0177-staging-vc04_services-Add-a-V4L2-M2M-codec-driver.patch
deleted file mode 100644 (file)
index 2093cf0..0000000
+++ /dev/null
@@ -1,2467 +0,0 @@
-From 6b2e734af2943dbf31bafb4c4c2fb588eec8059f Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 25 Sep 2018 14:53:49 +0100
-Subject: [PATCH] staging: vc04_services: Add a V4L2 M2M codec driver
-
-This adds a V4L2 memory to memory device that wraps the MMAL
-video decode and video_encode components for H264 and MJPEG encode
-and decode, MPEG4, H263, and VP8 decode (and MPEG2 decode
-if the appropriate licence has been purchased).
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/staging/vc04_services/Kconfig         |    1 +
- drivers/staging/vc04_services/Makefile        |    9 +-
- .../vc04_services/bcm2835-codec/Kconfig       |   11 +
- .../vc04_services/bcm2835-codec/Makefile      |    8 +
- .../staging/vc04_services/bcm2835-codec/TODO  |   24 +
- .../bcm2835-codec/bcm2835-v4l2-codec.c        | 2359 +++++++++++++++++
- 6 files changed, 2408 insertions(+), 4 deletions(-)
- create mode 100644 drivers/staging/vc04_services/bcm2835-codec/Kconfig
- create mode 100644 drivers/staging/vc04_services/bcm2835-codec/Makefile
- create mode 100644 drivers/staging/vc04_services/bcm2835-codec/TODO
- create mode 100644 drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-
---- a/drivers/staging/vc04_services/Kconfig
-+++ b/drivers/staging/vc04_services/Kconfig
-@@ -24,6 +24,7 @@ source "drivers/staging/vc04_services/bc
- source "drivers/staging/vc04_services/bcm2835-camera/Kconfig"
- source "drivers/staging/vc04_services/vchiq-mmal/Kconfig"
- source "drivers/staging/vc04_services/vc-sm-cma/Kconfig"
-+source "drivers/staging/vc04_services/bcm2835-codec/Kconfig"
- endif
---- a/drivers/staging/vc04_services/Makefile
-+++ b/drivers/staging/vc04_services/Makefile
-@@ -10,10 +10,11 @@ vchiq-objs := \
-    interface/vchiq_arm/vchiq_util.o \
-    interface/vchiq_arm/vchiq_connected.o \
--obj-$(CONFIG_SND_BCM2835)     += bcm2835-audio/
--obj-$(CONFIG_VIDEO_BCM2835)   += bcm2835-camera/
--obj-$(CONFIG_BCM2835_VCHIQ_MMAL) += vchiq-mmal/
--obj-$(CONFIG_BCM_VC_SM_CMA)   += vc-sm-cma/
-+obj-$(CONFIG_SND_BCM2835)             += bcm2835-audio/
-+obj-$(CONFIG_VIDEO_BCM2835)           += bcm2835-camera/
-+obj-$(CONFIG_BCM2835_VCHIQ_MMAL)      += vchiq-mmal/
-+obj-$(CONFIG_BCM_VC_SM_CMA)           += vc-sm-cma/
-+obj-$(CONFIG_VIDEO_CODEC_BCM2835)     += bcm2835-codec/
- ccflags-y += -Idrivers/staging/vc04_services -D__VCCOREVER__=0x04000000
---- /dev/null
-+++ b/drivers/staging/vc04_services/bcm2835-codec/Kconfig
-@@ -0,0 +1,11 @@
-+config VIDEO_CODEC_BCM2835
-+      tristate "BCM2835 Video codec support"
-+      depends on MEDIA_SUPPORT
-+      depends on VIDEO_V4L2 && (ARCH_BCM2835 || COMPILE_TEST)
-+      select BCM2835_VCHIQ_MMAL
-+      select VIDEOBUF2_DMA_CONTIG
-+      select V4L2_MEM2MEM_DEV
-+      help
-+        Say Y here to enable the V4L2 video codecs for
-+        Broadcom BCM2835 SoC. This operates over the VCHIQ interface
-+        to a service running on VideoCore.
---- /dev/null
-+++ b/drivers/staging/vc04_services/bcm2835-codec/Makefile
-@@ -0,0 +1,8 @@
-+# SPDX-License-Identifier: GPL-2.0
-+bcm2835-codec-objs := bcm2835-v4l2-codec.o
-+
-+obj-$(CONFIG_VIDEO_CODEC_BCM2835) += bcm2835-codec.o
-+
-+ccflags-y += \
-+      -Idrivers/staging/vc04_services \
-+      -D__VCCOREVER__=0x04000000
---- /dev/null
-+++ b/drivers/staging/vc04_services/bcm2835-codec/TODO
-@@ -0,0 +1,24 @@
-+1) Convert to be a platform driver.
-+
-+Right now when the module probes, it tries to initialize VCHI and
-+errors out if it wasn't ready yet.  If bcm2835-v4l2 was built in, then
-+VCHI generally isn't ready because it depends on both the firmware and
-+mailbox drivers having already loaded.
-+
-+We should have VCHI create a platform device once it's initialized,
-+and have this driver bind to it, so that we automatically load the
-+v4l2 module after VCHI loads.
-+
-+2) Support SELECTION API to define crop region on the image for encode.
-+
-+Particularly for resolutions that aren't a multiple of the macroblock
-+size, the codec will report a resolution that is a multiple of the macroblock
-+size (it has to have the memory to decode into), and then a different crop
-+region within that buffer.
-+The most common example is 1080P, where the buffer will be 1920x1088 with a
-+crop region of 1920x1080.
-+
-+3) Refactor so that the component creation is only on queue_setup, not open.
-+
-+Fixes v4l2-compliance failure on trying to open 100 instances of the
-+device.
-\ No newline at end of file
---- /dev/null
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -0,0 +1,2359 @@
-+// SPDX-License-Identifier: GPL-2.0
-+
-+/*
-+ * A v4l2-mem2mem device that wraps the video codec MMAL component.
-+ *
-+ * Copyright 2018 Raspberry Pi (Trading) Ltd.
-+ * Author: Dave Stevenson (dave.stevenson@raspberrypi.org)
-+ *
-+ * Loosely based on the vim2m virtual driver by Pawel Osciak
-+ * Copyright (c) 2009-2010 Samsung Electronics Co., Ltd.
-+ * Pawel Osciak, <pawel@osciak.com>
-+ * Marek Szyprowski, <m.szyprowski@samsung.com>
-+ *
-+ * Whilst this driver uses the v4l2_mem2mem framework, it does not need the
-+ * scheduling aspects, so will always take the buffers, pass them to the VPU,
-+ * and then signal the job as complete.
-+ *
-+ * This program is free software; you can redistribute it and/or modify
-+ * it under the terms of the GNU General Public License as published by the
-+ * Free Software Foundation; either version 2 of the
-+ * License, or (at your option) any later version
-+ */
-+#include <linux/module.h>
-+#include <linux/delay.h>
-+#include <linux/fs.h>
-+#include <linux/timer.h>
-+#include <linux/sched.h>
-+#include <linux/slab.h>
-+#include <linux/platform_device.h>
-+#include <linux/syscalls.h>
-+
-+#include <media/v4l2-mem2mem.h>
-+#include <media/v4l2-device.h>
-+#include <media/v4l2-ioctl.h>
-+#include <media/v4l2-ctrls.h>
-+#include <media/v4l2-event.h>
-+#include <media/videobuf2-dma-contig.h>
-+
-+#include "vchiq-mmal/mmal-encodings.h"
-+#include "vchiq-mmal/mmal-msg.h"
-+#include "vchiq-mmal/mmal-parameters.h"
-+#include "vchiq-mmal/mmal-vchiq.h"
-+
-+/*
-+ * Default /dev/videoN node numbers for decode and encode.
-+ * Deliberately avoid the very low numbers as these are often taken by webcams
-+ * etc, and simple apps tend to only go for /dev/video0.
-+ */
-+static int decode_video_nr = 10;
-+module_param(decode_video_nr, int, 0644);
-+MODULE_PARM_DESC(decode_video_nr, "decoder video device number");
-+
-+static int encode_video_nr = 11;
-+module_param(encode_video_nr, int, 0644);
-+MODULE_PARM_DESC(encode_video_nr, "encoder video device number");
-+
-+static unsigned int debug;
-+module_param(debug, uint, 0644);
-+MODULE_PARM_DESC(debug, "activates debug info (0-3)");
-+
-+#define MIN_W         32
-+#define MIN_H         32
-+#define MAX_W         1920
-+#define MAX_H         1088
-+#define BPL_ALIGN     32
-+#define DEFAULT_WIDTH 640
-+#define DEFAULT_HEIGHT        480
-+/*
-+ * The unanswered question - what is the maximum size of a compressed frame?
-+ * V4L2 mandates that the encoded frame must fit in a single buffer. Sizing
-+ * that buffer is a compromise between wasting memory and risking not fitting.
-+ * The 1080P version of Big Buck Bunny has some frames that exceed 512kB.
-+ * Adopt a moderately arbitrary split at 720P for switching between 512 and
-+ * 768kB buffers.
-+ */
-+#define DEF_COMP_BUF_SIZE_GREATER_720P        (768 << 10)
-+#define DEF_COMP_BUF_SIZE_720P_OR_LESS        (512 << 10)
-+
-+/* Flags that indicate a format can be used for capture/output */
-+#define MEM2MEM_CAPTURE               BIT(0)
-+#define MEM2MEM_OUTPUT                BIT(1)
-+
-+#define MEM2MEM_NAME          "bcm2835-codec"
-+
-+struct bcm2835_codec_fmt {
-+      u32     fourcc;
-+      int     depth;
-+      int     bytesperline_align;
-+      u32     flags;
-+      u32     mmal_fmt;
-+      bool    decode_only;
-+      bool    encode_only;
-+      int     size_multiplier_x2;
-+};
-+
-+/* Supported raw pixel formats. Those supported for both encode and decode
-+ * must come first, with those only supported for decode coming after (there
-+ * are no formats supported for encode only).
-+ */
-+static struct bcm2835_codec_fmt raw_formats[] = {
-+      {
-+              .fourcc                 = V4L2_PIX_FMT_YUV420,
-+              .depth                  = 8,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_I420,
-+              .size_multiplier_x2     = 3,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_YVU420,
-+              .depth                  = 8,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_YV12,
-+              .size_multiplier_x2     = 3,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_NV12,
-+              .depth                  = 8,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_NV12,
-+              .size_multiplier_x2     = 3,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_NV21,
-+              .depth                  = 8,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_NV21,
-+              .size_multiplier_x2     = 3,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_RGB565,
-+              .depth                  = 16,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_RGB16,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_YUYV,
-+              .depth                  = 16,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_YUYV,
-+              .encode_only            = true,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_UYVY,
-+              .depth                  = 16,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_UYVY,
-+              .encode_only            = true,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_YVYU,
-+              .depth                  = 16,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_YVYU,
-+              .encode_only            = true,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_VYUY,
-+              .depth                  = 16,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_VYUY,
-+              .encode_only            = true,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_RGB24,
-+              .depth                  = 24,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_RGB24,
-+              .encode_only            = true,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_BGR24,
-+              .depth                  = 24,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BGR24,
-+              .encode_only            = true,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_BGR32,
-+              .depth                  = 32,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BGRA,
-+              .encode_only            = true,
-+              .size_multiplier_x2     = 2,
-+      },
-+};
-+
-+/* Supported encoded formats. Those supported for both encode and decode
-+ * must come first, with those only supported for decode coming after (there
-+ * are no formats supported for encode only).
-+ */
-+static struct bcm2835_codec_fmt encoded_formats[] = {
-+      {
-+              .fourcc                 = V4L2_PIX_FMT_H264,
-+              .depth                  = 0,
-+              .flags                  = V4L2_FMT_FLAG_COMPRESSED,
-+              .mmal_fmt               = MMAL_ENCODING_H264,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_MJPEG,
-+              .depth                  = 0,
-+              .flags                  = V4L2_FMT_FLAG_COMPRESSED,
-+              .mmal_fmt               = MMAL_ENCODING_MJPEG,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_MPEG4,
-+              .depth                  = 0,
-+              .flags                  = V4L2_FMT_FLAG_COMPRESSED,
-+              .mmal_fmt               = MMAL_ENCODING_MP4V,
-+              .decode_only            = true,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_H263,
-+              .depth                  = 0,
-+              .flags                  = V4L2_FMT_FLAG_COMPRESSED,
-+              .mmal_fmt               = MMAL_ENCODING_H263,
-+              .decode_only            = true,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_MPEG2,
-+              .depth                  = 0,
-+              .flags                  = V4L2_FMT_FLAG_COMPRESSED,
-+              .mmal_fmt               = MMAL_ENCODING_MP2V,
-+              .decode_only            = true,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_VP8,
-+              .depth                  = 0,
-+              .flags                  = V4L2_FMT_FLAG_COMPRESSED,
-+              .mmal_fmt               = MMAL_ENCODING_VP8,
-+              .decode_only            = true,
-+      },
-+      /*
-+       * This list couold include VP6 and Theorafor decode, but V4L2 doesn't
-+       * support them.
-+       */
-+};
-+
-+struct bcm2835_codec_fmt_list {
-+      struct bcm2835_codec_fmt *list;
-+      unsigned int num_entries;
-+};
-+
-+#define RAW_LIST      0
-+#define ENCODED_LIST  1
-+
-+struct bcm2835_codec_fmt_list formats[] = {
-+      {
-+              .list = raw_formats,
-+              .num_entries = ARRAY_SIZE(raw_formats),
-+      }, {
-+              .list = encoded_formats,
-+              .num_entries = ARRAY_SIZE(encoded_formats),
-+      },
-+};
-+
-+struct m2m_mmal_buffer {
-+      struct v4l2_m2m_buffer  m2m;
-+      struct mmal_buffer      mmal;
-+};
-+
-+/* Per-queue, driver-specific private data */
-+struct bcm2835_codec_q_data {
-+      /*
-+       * These parameters should be treated as gospel, with everything else
-+       * being determined from them.
-+       */
-+      /* Buffer width/height */
-+      unsigned int            bytesperline;
-+      unsigned int            height;
-+      /* Crop size used for selection handling */
-+      unsigned int            crop_width;
-+      unsigned int            crop_height;
-+      bool                    selection_set;
-+
-+      unsigned int            sizeimage;
-+      unsigned int            sequence;
-+      struct bcm2835_codec_fmt        *fmt;
-+
-+      /* One extra buffer header so we can send an EOS. */
-+      struct m2m_mmal_buffer  eos_buffer;
-+      bool                    eos_buffer_in_use;      /* debug only */
-+};
-+
-+enum {
-+      V4L2_M2M_SRC = 0,
-+      V4L2_M2M_DST = 1,
-+};
-+
-+static inline struct bcm2835_codec_fmt_list *get_format_list(bool decode,
-+                                                           bool capture)
-+{
-+      return decode ^ capture ? &formats[ENCODED_LIST] : &formats[RAW_LIST];
-+}
-+
-+static struct bcm2835_codec_fmt *get_default_format(bool decode, bool capture)
-+{
-+      return &get_format_list(decode, capture)->list[0];
-+}
-+
-+static struct bcm2835_codec_fmt *find_format(struct v4l2_format *f, bool decode,
-+                                           bool capture)
-+{
-+      struct bcm2835_codec_fmt *fmt;
-+      unsigned int k;
-+      struct bcm2835_codec_fmt_list *fmts = get_format_list(decode, capture);
-+
-+      for (k = 0; k < fmts->num_entries; k++) {
-+              fmt = &fmts->list[k];
-+              if (fmt->fourcc == f->fmt.pix.pixelformat)
-+                      break;
-+      }
-+
-+      /*
-+       * Some compressed formats are only supported for decoding, not
-+       * encoding.
-+       */
-+      if (!decode && fmts->list[k].decode_only)
-+              return NULL;
-+
-+      /* Some pixel formats are only supported for encoding, not decoding. */
-+      if (decode && fmts->list[k].encode_only)
-+              return NULL;
-+
-+      if (k == fmts->num_entries)
-+              return NULL;
-+
-+      return &fmts->list[k];
-+}
-+
-+struct bcm2835_codec_dev {
-+      struct platform_device *pdev;
-+
-+      /* v4l2 devices */
-+      struct v4l2_device      v4l2_dev;
-+      struct video_device     vfd;
-+      /* mutex for the v4l2 device */
-+      struct mutex            dev_mutex;
-+      atomic_t                num_inst;
-+
-+      /* allocated mmal instance and components */
-+      bool                    decode;  /* Is this instance a decoder? */
-+      struct vchiq_mmal_instance      *instance;
-+
-+      struct v4l2_m2m_dev     *m2m_dev;
-+};
-+
-+struct bcm2835_codec_ctx {
-+      struct v4l2_fh          fh;
-+      struct bcm2835_codec_dev        *dev;
-+
-+      struct v4l2_ctrl_handler hdl;
-+
-+      struct vchiq_mmal_component  *component;
-+      bool component_enabled;
-+
-+      enum v4l2_colorspace    colorspace;
-+      enum v4l2_ycbcr_encoding ycbcr_enc;
-+      enum v4l2_xfer_func     xfer_func;
-+      enum v4l2_quantization  quant;
-+
-+      /* Source and destination queue data */
-+      struct bcm2835_codec_q_data   q_data[2];
-+      s32  bitrate;
-+
-+      bool aborting;
-+      int num_ip_buffers;
-+      int num_op_buffers;
-+      struct completion frame_cmplt;
-+};
-+
-+struct bcm2835_codec_driver {
-+      struct bcm2835_codec_dev *encode;
-+      struct bcm2835_codec_dev *decode;
-+};
-+
-+static inline struct bcm2835_codec_ctx *file2ctx(struct file *file)
-+{
-+      return container_of(file->private_data, struct bcm2835_codec_ctx, fh);
-+}
-+
-+static struct bcm2835_codec_q_data *get_q_data(struct bcm2835_codec_ctx *ctx,
-+                                             enum v4l2_buf_type type)
-+{
-+      switch (type) {
-+      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
-+              return &ctx->q_data[V4L2_M2M_SRC];
-+      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
-+              return &ctx->q_data[V4L2_M2M_DST];
-+      default:
-+              v4l2_err(&ctx->dev->v4l2_dev, "%s: Invalid queue type %u\n",
-+                       __func__, type);
-+              break;
-+      }
-+      return NULL;
-+}
-+
-+static struct vchiq_mmal_port *get_port_data(struct bcm2835_codec_ctx *ctx,
-+                                           enum v4l2_buf_type type)
-+{
-+      if (!ctx->component)
-+              return NULL;
-+
-+      switch (type) {
-+      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
-+              return &ctx->component->input[0];
-+      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
-+              return &ctx->component->output[0];
-+      default:
-+              v4l2_err(&ctx->dev->v4l2_dev, "%s: Invalid queue type %u\n",
-+                       __func__, type);
-+              break;
-+      }
-+      return NULL;
-+}
-+
-+/*
-+ * mem2mem callbacks
-+ */
-+
-+/**
-+ * job_ready() - check whether an instance is ready to be scheduled to run
-+ */
-+static int job_ready(void *priv)
-+{
-+      struct bcm2835_codec_ctx *ctx = priv;
-+
-+      if (!v4l2_m2m_num_src_bufs_ready(ctx->fh.m2m_ctx) &&
-+          !v4l2_m2m_num_dst_bufs_ready(ctx->fh.m2m_ctx))
-+              return 0;
-+
-+      return 1;
-+}
-+
-+static void job_abort(void *priv)
-+{
-+      struct bcm2835_codec_ctx *ctx = priv;
-+
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s\n", __func__);
-+      /* Will cancel the transaction in the next interrupt handler */
-+      ctx->aborting = 1;
-+}
-+
-+static inline unsigned int get_sizeimage(int bpl, int height,
-+                                       struct bcm2835_codec_fmt *fmt)
-+{
-+      return (bpl * height * fmt->size_multiplier_x2) >> 1;
-+}
-+
-+static inline unsigned int get_bytesperline(int width,
-+                                          struct bcm2835_codec_fmt *fmt)
-+{
-+      return ALIGN((width * fmt->depth) >> 3, fmt->bytesperline_align);
-+}
-+
-+static void setup_mmal_port_format(struct bcm2835_codec_ctx *ctx,
-+                                 bool decode,
-+                                 struct bcm2835_codec_q_data *q_data,
-+                                 struct vchiq_mmal_port *port)
-+{
-+      port->format.encoding = q_data->fmt->mmal_fmt;
-+
-+      if (!(q_data->fmt->flags & V4L2_FMT_FLAG_COMPRESSED)) {
-+              /* Raw image format - set width/height */
-+              port->es.video.width = q_data->bytesperline /
-+                                              (q_data->fmt->depth >> 3);
-+              port->es.video.height = q_data->height;
-+              port->es.video.crop.width = q_data->crop_width;
-+              port->es.video.crop.height = q_data->crop_height;
-+              port->es.video.frame_rate.num = 0;
-+              port->es.video.frame_rate.den = 1;
-+      } else {
-+              /* Compressed format - leave resolution as 0 for decode */
-+              if (decode) {
-+                      port->es.video.width = 0;
-+                      port->es.video.height = 0;
-+                      port->es.video.crop.width = 0;
-+                      port->es.video.crop.height = 0;
-+              } else {
-+                      port->es.video.width = q_data->crop_width;
-+                      port->es.video.height = q_data->height;
-+                      port->es.video.crop.width = q_data->crop_width;
-+                      port->es.video.crop.height = q_data->crop_height;
-+                      port->format.bitrate = ctx->bitrate;
-+              }
-+              port->es.video.frame_rate.num = 0;
-+              port->es.video.frame_rate.den = 1;
-+      }
-+      port->es.video.crop.x = 0;
-+      port->es.video.crop.y = 0;
-+
-+      port->current_buffer.size = q_data->sizeimage;
-+};
-+
-+static void ip_buffer_cb(struct vchiq_mmal_instance *instance,
-+                       struct vchiq_mmal_port *port, int status,
-+                       struct mmal_buffer *mmal_buf)
-+{
-+      struct bcm2835_codec_ctx *ctx = port->cb_ctx/*, *curr_ctx*/;
-+      struct m2m_mmal_buffer *buf =
-+                      container_of(mmal_buf, struct m2m_mmal_buffer, mmal);
-+
-+      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: port %p buf %p length %lu, flags %x\n",
-+               __func__, port, mmal_buf, mmal_buf->length,
-+               mmal_buf->mmal_flags);
-+
-+      if (buf == &ctx->q_data[V4L2_M2M_SRC].eos_buffer) {
-+              /* Do we need to add lcoking to prevent multiple submission of
-+               * the EOS, and therefore handle mutliple return here?
-+               */
-+              v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: eos buffer returned.\n",
-+                       __func__);
-+              ctx->q_data[V4L2_M2M_SRC].eos_buffer_in_use = false;
-+              return;
-+      }
-+
-+      if (status) {
-+              /* error in transfer */
-+              if (buf)
-+                      /* there was a buffer with the error so return it */
-+                      vb2_buffer_done(&buf->m2m.vb.vb2_buf,
-+                                      VB2_BUF_STATE_ERROR);
-+              return;
-+      }
-+      if (mmal_buf->cmd) {
-+              v4l2_err(&ctx->dev->v4l2_dev, "%s: Not expecting cmd msgs on ip callback - %08x\n",
-+                       __func__, mmal_buf->cmd);
-+              /*
-+               * CHECKME: Should we return here. The buffer shouldn't have a
-+               * message context or vb2 buf associated.
-+               */
-+      }
-+
-+      v4l2_dbg(3, debug, &ctx->dev->v4l2_dev, "%s: no error. Return buffer %p\n",
-+               __func__, &buf->m2m.vb.vb2_buf);
-+      vb2_buffer_done(&buf->m2m.vb.vb2_buf, VB2_BUF_STATE_DONE);
-+
-+      ctx->num_ip_buffers++;
-+      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: done %d input buffers\n",
-+               __func__, ctx->num_ip_buffers);
-+
-+      if (!port->enabled)
-+              complete(&ctx->frame_cmplt);
-+}
-+
-+static void queue_res_chg_event(struct bcm2835_codec_ctx *ctx)
-+{
-+      static const struct v4l2_event ev_src_ch = {
-+              .type = V4L2_EVENT_SOURCE_CHANGE,
-+              .u.src_change.changes =
-+              V4L2_EVENT_SRC_CH_RESOLUTION,
-+      };
-+
-+      v4l2_event_queue_fh(&ctx->fh, &ev_src_ch);
-+}
-+
-+static void send_eos_event(struct bcm2835_codec_ctx *ctx)
-+{
-+      static const struct v4l2_event ev = {
-+              .type = V4L2_EVENT_EOS,
-+      };
-+
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Sending EOS event\n");
-+
-+      v4l2_event_queue_fh(&ctx->fh, &ev);
-+}
-+
-+static void color_mmal2v4l(struct bcm2835_codec_ctx *ctx, u32 mmal_color_space)
-+{
-+      switch (mmal_color_space) {
-+      case MMAL_COLOR_SPACE_ITUR_BT601:
-+              ctx->colorspace = V4L2_COLORSPACE_REC709;
-+              ctx->xfer_func = V4L2_XFER_FUNC_709;
-+              ctx->ycbcr_enc = V4L2_YCBCR_ENC_601;
-+              ctx->quant = V4L2_QUANTIZATION_LIM_RANGE;
-+              break;
-+
-+      case MMAL_COLOR_SPACE_ITUR_BT709:
-+              ctx->colorspace = V4L2_COLORSPACE_REC709;
-+              ctx->xfer_func = V4L2_XFER_FUNC_709;
-+              ctx->ycbcr_enc = V4L2_YCBCR_ENC_709;
-+              ctx->quant = V4L2_QUANTIZATION_LIM_RANGE;
-+              break;
-+      }
-+}
-+
-+static void handle_fmt_changed(struct bcm2835_codec_ctx *ctx,
-+                             struct mmal_buffer *mmal_buf)
-+{
-+      struct bcm2835_codec_q_data *q_data;
-+      struct mmal_msg_event_format_changed *format =
-+              (struct mmal_msg_event_format_changed *)mmal_buf->buffer;
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: Format changed: buff size min %u, rec %u, buff num min %u, rec %u\n",
-+               __func__,
-+               format->buffer_size_min,
-+               format->buffer_size_recommended,
-+               format->buffer_num_min,
-+               format->buffer_num_recommended
-+              );
-+      if (format->format.type != MMAL_ES_TYPE_VIDEO) {
-+              v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: Format changed but not video %u\n",
-+                       __func__, format->format.type);
-+              return;
-+      }
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: Format changed to %ux%u, crop %ux%u, colourspace %08X\n",
-+               __func__, format->es.video.width, format->es.video.height,
-+               format->es.video.crop.width, format->es.video.crop.height,
-+               format->es.video.color_space);
-+
-+      q_data = get_q_data(ctx, V4L2_BUF_TYPE_VIDEO_CAPTURE);
-+      q_data->crop_width = format->es.video.crop.width;
-+      q_data->crop_height = format->es.video.crop.height;
-+      q_data->bytesperline = format->es.video.crop.width;
-+      q_data->height = format->es.video.height;
-+      q_data->sizeimage = format->buffer_size_min;
-+      if (format->es.video.color_space)
-+              color_mmal2v4l(ctx, format->es.video.color_space);
-+
-+      queue_res_chg_event(ctx);
-+}
-+
-+static void op_buffer_cb(struct vchiq_mmal_instance *instance,
-+                       struct vchiq_mmal_port *port, int status,
-+                       struct mmal_buffer *mmal_buf)
-+{
-+      struct bcm2835_codec_ctx *ctx = port->cb_ctx;
-+      struct m2m_mmal_buffer *buf;
-+      struct vb2_v4l2_buffer *vb2;
-+
-+      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev,
-+               "%s: status:%d, buf:%p, length:%lu, flags %u, pts %lld\n",
-+               __func__, status, mmal_buf, mmal_buf->length,
-+               mmal_buf->mmal_flags, mmal_buf->pts);
-+
-+      if (status) {
-+              /* error in transfer */
-+              if (vb2) {
-+                      /* there was a buffer with the error so return it */
-+                      vb2_buffer_done(&vb2->vb2_buf, VB2_BUF_STATE_ERROR);
-+              }
-+              return;
-+      }
-+
-+      if (mmal_buf->cmd) {
-+              switch (mmal_buf->cmd) {
-+              case MMAL_EVENT_FORMAT_CHANGED:
-+              {
-+                      handle_fmt_changed(ctx, mmal_buf);
-+                      break;
-+              }
-+              default:
-+                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Unexpected event on output callback - %08x\n",
-+                               __func__, mmal_buf->cmd);
-+                      break;
-+              }
-+              return;
-+      }
-+
-+      buf = container_of(mmal_buf, struct m2m_mmal_buffer, mmal);
-+      vb2 = &buf->m2m.vb;
-+
-+      v4l2_dbg(3, debug, &ctx->dev->v4l2_dev, "%s: length %lu, flags %x, idx %u\n",
-+               __func__, mmal_buf->length, mmal_buf->mmal_flags,
-+               vb2->vb2_buf.index);
-+
-+      if (mmal_buf->length == 0) {
-+              /* stream ended, or buffer being returned during disable. */
-+              v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: Empty buffer - flags %04x",
-+                       __func__, mmal_buf->mmal_flags);
-+              if (!mmal_buf->mmal_flags & MMAL_BUFFER_HEADER_FLAG_EOS) {
-+                      vb2_buffer_done(&vb2->vb2_buf, VB2_BUF_STATE_ERROR);
-+                      if (!port->enabled)
-+                              complete(&ctx->frame_cmplt);
-+                      return;
-+              }
-+      }
-+      if (mmal_buf->mmal_flags & MMAL_BUFFER_HEADER_FLAG_EOS) {
-+              /* EOS packet from the VPU */
-+              send_eos_event(ctx);
-+              vb2->flags |= V4L2_BUF_FLAG_LAST;
-+      }
-+
-+      vb2->vb2_buf.timestamp = mmal_buf->pts;
-+
-+      vb2_set_plane_payload(&vb2->vb2_buf, 0, mmal_buf->length);
-+      if (mmal_buf->mmal_flags & MMAL_BUFFER_HEADER_FLAG_KEYFRAME)
-+              vb2->flags |= V4L2_BUF_FLAG_KEYFRAME;
-+
-+      vb2_buffer_done(&vb2->vb2_buf, VB2_BUF_STATE_DONE);
-+      ctx->num_op_buffers++;
-+
-+      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: done %d output buffers\n",
-+               __func__, ctx->num_op_buffers);
-+
-+      if (!port->enabled)
-+              complete(&ctx->frame_cmplt);
-+}
-+
-+/* vb2_to_mmal_buffer() - converts vb2 buffer header to MMAL
-+ *
-+ * Copies all the required fields from a VB2 buffer to the MMAL buffer header,
-+ * ready for sending to the VPU.
-+ */
-+static void vb2_to_mmal_buffer(struct m2m_mmal_buffer *buf,
-+                             struct vb2_v4l2_buffer *vb2)
-+{
-+      buf->mmal.mmal_flags = 0;
-+      if (vb2->flags & V4L2_BUF_FLAG_KEYFRAME)
-+              buf->mmal.mmal_flags |= MMAL_BUFFER_HEADER_FLAG_KEYFRAME;
-+
-+      /*
-+       * Adding this means that the data must be framed correctly as one frame
-+       * per buffer. The underlying decoder has no such requirement, but it
-+       * will reduce latency as the bistream parser will be kicked immediately
-+       * to parse the frame, rather than relying on its own heuristics for
-+       * when to wake up.
-+       */
-+      buf->mmal.mmal_flags |= MMAL_BUFFER_HEADER_FLAG_FRAME_END;
-+
-+      buf->mmal.length = vb2->vb2_buf.planes[0].bytesused;
-+      /*
-+       * Minor ambiguity in the V4L2 spec as to whether passing in a 0 length
-+       * buffer, or one with V4L2_BUF_FLAG_LAST set denotes end of stream.
-+       * Handle either.
-+       */
-+      if (!buf->mmal.length || vb2->flags & V4L2_BUF_FLAG_LAST)
-+              buf->mmal.mmal_flags |= MMAL_BUFFER_HEADER_FLAG_EOS;
-+
-+      buf->mmal.pts = vb2->vb2_buf.timestamp;
-+      buf->mmal.dts = MMAL_TIME_UNKNOWN;
-+}
-+
-+/* device_run() - prepares and starts the device
-+ *
-+ * This simulates all the immediate preparations required before starting
-+ * a device. This will be called by the framework when it decides to schedule
-+ * a particular instance.
-+ */
-+static void device_run(void *priv)
-+{
-+      struct bcm2835_codec_ctx *ctx = priv;
-+      struct bcm2835_codec_dev *dev = ctx->dev;
-+      struct vb2_v4l2_buffer *src_buf, *dst_buf;
-+      struct m2m_mmal_buffer *src_m2m_buf, *dst_m2m_buf;
-+      struct v4l2_m2m_buffer *m2m;
-+      int ret;
-+
-+      v4l2_dbg(3, debug, &ctx->dev->v4l2_dev, "%s: off we go\n", __func__);
-+
-+      src_buf = v4l2_m2m_buf_remove(&ctx->fh.m2m_ctx->out_q_ctx);
-+      if (src_buf) {
-+              m2m = container_of(src_buf, struct v4l2_m2m_buffer, vb);
-+              src_m2m_buf = container_of(m2m, struct m2m_mmal_buffer, m2m);
-+              vb2_to_mmal_buffer(src_m2m_buf, src_buf);
-+
-+              ret = vchiq_mmal_submit_buffer(dev->instance,
-+                                             &ctx->component->input[0],
-+                                             &src_m2m_buf->mmal);
-+              v4l2_dbg(3, debug, &ctx->dev->v4l2_dev, "%s: Submitted ip buffer len %lu, pts %llu, flags %04x\n",
-+                       __func__, src_m2m_buf->mmal.length,
-+                       src_m2m_buf->mmal.pts, src_m2m_buf->mmal.mmal_flags);
-+              if (ret)
-+                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed submitting ip buffer\n",
-+                               __func__);
-+      }
-+
-+      dst_buf = v4l2_m2m_buf_remove(&ctx->fh.m2m_ctx->cap_q_ctx);
-+      if (dst_buf) {
-+              m2m = container_of(dst_buf, struct v4l2_m2m_buffer, vb);
-+              dst_m2m_buf = container_of(m2m, struct m2m_mmal_buffer, m2m);
-+              vb2_to_mmal_buffer(dst_m2m_buf, dst_buf);
-+
-+              ret = vchiq_mmal_submit_buffer(dev->instance,
-+                                             &ctx->component->output[0],
-+                                             &dst_m2m_buf->mmal);
-+              if (ret)
-+                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed submitting op buffer\n",
-+                               __func__);
-+      }
-+
-+      v4l2_dbg(3, debug, &ctx->dev->v4l2_dev, "%s: Submitted src %p, dst %p\n",
-+               __func__, src_m2m_buf, dst_m2m_buf);
-+
-+      /* Complete the job here. */
-+      v4l2_m2m_job_finish(ctx->dev->m2m_dev, ctx->fh.m2m_ctx);
-+}
-+
-+/*
-+ * video ioctls
-+ */
-+static int vidioc_querycap(struct file *file, void *priv,
-+                         struct v4l2_capability *cap)
-+{
-+      strncpy(cap->driver, MEM2MEM_NAME, sizeof(cap->driver) - 1);
-+      strncpy(cap->card, MEM2MEM_NAME, sizeof(cap->card) - 1);
-+      snprintf(cap->bus_info, sizeof(cap->bus_info), "platform:%s",
-+               MEM2MEM_NAME);
-+      cap->device_caps = V4L2_CAP_VIDEO_M2M | V4L2_CAP_STREAMING;
-+      cap->capabilities = cap->device_caps | V4L2_CAP_DEVICE_CAPS;
-+      return 0;
-+}
-+
-+static int enum_fmt(struct v4l2_fmtdesc *f, bool decode, bool capture)
-+{
-+      struct bcm2835_codec_fmt *fmt;
-+      struct bcm2835_codec_fmt_list *fmts = get_format_list(decode, capture);
-+
-+      if (f->index < fmts->num_entries) {
-+              /* Format found */
-+              /* Check format isn't a decode only format when encoding */
-+              if (!decode &&
-+                  fmts->list[f->index].decode_only)
-+                      return -EINVAL;
-+              /* Check format isn't a decode only format when encoding */
-+              if (decode &&
-+                  fmts->list[f->index].encode_only)
-+                      return -EINVAL;
-+
-+              fmt = &fmts->list[f->index];
-+              f->pixelformat = fmt->fourcc;
-+              f->flags = fmt->flags;
-+              return 0;
-+      }
-+
-+      /* Format not found */
-+      return -EINVAL;
-+}
-+
-+static int vidioc_enum_fmt_vid_cap(struct file *file, void *priv,
-+                                 struct v4l2_fmtdesc *f)
-+{
-+      struct bcm2835_codec_ctx *ctx = file2ctx(file);
-+
-+      return enum_fmt(f, ctx->dev->decode, true);
-+}
-+
-+static int vidioc_enum_fmt_vid_out(struct file *file, void *priv,
-+                                 struct v4l2_fmtdesc *f)
-+{
-+      struct bcm2835_codec_ctx *ctx = file2ctx(file);
-+
-+      return enum_fmt(f, ctx->dev->decode, false);
-+}
-+
-+static int vidioc_g_fmt(struct bcm2835_codec_ctx *ctx, struct v4l2_format *f)
-+{
-+      struct vb2_queue *vq;
-+      struct bcm2835_codec_q_data *q_data;
-+
-+      vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, f->type);
-+      if (!vq)
-+              return -EINVAL;
-+
-+      q_data = get_q_data(ctx, f->type);
-+
-+      f->fmt.pix.width        = q_data->crop_width;
-+      f->fmt.pix.height       = q_data->height;
-+      f->fmt.pix.field        = V4L2_FIELD_NONE;
-+      f->fmt.pix.pixelformat  = q_data->fmt->fourcc;
-+      f->fmt.pix.bytesperline = q_data->bytesperline;
-+      f->fmt.pix.sizeimage    = q_data->sizeimage;
-+      f->fmt.pix.colorspace   = ctx->colorspace;
-+      f->fmt.pix.xfer_func    = ctx->xfer_func;
-+      f->fmt.pix.ycbcr_enc    = ctx->ycbcr_enc;
-+      f->fmt.pix.quantization = ctx->quant;
-+
-+      return 0;
-+}
-+
-+static int vidioc_g_fmt_vid_out(struct file *file, void *priv,
-+                              struct v4l2_format *f)
-+{
-+      return vidioc_g_fmt(file2ctx(file), f);
-+}
-+
-+static int vidioc_g_fmt_vid_cap(struct file *file, void *priv,
-+                              struct v4l2_format *f)
-+{
-+      return vidioc_g_fmt(file2ctx(file), f);
-+}
-+
-+static int vidioc_try_fmt(struct v4l2_format *f, struct bcm2835_codec_fmt *fmt)
-+{
-+      /*
-+       * The V4L2 specification requires the driver to correct the format
-+       * struct if any of the dimensions is unsupported
-+       */
-+      if (f->fmt.pix.width > MAX_W)
-+              f->fmt.pix.width = MAX_W;
-+      if (f->fmt.pix.height > MAX_H)
-+              f->fmt.pix.height = MAX_H;
-+
-+      if (!fmt->flags & V4L2_FMT_FLAG_COMPRESSED) {
-+              /* Only clip min w/h on capture. Treat 0x0 as unknown. */
-+              if (f->fmt.pix.width < MIN_W)
-+                      f->fmt.pix.width = MIN_W;
-+              if (f->fmt.pix.height < MIN_H)
-+                      f->fmt.pix.height = MIN_H;
-+
-+              /*
-+               * Buffer must have a vertical alignment of 16 lines.
-+               * The selection will reflect any cropping rectangle when only
-+               * some of the pixels are active.
-+               */
-+              f->fmt.pix.height = ALIGN(f->fmt.pix.height, 16);
-+
-+              f->fmt.pix.bytesperline = get_bytesperline(f->fmt.pix.width,
-+                                                         fmt);
-+              f->fmt.pix.sizeimage = get_sizeimage(f->fmt.pix.bytesperline,
-+                                                   f->fmt.pix.height,
-+                                                   fmt);
-+      } else {
-+              u32 min_size = f->fmt.pix.width > 1280 ||
-+                             f->fmt.pix.height > 720 ?
-+                             DEF_COMP_BUF_SIZE_GREATER_720P :
-+                             DEF_COMP_BUF_SIZE_720P_OR_LESS;
-+
-+              f->fmt.pix.bytesperline = 0;
-+              if (f->fmt.pix.sizeimage < min_size)
-+                      f->fmt.pix.sizeimage = min_size;
-+      }
-+
-+      f->fmt.pix.field = V4L2_FIELD_NONE;
-+
-+      return 0;
-+}
-+
-+static int vidioc_try_fmt_vid_cap(struct file *file, void *priv,
-+                                struct v4l2_format *f)
-+{
-+      struct bcm2835_codec_fmt *fmt;
-+      struct bcm2835_codec_ctx *ctx = file2ctx(file);
-+
-+      fmt = find_format(f, ctx->dev->decode, true);
-+      if (!fmt) {
-+              f->fmt.pix.pixelformat = get_default_format(ctx->dev->decode,
-+                                                          true)->fourcc;
-+              fmt = find_format(f, ctx->dev->decode, true);
-+      }
-+
-+      return vidioc_try_fmt(f, fmt);
-+}
-+
-+static int vidioc_try_fmt_vid_out(struct file *file, void *priv,
-+                                struct v4l2_format *f)
-+{
-+      struct bcm2835_codec_fmt *fmt;
-+      struct bcm2835_codec_ctx *ctx = file2ctx(file);
-+
-+      fmt = find_format(f, ctx->dev->decode, false);
-+      if (!fmt) {
-+              f->fmt.pix.pixelformat = get_default_format(ctx->dev->decode,
-+                                                          false)->fourcc;
-+              fmt = find_format(f, ctx->dev->decode, false);
-+      }
-+
-+      if (!f->fmt.pix.colorspace)
-+              f->fmt.pix.colorspace = ctx->colorspace;
-+
-+      return vidioc_try_fmt(f, fmt);
-+}
-+
-+static int vidioc_s_fmt(struct bcm2835_codec_ctx *ctx, struct v4l2_format *f,
-+                      unsigned int requested_height)
-+{
-+      struct bcm2835_codec_q_data *q_data;
-+      struct vb2_queue *vq;
-+      struct vchiq_mmal_port *port;
-+      bool update_capture_port = false;
-+      int ret;
-+
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Setting format for type %d, wxh: %dx%d, fmt: %08x, size %u\n",
-+               f->type, f->fmt.pix.width, f->fmt.pix.height,
-+               f->fmt.pix.pixelformat, f->fmt.pix.sizeimage);
-+
-+      vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, f->type);
-+      if (!vq)
-+              return -EINVAL;
-+
-+      q_data = get_q_data(ctx, f->type);
-+      if (!q_data)
-+              return -EINVAL;
-+
-+      if (vb2_is_busy(vq)) {
-+              v4l2_err(&ctx->dev->v4l2_dev, "%s queue busy\n", __func__);
-+              return -EBUSY;
-+      }
-+
-+      q_data->fmt = find_format(f, ctx->dev->decode,
-+                                f->type == V4L2_BUF_TYPE_VIDEO_CAPTURE);
-+      q_data->crop_width = f->fmt.pix.width;
-+      q_data->height = f->fmt.pix.height;
-+      if (!q_data->selection_set)
-+              q_data->crop_height = requested_height;
-+
-+      /*
-+       * Copying the behaviour of vicodec which retains a single set of
-+       * colorspace parameters for both input and output.
-+       */
-+      ctx->colorspace = f->fmt.pix.colorspace;
-+      ctx->xfer_func = f->fmt.pix.xfer_func;
-+      ctx->ycbcr_enc = f->fmt.pix.ycbcr_enc;
-+      ctx->quant = f->fmt.pix.quantization;
-+
-+      /* All parameters should have been set correctly by try_fmt */
-+      q_data->bytesperline = f->fmt.pix.bytesperline;
-+      q_data->sizeimage = f->fmt.pix.sizeimage;
-+
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Calulated bpl as %u, size %u\n",
-+               q_data->bytesperline, q_data->sizeimage);
-+
-+      if (ctx->dev->decode && q_data->fmt->flags & V4L2_FMT_FLAG_COMPRESSED &&
-+          f->fmt.pix.width && f->fmt.pix.height) {
-+              /*
-+               * On the decoder, if provided with a resolution on the input
-+               * side, then replicate that to the output side.
-+               * GStreamer appears not to support V4L2_EVENT_SOURCE_CHANGE,
-+               * nor set up a resolution on the output side, therefore
-+               * we can't decode anything at a resolution other than the
-+               * default one.
-+               */
-+              struct bcm2835_codec_q_data *q_data_dst =
-+                                              &ctx->q_data[V4L2_M2M_DST];
-+
-+              q_data_dst->crop_width = q_data->crop_width;
-+              q_data_dst->crop_height = q_data->crop_height;
-+              q_data_dst->height = ALIGN(q_data->crop_height, 16);
-+
-+              q_data_dst->bytesperline =
-+                      get_bytesperline(f->fmt.pix.width, q_data_dst->fmt);
-+              q_data_dst->sizeimage = get_sizeimage(q_data_dst->bytesperline,
-+                                                    q_data_dst->height,
-+                                                    q_data_dst->fmt);
-+              update_capture_port = true;
-+      }
-+
-+      /* If we have a component then setup the port as well */
-+      port = get_port_data(ctx, vq->type);
-+      if (!port)
-+              return 0;
-+
-+      setup_mmal_port_format(ctx, ctx->dev->decode, q_data, port);
-+      ret = vchiq_mmal_port_set_format(ctx->dev->instance, port);
-+      if (ret) {
-+              v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed vchiq_mmal_port_set_format on port, ret %d\n",
-+                       __func__, ret);
-+              ret = -EINVAL;
-+      }
-+
-+      if (q_data->sizeimage < port->minimum_buffer.size) {
-+              v4l2_err(&ctx->dev->v4l2_dev, "%s: Current buffer size of %u < min buf size %u - driver mismatch to MMAL\n",
-+                       __func__, q_data->sizeimage,
-+                       port->minimum_buffer.size);
-+      }
-+
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Set format for type %d, wxh: %dx%d, fmt: %08x, size %u\n",
-+               f->type, q_data->crop_width, q_data->height,
-+               q_data->fmt->fourcc, q_data->sizeimage);
-+
-+      if (update_capture_port) {
-+              struct vchiq_mmal_port *port_dst = &ctx->component->output[0];
-+              struct bcm2835_codec_q_data *q_data_dst =
-+                                              &ctx->q_data[V4L2_M2M_DST];
-+
-+              setup_mmal_port_format(ctx, ctx->dev->decode, q_data_dst,
-+                                     port_dst);
-+              ret = vchiq_mmal_port_set_format(ctx->dev->instance, port_dst);
-+              if (ret) {
-+                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed vchiq_mmal_port_set_format on output port, ret %d\n",
-+                               __func__, ret);
-+                      ret = -EINVAL;
-+              }
-+      }
-+      return ret;
-+}
-+
-+static int vidioc_s_fmt_vid_cap(struct file *file, void *priv,
-+                              struct v4l2_format *f)
-+{
-+      unsigned int height = f->fmt.pix.height;
-+      int ret;
-+
-+      ret = vidioc_try_fmt_vid_cap(file, priv, f);
-+      if (ret)
-+              return ret;
-+
-+      return vidioc_s_fmt(file2ctx(file), f, height);
-+}
-+
-+static int vidioc_s_fmt_vid_out(struct file *file, void *priv,
-+                              struct v4l2_format *f)
-+{
-+      unsigned int height = f->fmt.pix.height;
-+      int ret;
-+
-+      ret = vidioc_try_fmt_vid_out(file, priv, f);
-+      if (ret)
-+              return ret;
-+
-+      ret = vidioc_s_fmt(file2ctx(file), f, height);
-+      return ret;
-+}
-+
-+static int vidioc_g_selection(struct file *file, void *priv,
-+                            struct v4l2_selection *s)
-+{
-+      struct bcm2835_codec_ctx *ctx = file2ctx(file);
-+      struct bcm2835_codec_q_data *q_data;
-+      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE ?
-+                                                              true : false;
-+
-+      if (capture_queue ^ ctx->dev->decode)
-+              /* OUTPUT on decoder and CAPTURE on encoder are not valid. */
-+              return -EINVAL;
-+
-+      q_data = get_q_data(ctx, s->type);
-+      if (!q_data)
-+              return -EINVAL;
-+
-+      if (ctx->dev->decode) {
-+              switch (s->target) {
-+              case V4L2_SEL_TGT_COMPOSE_DEFAULT:
-+              case V4L2_SEL_TGT_COMPOSE:
-+                      s->r.left = 0;
-+                      s->r.top = 0;
-+                      s->r.width = q_data->crop_width;
-+                      s->r.height = q_data->crop_height;
-+                      break;
-+              case V4L2_SEL_TGT_COMPOSE_BOUNDS:
-+                      s->r.left = 0;
-+                      s->r.top = 0;
-+                      s->r.width = q_data->crop_width;
-+                      s->r.height = q_data->crop_height;
-+                      break;
-+              default:
-+                      return -EINVAL;
-+              }
-+      } else {
-+              switch (s->target) {
-+              case V4L2_SEL_TGT_CROP_DEFAULT:
-+              case V4L2_SEL_TGT_CROP_BOUNDS:
-+                      s->r.top = 0;
-+                      s->r.left = 0;
-+                      s->r.width = q_data->bytesperline;
-+                      s->r.height = q_data->height;
-+                      break;
-+              case V4L2_SEL_TGT_CROP:
-+                      s->r.top = 0;
-+                      s->r.left = 0;
-+                      s->r.width = q_data->crop_width;
-+                      s->r.height = q_data->crop_height;
-+                      break;
-+              default:
-+                      return -EINVAL;
-+              }
-+      }
-+
-+      return 0;
-+}
-+
-+static int vidioc_s_selection(struct file *file, void *priv,
-+                            struct v4l2_selection *s)
-+{
-+      struct bcm2835_codec_ctx *ctx = file2ctx(file);
-+      struct bcm2835_codec_q_data *q_data = NULL;
-+      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE ?
-+                                                              true : false;
-+
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: ctx %p, type %d, q_data %p, target %d, rect x/y %d/%d, w/h %ux%u\n",
-+               __func__, ctx, s->type, q_data, s->target, s->r.left, s->r.top,
-+               s->r.width, s->r.height);
-+
-+      if (capture_queue ^ ctx->dev->decode)
-+              /* OUTPUT on decoder and CAPTURE on encoder are not valid. */
-+              return -EINVAL;
-+
-+      q_data = get_q_data(ctx, s->type);
-+      if (!q_data)
-+              return -EINVAL;
-+
-+      if (ctx->dev->decode) {
-+              switch (s->target) {
-+              case V4L2_SEL_TGT_COMPOSE:
-+                      /* Accept cropped image */
-+                      s->r.left = 0;
-+                      s->r.top = 0;
-+                      s->r.width = min(s->r.width, q_data->crop_width);
-+                      s->r.height = min(s->r.height, q_data->height);
-+                      q_data->crop_width = s->r.width;
-+                      q_data->crop_height = s->r.height;
-+                      q_data->selection_set = true;
-+                      break;
-+              default:
-+                      return -EINVAL;
-+              }
-+      } else {
-+              switch (s->target) {
-+              case V4L2_SEL_TGT_CROP:
-+                      /* Only support crop from (0,0) */
-+                      s->r.top = 0;
-+                      s->r.left = 0;
-+                      s->r.width = min(s->r.width, q_data->crop_width);
-+                      s->r.height = min(s->r.height, q_data->crop_height);
-+                      q_data->crop_width = s->r.width;
-+                      q_data->crop_height = s->r.height;
-+                      q_data->selection_set = true;
-+                      break;
-+              default:
-+                      return -EINVAL;
-+              }
-+      }
-+
-+      return 0;
-+}
-+
-+static int vidioc_subscribe_evt(struct v4l2_fh *fh,
-+                              const struct v4l2_event_subscription *sub)
-+{
-+      switch (sub->type) {
-+      case V4L2_EVENT_EOS:
-+              return v4l2_event_subscribe(fh, sub, 2, NULL);
-+      case V4L2_EVENT_SOURCE_CHANGE:
-+              return v4l2_src_change_event_subscribe(fh, sub);
-+      default:
-+              return v4l2_ctrl_subscribe_event(fh, sub);
-+      }
-+}
-+
-+static int bcm2835_codec_set_level_profile(struct bcm2835_codec_ctx *ctx,
-+                                         struct v4l2_ctrl *ctrl)
-+{
-+      struct mmal_parameter_video_profile param;
-+      int param_size = sizeof(param);
-+      int ret;
-+
-+      /*
-+       * Level and Profile are set via the same MMAL parameter.
-+       * Retrieve the current settings and amend the one that has changed.
-+       */
-+      ret = vchiq_mmal_port_parameter_get(ctx->dev->instance,
-+                                          &ctx->component->output[0],
-+                                          MMAL_PARAMETER_PROFILE,
-+                                          &param,
-+                                          &param_size);
-+      if (ret)
-+              return ret;
-+
-+      switch (ctrl->id) {
-+      case V4L2_CID_MPEG_VIDEO_H264_PROFILE:
-+              switch (ctrl->val) {
-+              case V4L2_MPEG_VIDEO_H264_PROFILE_BASELINE:
-+                      param.profile = MMAL_VIDEO_PROFILE_H264_BASELINE;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_PROFILE_CONSTRAINED_BASELINE:
-+                      param.profile =
-+                              MMAL_VIDEO_PROFILE_H264_CONSTRAINED_BASELINE;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_PROFILE_MAIN:
-+                      param.profile = MMAL_VIDEO_PROFILE_H264_MAIN;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_PROFILE_HIGH:
-+                      param.profile = MMAL_VIDEO_PROFILE_H264_HIGH;
-+                      break;
-+              default:
-+                      /* Should never get here */
-+                      break;
-+              }
-+              break;
-+
-+      case V4L2_CID_MPEG_VIDEO_H264_LEVEL:
-+              switch (ctrl->val) {
-+              case V4L2_MPEG_VIDEO_H264_LEVEL_1_0:
-+                      param.level = MMAL_VIDEO_LEVEL_H264_1;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_LEVEL_1B:
-+                      param.level = MMAL_VIDEO_LEVEL_H264_1b;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_LEVEL_1_1:
-+                      param.level = MMAL_VIDEO_LEVEL_H264_11;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_LEVEL_1_2:
-+                      param.level = MMAL_VIDEO_LEVEL_H264_12;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_LEVEL_1_3:
-+                      param.level = MMAL_VIDEO_LEVEL_H264_13;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_LEVEL_2_0:
-+                      param.level = MMAL_VIDEO_LEVEL_H264_2;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_LEVEL_2_1:
-+                      param.level = MMAL_VIDEO_LEVEL_H264_21;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_LEVEL_2_2:
-+                      param.level = MMAL_VIDEO_LEVEL_H264_22;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_LEVEL_3_0:
-+                      param.level = MMAL_VIDEO_LEVEL_H264_3;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_LEVEL_3_1:
-+                      param.level = MMAL_VIDEO_LEVEL_H264_31;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_LEVEL_3_2:
-+                      param.level = MMAL_VIDEO_LEVEL_H264_32;
-+                      break;
-+              case V4L2_MPEG_VIDEO_H264_LEVEL_4_0:
-+                      param.level = MMAL_VIDEO_LEVEL_H264_4;
-+                      break;
-+              default:
-+                      /* Should never get here */
-+                      break;
-+              }
-+      }
-+      ret = vchiq_mmal_port_parameter_set(ctx->dev->instance,
-+                                          &ctx->component->output[0],
-+                                          MMAL_PARAMETER_PROFILE,
-+                                          &param,
-+                                          param_size);
-+
-+      return ret;
-+}
-+
-+static int bcm2835_codec_s_ctrl(struct v4l2_ctrl *ctrl)
-+{
-+      struct bcm2835_codec_ctx *ctx =
-+              container_of(ctrl->handler, struct bcm2835_codec_ctx, hdl);
-+      int ret = 0;
-+
-+      switch (ctrl->id) {
-+      case V4L2_CID_MPEG_VIDEO_BITRATE:
-+              ctx->bitrate = ctrl->val;
-+              if (!ctx->component)
-+                      break;
-+
-+              ret = vchiq_mmal_port_parameter_set(ctx->dev->instance,
-+                                                  &ctx->component->output[0],
-+                                                  MMAL_PARAMETER_VIDEO_BIT_RATE,
-+                                                  &ctrl->val,
-+                                                  sizeof(ctrl->val));
-+              break;
-+
-+      case V4L2_CID_MPEG_VIDEO_BITRATE_MODE: {
-+              u32 bitrate_mode;
-+
-+              if (!ctx->component)
-+                      break;
-+
-+              switch (ctrl->val) {
-+              default:
-+              case V4L2_MPEG_VIDEO_BITRATE_MODE_VBR:
-+                      bitrate_mode = MMAL_VIDEO_RATECONTROL_VARIABLE;
-+                      break;
-+              case V4L2_MPEG_VIDEO_BITRATE_MODE_CBR:
-+                      bitrate_mode = MMAL_VIDEO_RATECONTROL_CONSTANT;
-+                      break;
-+              }
-+
-+              ret = vchiq_mmal_port_parameter_set(ctx->dev->instance,
-+                                                  &ctx->component->output[0],
-+                                                  MMAL_PARAMETER_RATECONTROL,
-+                                                  &bitrate_mode,
-+                                                  sizeof(bitrate_mode));
-+              break;
-+      }
-+      case V4L2_CID_MPEG_VIDEO_REPEAT_SEQ_HEADER:
-+              if (!ctx->component)
-+                      break;
-+
-+              ret = vchiq_mmal_port_parameter_set(ctx->dev->instance,
-+                                                  &ctx->component->output[0],
-+                                                  MMAL_PARAMETER_VIDEO_ENCODE_INLINE_HEADER,
-+                                                  &ctrl->val,
-+                                                  sizeof(ctrl->val));
-+              break;
-+
-+      case V4L2_CID_MPEG_VIDEO_H264_I_PERIOD:
-+              if (!ctx->component)
-+                      break;
-+
-+              ret = vchiq_mmal_port_parameter_set(ctx->dev->instance,
-+                                                  &ctx->component->output[0],
-+                                                  MMAL_PARAMETER_INTRAPERIOD,
-+                                                  &ctrl->val,
-+                                                  sizeof(ctrl->val));
-+              break;
-+
-+      case V4L2_CID_MPEG_VIDEO_H264_PROFILE:
-+      case V4L2_CID_MPEG_VIDEO_H264_LEVEL:
-+              if (!ctx->component)
-+                      break;
-+
-+              ret = bcm2835_codec_set_level_profile(ctx, ctrl);
-+              break;
-+
-+      default:
-+              v4l2_err(&ctx->dev->v4l2_dev, "Invalid control\n");
-+              return -EINVAL;
-+      }
-+
-+      if (ret)
-+              v4l2_err(&ctx->dev->v4l2_dev, "Failed setting ctrl %08x, ret %d\n",
-+                       ctrl->id, ret);
-+      return ret ? -EINVAL : 0;
-+}
-+
-+static const struct v4l2_ctrl_ops bcm2835_codec_ctrl_ops = {
-+      .s_ctrl = bcm2835_codec_s_ctrl,
-+};
-+
-+static int vidioc_try_decoder_cmd(struct file *file, void *priv,
-+                                struct v4l2_decoder_cmd *cmd)
-+{
-+      struct bcm2835_codec_ctx *ctx = file2ctx(file);
-+
-+      if (!ctx->dev->decode)
-+              return -EINVAL;
-+
-+      switch (cmd->cmd) {
-+      case V4L2_DEC_CMD_STOP:
-+              if (cmd->flags & V4L2_DEC_CMD_STOP_TO_BLACK) {
-+                      v4l2_err(&ctx->dev->v4l2_dev, "%s: DEC cmd->flags=%u stop to black not supported",
-+                               __func__, cmd->flags);
-+                      return -EINVAL;
-+              }
-+              break;
-+      case V4L2_DEC_CMD_START:
-+              break;
-+      default:
-+              return -EINVAL;
-+      }
-+      return 0;
-+}
-+
-+static int vidioc_decoder_cmd(struct file *file, void *priv,
-+                            struct v4l2_decoder_cmd *cmd)
-+{
-+      struct bcm2835_codec_ctx *ctx = file2ctx(file);
-+      struct bcm2835_codec_q_data *q_data = &ctx->q_data[V4L2_M2M_SRC];
-+      int ret;
-+
-+      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s, cmd %u", __func__,
-+               cmd->cmd);
-+      ret = vidioc_try_decoder_cmd(file, priv, cmd);
-+      if (ret)
-+              return ret;
-+
-+      switch (cmd->cmd) {
-+      case V4L2_DEC_CMD_STOP:
-+              if (q_data->eos_buffer_in_use)
-+                      v4l2_err(&ctx->dev->v4l2_dev, "EOS buffers already in use\n");
-+              q_data->eos_buffer_in_use = true;
-+
-+              q_data->eos_buffer.mmal.buffer_size = 0;
-+              q_data->eos_buffer.mmal.length = 0;
-+              q_data->eos_buffer.mmal.mmal_flags =
-+                                              MMAL_BUFFER_HEADER_FLAG_EOS;
-+              q_data->eos_buffer.mmal.pts = 0;
-+              q_data->eos_buffer.mmal.dts = 0;
-+
-+              if (!ctx->component)
-+                      break;
-+
-+              ret = vchiq_mmal_submit_buffer(ctx->dev->instance,
-+                                             &ctx->component->input[0],
-+                                             &q_data->eos_buffer.mmal);
-+              if (ret)
-+                      v4l2_err(&ctx->dev->v4l2_dev,
-+                               "%s: EOS buffer submit failed %d\n",
-+                               __func__, ret);
-+
-+              break;
-+
-+      case V4L2_DEC_CMD_START:
-+              /* Do we need to do anything here? */
-+              break;
-+
-+      default:
-+              return -EINVAL;
-+      }
-+
-+      return 0;
-+}
-+
-+static int vidioc_try_encoder_cmd(struct file *file, void *priv,
-+                                struct v4l2_encoder_cmd *cmd)
-+{
-+      struct bcm2835_codec_ctx *ctx = file2ctx(file);
-+
-+      if (ctx->dev->decode)
-+              return -EINVAL;
-+
-+      switch (cmd->cmd) {
-+      case V4L2_ENC_CMD_STOP:
-+              break;
-+
-+      case V4L2_ENC_CMD_START:
-+              /* Do we need to do anything here? */
-+              break;
-+      default:
-+              return -EINVAL;
-+      }
-+      return 0;
-+}
-+
-+static int vidioc_encoder_cmd(struct file *file, void *priv,
-+                            struct v4l2_encoder_cmd *cmd)
-+{
-+      struct bcm2835_codec_ctx *ctx = file2ctx(file);
-+      struct bcm2835_codec_q_data *q_data = &ctx->q_data[V4L2_M2M_SRC];
-+      int ret;
-+
-+      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s, cmd %u", __func__,
-+               cmd->cmd);
-+      ret = vidioc_try_encoder_cmd(file, priv, cmd);
-+      if (ret)
-+              return ret;
-+
-+      switch (cmd->cmd) {
-+      case V4L2_ENC_CMD_STOP:
-+              if (q_data->eos_buffer_in_use)
-+                      v4l2_err(&ctx->dev->v4l2_dev, "EOS buffers already in use\n");
-+              q_data->eos_buffer_in_use = true;
-+
-+              q_data->eos_buffer.mmal.buffer_size = 0;
-+              q_data->eos_buffer.mmal.length = 0;
-+              q_data->eos_buffer.mmal.mmal_flags =
-+                                              MMAL_BUFFER_HEADER_FLAG_EOS;
-+              q_data->eos_buffer.mmal.pts = 0;
-+              q_data->eos_buffer.mmal.dts = 0;
-+
-+              if (!ctx->component)
-+                      break;
-+
-+              ret = vchiq_mmal_submit_buffer(ctx->dev->instance,
-+                                             &ctx->component->input[0],
-+                                             &q_data->eos_buffer.mmal);
-+              if (ret)
-+                      v4l2_err(&ctx->dev->v4l2_dev,
-+                               "%s: EOS buffer submit failed %d\n",
-+                               __func__, ret);
-+
-+              break;
-+      case V4L2_ENC_CMD_START:
-+              /* Do we need to do anything here? */
-+              break;
-+
-+      default:
-+              return -EINVAL;
-+      }
-+
-+      return 0;
-+}
-+
-+static const struct v4l2_ioctl_ops bcm2835_codec_ioctl_ops = {
-+      .vidioc_querycap        = vidioc_querycap,
-+
-+      .vidioc_enum_fmt_vid_cap = vidioc_enum_fmt_vid_cap,
-+      .vidioc_g_fmt_vid_cap   = vidioc_g_fmt_vid_cap,
-+      .vidioc_try_fmt_vid_cap = vidioc_try_fmt_vid_cap,
-+      .vidioc_s_fmt_vid_cap   = vidioc_s_fmt_vid_cap,
-+
-+      .vidioc_enum_fmt_vid_out = vidioc_enum_fmt_vid_out,
-+      .vidioc_g_fmt_vid_out   = vidioc_g_fmt_vid_out,
-+      .vidioc_try_fmt_vid_out = vidioc_try_fmt_vid_out,
-+      .vidioc_s_fmt_vid_out   = vidioc_s_fmt_vid_out,
-+
-+      .vidioc_reqbufs         = v4l2_m2m_ioctl_reqbufs,
-+      .vidioc_querybuf        = v4l2_m2m_ioctl_querybuf,
-+      .vidioc_qbuf            = v4l2_m2m_ioctl_qbuf,
-+      .vidioc_dqbuf           = v4l2_m2m_ioctl_dqbuf,
-+      .vidioc_prepare_buf     = v4l2_m2m_ioctl_prepare_buf,
-+      .vidioc_create_bufs     = v4l2_m2m_ioctl_create_bufs,
-+      .vidioc_expbuf          = v4l2_m2m_ioctl_expbuf,
-+
-+      .vidioc_streamon        = v4l2_m2m_ioctl_streamon,
-+      .vidioc_streamoff       = v4l2_m2m_ioctl_streamoff,
-+
-+      .vidioc_g_selection     = vidioc_g_selection,
-+      .vidioc_s_selection     = vidioc_s_selection,
-+
-+      .vidioc_subscribe_event = vidioc_subscribe_evt,
-+      .vidioc_unsubscribe_event = v4l2_event_unsubscribe,
-+
-+      .vidioc_decoder_cmd = vidioc_decoder_cmd,
-+      .vidioc_try_decoder_cmd = vidioc_try_decoder_cmd,
-+      .vidioc_encoder_cmd = vidioc_encoder_cmd,
-+      .vidioc_try_encoder_cmd = vidioc_try_encoder_cmd,
-+};
-+
-+static int bcm2835_codec_set_ctrls(struct bcm2835_codec_ctx *ctx)
-+{
-+      /*
-+       * Query the control handler for the value of the various controls and
-+       * set them.
-+       */
-+      const u32 control_ids[] = {
-+              V4L2_CID_MPEG_VIDEO_BITRATE_MODE,
-+              V4L2_CID_MPEG_VIDEO_REPEAT_SEQ_HEADER,
-+              V4L2_CID_MPEG_VIDEO_H264_I_PERIOD,
-+              V4L2_CID_MPEG_VIDEO_H264_LEVEL,
-+              V4L2_CID_MPEG_VIDEO_H264_PROFILE,
-+      };
-+      int i;
-+
-+      for (i = 0; i < ARRAY_SIZE(control_ids); i++) {
-+              struct v4l2_ctrl *ctrl;
-+
-+              ctrl = v4l2_ctrl_find(&ctx->hdl, control_ids[i]);
-+              if (ctrl)
-+                      bcm2835_codec_s_ctrl(ctrl);
-+      }
-+
-+      return 0;
-+}
-+
-+static int bcm2835_codec_create_component(struct bcm2835_codec_ctx *ctx)
-+{
-+      struct bcm2835_codec_dev *dev = ctx->dev;
-+      unsigned int enable = 1;
-+      int ret;
-+
-+      ret = vchiq_mmal_component_init(dev->instance, dev->decode ?
-+                                      "ril.video_decode" : "ril.video_encode",
-+                                      &ctx->component);
-+      if (ret < 0) {
-+              v4l2_err(&dev->v4l2_dev, "%s: failed to create component for %s\n",
-+                       __func__, dev->decode ? "decode" : "encode");
-+              return -ENOMEM;
-+      }
-+
-+      vchiq_mmal_port_parameter_set(dev->instance, &ctx->component->input[0],
-+                                    MMAL_PARAMETER_ZERO_COPY, &enable,
-+                                    sizeof(enable));
-+      vchiq_mmal_port_parameter_set(dev->instance, &ctx->component->output[0],
-+                                    MMAL_PARAMETER_ZERO_COPY, &enable,
-+                                    sizeof(enable));
-+
-+      setup_mmal_port_format(ctx, dev->decode, &ctx->q_data[V4L2_M2M_SRC],
-+                             &ctx->component->input[0]);
-+
-+      setup_mmal_port_format(ctx, dev->decode, &ctx->q_data[V4L2_M2M_DST],
-+                             &ctx->component->output[0]);
-+
-+      ret = vchiq_mmal_port_set_format(dev->instance,
-+                                       &ctx->component->input[0]);
-+      if (ret < 0)
-+              goto destroy_component;
-+
-+      ret = vchiq_mmal_port_set_format(dev->instance,
-+                                       &ctx->component->output[0]);
-+      if (ret < 0)
-+              goto destroy_component;
-+
-+      if (dev->decode) {
-+              if (ctx->q_data[V4L2_M2M_DST].sizeimage <
-+                      ctx->component->output[0].minimum_buffer.size)
-+                      v4l2_err(&dev->v4l2_dev, "buffer size mismatch sizeimage %u < min size %u\n",
-+                               ctx->q_data[V4L2_M2M_DST].sizeimage,
-+                               ctx->component->output[0].minimum_buffer.size);
-+      } else {
-+              if (ctx->q_data[V4L2_M2M_SRC].sizeimage <
-+                      ctx->component->output[0].minimum_buffer.size)
-+                      v4l2_err(&dev->v4l2_dev, "buffer size mismatch sizeimage %u < min size %u\n",
-+                               ctx->q_data[V4L2_M2M_SRC].sizeimage,
-+                               ctx->component->output[0].minimum_buffer.size);
-+
-+              /* Now we have a component we can set all the ctrls */
-+              bcm2835_codec_set_ctrls(ctx);
-+      }
-+
-+      return 0;
-+
-+destroy_component:
-+      vchiq_mmal_component_finalise(ctx->dev->instance, ctx->component);
-+
-+      return ret;
-+}
-+
-+/*
-+ * Queue operations
-+ */
-+
-+static int bcm2835_codec_queue_setup(struct vb2_queue *vq,
-+                                   unsigned int *nbuffers,
-+                                   unsigned int *nplanes,
-+                                   unsigned int sizes[],
-+                                   struct device *alloc_devs[])
-+{
-+      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(vq);
-+      struct bcm2835_codec_q_data *q_data;
-+      struct vchiq_mmal_port *port;
-+      unsigned int size;
-+
-+      q_data = get_q_data(ctx, vq->type);
-+      if (!q_data)
-+              return -EINVAL;
-+
-+      if (!ctx->component)
-+              if (bcm2835_codec_create_component(ctx))
-+                      return -EINVAL;
-+
-+      port = get_port_data(ctx, vq->type);
-+
-+      size = q_data->sizeimage;
-+
-+      if (*nplanes)
-+              return sizes[0] < size ? -EINVAL : 0;
-+
-+      *nplanes = 1;
-+
-+      sizes[0] = size;
-+      port->current_buffer.size = size;
-+
-+      if (*nbuffers < port->minimum_buffer.num)
-+              *nbuffers = port->minimum_buffer.num;
-+      /* Add one buffer to take an EOS */
-+      port->current_buffer.num = *nbuffers + 1;
-+
-+      return 0;
-+}
-+
-+static int bcm2835_codec_buf_init(struct vb2_buffer *vb)
-+{
-+      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
-+      struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
-+      struct v4l2_m2m_buffer *m2m = container_of(vb2, struct v4l2_m2m_buffer,
-+                                                 vb);
-+      struct m2m_mmal_buffer *buf = container_of(m2m, struct m2m_mmal_buffer,
-+                                                 m2m);
-+
-+      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: ctx:%p, vb %p\n",
-+               __func__, ctx, vb);
-+      buf->mmal.buffer = vb2_plane_vaddr(&buf->m2m.vb.vb2_buf, 0);
-+      buf->mmal.buffer_size = vb2_plane_size(&buf->m2m.vb.vb2_buf, 0);
-+
-+      mmal_vchi_buffer_init(ctx->dev->instance, &buf->mmal);
-+
-+      return 0;
-+}
-+
-+static int bcm2835_codec_buf_prepare(struct vb2_buffer *vb)
-+{
-+      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
-+      struct bcm2835_codec_q_data *q_data;
-+      struct vb2_v4l2_buffer *vbuf = to_vb2_v4l2_buffer(vb);
-+      struct v4l2_m2m_buffer *m2m = container_of(vbuf, struct v4l2_m2m_buffer,
-+                                                 vb);
-+      struct m2m_mmal_buffer *buf = container_of(m2m, struct m2m_mmal_buffer,
-+                                                 m2m);
-+      int ret;
-+
-+      v4l2_dbg(4, debug, &ctx->dev->v4l2_dev, "%s: type: %d ptr %p\n",
-+               __func__, vb->vb2_queue->type, vb);
-+
-+      q_data = get_q_data(ctx, vb->vb2_queue->type);
-+      if (V4L2_TYPE_IS_OUTPUT(vb->vb2_queue->type)) {
-+              if (vbuf->field == V4L2_FIELD_ANY)
-+                      vbuf->field = V4L2_FIELD_NONE;
-+              if (vbuf->field != V4L2_FIELD_NONE) {
-+                      v4l2_err(&ctx->dev->v4l2_dev, "%s field isn't supported\n",
-+                               __func__);
-+                      return -EINVAL;
-+              }
-+      }
-+
-+      if (vb2_plane_size(vb, 0) < q_data->sizeimage) {
-+              v4l2_err(&ctx->dev->v4l2_dev, "%s data will not fit into plane (%lu < %lu)\n",
-+                       __func__, vb2_plane_size(vb, 0),
-+                       (long)q_data->sizeimage);
-+              return -EINVAL;
-+      }
-+
-+      if (!V4L2_TYPE_IS_OUTPUT(vb->vb2_queue->type))
-+              vb2_set_plane_payload(vb, 0, q_data->sizeimage);
-+
-+      /*
-+       * We want to do this at init, but vb2_core_expbuf checks that the
-+       * index < q->num_buffers, and q->num_buffers only gets updated once
-+       * all the buffers are allocated.
-+       */
-+      if (!buf->mmal.dma_buf) {
-+              ret = vb2_core_expbuf_dmabuf(vb->vb2_queue,
-+                                           vb->vb2_queue->type, vb->index, 0,
-+                                           O_CLOEXEC, &buf->mmal.dma_buf);
-+              if (ret)
-+                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed to expbuf idx %d, ret %d\n",
-+                               __func__, vb->index, ret);
-+      } else {
-+              ret = 0;
-+      }
-+
-+      return ret;
-+}
-+
-+static void bcm2835_codec_buf_queue(struct vb2_buffer *vb)
-+{
-+      struct vb2_v4l2_buffer *vbuf = to_vb2_v4l2_buffer(vb);
-+      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
-+
-+      v4l2_dbg(4, debug, &ctx->dev->v4l2_dev, "%s: type: %d ptr %p vbuf->flags %u, seq %u, bytesused %u\n",
-+               __func__, vb->vb2_queue->type, vb, vbuf->flags, vbuf->sequence,
-+               vb->planes[0].bytesused);
-+      v4l2_m2m_buf_queue(ctx->fh.m2m_ctx, vbuf);
-+}
-+
-+static void bcm2835_codec_buffer_cleanup(struct vb2_buffer *vb)
-+{
-+      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
-+      struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
-+      struct v4l2_m2m_buffer *m2m = container_of(vb2, struct v4l2_m2m_buffer,
-+                                                 vb);
-+      struct m2m_mmal_buffer *buf = container_of(m2m, struct m2m_mmal_buffer,
-+                                                 m2m);
-+
-+      v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: ctx:%p, vb %p\n",
-+               __func__, ctx, vb);
-+
-+      mmal_vchi_buffer_cleanup(&buf->mmal);
-+
-+      if (buf->mmal.dma_buf) {
-+              dma_buf_put(buf->mmal.dma_buf);
-+              buf->mmal.dma_buf = NULL;
-+      }
-+}
-+
-+static int bcm2835_codec_start_streaming(struct vb2_queue *q,
-+                                       unsigned int count)
-+{
-+      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(q);
-+      struct bcm2835_codec_dev *dev = ctx->dev;
-+      struct bcm2835_codec_q_data *q_data = get_q_data(ctx, q->type);
-+      int ret;
-+
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: type: %d count %d\n",
-+               __func__, q->type, count);
-+      q_data->sequence = 0;
-+
-+      if (!ctx->component_enabled) {
-+              ret = vchiq_mmal_component_enable(dev->instance,
-+                                                ctx->component);
-+              if (ret)
-+                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed enabling component, ret %d\n",
-+                               __func__, ret);
-+              ctx->component_enabled = true;
-+      }
-+
-+      if (q->type == V4L2_BUF_TYPE_VIDEO_OUTPUT) {
-+              /*
-+               * Create the EOS buffer.
-+               * We only need the MMAL part, and want to NOT attach a memory
-+               * buffer to it as it should only take flags.
-+               */
-+              memset(&q_data->eos_buffer, 0, sizeof(q_data->eos_buffer));
-+              mmal_vchi_buffer_init(dev->instance,
-+                                    &q_data->eos_buffer.mmal);
-+              q_data->eos_buffer_in_use = false;
-+
-+              ctx->component->input[0].cb_ctx = ctx;
-+              ret = vchiq_mmal_port_enable(dev->instance,
-+                                           &ctx->component->input[0],
-+                                           ip_buffer_cb);
-+              if (ret)
-+                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed enabling i/p port, ret %d\n",
-+                               __func__, ret);
-+      } else {
-+              ctx->component->output[0].cb_ctx = ctx;
-+              ret = vchiq_mmal_port_enable(dev->instance,
-+                                           &ctx->component->output[0],
-+                                           op_buffer_cb);
-+              if (ret)
-+                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed enabling o/p port, ret %d\n",
-+                               __func__, ret);
-+      }
-+      return ret;
-+}
-+
-+static void bcm2835_codec_stop_streaming(struct vb2_queue *q)
-+{
-+      struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(q);
-+      struct bcm2835_codec_dev *dev = ctx->dev;
-+      struct bcm2835_codec_q_data *q_data = get_q_data(ctx, q->type);
-+      struct vchiq_mmal_port *port = get_port_data(ctx, q->type);
-+      struct vb2_v4l2_buffer *vbuf;
-+      struct vb2_v4l2_buffer *vb2;
-+      struct v4l2_m2m_buffer *m2m;
-+      struct m2m_mmal_buffer *buf;
-+      int ret, i;
-+
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: type: %d - return buffers\n",
-+               __func__, q->type);
-+
-+      init_completion(&ctx->frame_cmplt);
-+
-+      /* Clear out all buffers held by m2m framework */
-+      for (;;) {
-+              if (V4L2_TYPE_IS_OUTPUT(q->type))
-+                      vbuf = v4l2_m2m_src_buf_remove(ctx->fh.m2m_ctx);
-+              else
-+                      vbuf = v4l2_m2m_dst_buf_remove(ctx->fh.m2m_ctx);
-+              if (!vbuf)
-+                      break;
-+              v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: return buffer %p\n",
-+                       __func__, vbuf);
-+
-+              v4l2_m2m_buf_done(vbuf, VB2_BUF_STATE_ERROR);
-+      }
-+
-+      /* Disable MMAL port - this will flush buffers back */
-+      ret = vchiq_mmal_port_disable(dev->instance, port);
-+      if (ret)
-+              v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed disabling %s port, ret %d\n",
-+                       __func__, V4L2_TYPE_IS_OUTPUT(q->type) ? "i/p" : "o/p",
-+                       ret);
-+
-+      while (atomic_read(&port->buffers_with_vpu)) {
-+              v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: Waiting for buffers to be returned - %d outstanding\n",
-+                       __func__, atomic_read(&port->buffers_with_vpu));
-+              ret = wait_for_completion_timeout(&ctx->frame_cmplt, HZ);
-+              if (ret <= 0) {
-+                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Timeout waiting for buffers to be returned - %d outstanding\n",
-+                               __func__,
-+                               atomic_read(&port->buffers_with_vpu));
-+                      break;
-+              }
-+      }
-+
-+      /*
-+       * Release the VCSM handle here as otherwise REQBUFS(0) aborts because
-+       * someone is using the dmabuf before giving the driver a chance to do
-+       * anything about it.
-+       */
-+      for (i = 0; i < q->num_buffers; i++) {
-+              vb2 = to_vb2_v4l2_buffer(q->bufs[i]);
-+              m2m = container_of(vb2, struct v4l2_m2m_buffer, vb);
-+              buf = container_of(m2m, struct m2m_mmal_buffer, m2m);
-+
-+              mmal_vchi_buffer_cleanup(&buf->mmal);
-+              if (buf->mmal.dma_buf) {
-+                      dma_buf_put(buf->mmal.dma_buf);
-+                      buf->mmal.dma_buf = NULL;
-+              }
-+      }
-+
-+      /* If both ports disabled, then disable the component */
-+      if (!ctx->component->input[0].enabled &&
-+          !ctx->component->output[0].enabled) {
-+              ret = vchiq_mmal_component_disable(dev->instance,
-+                                                 ctx->component);
-+              if (ret)
-+                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed enabling component, ret %d\n",
-+                               __func__, ret);
-+      }
-+
-+      if (V4L2_TYPE_IS_OUTPUT(q->type))
-+              mmal_vchi_buffer_cleanup(&q_data->eos_buffer.mmal);
-+
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: done\n", __func__);
-+}
-+
-+static const struct vb2_ops bcm2835_codec_qops = {
-+      .queue_setup     = bcm2835_codec_queue_setup,
-+      .buf_init        = bcm2835_codec_buf_init,
-+      .buf_prepare     = bcm2835_codec_buf_prepare,
-+      .buf_queue       = bcm2835_codec_buf_queue,
-+      .buf_cleanup     = bcm2835_codec_buffer_cleanup,
-+      .start_streaming = bcm2835_codec_start_streaming,
-+      .stop_streaming  = bcm2835_codec_stop_streaming,
-+      .wait_prepare    = vb2_ops_wait_prepare,
-+      .wait_finish     = vb2_ops_wait_finish,
-+};
-+
-+static int queue_init(void *priv, struct vb2_queue *src_vq,
-+                    struct vb2_queue *dst_vq)
-+{
-+      struct bcm2835_codec_ctx *ctx = priv;
-+      int ret;
-+
-+      src_vq->type = V4L2_BUF_TYPE_VIDEO_OUTPUT;
-+      src_vq->io_modes = VB2_MMAP | VB2_DMABUF;
-+      src_vq->drv_priv = ctx;
-+      src_vq->buf_struct_size = sizeof(struct m2m_mmal_buffer);
-+      src_vq->ops = &bcm2835_codec_qops;
-+      src_vq->mem_ops = &vb2_dma_contig_memops;
-+      src_vq->dev = &ctx->dev->pdev->dev;
-+      src_vq->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_COPY;
-+      src_vq->lock = &ctx->dev->dev_mutex;
-+
-+      ret = vb2_queue_init(src_vq);
-+      if (ret)
-+              return ret;
-+
-+      dst_vq->type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
-+      dst_vq->io_modes = VB2_MMAP | VB2_DMABUF;
-+      dst_vq->drv_priv = ctx;
-+      dst_vq->buf_struct_size = sizeof(struct m2m_mmal_buffer);
-+      dst_vq->ops = &bcm2835_codec_qops;
-+      dst_vq->mem_ops = &vb2_dma_contig_memops;
-+      dst_vq->dev = &ctx->dev->pdev->dev;
-+      dst_vq->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_COPY;
-+      dst_vq->lock = &ctx->dev->dev_mutex;
-+
-+      return vb2_queue_init(dst_vq);
-+}
-+
-+/*
-+ * File operations
-+ */
-+static int bcm2835_codec_open(struct file *file)
-+{
-+      struct bcm2835_codec_dev *dev = video_drvdata(file);
-+      struct bcm2835_codec_ctx *ctx = NULL;
-+      struct v4l2_ctrl_handler *hdl;
-+      int rc = 0;
-+
-+      v4l2_dbg(1, debug, &dev->v4l2_dev, "Creating instance for %s\n",
-+               dev->decode ? "decode" : "encode");
-+      if (mutex_lock_interruptible(&dev->dev_mutex)) {
-+              v4l2_err(&dev->v4l2_dev, "Mutex fail\n");
-+              return -ERESTARTSYS;
-+      }
-+      ctx = kzalloc(sizeof(*ctx), GFP_KERNEL);
-+      if (!ctx) {
-+              rc = -ENOMEM;
-+              goto open_unlock;
-+      }
-+
-+      ctx->q_data[V4L2_M2M_SRC].fmt = get_default_format(dev->decode, false);
-+      ctx->q_data[V4L2_M2M_DST].fmt = get_default_format(dev->decode, true);
-+      if (dev->decode) {
-+              /*
-+               * Input width and height are irrelevant as they will be defined
-+               * by the bitstream not the format. Required by V4L2 though.
-+               */
-+              ctx->q_data[V4L2_M2M_SRC].crop_width = DEFAULT_WIDTH;
-+              ctx->q_data[V4L2_M2M_SRC].crop_height = DEFAULT_HEIGHT;
-+              ctx->q_data[V4L2_M2M_SRC].height = DEFAULT_HEIGHT;
-+              ctx->q_data[V4L2_M2M_SRC].bytesperline = 0;
-+              ctx->q_data[V4L2_M2M_SRC].sizeimage =
-+                                              DEF_COMP_BUF_SIZE_720P_OR_LESS;
-+
-+              ctx->q_data[V4L2_M2M_DST].crop_width = DEFAULT_WIDTH;
-+              ctx->q_data[V4L2_M2M_DST].crop_height = DEFAULT_HEIGHT;
-+              ctx->q_data[V4L2_M2M_DST].height = DEFAULT_HEIGHT;
-+              ctx->q_data[V4L2_M2M_DST].bytesperline =
-+                              get_bytesperline(DEFAULT_WIDTH,
-+                                               ctx->q_data[V4L2_M2M_DST].fmt);
-+              ctx->q_data[V4L2_M2M_DST].sizeimage =
-+                      get_sizeimage(ctx->q_data[V4L2_M2M_DST].bytesperline,
-+                                    ctx->q_data[V4L2_M2M_DST].height,
-+                                    ctx->q_data[V4L2_M2M_DST].fmt);
-+      } else {
-+              ctx->q_data[V4L2_M2M_SRC].crop_width = DEFAULT_WIDTH;
-+              ctx->q_data[V4L2_M2M_SRC].crop_height = DEFAULT_HEIGHT;
-+              ctx->q_data[V4L2_M2M_SRC].height = DEFAULT_HEIGHT;
-+              ctx->q_data[V4L2_M2M_SRC].bytesperline =
-+                              get_bytesperline(DEFAULT_WIDTH,
-+                                               ctx->q_data[V4L2_M2M_SRC].fmt);
-+              ctx->q_data[V4L2_M2M_SRC].sizeimage =
-+                      get_sizeimage(ctx->q_data[V4L2_M2M_SRC].bytesperline,
-+                                    ctx->q_data[V4L2_M2M_SRC].height,
-+                                    ctx->q_data[V4L2_M2M_SRC].fmt);
-+
-+              ctx->q_data[V4L2_M2M_DST].crop_width = DEFAULT_WIDTH;
-+              ctx->q_data[V4L2_M2M_DST].crop_height = DEFAULT_HEIGHT;
-+              ctx->q_data[V4L2_M2M_DST].bytesperline = 0;
-+              ctx->q_data[V4L2_M2M_DST].height = DEFAULT_HEIGHT;
-+              ctx->q_data[V4L2_M2M_DST].sizeimage =
-+                                              DEF_COMP_BUF_SIZE_720P_OR_LESS;
-+      }
-+
-+      ctx->colorspace = V4L2_COLORSPACE_REC709;
-+      ctx->bitrate = 10 * 1000 * 1000;
-+
-+      /* Initialise V4L2 contexts */
-+      v4l2_fh_init(&ctx->fh, video_devdata(file));
-+      file->private_data = &ctx->fh;
-+      ctx->dev = dev;
-+      hdl = &ctx->hdl;
-+      if (!dev->decode) {
-+              /* Encode controls */
-+              v4l2_ctrl_handler_init(hdl, 6);
-+
-+              v4l2_ctrl_new_std_menu(hdl, &bcm2835_codec_ctrl_ops,
-+                                     V4L2_CID_MPEG_VIDEO_BITRATE_MODE,
-+                                     V4L2_MPEG_VIDEO_BITRATE_MODE_CBR, 0,
-+                                     V4L2_MPEG_VIDEO_BITRATE_MODE_VBR);
-+              v4l2_ctrl_new_std(hdl, &bcm2835_codec_ctrl_ops,
-+                                V4L2_CID_MPEG_VIDEO_BITRATE,
-+                                25 * 1000, 25 * 1000 * 1000,
-+                                25 * 1000, 10 * 1000 * 1000);
-+              v4l2_ctrl_new_std(hdl, &bcm2835_codec_ctrl_ops,
-+                                V4L2_CID_MPEG_VIDEO_REPEAT_SEQ_HEADER,
-+                                0, 1,
-+                                1, 0);
-+              v4l2_ctrl_new_std(hdl, &bcm2835_codec_ctrl_ops,
-+                                V4L2_CID_MPEG_VIDEO_H264_I_PERIOD,
-+                                0, 0x7FFFFFFF,
-+                                1, 60);
-+              v4l2_ctrl_new_std_menu(hdl, &bcm2835_codec_ctrl_ops,
-+                                     V4L2_CID_MPEG_VIDEO_H264_LEVEL,
-+                                     V4L2_MPEG_VIDEO_H264_LEVEL_4_2,
-+                                     ~(BIT(V4L2_MPEG_VIDEO_H264_LEVEL_1_0) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_1B) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_1_1) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_1_2) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_1_3) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_2_0) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_2_1) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_2_2) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_3_0) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_3_1) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_3_2) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_4_0) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_4_1) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_LEVEL_4_2)),
-+                                     V4L2_MPEG_VIDEO_H264_LEVEL_4_0);
-+              v4l2_ctrl_new_std_menu(hdl, &bcm2835_codec_ctrl_ops,
-+                                     V4L2_CID_MPEG_VIDEO_H264_PROFILE,
-+                                     V4L2_MPEG_VIDEO_H264_PROFILE_HIGH,
-+                                     ~(BIT(V4L2_MPEG_VIDEO_H264_PROFILE_BASELINE) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_PROFILE_CONSTRAINED_BASELINE) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_PROFILE_MAIN) |
-+                                       BIT(V4L2_MPEG_VIDEO_H264_PROFILE_HIGH)),
-+                                      V4L2_MPEG_VIDEO_H264_PROFILE_HIGH);
-+              if (hdl->error) {
-+                      rc = hdl->error;
-+                      goto free_ctrl_handler;
-+              }
-+              ctx->fh.ctrl_handler = hdl;
-+              v4l2_ctrl_handler_setup(hdl);
-+      }
-+
-+      ctx->fh.m2m_ctx = v4l2_m2m_ctx_init(dev->m2m_dev, ctx, &queue_init);
-+
-+      if (IS_ERR(ctx->fh.m2m_ctx)) {
-+              rc = PTR_ERR(ctx->fh.m2m_ctx);
-+
-+              goto free_ctrl_handler;
-+      }
-+
-+      /* Set both queues as buffered as we have buffering in the VPU. That
-+       * means that we will be scheduled whenever either an input or output
-+       * buffer is available (otherwise one of each are required).
-+       */
-+      v4l2_m2m_set_src_buffered(ctx->fh.m2m_ctx, true);
-+      v4l2_m2m_set_dst_buffered(ctx->fh.m2m_ctx, true);
-+
-+      v4l2_fh_add(&ctx->fh);
-+      atomic_inc(&dev->num_inst);
-+
-+      mutex_unlock(&dev->dev_mutex);
-+      return 0;
-+
-+free_ctrl_handler:
-+      v4l2_ctrl_handler_free(hdl);
-+      kfree(ctx);
-+open_unlock:
-+      mutex_unlock(&dev->dev_mutex);
-+      return rc;
-+}
-+
-+static int bcm2835_codec_release(struct file *file)
-+{
-+      struct bcm2835_codec_dev *dev = video_drvdata(file);
-+      struct bcm2835_codec_ctx *ctx = file2ctx(file);
-+
-+      v4l2_dbg(1, debug, &dev->v4l2_dev, "%s: Releasing instance %p\n",
-+               __func__, ctx);
-+
-+      v4l2_fh_del(&ctx->fh);
-+      v4l2_fh_exit(&ctx->fh);
-+      v4l2_ctrl_handler_free(&ctx->hdl);
-+      mutex_lock(&dev->dev_mutex);
-+      v4l2_m2m_ctx_release(ctx->fh.m2m_ctx);
-+
-+      if (ctx->component)
-+              vchiq_mmal_component_finalise(dev->instance, ctx->component);
-+
-+      mutex_unlock(&dev->dev_mutex);
-+      kfree(ctx);
-+
-+      atomic_dec(&dev->num_inst);
-+
-+      return 0;
-+}
-+
-+static const struct v4l2_file_operations bcm2835_codec_fops = {
-+      .owner          = THIS_MODULE,
-+      .open           = bcm2835_codec_open,
-+      .release        = bcm2835_codec_release,
-+      .poll           = v4l2_m2m_fop_poll,
-+      .unlocked_ioctl = video_ioctl2,
-+      .mmap           = v4l2_m2m_fop_mmap,
-+};
-+
-+static const struct video_device bcm2835_codec_videodev = {
-+      .name           = MEM2MEM_NAME,
-+      .vfl_dir        = VFL_DIR_M2M,
-+      .fops           = &bcm2835_codec_fops,
-+      .ioctl_ops      = &bcm2835_codec_ioctl_ops,
-+      .minor          = -1,
-+      .release        = video_device_release_empty,
-+};
-+
-+static const struct v4l2_m2m_ops m2m_ops = {
-+      .device_run     = device_run,
-+      .job_ready      = job_ready,
-+      .job_abort      = job_abort,
-+};
-+
-+static int bcm2835_codec_create(struct platform_device *pdev,
-+                              struct bcm2835_codec_dev **new_dev,
-+                              bool decode)
-+{
-+      struct bcm2835_codec_dev *dev;
-+      struct video_device *vfd;
-+      struct vchiq_mmal_instance *instance = NULL;
-+      int video_nr;
-+      int ret;
-+
-+      dev = devm_kzalloc(&pdev->dev, sizeof(*dev), GFP_KERNEL);
-+      if (!dev)
-+              return -ENOMEM;
-+
-+      dev->pdev = pdev;
-+
-+      dev->decode = decode;
-+
-+      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
-+      if (ret)
-+              return ret;
-+
-+      atomic_set(&dev->num_inst, 0);
-+      mutex_init(&dev->dev_mutex);
-+
-+      dev->vfd = bcm2835_codec_videodev;
-+      vfd = &dev->vfd;
-+      vfd->lock = &dev->dev_mutex;
-+      vfd->v4l2_dev = &dev->v4l2_dev;
-+
-+      if (dev->decode) {
-+              v4l2_disable_ioctl(vfd, VIDIOC_ENCODER_CMD);
-+              v4l2_disable_ioctl(vfd, VIDIOC_TRY_ENCODER_CMD);
-+              video_nr = decode_video_nr;
-+      } else {
-+              v4l2_disable_ioctl(vfd, VIDIOC_DECODER_CMD);
-+              v4l2_disable_ioctl(vfd, VIDIOC_TRY_DECODER_CMD);
-+              video_nr = encode_video_nr;
-+      }
-+
-+      ret = video_register_device(vfd, VFL_TYPE_GRABBER, video_nr);
-+      if (ret) {
-+              v4l2_err(&dev->v4l2_dev, "Failed to register video device\n");
-+              goto unreg_dev;
-+      }
-+
-+      video_set_drvdata(vfd, dev);
-+      snprintf(vfd->name, sizeof(vfd->name), "%s",
-+               bcm2835_codec_videodev.name);
-+      v4l2_info(&dev->v4l2_dev, "Device registered as /dev/video%d\n",
-+                vfd->num);
-+
-+      *new_dev = dev;
-+
-+      dev->m2m_dev = v4l2_m2m_init(&m2m_ops);
-+      if (IS_ERR(dev->m2m_dev)) {
-+              v4l2_err(&dev->v4l2_dev, "Failed to init mem2mem device\n");
-+              ret = PTR_ERR(dev->m2m_dev);
-+              goto err_m2m;
-+      }
-+
-+      ret = vchiq_mmal_init(&instance);
-+      if (ret < 0)
-+              goto err_m2m;
-+      dev->instance = instance;
-+
-+      v4l2_info(&dev->v4l2_dev, "Loaded V4L2 %s codec\n",
-+                dev->decode ? "decode" : "encode");
-+      return 0;
-+
-+err_m2m:
-+      v4l2_m2m_release(dev->m2m_dev);
-+      video_unregister_device(&dev->vfd);
-+unreg_dev:
-+      v4l2_device_unregister(&dev->v4l2_dev);
-+
-+      return ret;
-+}
-+
-+static int bcm2835_codec_destroy(struct bcm2835_codec_dev *dev)
-+{
-+      if (!dev)
-+              return -ENODEV;
-+
-+      v4l2_info(&dev->v4l2_dev, "Removing " MEM2MEM_NAME);
-+      v4l2_m2m_release(dev->m2m_dev);
-+      video_unregister_device(&dev->vfd);
-+      v4l2_device_unregister(&dev->v4l2_dev);
-+
-+      return 0;
-+}
-+
-+static int bcm2835_codec_probe(struct platform_device *pdev)
-+{
-+      struct bcm2835_codec_driver *drv;
-+      int ret = 0;
-+
-+      drv = devm_kzalloc(&pdev->dev, sizeof(*drv), GFP_KERNEL);
-+      if (!drv)
-+              return -ENOMEM;
-+
-+      ret = bcm2835_codec_create(pdev, &drv->encode, false);
-+      if (ret)
-+              goto out;
-+
-+      ret = bcm2835_codec_create(pdev, &drv->decode, true);
-+      if (ret)
-+              goto out;
-+
-+      platform_set_drvdata(pdev, drv);
-+
-+      return 0;
-+
-+out:
-+      if (drv->encode) {
-+              bcm2835_codec_destroy(drv->encode);
-+              drv->encode = NULL;
-+      }
-+      return ret;
-+}
-+
-+static int bcm2835_codec_remove(struct platform_device *pdev)
-+{
-+      struct bcm2835_codec_driver *drv = platform_get_drvdata(pdev);
-+
-+      bcm2835_codec_destroy(drv->encode);
-+
-+      bcm2835_codec_destroy(drv->decode);
-+
-+      return 0;
-+}
-+
-+static struct platform_driver bcm2835_v4l2_codec_driver = {
-+      .probe = bcm2835_codec_probe,
-+      .remove = bcm2835_codec_remove,
-+      .driver = {
-+                 .name = "bcm2835-codec",
-+                 .owner = THIS_MODULE,
-+                 },
-+};
-+
-+module_platform_driver(bcm2835_v4l2_codec_driver);
-+
-+MODULE_DESCRIPTION("BCM2835 codec V4L2 driver");
-+MODULE_AUTHOR("Dave Stevenson, <dave.stevenson@raspberrypi.org>");
-+MODULE_LICENSE("GPL");
-+MODULE_VERSION("0.0.1");
-+MODULE_ALIAS("platform:bcm2835-codec");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0178-media-ov5647-Use-gpiod_set_value_cansleep.patch b/target/linux/bcm27xx/patches-5.4/950-0178-media-ov5647-Use-gpiod_set_value_cansleep.patch
new file mode 100644 (file)
index 0000000..d141349
--- /dev/null
@@ -0,0 +1,54 @@
+From fa219a511fe98237d5126d1e2b5181df5070a179 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 18 Sep 2018 11:08:51 +0100
+Subject: [PATCH] media: ov5647: Use gpiod_set_value_cansleep
+
+All calls to the gpio library are in contexts that can sleep,
+therefore there is no issue with having those GPIOs controlled
+by controllers which require sleeping (eg I2C GPIO expanders).
+
+Switch to using gpiod_set_value_cansleep instead of gpiod_set_value
+to avoid triggering the warning in gpiolib should the GPIO
+controller need to sleep.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/media/i2c/ov5647.c | 8 ++++----
+ 1 file changed, 4 insertions(+), 4 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -373,7 +373,7 @@ static int ov5647_sensor_power(struct v4
+               dev_dbg(&client->dev, "OV5647 power on\n");
+               if (ov5647->pwdn) {
+-                      gpiod_set_value(ov5647->pwdn, 0);
++                      gpiod_set_value_cansleep(ov5647->pwdn, 0);
+                       msleep(PWDN_ACTIVE_DELAY_MS);
+               }
+@@ -415,7 +415,7 @@ static int ov5647_sensor_power(struct v4
+               clk_disable_unprepare(ov5647->xclk);
+-              gpiod_set_value(ov5647->pwdn, 1);
++              gpiod_set_value_cansleep(ov5647->pwdn, 1);
+       }
+       /* Update the power count. */
+@@ -648,13 +648,13 @@ static int ov5647_probe(struct i2c_clien
+               goto mutex_remove;
+       if (sensor->pwdn) {
+-              gpiod_set_value(sensor->pwdn, 0);
++              gpiod_set_value_cansleep(sensor->pwdn, 0);
+               msleep(PWDN_ACTIVE_DELAY_MS);
+       }
+       ret = ov5647_detect(sd);
+-      gpiod_set_value(sensor->pwdn, 1);
++      gpiod_set_value_cansleep(sensor->pwdn, 1);
+       if (ret < 0)
+               goto error;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0178-staging-mmal-vchiq-Fix-client_component-for-64-bit-k.patch b/target/linux/bcm27xx/patches-5.4/950-0178-staging-mmal-vchiq-Fix-client_component-for-64-bit-k.patch
deleted file mode 100644 (file)
index 5c64238..0000000
+++ /dev/null
@@ -1,68 +0,0 @@
-From 50df0b1532cf88e2ec152caa2cf89af0d0646b4a Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 22 Jan 2019 12:04:09 +0000
-Subject: [PATCH] staging: mmal-vchiq: Fix client_component for 64 bit
- kernel
-
-The MMAL client_component field is used with the event
-mechanism to allow the client to identify the component for
-which the event is generated.
-The field is only 32bits in size, therefore we can't use a
-pointer to the component in a 64 bit kernel.
-
-Component handles are already held in an array per VCHI
-instance, so use the array index as the client_component handle
-to avoid having to create a new IDR for this purpose.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../staging/vc04_services/vchiq-mmal/mmal-vchiq.c    | 12 +++++++++---
- .../staging/vc04_services/vchiq-mmal/mmal-vchiq.h    |  1 +
- 2 files changed, 10 insertions(+), 3 deletions(-)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -472,9 +472,9 @@ buffer_from_host(struct vchiq_mmal_insta
- static void event_to_host_cb(struct vchiq_mmal_instance *instance,
-                            struct mmal_msg *msg, u32 msg_len)
- {
--      /* FIXME: Not going to work on 64 bit */
-+      int comp_idx = msg->u.event_to_host.client_component;
-       struct vchiq_mmal_component *component =
--              (struct vchiq_mmal_component *)msg->u.event_to_host.client_component;
-+                                      &instance->component[comp_idx];
-       struct vchiq_mmal_port *port = NULL;
-       struct mmal_msg_context *msg_context;
-       u32 port_num = msg->u.event_to_host.port_num;
-@@ -1073,7 +1073,7 @@ static int create_component(struct vchiq
-       /* build component create message */
-       m.h.type = MMAL_MSG_TYPE_COMPONENT_CREATE;
--      m.u.component_create.client_component = (u32)(unsigned long)component;
-+      m.u.component_create.client_component = component->client_component;
-       strncpy(m.u.component_create.name, name,
-               sizeof(m.u.component_create.name));
-@@ -1868,6 +1868,12 @@ int vchiq_mmal_component_init(struct vch
-               goto unlock;
-       }
-+      /* We need a handle to reference back to our component structure.
-+       * Use the array index in instance->component rather than rolling
-+       * another IDR.
-+       */
-+      component->client_component = idx;
-+
-       ret = create_component(instance, component, name);
-       if (ret < 0) {
-               pr_err("%s: failed to create component %d (Not enough GPU mem?)\n",
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-@@ -97,6 +97,7 @@ struct vchiq_mmal_component {
-       struct vchiq_mmal_port input[MAX_PORT_COUNT]; /* input ports */
-       struct vchiq_mmal_port output[MAX_PORT_COUNT]; /* output ports */
-       struct vchiq_mmal_port clock[MAX_PORT_COUNT]; /* clock ports */
-+      u32 client_component;   /* Used to ref back to client struct */
- };
- int vchiq_mmal_init(struct vchiq_mmal_instance **out_instance);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0179-clk-clk-bcm2835-Use-zd-when-printing-size_t.patch b/target/linux/bcm27xx/patches-5.4/950-0179-clk-clk-bcm2835-Use-zd-when-printing-size_t.patch
deleted file mode 100644 (file)
index c8acb1a..0000000
+++ /dev/null
@@ -1,24 +0,0 @@
-From 608b88a72331d8c3d6561a6a25a2955d211b9c70 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 24 Jan 2019 15:09:28 +0000
-Subject: [PATCH] clk: clk-bcm2835: Use %zd when printing size_t
-
-The debug text for how many clocks have been registered
-uses "%d" with a size_t. Correct it to "%zd".
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/clk/bcm/clk-bcm2835.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/clk/bcm/clk-bcm2835.c
-+++ b/drivers/clk/bcm/clk-bcm2835.c
-@@ -2354,7 +2354,7 @@ static int bcm2835_clk_probe(struct plat
-               return ret;
-       /* note that we have registered all the clocks */
--      dev_dbg(dev, "registered %d clocks\n", asize);
-+      dev_dbg(dev, "registered %zd clocks\n", asize);
-       return 0;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0179-staging-bcm2835-codec-variable-vb2-may-be-used-unini.patch b/target/linux/bcm27xx/patches-5.4/950-0179-staging-bcm2835-codec-variable-vb2-may-be-used-unini.patch
new file mode 100644 (file)
index 0000000..ecb867b
--- /dev/null
@@ -0,0 +1,37 @@
+From ecf1a0cc8481cbfa9c118349930a58ce36605c38 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 24 Jan 2019 16:40:01 +0000
+Subject: [PATCH] staging: bcm2835-codec: variable vb2 may be used
+ uninitialised
+
+In op_buffer_cb, the failure path checked whether there was
+an associated vb2 buffer before the variable vb2 had been
+assigned.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c        | 6 +++---
+ 1 file changed, 3 insertions(+), 3 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -634,6 +634,9 @@ static void op_buffer_cb(struct vchiq_mm
+                __func__, status, mmal_buf, mmal_buf->length,
+                mmal_buf->mmal_flags, mmal_buf->pts);
++      buf = container_of(mmal_buf, struct m2m_mmal_buffer, mmal);
++      vb2 = &buf->m2m.vb;
++
+       if (status) {
+               /* error in transfer */
+               if (vb2) {
+@@ -658,9 +661,6 @@ static void op_buffer_cb(struct vchiq_mm
+               return;
+       }
+-      buf = container_of(mmal_buf, struct m2m_mmal_buffer, mmal);
+-      vb2 = &buf->m2m.vb;
+-
+       v4l2_dbg(3, debug, &ctx->dev->v4l2_dev, "%s: length %lu, flags %x, idx %u\n",
+                __func__, mmal_buf->length, mmal_buf->mmal_flags,
+                vb2->vb2_buf.index);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0180-media-ov5647-Use-gpiod_set_value_cansleep.patch b/target/linux/bcm27xx/patches-5.4/950-0180-media-ov5647-Use-gpiod_set_value_cansleep.patch
deleted file mode 100644 (file)
index d141349..0000000
+++ /dev/null
@@ -1,54 +0,0 @@
-From fa219a511fe98237d5126d1e2b5181df5070a179 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 18 Sep 2018 11:08:51 +0100
-Subject: [PATCH] media: ov5647: Use gpiod_set_value_cansleep
-
-All calls to the gpio library are in contexts that can sleep,
-therefore there is no issue with having those GPIOs controlled
-by controllers which require sleeping (eg I2C GPIO expanders).
-
-Switch to using gpiod_set_value_cansleep instead of gpiod_set_value
-to avoid triggering the warning in gpiolib should the GPIO
-controller need to sleep.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/media/i2c/ov5647.c | 8 ++++----
- 1 file changed, 4 insertions(+), 4 deletions(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -373,7 +373,7 @@ static int ov5647_sensor_power(struct v4
-               dev_dbg(&client->dev, "OV5647 power on\n");
-               if (ov5647->pwdn) {
--                      gpiod_set_value(ov5647->pwdn, 0);
-+                      gpiod_set_value_cansleep(ov5647->pwdn, 0);
-                       msleep(PWDN_ACTIVE_DELAY_MS);
-               }
-@@ -415,7 +415,7 @@ static int ov5647_sensor_power(struct v4
-               clk_disable_unprepare(ov5647->xclk);
--              gpiod_set_value(ov5647->pwdn, 1);
-+              gpiod_set_value_cansleep(ov5647->pwdn, 1);
-       }
-       /* Update the power count. */
-@@ -648,13 +648,13 @@ static int ov5647_probe(struct i2c_clien
-               goto mutex_remove;
-       if (sensor->pwdn) {
--              gpiod_set_value(sensor->pwdn, 0);
-+              gpiod_set_value_cansleep(sensor->pwdn, 0);
-               msleep(PWDN_ACTIVE_DELAY_MS);
-       }
-       ret = ov5647_detect(sd);
--      gpiod_set_value(sensor->pwdn, 1);
-+      gpiod_set_value_cansleep(sensor->pwdn, 1);
-       if (ret < 0)
-               goto error;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0180-staging-bcm2835-codec-Fix-potentially-uninitialised-.patch b/target/linux/bcm27xx/patches-5.4/950-0180-staging-bcm2835-codec-Fix-potentially-uninitialised-.patch
new file mode 100644 (file)
index 0000000..bfda5a4
--- /dev/null
@@ -0,0 +1,25 @@
+From 506734dcc9d76e522d8afbac1d93f61369ed82f9 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 24 Jan 2019 16:36:19 +0000
+Subject: [PATCH] staging: bcm2835-codec: Fix potentially uninitialised
+ vars
+
+src_m2m_buf and dst_m2m_buf were printed in log messages
+when there are code paths that don't initialise them.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c    | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -743,7 +743,7 @@ static void device_run(void *priv)
+       struct bcm2835_codec_ctx *ctx = priv;
+       struct bcm2835_codec_dev *dev = ctx->dev;
+       struct vb2_v4l2_buffer *src_buf, *dst_buf;
+-      struct m2m_mmal_buffer *src_m2m_buf, *dst_m2m_buf;
++      struct m2m_mmal_buffer *src_m2m_buf = NULL, *dst_m2m_buf = NULL;
+       struct v4l2_m2m_buffer *m2m;
+       int ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0181-staging-bcm2835-codec-variable-vb2-may-be-used-unini.patch b/target/linux/bcm27xx/patches-5.4/950-0181-staging-bcm2835-codec-variable-vb2-may-be-used-unini.patch
deleted file mode 100644 (file)
index ecb867b..0000000
+++ /dev/null
@@ -1,37 +0,0 @@
-From ecf1a0cc8481cbfa9c118349930a58ce36605c38 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 24 Jan 2019 16:40:01 +0000
-Subject: [PATCH] staging: bcm2835-codec: variable vb2 may be used
- uninitialised
-
-In op_buffer_cb, the failure path checked whether there was
-an associated vb2 buffer before the variable vb2 had been
-assigned.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c        | 6 +++---
- 1 file changed, 3 insertions(+), 3 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -634,6 +634,9 @@ static void op_buffer_cb(struct vchiq_mm
-                __func__, status, mmal_buf, mmal_buf->length,
-                mmal_buf->mmal_flags, mmal_buf->pts);
-+      buf = container_of(mmal_buf, struct m2m_mmal_buffer, mmal);
-+      vb2 = &buf->m2m.vb;
-+
-       if (status) {
-               /* error in transfer */
-               if (vb2) {
-@@ -658,9 +661,6 @@ static void op_buffer_cb(struct vchiq_mm
-               return;
-       }
--      buf = container_of(mmal_buf, struct m2m_mmal_buffer, mmal);
--      vb2 = &buf->m2m.vb;
--
-       v4l2_dbg(3, debug, &ctx->dev->v4l2_dev, "%s: length %lu, flags %x, idx %u\n",
-                __func__, mmal_buf->length, mmal_buf->mmal_flags,
-                vb2->vb2_buf.index);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0181-staging-mmal_vchiq-Add-in-the-Bayer-encoding-formats.patch b/target/linux/bcm27xx/patches-5.4/950-0181-staging-mmal_vchiq-Add-in-the-Bayer-encoding-formats.patch
new file mode 100644 (file)
index 0000000..715061a
--- /dev/null
@@ -0,0 +1,51 @@
+From daf563329faf04563ea625a6647b5bf58933bb32 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 13 Feb 2019 12:33:29 +0000
+Subject: [PATCH] staging: mmal_vchiq: Add in the Bayer encoding
+ formats
+
+The list of formats was copied before Bayer support was added.
+The ISP supports Bayer and is being supported by the bcm2835_codec
+driver, so add in the encodings for them.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../vc04_services/vchiq-mmal/mmal-encodings.h | 27 +++++++++++++++++++
+ 1 file changed, 27 insertions(+)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
+@@ -69,6 +69,33 @@
+  */
+ #define MMAL_ENCODING_OPAQUE           MMAL_FOURCC('O', 'P', 'Q', 'V')
++/* Bayer formats
++ * FourCC values copied from V4L2 where defined.
++ */
++/* 8 bit per pixel Bayer formats. */
++#define MMAL_ENCODING_BAYER_SBGGR8     MMAL_FOURCC('B', 'A', '8', '1')
++#define MMAL_ENCODING_BAYER_SGBRG8     MMAL_FOURCC('G', 'B', 'R', 'G')
++#define MMAL_ENCODING_BAYER_SGRBG8     MMAL_FOURCC('G', 'R', 'B', 'G')
++#define MMAL_ENCODING_BAYER_SRGGB8     MMAL_FOURCC('R', 'G', 'G', 'B')
++
++/* 10 bit per pixel packed Bayer formats. */
++#define MMAL_ENCODING_BAYER_SBGGR10P   MMAL_FOURCC('p', 'B', 'A', 'A')
++#define MMAL_ENCODING_BAYER_SGRBG10P   MMAL_FOURCC('p', 'g', 'A', 'A')
++#define MMAL_ENCODING_BAYER_SGBRG10P   MMAL_FOURCC('p', 'G', 'A', 'A')
++#define MMAL_ENCODING_BAYER_SRGGB10P   MMAL_FOURCC('p', 'R', 'A', 'A')
++
++/* 12 bit per pixel packed Bayer formats. */
++#define MMAL_ENCODING_BAYER_SBGGR12P   MMAL_FOURCC('p', 'B', '1', '2')
++#define MMAL_ENCODING_BAYER_SGRBG12P   MMAL_FOURCC('p', 'g', '1', '2')
++#define MMAL_ENCODING_BAYER_SGBRG12P   MMAL_FOURCC('p', 'G', '1', '2')
++#define MMAL_ENCODING_BAYER_SRGGB12P   MMAL_FOURCC('p', 'R', '1', '2')
++
++/* 16 bit per pixel Bayer formats. */
++#define MMAL_ENCODING_BAYER_SBGGR16    MMAL_FOURCC('B', 'G', '1', '6')
++#define MMAL_ENCODING_BAYER_SGBRG16    MMAL_FOURCC('G', 'B', '1', '6')
++#define MMAL_ENCODING_BAYER_SGRBG16    MMAL_FOURCC('G', 'R', '1', '6')
++#define MMAL_ENCODING_BAYER_SRGGB16    MMAL_FOURCC('R', 'G', '1', '6')
++
+ /** An EGL image handle
+  */
+ #define MMAL_ENCODING_EGL_IMAGE        MMAL_FOURCC('E', 'G', 'L', 'I')
diff --git a/target/linux/bcm27xx/patches-5.4/950-0182-staging-bcm2835-codec-Fix-potentially-uninitialised-.patch b/target/linux/bcm27xx/patches-5.4/950-0182-staging-bcm2835-codec-Fix-potentially-uninitialised-.patch
deleted file mode 100644 (file)
index bfda5a4..0000000
+++ /dev/null
@@ -1,25 +0,0 @@
-From 506734dcc9d76e522d8afbac1d93f61369ed82f9 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 24 Jan 2019 16:36:19 +0000
-Subject: [PATCH] staging: bcm2835-codec: Fix potentially uninitialised
- vars
-
-src_m2m_buf and dst_m2m_buf were printed in log messages
-when there are code paths that don't initialise them.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c    | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -743,7 +743,7 @@ static void device_run(void *priv)
-       struct bcm2835_codec_ctx *ctx = priv;
-       struct bcm2835_codec_dev *dev = ctx->dev;
-       struct vb2_v4l2_buffer *src_buf, *dst_buf;
--      struct m2m_mmal_buffer *src_m2m_buf, *dst_m2m_buf;
-+      struct m2m_mmal_buffer *src_m2m_buf = NULL, *dst_m2m_buf = NULL;
-       struct v4l2_m2m_buffer *m2m;
-       int ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0182-staging-mmal-vchiq-Always-return-the-param-size-from.patch b/target/linux/bcm27xx/patches-5.4/950-0182-staging-mmal-vchiq-Always-return-the-param-size-from.patch
new file mode 100644 (file)
index 0000000..a8c8aeb
--- /dev/null
@@ -0,0 +1,38 @@
+From 2e2b65c1c67b7a918fc381c25f8d5e3f2be2295c Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 13 Feb 2019 12:36:56 +0000
+Subject: [PATCH] staging: mmal-vchiq: Always return the param size
+ from param_get
+
+mmal-vchiq is a reimplementation of the userland library for MMAL.
+When getting a parameter, the client provides the storage and
+the size of the storage. The VPU then returns the size of the
+parameter that it wished to return, and as much as possible of
+that parameter is returned to the client.
+
+The implementation previously only returned the size provided
+by the VPU should it exceed the buffer size. So for parameters
+such as the supported encodings list the client had no idea
+how much of the provided storage had been populated.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -1412,11 +1412,12 @@ static int port_parameter_get(struct vch
+                */
+               memcpy(value, &rmsg->u.port_parameter_get_reply.value,
+                      *value_size);
+-              *value_size = rmsg->u.port_parameter_get_reply.size;
+       } else {
+               memcpy(value, &rmsg->u.port_parameter_get_reply.value,
+                      rmsg->u.port_parameter_get_reply.size);
+       }
++      /* Always report the size of the returned parameter to the caller */
++      *value_size = rmsg->u.port_parameter_get_reply.size;
+       pr_debug("%s:result:%d component:0x%x port:%d parameter:%d\n", __func__,
+                ret, port->component->handle, port->handle, parameter_id);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0183-staging-mmal-vchiq-If-the-VPU-returns-an-error-don-t.patch b/target/linux/bcm27xx/patches-5.4/950-0183-staging-mmal-vchiq-If-the-VPU-returns-an-error-don-t.patch
new file mode 100644 (file)
index 0000000..60550ed
--- /dev/null
@@ -0,0 +1,29 @@
+From 8bf13b2aedef0e0ef2250dd612f453e1a923a2d7 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 13 Feb 2019 12:51:03 +0000
+Subject: [PATCH] staging: mmal-vchiq: If the VPU returns an error,
+ don't negate it
+
+There is an enum for the errors that the VPU can return.
+port_parameter_get was negating that value, but also using -EINVAL
+from the Linux error codes.
+Pass the VPU error code as positive values. Should the function
+need to pass a Linux failure, then return that as negative.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -1400,7 +1400,8 @@ static int port_parameter_get(struct vch
+               goto release_msg;
+       }
+-      ret = -rmsg->u.port_parameter_get_reply.status;
++      ret = rmsg->u.port_parameter_get_reply.status;
++
+       /* port_parameter_get_reply.size includes the header,
+        * whilst *value_size doesn't.
+        */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0183-staging-mmal_vchiq-Add-in-the-Bayer-encoding-formats.patch b/target/linux/bcm27xx/patches-5.4/950-0183-staging-mmal_vchiq-Add-in-the-Bayer-encoding-formats.patch
deleted file mode 100644 (file)
index 715061a..0000000
+++ /dev/null
@@ -1,51 +0,0 @@
-From daf563329faf04563ea625a6647b5bf58933bb32 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 13 Feb 2019 12:33:29 +0000
-Subject: [PATCH] staging: mmal_vchiq: Add in the Bayer encoding
- formats
-
-The list of formats was copied before Bayer support was added.
-The ISP supports Bayer and is being supported by the bcm2835_codec
-driver, so add in the encodings for them.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../vc04_services/vchiq-mmal/mmal-encodings.h | 27 +++++++++++++++++++
- 1 file changed, 27 insertions(+)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
-@@ -69,6 +69,33 @@
-  */
- #define MMAL_ENCODING_OPAQUE           MMAL_FOURCC('O', 'P', 'Q', 'V')
-+/* Bayer formats
-+ * FourCC values copied from V4L2 where defined.
-+ */
-+/* 8 bit per pixel Bayer formats. */
-+#define MMAL_ENCODING_BAYER_SBGGR8     MMAL_FOURCC('B', 'A', '8', '1')
-+#define MMAL_ENCODING_BAYER_SGBRG8     MMAL_FOURCC('G', 'B', 'R', 'G')
-+#define MMAL_ENCODING_BAYER_SGRBG8     MMAL_FOURCC('G', 'R', 'B', 'G')
-+#define MMAL_ENCODING_BAYER_SRGGB8     MMAL_FOURCC('R', 'G', 'G', 'B')
-+
-+/* 10 bit per pixel packed Bayer formats. */
-+#define MMAL_ENCODING_BAYER_SBGGR10P   MMAL_FOURCC('p', 'B', 'A', 'A')
-+#define MMAL_ENCODING_BAYER_SGRBG10P   MMAL_FOURCC('p', 'g', 'A', 'A')
-+#define MMAL_ENCODING_BAYER_SGBRG10P   MMAL_FOURCC('p', 'G', 'A', 'A')
-+#define MMAL_ENCODING_BAYER_SRGGB10P   MMAL_FOURCC('p', 'R', 'A', 'A')
-+
-+/* 12 bit per pixel packed Bayer formats. */
-+#define MMAL_ENCODING_BAYER_SBGGR12P   MMAL_FOURCC('p', 'B', '1', '2')
-+#define MMAL_ENCODING_BAYER_SGRBG12P   MMAL_FOURCC('p', 'g', '1', '2')
-+#define MMAL_ENCODING_BAYER_SGBRG12P   MMAL_FOURCC('p', 'G', '1', '2')
-+#define MMAL_ENCODING_BAYER_SRGGB12P   MMAL_FOURCC('p', 'R', '1', '2')
-+
-+/* 16 bit per pixel Bayer formats. */
-+#define MMAL_ENCODING_BAYER_SBGGR16    MMAL_FOURCC('B', 'G', '1', '6')
-+#define MMAL_ENCODING_BAYER_SGBRG16    MMAL_FOURCC('G', 'B', '1', '6')
-+#define MMAL_ENCODING_BAYER_SGRBG16    MMAL_FOURCC('G', 'R', '1', '6')
-+#define MMAL_ENCODING_BAYER_SRGGB16    MMAL_FOURCC('R', 'G', '1', '6')
-+
- /** An EGL image handle
-  */
- #define MMAL_ENCODING_EGL_IMAGE        MMAL_FOURCC('E', 'G', 'L', 'I')
diff --git a/target/linux/bcm27xx/patches-5.4/950-0184-staging-bcm2835_codec-Query-supported-formats-from-t.patch b/target/linux/bcm27xx/patches-5.4/950-0184-staging-bcm2835_codec-Query-supported-formats-from-t.patch
new file mode 100644 (file)
index 0000000..00c3837
--- /dev/null
@@ -0,0 +1,727 @@
+From 9f6d3fea405751e39801ae101fe2625efb3c6ca4 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 13 Feb 2019 13:44:00 +0000
+Subject: [PATCH] staging: bcm2835_codec: Query supported formats from
+ the component
+
+The driver was previously working with hard coded tables of
+which video formats were supported by each component.
+The components advertise this information via a MMAL parameter,
+so retrieve the information from there during probe, and store
+in the state structure for that device.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 455 +++++++++++++-----
+ 1 file changed, 327 insertions(+), 128 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -88,17 +88,12 @@ struct bcm2835_codec_fmt {
+       int     bytesperline_align;
+       u32     flags;
+       u32     mmal_fmt;
+-      bool    decode_only;
+-      bool    encode_only;
+       int     size_multiplier_x2;
+ };
+-/* Supported raw pixel formats. Those supported for both encode and decode
+- * must come first, with those only supported for decode coming after (there
+- * are no formats supported for encode only).
+- */
+-static struct bcm2835_codec_fmt raw_formats[] = {
++static const struct bcm2835_codec_fmt supported_formats[] = {
+       {
++              /* YUV formats */
+               .fourcc                 = V4L2_PIX_FMT_YUV420,
+               .depth                  = 8,
+               .bytesperline_align     = 32,
+@@ -139,7 +134,6 @@ static struct bcm2835_codec_fmt raw_form
+               .bytesperline_align     = 32,
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_YUYV,
+-              .encode_only            = true,
+               .size_multiplier_x2     = 2,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_UYVY,
+@@ -147,7 +141,6 @@ static struct bcm2835_codec_fmt raw_form
+               .bytesperline_align     = 32,
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_UYVY,
+-              .encode_only            = true,
+               .size_multiplier_x2     = 2,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_YVYU,
+@@ -155,7 +148,6 @@ static struct bcm2835_codec_fmt raw_form
+               .bytesperline_align     = 32,
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_YVYU,
+-              .encode_only            = true,
+               .size_multiplier_x2     = 2,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_VYUY,
+@@ -163,15 +155,14 @@ static struct bcm2835_codec_fmt raw_form
+               .bytesperline_align     = 32,
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_VYUY,
+-              .encode_only            = true,
+               .size_multiplier_x2     = 2,
+       }, {
++              /* RGB formats */
+               .fourcc                 = V4L2_PIX_FMT_RGB24,
+               .depth                  = 24,
+               .bytesperline_align     = 32,
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_RGB24,
+-              .encode_only            = true,
+               .size_multiplier_x2     = 2,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_BGR24,
+@@ -179,7 +170,6 @@ static struct bcm2835_codec_fmt raw_form
+               .bytesperline_align     = 32,
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BGR24,
+-              .encode_only            = true,
+               .size_multiplier_x2     = 2,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_BGR32,
+@@ -187,17 +177,126 @@ static struct bcm2835_codec_fmt raw_form
+               .bytesperline_align     = 32,
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BGRA,
+-              .encode_only            = true,
+               .size_multiplier_x2     = 2,
+-      },
+-};
+-
+-/* Supported encoded formats. Those supported for both encode and decode
+- * must come first, with those only supported for decode coming after (there
+- * are no formats supported for encode only).
+- */
+-static struct bcm2835_codec_fmt encoded_formats[] = {
+-      {
++      }, {
++              /* Bayer formats */
++              /* 8 bit */
++              .fourcc                 = V4L2_PIX_FMT_SRGGB8,
++              .depth                  = 8,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB8,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SBGGR8,
++              .depth                  = 8,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR8,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SGRBG8,
++              .depth                  = 8,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG8,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SGBRG8,
++              .depth                  = 8,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG8,
++              .size_multiplier_x2     = 2,
++      }, {
++              /* 10 bit */
++              .fourcc                 = V4L2_PIX_FMT_SRGGB10P,
++              .depth                  = 10,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB10P,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SBGGR10P,
++              .depth                  = 10,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR10P,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SGRBG10P,
++              .depth                  = 10,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG10P,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SGBRG10P,
++              .depth                  = 10,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG10P,
++              .size_multiplier_x2     = 2,
++      }, {
++              /* 12 bit */
++              .fourcc                 = V4L2_PIX_FMT_SRGGB12P,
++              .depth                  = 12,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB12P,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SBGGR12P,
++              .depth                  = 12,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR12P,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SGRBG12P,
++              .depth                  = 12,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG12P,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SGBRG12P,
++              .depth                  = 12,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG12P,
++              .size_multiplier_x2     = 2,
++      }, {
++              /* 16 bit */
++              .fourcc                 = V4L2_PIX_FMT_SRGGB16,
++              .depth                  = 16,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB16,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SBGGR16,
++              .depth                  = 16,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR16,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SGRBG16,
++              .depth                  = 16,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG16,
++              .size_multiplier_x2     = 2,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SGBRG16,
++              .depth                  = 16,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG16,
++              .size_multiplier_x2     = 2,
++      }, {
++              /* Compressed formats */
+               .fourcc                 = V4L2_PIX_FMT_H264,
+               .depth                  = 0,
+               .flags                  = V4L2_FMT_FLAG_COMPRESSED,
+@@ -212,30 +311,22 @@ static struct bcm2835_codec_fmt encoded_
+               .depth                  = 0,
+               .flags                  = V4L2_FMT_FLAG_COMPRESSED,
+               .mmal_fmt               = MMAL_ENCODING_MP4V,
+-              .decode_only            = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_H263,
+               .depth                  = 0,
+               .flags                  = V4L2_FMT_FLAG_COMPRESSED,
+               .mmal_fmt               = MMAL_ENCODING_H263,
+-              .decode_only            = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_MPEG2,
+               .depth                  = 0,
+               .flags                  = V4L2_FMT_FLAG_COMPRESSED,
+               .mmal_fmt               = MMAL_ENCODING_MP2V,
+-              .decode_only            = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_VP8,
+               .depth                  = 0,
+               .flags                  = V4L2_FMT_FLAG_COMPRESSED,
+               .mmal_fmt               = MMAL_ENCODING_VP8,
+-              .decode_only            = true,
+       },
+-      /*
+-       * This list couold include VP6 and Theorafor decode, but V4L2 doesn't
+-       * support them.
+-       */
+ };
+ struct bcm2835_codec_fmt_list {
+@@ -243,19 +334,6 @@ struct bcm2835_codec_fmt_list {
+       unsigned int num_entries;
+ };
+-#define RAW_LIST      0
+-#define ENCODED_LIST  1
+-
+-struct bcm2835_codec_fmt_list formats[] = {
+-      {
+-              .list = raw_formats,
+-              .num_entries = ARRAY_SIZE(raw_formats),
+-      }, {
+-              .list = encoded_formats,
+-              .num_entries = ARRAY_SIZE(encoded_formats),
+-      },
+-};
+-
+ struct m2m_mmal_buffer {
+       struct v4l2_m2m_buffer  m2m;
+       struct mmal_buffer      mmal;
+@@ -284,52 +362,6 @@ struct bcm2835_codec_q_data {
+       bool                    eos_buffer_in_use;      /* debug only */
+ };
+-enum {
+-      V4L2_M2M_SRC = 0,
+-      V4L2_M2M_DST = 1,
+-};
+-
+-static inline struct bcm2835_codec_fmt_list *get_format_list(bool decode,
+-                                                           bool capture)
+-{
+-      return decode ^ capture ? &formats[ENCODED_LIST] : &formats[RAW_LIST];
+-}
+-
+-static struct bcm2835_codec_fmt *get_default_format(bool decode, bool capture)
+-{
+-      return &get_format_list(decode, capture)->list[0];
+-}
+-
+-static struct bcm2835_codec_fmt *find_format(struct v4l2_format *f, bool decode,
+-                                           bool capture)
+-{
+-      struct bcm2835_codec_fmt *fmt;
+-      unsigned int k;
+-      struct bcm2835_codec_fmt_list *fmts = get_format_list(decode, capture);
+-
+-      for (k = 0; k < fmts->num_entries; k++) {
+-              fmt = &fmts->list[k];
+-              if (fmt->fourcc == f->fmt.pix.pixelformat)
+-                      break;
+-      }
+-
+-      /*
+-       * Some compressed formats are only supported for decoding, not
+-       * encoding.
+-       */
+-      if (!decode && fmts->list[k].decode_only)
+-              return NULL;
+-
+-      /* Some pixel formats are only supported for encoding, not decoding. */
+-      if (decode && fmts->list[k].encode_only)
+-              return NULL;
+-
+-      if (k == fmts->num_entries)
+-              return NULL;
+-
+-      return &fmts->list[k];
+-}
+-
+ struct bcm2835_codec_dev {
+       struct platform_device *pdev;
+@@ -342,6 +374,9 @@ struct bcm2835_codec_dev {
+       /* allocated mmal instance and components */
+       bool                    decode;  /* Is this instance a decoder? */
++      /* The list of formats supported on input and output queues. */
++      struct bcm2835_codec_fmt_list   supported_fmts[2];
++
+       struct vchiq_mmal_instance      *instance;
+       struct v4l2_m2m_dev     *m2m_dev;
+@@ -374,8 +409,59 @@ struct bcm2835_codec_ctx {
+ struct bcm2835_codec_driver {
+       struct bcm2835_codec_dev *encode;
+       struct bcm2835_codec_dev *decode;
++      struct bcm2835_codec_dev *isp;
++};
++
++enum {
++      V4L2_M2M_SRC = 0,
++      V4L2_M2M_DST = 1,
+ };
++static const struct bcm2835_codec_fmt *get_fmt(u32 mmal_fmt)
++{
++      unsigned int i;
++
++      for (i = 0; i < ARRAY_SIZE(supported_formats); i++) {
++              if (supported_formats[i].mmal_fmt == mmal_fmt)
++                      return &supported_formats[i];
++      }
++      return NULL;
++}
++
++static inline
++struct bcm2835_codec_fmt_list *get_format_list(struct bcm2835_codec_dev *dev,
++                                             bool capture)
++{
++      return &dev->supported_fmts[capture ? 1 : 0];
++}
++
++static
++struct bcm2835_codec_fmt *get_default_format(struct bcm2835_codec_dev *dev,
++                                           bool capture)
++{
++      return &dev->supported_fmts[capture ? 1 : 0].list[0];
++}
++
++static struct bcm2835_codec_fmt *find_format(struct v4l2_format *f,
++                                           struct bcm2835_codec_dev *dev,
++                                           bool capture)
++{
++      struct bcm2835_codec_fmt *fmt;
++      unsigned int k;
++      struct bcm2835_codec_fmt_list *fmts =
++                                      &dev->supported_fmts[capture ? 1 : 0];
++
++      for (k = 0; k < fmts->num_entries; k++) {
++              fmt = &fmts->list[k];
++              if (fmt->fourcc == f->fmt.pix.pixelformat)
++                      break;
++      }
++      if (k == fmts->num_entries)
++              return NULL;
++
++      return &fmts->list[k];
++}
++
+ static inline struct bcm2835_codec_ctx *file2ctx(struct file *file)
+ {
+       return container_of(file->private_data, struct bcm2835_codec_ctx, fh);
+@@ -456,7 +542,6 @@ static inline unsigned int get_bytesperl
+ }
+ static void setup_mmal_port_format(struct bcm2835_codec_ctx *ctx,
+-                                 bool decode,
+                                  struct bcm2835_codec_q_data *q_data,
+                                  struct vchiq_mmal_port *port)
+ {
+@@ -473,7 +558,7 @@ static void setup_mmal_port_format(struc
+               port->es.video.frame_rate.den = 1;
+       } else {
+               /* Compressed format - leave resolution as 0 for decode */
+-              if (decode) {
++              if (ctx->dev->decode) {
+                       port->es.video.width = 0;
+                       port->es.video.height = 0;
+                       port->es.video.crop.width = 0;
+@@ -802,22 +887,15 @@ static int vidioc_querycap(struct file *
+       return 0;
+ }
+-static int enum_fmt(struct v4l2_fmtdesc *f, bool decode, bool capture)
++static int enum_fmt(struct v4l2_fmtdesc *f, struct bcm2835_codec_ctx *ctx,
++                  bool capture)
+ {
+       struct bcm2835_codec_fmt *fmt;
+-      struct bcm2835_codec_fmt_list *fmts = get_format_list(decode, capture);
++      struct bcm2835_codec_fmt_list *fmts =
++                                      get_format_list(ctx->dev, capture);
+       if (f->index < fmts->num_entries) {
+               /* Format found */
+-              /* Check format isn't a decode only format when encoding */
+-              if (!decode &&
+-                  fmts->list[f->index].decode_only)
+-                      return -EINVAL;
+-              /* Check format isn't a decode only format when encoding */
+-              if (decode &&
+-                  fmts->list[f->index].encode_only)
+-                      return -EINVAL;
+-
+               fmt = &fmts->list[f->index];
+               f->pixelformat = fmt->fourcc;
+               f->flags = fmt->flags;
+@@ -833,7 +911,7 @@ static int vidioc_enum_fmt_vid_cap(struc
+ {
+       struct bcm2835_codec_ctx *ctx = file2ctx(file);
+-      return enum_fmt(f, ctx->dev->decode, true);
++      return enum_fmt(f, ctx, true);
+ }
+ static int vidioc_enum_fmt_vid_out(struct file *file, void *priv,
+@@ -841,7 +919,7 @@ static int vidioc_enum_fmt_vid_out(struc
+ {
+       struct bcm2835_codec_ctx *ctx = file2ctx(file);
+-      return enum_fmt(f, ctx->dev->decode, false);
++      return enum_fmt(f, ctx, false);
+ }
+ static int vidioc_g_fmt(struct bcm2835_codec_ctx *ctx, struct v4l2_format *f)
+@@ -933,11 +1011,11 @@ static int vidioc_try_fmt_vid_cap(struct
+       struct bcm2835_codec_fmt *fmt;
+       struct bcm2835_codec_ctx *ctx = file2ctx(file);
+-      fmt = find_format(f, ctx->dev->decode, true);
++      fmt = find_format(f, ctx->dev, true);
+       if (!fmt) {
+-              f->fmt.pix.pixelformat = get_default_format(ctx->dev->decode,
++              f->fmt.pix.pixelformat = get_default_format(ctx->dev,
+                                                           true)->fourcc;
+-              fmt = find_format(f, ctx->dev->decode, true);
++              fmt = find_format(f, ctx->dev, true);
+       }
+       return vidioc_try_fmt(f, fmt);
+@@ -949,11 +1027,11 @@ static int vidioc_try_fmt_vid_out(struct
+       struct bcm2835_codec_fmt *fmt;
+       struct bcm2835_codec_ctx *ctx = file2ctx(file);
+-      fmt = find_format(f, ctx->dev->decode, false);
++      fmt = find_format(f, ctx->dev, false);
+       if (!fmt) {
+-              f->fmt.pix.pixelformat = get_default_format(ctx->dev->decode,
++              f->fmt.pix.pixelformat = get_default_format(ctx->dev,
+                                                           false)->fourcc;
+-              fmt = find_format(f, ctx->dev->decode, false);
++              fmt = find_format(f, ctx->dev, false);
+       }
+       if (!f->fmt.pix.colorspace)
+@@ -988,7 +1066,7 @@ static int vidioc_s_fmt(struct bcm2835_c
+               return -EBUSY;
+       }
+-      q_data->fmt = find_format(f, ctx->dev->decode,
++      q_data->fmt = find_format(f, ctx->dev,
+                                 f->type == V4L2_BUF_TYPE_VIDEO_CAPTURE);
+       q_data->crop_width = f->fmt.pix.width;
+       q_data->height = f->fmt.pix.height;
+@@ -1041,7 +1119,7 @@ static int vidioc_s_fmt(struct bcm2835_c
+       if (!port)
+               return 0;
+-      setup_mmal_port_format(ctx, ctx->dev->decode, q_data, port);
++      setup_mmal_port_format(ctx, q_data, port);
+       ret = vchiq_mmal_port_set_format(ctx->dev->instance, port);
+       if (ret) {
+               v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed vchiq_mmal_port_set_format on port, ret %d\n",
+@@ -1064,8 +1142,7 @@ static int vidioc_s_fmt(struct bcm2835_c
+               struct bcm2835_codec_q_data *q_data_dst =
+                                               &ctx->q_data[V4L2_M2M_DST];
+-              setup_mmal_port_format(ctx, ctx->dev->decode, q_data_dst,
+-                                     port_dst);
++              setup_mmal_port_format(ctx, q_data_dst, port_dst);
+               ret = vchiq_mmal_port_set_format(ctx->dev->instance, port_dst);
+               if (ret) {
+                       v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed vchiq_mmal_port_set_format on output port, ret %d\n",
+@@ -1636,10 +1713,10 @@ static int bcm2835_codec_create_componen
+                                     MMAL_PARAMETER_ZERO_COPY, &enable,
+                                     sizeof(enable));
+-      setup_mmal_port_format(ctx, dev->decode, &ctx->q_data[V4L2_M2M_SRC],
++      setup_mmal_port_format(ctx, &ctx->q_data[V4L2_M2M_SRC],
+                              &ctx->component->input[0]);
+-      setup_mmal_port_format(ctx, dev->decode, &ctx->q_data[V4L2_M2M_DST],
++      setup_mmal_port_format(ctx, &ctx->q_data[V4L2_M2M_DST],
+                              &ctx->component->output[0]);
+       ret = vchiq_mmal_port_set_format(dev->instance,
+@@ -2025,8 +2102,8 @@ static int bcm2835_codec_open(struct fil
+               goto open_unlock;
+       }
+-      ctx->q_data[V4L2_M2M_SRC].fmt = get_default_format(dev->decode, false);
+-      ctx->q_data[V4L2_M2M_DST].fmt = get_default_format(dev->decode, true);
++      ctx->q_data[V4L2_M2M_SRC].fmt = get_default_format(dev, false);
++      ctx->q_data[V4L2_M2M_DST].fmt = get_default_format(dev, true);
+       if (dev->decode) {
+               /*
+                * Input width and height are irrelevant as they will be defined
+@@ -2209,13 +2286,130 @@ static const struct v4l2_m2m_ops m2m_ops
+       .job_abort      = job_abort,
+ };
++/* Size of the array to provide to the VPU when asking for the list of supported
++ * formats.
++ * The ISP component currently advertises 33 input formats, so add a small
++ * overhead on that.
++ */
++#define MAX_SUPPORTED_ENCODINGS 40
++
++/* Populate dev->supported_fmts with the formats supported by those ports. */
++static int bcm2835_codec_get_supported_fmts(struct bcm2835_codec_dev *dev)
++{
++      struct bcm2835_codec_fmt *list;
++      struct vchiq_mmal_component *component;
++      u32 fourccs[MAX_SUPPORTED_ENCODINGS];
++      u32 param_size = sizeof(fourccs);
++      unsigned int i, j, num_encodings;
++      int ret;
++
++      ret = vchiq_mmal_component_init(dev->instance,
++                                      dev->decode ?
++                                              "ril.video_decode" :
++                                              "ril.video_encode",
++                                      &component);
++      if (ret < 0) {
++              v4l2_err(&dev->v4l2_dev, "%s: failed to create component\n",
++                       __func__);
++              return -ENOMEM;
++      }
++
++      ret = vchiq_mmal_port_parameter_get(dev->instance,
++                                          &component->input[0],
++                                          MMAL_PARAMETER_SUPPORTED_ENCODINGS,
++                                          &fourccs,
++                                          &param_size);
++
++      if (ret) {
++              if (ret == MMAL_MSG_STATUS_ENOSPC) {
++                      v4l2_err(&dev->v4l2_dev, "%s: port has more encoding than we provided space for. Some are dropped.\n",
++                               __func__);
++                      num_encodings = MAX_SUPPORTED_ENCODINGS;
++              } else {
++                      v4l2_err(&dev->v4l2_dev, "%s: get_param ret %u.\n",
++                               __func__, ret);
++                      ret = -EINVAL;
++                      goto destroy_component;
++              }
++      } else {
++              num_encodings = param_size / sizeof(u32);
++      }
++
++      /* Assume at this stage that all encodings will be supported in V4L2.
++       * Any that aren't supported will waste a very small amount of memory.
++       */
++      list = devm_kzalloc(&dev->pdev->dev,
++                          sizeof(struct bcm2835_codec_fmt) * num_encodings,
++                          GFP_KERNEL);
++      if (!list) {
++              ret = -ENOMEM;
++              goto destroy_component;
++      }
++      dev->supported_fmts[0].list = list;
++
++      for (i = 0, j = 0; i < num_encodings; i++) {
++              const struct bcm2835_codec_fmt *fmt = get_fmt(fourccs[i]);
++
++              if (fmt) {
++                      list[j] = *fmt;
++                      j++;
++              }
++      }
++      dev->supported_fmts[0].num_entries = j;
++
++      param_size = sizeof(fourccs);
++      ret = vchiq_mmal_port_parameter_get(dev->instance,
++                                          &component->output[0],
++                                          MMAL_PARAMETER_SUPPORTED_ENCODINGS,
++                                          &fourccs,
++                                          &param_size);
++
++      if (ret) {
++              if (ret == MMAL_MSG_STATUS_ENOSPC) {
++                      v4l2_err(&dev->v4l2_dev, "%s: port has more encoding than we provided space for. Some are dropped.\n",
++                               __func__);
++                      num_encodings = MAX_SUPPORTED_ENCODINGS;
++              } else {
++                      ret = -EINVAL;
++                      goto destroy_component;
++              }
++      } else {
++              num_encodings = param_size / sizeof(u32);
++      }
++      /* Assume at this stage that all encodings will be supported in V4L2. */
++      list = devm_kzalloc(&dev->pdev->dev,
++                          sizeof(struct bcm2835_codec_fmt) * num_encodings,
++                          GFP_KERNEL);
++      if (!list) {
++              ret = -ENOMEM;
++              goto destroy_component;
++      }
++      dev->supported_fmts[1].list = list;
++
++      for (i = 0, j = 0; i < num_encodings; i++) {
++              const struct bcm2835_codec_fmt *fmt = get_fmt(fourccs[i]);
++
++              if (fmt) {
++                      list[j] = *fmt;
++                      j++;
++              }
++      }
++      dev->supported_fmts[1].num_entries = j;
++
++      ret = 0;
++
++destroy_component:
++      vchiq_mmal_component_finalise(dev->instance, component);
++
++      return ret;
++}
++
+ static int bcm2835_codec_create(struct platform_device *pdev,
+                               struct bcm2835_codec_dev **new_dev,
+                               bool decode)
+ {
+       struct bcm2835_codec_dev *dev;
+       struct video_device *vfd;
+-      struct vchiq_mmal_instance *instance = NULL;
+       int video_nr;
+       int ret;
+@@ -2227,10 +2421,18 @@ static int bcm2835_codec_create(struct p
+       dev->decode = decode;
+-      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
++      ret = vchiq_mmal_init(&dev->instance);
+       if (ret)
+               return ret;
++      ret = bcm2835_codec_get_supported_fmts(dev);
++      if (ret)
++              goto vchiq_finalise;
++
++      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
++      if (ret)
++              goto vchiq_finalise;
++
+       atomic_set(&dev->num_inst, 0);
+       mutex_init(&dev->dev_mutex);
+@@ -2270,12 +2472,7 @@ static int bcm2835_codec_create(struct p
+               goto err_m2m;
+       }
+-      ret = vchiq_mmal_init(&instance);
+-      if (ret < 0)
+-              goto err_m2m;
+-      dev->instance = instance;
+-
+-      v4l2_info(&dev->v4l2_dev, "Loaded V4L2 %s codec\n",
++      v4l2_info(&dev->v4l2_dev, "Loaded V4L2 %s\n",
+                 dev->decode ? "decode" : "encode");
+       return 0;
+@@ -2284,7 +2481,8 @@ err_m2m:
+       video_unregister_device(&dev->vfd);
+ unreg_dev:
+       v4l2_device_unregister(&dev->v4l2_dev);
+-
++vchiq_finalise:
++      vchiq_mmal_finalise(dev->instance);
+       return ret;
+ }
+@@ -2297,6 +2495,7 @@ static int bcm2835_codec_destroy(struct
+       v4l2_m2m_release(dev->m2m_dev);
+       video_unregister_device(&dev->vfd);
+       v4l2_device_unregister(&dev->v4l2_dev);
++      vchiq_mmal_finalise(dev->instance);
+       return 0;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0184-staging-mmal-vchiq-Always-return-the-param-size-from.patch b/target/linux/bcm27xx/patches-5.4/950-0184-staging-mmal-vchiq-Always-return-the-param-size-from.patch
deleted file mode 100644 (file)
index a8c8aeb..0000000
+++ /dev/null
@@ -1,38 +0,0 @@
-From 2e2b65c1c67b7a918fc381c25f8d5e3f2be2295c Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 13 Feb 2019 12:36:56 +0000
-Subject: [PATCH] staging: mmal-vchiq: Always return the param size
- from param_get
-
-mmal-vchiq is a reimplementation of the userland library for MMAL.
-When getting a parameter, the client provides the storage and
-the size of the storage. The VPU then returns the size of the
-parameter that it wished to return, and as much as possible of
-that parameter is returned to the client.
-
-The implementation previously only returned the size provided
-by the VPU should it exceed the buffer size. So for parameters
-such as the supported encodings list the client had no idea
-how much of the provided storage had been populated.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -1412,11 +1412,12 @@ static int port_parameter_get(struct vch
-                */
-               memcpy(value, &rmsg->u.port_parameter_get_reply.value,
-                      *value_size);
--              *value_size = rmsg->u.port_parameter_get_reply.size;
-       } else {
-               memcpy(value, &rmsg->u.port_parameter_get_reply.value,
-                      rmsg->u.port_parameter_get_reply.size);
-       }
-+      /* Always report the size of the returned parameter to the caller */
-+      *value_size = rmsg->u.port_parameter_get_reply.size;
-       pr_debug("%s:result:%d component:0x%x port:%d parameter:%d\n", __func__,
-                ret, port->component->handle, port->handle, parameter_id);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0185-staging-bcm2835_codec-Add-support-for-the-ISP-as-an-.patch b/target/linux/bcm27xx/patches-5.4/950-0185-staging-bcm2835_codec-Add-support-for-the-ISP-as-an-.patch
new file mode 100644 (file)
index 0000000..3bbe681
--- /dev/null
@@ -0,0 +1,384 @@
+From 6fe43df9941cbd4810c4fcd02e49156a85180c16 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 13 Feb 2019 14:07:52 +0000
+Subject: [PATCH] staging: bcm2835_codec: Add support for the ISP as an
+ M2M device
+
+The MMAL ISP component can also use this same V4L2 wrapper to
+provide a M2M format conversion and resizer.
+Instantiate 3 V4L2 devices now, one for each of decode, encode,
+and isp.
+The ISP currently doesn't expose any controls via V4L2, but this
+can be extended in the future.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 132 ++++++++++++------
+ 1 file changed, 92 insertions(+), 40 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -54,10 +54,26 @@ static int encode_video_nr = 11;
+ module_param(encode_video_nr, int, 0644);
+ MODULE_PARM_DESC(encode_video_nr, "encoder video device number");
++static int isp_video_nr = 12;
++module_param(isp_video_nr, int, 0644);
++MODULE_PARM_DESC(isp_video_nr, "isp video device number");
++
+ static unsigned int debug;
+ module_param(debug, uint, 0644);
+ MODULE_PARM_DESC(debug, "activates debug info (0-3)");
++enum bcm2835_codec_role {
++      DECODE,
++      ENCODE,
++      ISP,
++};
++
++static const char * const components[] = {
++      "ril.video_decode",
++      "ril.video_encode",
++      "ril.isp",
++};
++
+ #define MIN_W         32
+ #define MIN_H         32
+ #define MAX_W         1920
+@@ -373,7 +389,7 @@ struct bcm2835_codec_dev {
+       atomic_t                num_inst;
+       /* allocated mmal instance and components */
+-      bool                    decode;  /* Is this instance a decoder? */
++      enum bcm2835_codec_role role;
+       /* The list of formats supported on input and output queues. */
+       struct bcm2835_codec_fmt_list   supported_fmts[2];
+@@ -558,7 +574,7 @@ static void setup_mmal_port_format(struc
+               port->es.video.frame_rate.den = 1;
+       } else {
+               /* Compressed format - leave resolution as 0 for decode */
+-              if (ctx->dev->decode) {
++              if (ctx->dev->role == DECODE) {
+                       port->es.video.width = 0;
+                       port->es.video.height = 0;
+                       port->es.video.crop.width = 0;
+@@ -1089,7 +1105,8 @@ static int vidioc_s_fmt(struct bcm2835_c
+       v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Calulated bpl as %u, size %u\n",
+                q_data->bytesperline, q_data->sizeimage);
+-      if (ctx->dev->decode && q_data->fmt->flags & V4L2_FMT_FLAG_COMPRESSED &&
++      if (ctx->dev->role == DECODE &&
++          q_data->fmt->flags & V4L2_FMT_FLAG_COMPRESSED &&
+           f->fmt.pix.width && f->fmt.pix.height) {
+               /*
+                * On the decoder, if provided with a resolution on the input
+@@ -1188,7 +1205,8 @@ static int vidioc_g_selection(struct fil
+       bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE ?
+                                                               true : false;
+-      if (capture_queue ^ ctx->dev->decode)
++      if ((ctx->dev->role == DECODE && !capture_queue) ||
++          (ctx->dev->role == ENCODE && capture_queue))
+               /* OUTPUT on decoder and CAPTURE on encoder are not valid. */
+               return -EINVAL;
+@@ -1196,7 +1214,8 @@ static int vidioc_g_selection(struct fil
+       if (!q_data)
+               return -EINVAL;
+-      if (ctx->dev->decode) {
++      switch (ctx->dev->role) {
++      case DECODE:
+               switch (s->target) {
+               case V4L2_SEL_TGT_COMPOSE_DEFAULT:
+               case V4L2_SEL_TGT_COMPOSE:
+@@ -1214,7 +1233,8 @@ static int vidioc_g_selection(struct fil
+               default:
+                       return -EINVAL;
+               }
+-      } else {
++              break;
++      case ENCODE:
+               switch (s->target) {
+               case V4L2_SEL_TGT_CROP_DEFAULT:
+               case V4L2_SEL_TGT_CROP_BOUNDS:
+@@ -1232,6 +1252,9 @@ static int vidioc_g_selection(struct fil
+               default:
+                       return -EINVAL;
+               }
++              break;
++      case ISP:
++              break;
+       }
+       return 0;
+@@ -1249,7 +1272,8 @@ static int vidioc_s_selection(struct fil
+                __func__, ctx, s->type, q_data, s->target, s->r.left, s->r.top,
+                s->r.width, s->r.height);
+-      if (capture_queue ^ ctx->dev->decode)
++      if ((ctx->dev->role == DECODE && !capture_queue) ||
++          (ctx->dev->role == ENCODE && capture_queue))
+               /* OUTPUT on decoder and CAPTURE on encoder are not valid. */
+               return -EINVAL;
+@@ -1257,7 +1281,8 @@ static int vidioc_s_selection(struct fil
+       if (!q_data)
+               return -EINVAL;
+-      if (ctx->dev->decode) {
++      switch (ctx->dev->role) {
++      case DECODE:
+               switch (s->target) {
+               case V4L2_SEL_TGT_COMPOSE:
+                       /* Accept cropped image */
+@@ -1272,7 +1297,8 @@ static int vidioc_s_selection(struct fil
+               default:
+                       return -EINVAL;
+               }
+-      } else {
++              break;
++      case ENCODE:
+               switch (s->target) {
+               case V4L2_SEL_TGT_CROP:
+                       /* Only support crop from (0,0) */
+@@ -1287,6 +1313,9 @@ static int vidioc_s_selection(struct fil
+               default:
+                       return -EINVAL;
+               }
++              break;
++      case ISP:
++              break;
+       }
+       return 0;
+@@ -1490,7 +1519,7 @@ static int vidioc_try_decoder_cmd(struct
+ {
+       struct bcm2835_codec_ctx *ctx = file2ctx(file);
+-      if (!ctx->dev->decode)
++      if (ctx->dev->role != DECODE)
+               return -EINVAL;
+       switch (cmd->cmd) {
+@@ -1564,7 +1593,7 @@ static int vidioc_try_encoder_cmd(struct
+ {
+       struct bcm2835_codec_ctx *ctx = file2ctx(file);
+-      if (ctx->dev->decode)
++      if (ctx->dev->role != ENCODE)
+               return -EINVAL;
+       switch (cmd->cmd) {
+@@ -1697,12 +1726,11 @@ static int bcm2835_codec_create_componen
+       unsigned int enable = 1;
+       int ret;
+-      ret = vchiq_mmal_component_init(dev->instance, dev->decode ?
+-                                      "ril.video_decode" : "ril.video_encode",
++      ret = vchiq_mmal_component_init(dev->instance, components[dev->role],
+                                       &ctx->component);
+       if (ret < 0) {
+-              v4l2_err(&dev->v4l2_dev, "%s: failed to create component for %s\n",
+-                       __func__, dev->decode ? "decode" : "encode");
++              v4l2_err(&dev->v4l2_dev, "%s: failed to create component %s\n",
++                       __func__, components[dev->role]);
+               return -ENOMEM;
+       }
+@@ -1729,13 +1757,7 @@ static int bcm2835_codec_create_componen
+       if (ret < 0)
+               goto destroy_component;
+-      if (dev->decode) {
+-              if (ctx->q_data[V4L2_M2M_DST].sizeimage <
+-                      ctx->component->output[0].minimum_buffer.size)
+-                      v4l2_err(&dev->v4l2_dev, "buffer size mismatch sizeimage %u < min size %u\n",
+-                               ctx->q_data[V4L2_M2M_DST].sizeimage,
+-                               ctx->component->output[0].minimum_buffer.size);
+-      } else {
++      if (dev->role == ENCODE) {
+               if (ctx->q_data[V4L2_M2M_SRC].sizeimage <
+                       ctx->component->output[0].minimum_buffer.size)
+                       v4l2_err(&dev->v4l2_dev, "buffer size mismatch sizeimage %u < min size %u\n",
+@@ -1744,6 +1766,12 @@ static int bcm2835_codec_create_componen
+               /* Now we have a component we can set all the ctrls */
+               bcm2835_codec_set_ctrls(ctx);
++      } else {
++              if (ctx->q_data[V4L2_M2M_DST].sizeimage <
++                      ctx->component->output[0].minimum_buffer.size)
++                      v4l2_err(&dev->v4l2_dev, "buffer size mismatch sizeimage %u < min size %u\n",
++                               ctx->q_data[V4L2_M2M_DST].sizeimage,
++                               ctx->component->output[0].minimum_buffer.size);
+       }
+       return 0;
+@@ -2090,8 +2118,6 @@ static int bcm2835_codec_open(struct fil
+       struct v4l2_ctrl_handler *hdl;
+       int rc = 0;
+-      v4l2_dbg(1, debug, &dev->v4l2_dev, "Creating instance for %s\n",
+-               dev->decode ? "decode" : "encode");
+       if (mutex_lock_interruptible(&dev->dev_mutex)) {
+               v4l2_err(&dev->v4l2_dev, "Mutex fail\n");
+               return -ERESTARTSYS;
+@@ -2104,7 +2130,8 @@ static int bcm2835_codec_open(struct fil
+       ctx->q_data[V4L2_M2M_SRC].fmt = get_default_format(dev, false);
+       ctx->q_data[V4L2_M2M_DST].fmt = get_default_format(dev, true);
+-      if (dev->decode) {
++      switch (dev->role) {
++      case DECODE:
+               /*
+                * Input width and height are irrelevant as they will be defined
+                * by the bitstream not the format. Required by V4L2 though.
+@@ -2126,7 +2153,8 @@ static int bcm2835_codec_open(struct fil
+                       get_sizeimage(ctx->q_data[V4L2_M2M_DST].bytesperline,
+                                     ctx->q_data[V4L2_M2M_DST].height,
+                                     ctx->q_data[V4L2_M2M_DST].fmt);
+-      } else {
++              break;
++      case ENCODE:
+               ctx->q_data[V4L2_M2M_SRC].crop_width = DEFAULT_WIDTH;
+               ctx->q_data[V4L2_M2M_SRC].crop_height = DEFAULT_HEIGHT;
+               ctx->q_data[V4L2_M2M_SRC].height = DEFAULT_HEIGHT;
+@@ -2144,6 +2172,9 @@ static int bcm2835_codec_open(struct fil
+               ctx->q_data[V4L2_M2M_DST].height = DEFAULT_HEIGHT;
+               ctx->q_data[V4L2_M2M_DST].sizeimage =
+                                               DEF_COMP_BUF_SIZE_720P_OR_LESS;
++              break;
++      case ISP:
++              break;
+       }
+       ctx->colorspace = V4L2_COLORSPACE_REC709;
+@@ -2154,7 +2185,7 @@ static int bcm2835_codec_open(struct fil
+       file->private_data = &ctx->fh;
+       ctx->dev = dev;
+       hdl = &ctx->hdl;
+-      if (!dev->decode) {
++      if (dev->role == ENCODE) {
+               /* Encode controls */
+               v4l2_ctrl_handler_init(hdl, 6);
+@@ -2303,14 +2334,11 @@ static int bcm2835_codec_get_supported_f
+       unsigned int i, j, num_encodings;
+       int ret;
+-      ret = vchiq_mmal_component_init(dev->instance,
+-                                      dev->decode ?
+-                                              "ril.video_decode" :
+-                                              "ril.video_encode",
++      ret = vchiq_mmal_component_init(dev->instance, components[dev->role],
+                                       &component);
+       if (ret < 0) {
+-              v4l2_err(&dev->v4l2_dev, "%s: failed to create component\n",
+-                       __func__);
++              v4l2_err(&dev->v4l2_dev, "%s: failed to create component %s\n",
++                       __func__, components[dev->role]);
+               return -ENOMEM;
+       }
+@@ -2406,12 +2434,13 @@ destroy_component:
+ static int bcm2835_codec_create(struct platform_device *pdev,
+                               struct bcm2835_codec_dev **new_dev,
+-                              bool decode)
++                              enum bcm2835_codec_role role)
+ {
+       struct bcm2835_codec_dev *dev;
+       struct video_device *vfd;
+       int video_nr;
+       int ret;
++      const static char *roles[] = {"decode", "encode", "isp"};
+       dev = devm_kzalloc(&pdev->dev, sizeof(*dev), GFP_KERNEL);
+       if (!dev)
+@@ -2419,7 +2448,7 @@ static int bcm2835_codec_create(struct p
+       dev->pdev = pdev;
+-      dev->decode = decode;
++      dev->role = role;
+       ret = vchiq_mmal_init(&dev->instance);
+       if (ret)
+@@ -2441,14 +2470,27 @@ static int bcm2835_codec_create(struct p
+       vfd->lock = &dev->dev_mutex;
+       vfd->v4l2_dev = &dev->v4l2_dev;
+-      if (dev->decode) {
++      switch (role) {
++      case DECODE:
+               v4l2_disable_ioctl(vfd, VIDIOC_ENCODER_CMD);
+               v4l2_disable_ioctl(vfd, VIDIOC_TRY_ENCODER_CMD);
+               video_nr = decode_video_nr;
+-      } else {
++              break;
++      case ENCODE:
+               v4l2_disable_ioctl(vfd, VIDIOC_DECODER_CMD);
+               v4l2_disable_ioctl(vfd, VIDIOC_TRY_DECODER_CMD);
+               video_nr = encode_video_nr;
++              break;
++      case ISP:
++              v4l2_disable_ioctl(vfd, VIDIOC_ENCODER_CMD);
++              v4l2_disable_ioctl(vfd, VIDIOC_TRY_ENCODER_CMD);
++              v4l2_disable_ioctl(vfd, VIDIOC_DECODER_CMD);
++              v4l2_disable_ioctl(vfd, VIDIOC_TRY_DECODER_CMD);
++              video_nr = isp_video_nr;
++              break;
++      default:
++              ret = -EINVAL;
++              goto unreg_dev;
+       }
+       ret = video_register_device(vfd, VFL_TYPE_GRABBER, video_nr);
+@@ -2473,7 +2515,7 @@ static int bcm2835_codec_create(struct p
+       }
+       v4l2_info(&dev->v4l2_dev, "Loaded V4L2 %s\n",
+-                dev->decode ? "decode" : "encode");
++                roles[role]);
+       return 0;
+ err_m2m:
+@@ -2509,11 +2551,15 @@ static int bcm2835_codec_probe(struct pl
+       if (!drv)
+               return -ENOMEM;
+-      ret = bcm2835_codec_create(pdev, &drv->encode, false);
++      ret = bcm2835_codec_create(pdev, &drv->decode, DECODE);
+       if (ret)
+               goto out;
+-      ret = bcm2835_codec_create(pdev, &drv->decode, true);
++      ret = bcm2835_codec_create(pdev, &drv->encode, ENCODE);
++      if (ret)
++              goto out;
++
++      ret = bcm2835_codec_create(pdev, &drv->isp, ISP);
+       if (ret)
+               goto out;
+@@ -2526,6 +2572,10 @@ out:
+               bcm2835_codec_destroy(drv->encode);
+               drv->encode = NULL;
+       }
++      if (drv->decode) {
++              bcm2835_codec_destroy(drv->decode);
++              drv->decode = NULL;
++      }
+       return ret;
+ }
+@@ -2533,6 +2583,8 @@ static int bcm2835_codec_remove(struct p
+ {
+       struct bcm2835_codec_driver *drv = platform_get_drvdata(pdev);
++      bcm2835_codec_destroy(drv->isp);
++
+       bcm2835_codec_destroy(drv->encode);
+       bcm2835_codec_destroy(drv->decode);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0185-staging-mmal-vchiq-If-the-VPU-returns-an-error-don-t.patch b/target/linux/bcm27xx/patches-5.4/950-0185-staging-mmal-vchiq-If-the-VPU-returns-an-error-don-t.patch
deleted file mode 100644 (file)
index 60550ed..0000000
+++ /dev/null
@@ -1,29 +0,0 @@
-From 8bf13b2aedef0e0ef2250dd612f453e1a923a2d7 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 13 Feb 2019 12:51:03 +0000
-Subject: [PATCH] staging: mmal-vchiq: If the VPU returns an error,
- don't negate it
-
-There is an enum for the errors that the VPU can return.
-port_parameter_get was negating that value, but also using -EINVAL
-from the Linux error codes.
-Pass the VPU error code as positive values. Should the function
-need to pass a Linux failure, then return that as negative.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -1400,7 +1400,8 @@ static int port_parameter_get(struct vch
-               goto release_msg;
-       }
--      ret = -rmsg->u.port_parameter_get_reply.status;
-+      ret = rmsg->u.port_parameter_get_reply.status;
-+
-       /* port_parameter_get_reply.size includes the header,
-        * whilst *value_size doesn't.
-        */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0186-staging-bcm2835_codec-Add-an-option-for-ignoring-Bay.patch b/target/linux/bcm27xx/patches-5.4/950-0186-staging-bcm2835_codec-Add-an-option-for-ignoring-Bay.patch
new file mode 100644 (file)
index 0000000..9116126
--- /dev/null
@@ -0,0 +1,179 @@
+From c2740ccf8d92b25940ddda20abd4c4f5b97f235c Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 15 Feb 2019 11:36:14 +0000
+Subject: [PATCH] staging: bcm2835_codec: Add an option for ignoring
+ Bayer formats.
+
+This is a workaround for GStreamer currently not identifying Bayer
+as a raw format, therefore any device that supports it does not
+match the criteria for v4l2convert.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 29 ++++++++++++++++++-
+ 1 file changed, 28 insertions(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -58,6 +58,15 @@ static int isp_video_nr = 12;
+ module_param(isp_video_nr, int, 0644);
+ MODULE_PARM_DESC(isp_video_nr, "isp video device number");
++/*
++ * Workaround for GStreamer v4l2convert component not considering Bayer formats
++ * as raw, and therefore not considering a V4L2 device that supports them as
++ * as a suitable candidate.
++ */
++static bool disable_bayer;
++module_param(disable_bayer, bool, 0644);
++MODULE_PARM_DESC(disable_bayer, "Disable support for Bayer formats");
++
+ static unsigned int debug;
+ module_param(debug, uint, 0644);
+ MODULE_PARM_DESC(debug, "activates debug info (0-3)");
+@@ -105,6 +114,7 @@ struct bcm2835_codec_fmt {
+       u32     flags;
+       u32     mmal_fmt;
+       int     size_multiplier_x2;
++      bool    is_bayer;
+ };
+ static const struct bcm2835_codec_fmt supported_formats[] = {
+@@ -203,6 +213,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB8,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_SBGGR8,
+               .depth                  = 8,
+@@ -210,6 +221,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR8,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_SGRBG8,
+               .depth                  = 8,
+@@ -217,6 +229,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG8,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_SGBRG8,
+               .depth                  = 8,
+@@ -224,6 +237,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG8,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               /* 10 bit */
+               .fourcc                 = V4L2_PIX_FMT_SRGGB10P,
+@@ -232,6 +246,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB10P,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_SBGGR10P,
+               .depth                  = 10,
+@@ -239,6 +254,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR10P,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_SGRBG10P,
+               .depth                  = 10,
+@@ -246,6 +262,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG10P,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_SGBRG10P,
+               .depth                  = 10,
+@@ -253,6 +270,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG10P,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               /* 12 bit */
+               .fourcc                 = V4L2_PIX_FMT_SRGGB12P,
+@@ -261,6 +279,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB12P,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_SBGGR12P,
+               .depth                  = 12,
+@@ -268,6 +287,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR12P,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_SGRBG12P,
+               .depth                  = 12,
+@@ -275,6 +295,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG12P,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_SGBRG12P,
+               .depth                  = 12,
+@@ -282,6 +303,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG12P,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               /* 16 bit */
+               .fourcc                 = V4L2_PIX_FMT_SRGGB16,
+@@ -290,6 +312,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB16,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_SBGGR16,
+               .depth                  = 16,
+@@ -297,6 +320,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR16,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_SGRBG16,
+               .depth                  = 16,
+@@ -304,6 +328,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG16,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               .fourcc                 = V4L2_PIX_FMT_SGBRG16,
+               .depth                  = 16,
+@@ -311,6 +336,7 @@ static const struct bcm2835_codec_fmt su
+               .flags                  = 0,
+               .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG16,
+               .size_multiplier_x2     = 2,
++              .is_bayer               = true,
+       }, {
+               /* Compressed formats */
+               .fourcc                 = V4L2_PIX_FMT_H264,
+@@ -438,7 +464,8 @@ static const struct bcm2835_codec_fmt *g
+       unsigned int i;
+       for (i = 0; i < ARRAY_SIZE(supported_formats); i++) {
+-              if (supported_formats[i].mmal_fmt == mmal_fmt)
++              if (supported_formats[i].mmal_fmt == mmal_fmt &&
++                  (!disable_bayer || !supported_formats[i].is_bayer))
+                       return &supported_formats[i];
+       }
+       return NULL;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0186-staging-bcm2835_codec-Query-supported-formats-from-t.patch b/target/linux/bcm27xx/patches-5.4/950-0186-staging-bcm2835_codec-Query-supported-formats-from-t.patch
deleted file mode 100644 (file)
index 00c3837..0000000
+++ /dev/null
@@ -1,727 +0,0 @@
-From 9f6d3fea405751e39801ae101fe2625efb3c6ca4 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 13 Feb 2019 13:44:00 +0000
-Subject: [PATCH] staging: bcm2835_codec: Query supported formats from
- the component
-
-The driver was previously working with hard coded tables of
-which video formats were supported by each component.
-The components advertise this information via a MMAL parameter,
-so retrieve the information from there during probe, and store
-in the state structure for that device.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../bcm2835-codec/bcm2835-v4l2-codec.c        | 455 +++++++++++++-----
- 1 file changed, 327 insertions(+), 128 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -88,17 +88,12 @@ struct bcm2835_codec_fmt {
-       int     bytesperline_align;
-       u32     flags;
-       u32     mmal_fmt;
--      bool    decode_only;
--      bool    encode_only;
-       int     size_multiplier_x2;
- };
--/* Supported raw pixel formats. Those supported for both encode and decode
-- * must come first, with those only supported for decode coming after (there
-- * are no formats supported for encode only).
-- */
--static struct bcm2835_codec_fmt raw_formats[] = {
-+static const struct bcm2835_codec_fmt supported_formats[] = {
-       {
-+              /* YUV formats */
-               .fourcc                 = V4L2_PIX_FMT_YUV420,
-               .depth                  = 8,
-               .bytesperline_align     = 32,
-@@ -139,7 +134,6 @@ static struct bcm2835_codec_fmt raw_form
-               .bytesperline_align     = 32,
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_YUYV,
--              .encode_only            = true,
-               .size_multiplier_x2     = 2,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_UYVY,
-@@ -147,7 +141,6 @@ static struct bcm2835_codec_fmt raw_form
-               .bytesperline_align     = 32,
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_UYVY,
--              .encode_only            = true,
-               .size_multiplier_x2     = 2,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_YVYU,
-@@ -155,7 +148,6 @@ static struct bcm2835_codec_fmt raw_form
-               .bytesperline_align     = 32,
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_YVYU,
--              .encode_only            = true,
-               .size_multiplier_x2     = 2,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_VYUY,
-@@ -163,15 +155,14 @@ static struct bcm2835_codec_fmt raw_form
-               .bytesperline_align     = 32,
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_VYUY,
--              .encode_only            = true,
-               .size_multiplier_x2     = 2,
-       }, {
-+              /* RGB formats */
-               .fourcc                 = V4L2_PIX_FMT_RGB24,
-               .depth                  = 24,
-               .bytesperline_align     = 32,
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_RGB24,
--              .encode_only            = true,
-               .size_multiplier_x2     = 2,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_BGR24,
-@@ -179,7 +170,6 @@ static struct bcm2835_codec_fmt raw_form
-               .bytesperline_align     = 32,
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BGR24,
--              .encode_only            = true,
-               .size_multiplier_x2     = 2,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_BGR32,
-@@ -187,17 +177,126 @@ static struct bcm2835_codec_fmt raw_form
-               .bytesperline_align     = 32,
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BGRA,
--              .encode_only            = true,
-               .size_multiplier_x2     = 2,
--      },
--};
--
--/* Supported encoded formats. Those supported for both encode and decode
-- * must come first, with those only supported for decode coming after (there
-- * are no formats supported for encode only).
-- */
--static struct bcm2835_codec_fmt encoded_formats[] = {
--      {
-+      }, {
-+              /* Bayer formats */
-+              /* 8 bit */
-+              .fourcc                 = V4L2_PIX_FMT_SRGGB8,
-+              .depth                  = 8,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB8,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_SBGGR8,
-+              .depth                  = 8,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR8,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_SGRBG8,
-+              .depth                  = 8,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG8,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_SGBRG8,
-+              .depth                  = 8,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG8,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              /* 10 bit */
-+              .fourcc                 = V4L2_PIX_FMT_SRGGB10P,
-+              .depth                  = 10,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB10P,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_SBGGR10P,
-+              .depth                  = 10,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR10P,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_SGRBG10P,
-+              .depth                  = 10,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG10P,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_SGBRG10P,
-+              .depth                  = 10,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG10P,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              /* 12 bit */
-+              .fourcc                 = V4L2_PIX_FMT_SRGGB12P,
-+              .depth                  = 12,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB12P,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_SBGGR12P,
-+              .depth                  = 12,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR12P,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_SGRBG12P,
-+              .depth                  = 12,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG12P,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_SGBRG12P,
-+              .depth                  = 12,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG12P,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              /* 16 bit */
-+              .fourcc                 = V4L2_PIX_FMT_SRGGB16,
-+              .depth                  = 16,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB16,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_SBGGR16,
-+              .depth                  = 16,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR16,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_SGRBG16,
-+              .depth                  = 16,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG16,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              .fourcc                 = V4L2_PIX_FMT_SGBRG16,
-+              .depth                  = 16,
-+              .bytesperline_align     = 32,
-+              .flags                  = 0,
-+              .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG16,
-+              .size_multiplier_x2     = 2,
-+      }, {
-+              /* Compressed formats */
-               .fourcc                 = V4L2_PIX_FMT_H264,
-               .depth                  = 0,
-               .flags                  = V4L2_FMT_FLAG_COMPRESSED,
-@@ -212,30 +311,22 @@ static struct bcm2835_codec_fmt encoded_
-               .depth                  = 0,
-               .flags                  = V4L2_FMT_FLAG_COMPRESSED,
-               .mmal_fmt               = MMAL_ENCODING_MP4V,
--              .decode_only            = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_H263,
-               .depth                  = 0,
-               .flags                  = V4L2_FMT_FLAG_COMPRESSED,
-               .mmal_fmt               = MMAL_ENCODING_H263,
--              .decode_only            = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_MPEG2,
-               .depth                  = 0,
-               .flags                  = V4L2_FMT_FLAG_COMPRESSED,
-               .mmal_fmt               = MMAL_ENCODING_MP2V,
--              .decode_only            = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_VP8,
-               .depth                  = 0,
-               .flags                  = V4L2_FMT_FLAG_COMPRESSED,
-               .mmal_fmt               = MMAL_ENCODING_VP8,
--              .decode_only            = true,
-       },
--      /*
--       * This list couold include VP6 and Theorafor decode, but V4L2 doesn't
--       * support them.
--       */
- };
- struct bcm2835_codec_fmt_list {
-@@ -243,19 +334,6 @@ struct bcm2835_codec_fmt_list {
-       unsigned int num_entries;
- };
--#define RAW_LIST      0
--#define ENCODED_LIST  1
--
--struct bcm2835_codec_fmt_list formats[] = {
--      {
--              .list = raw_formats,
--              .num_entries = ARRAY_SIZE(raw_formats),
--      }, {
--              .list = encoded_formats,
--              .num_entries = ARRAY_SIZE(encoded_formats),
--      },
--};
--
- struct m2m_mmal_buffer {
-       struct v4l2_m2m_buffer  m2m;
-       struct mmal_buffer      mmal;
-@@ -284,52 +362,6 @@ struct bcm2835_codec_q_data {
-       bool                    eos_buffer_in_use;      /* debug only */
- };
--enum {
--      V4L2_M2M_SRC = 0,
--      V4L2_M2M_DST = 1,
--};
--
--static inline struct bcm2835_codec_fmt_list *get_format_list(bool decode,
--                                                           bool capture)
--{
--      return decode ^ capture ? &formats[ENCODED_LIST] : &formats[RAW_LIST];
--}
--
--static struct bcm2835_codec_fmt *get_default_format(bool decode, bool capture)
--{
--      return &get_format_list(decode, capture)->list[0];
--}
--
--static struct bcm2835_codec_fmt *find_format(struct v4l2_format *f, bool decode,
--                                           bool capture)
--{
--      struct bcm2835_codec_fmt *fmt;
--      unsigned int k;
--      struct bcm2835_codec_fmt_list *fmts = get_format_list(decode, capture);
--
--      for (k = 0; k < fmts->num_entries; k++) {
--              fmt = &fmts->list[k];
--              if (fmt->fourcc == f->fmt.pix.pixelformat)
--                      break;
--      }
--
--      /*
--       * Some compressed formats are only supported for decoding, not
--       * encoding.
--       */
--      if (!decode && fmts->list[k].decode_only)
--              return NULL;
--
--      /* Some pixel formats are only supported for encoding, not decoding. */
--      if (decode && fmts->list[k].encode_only)
--              return NULL;
--
--      if (k == fmts->num_entries)
--              return NULL;
--
--      return &fmts->list[k];
--}
--
- struct bcm2835_codec_dev {
-       struct platform_device *pdev;
-@@ -342,6 +374,9 @@ struct bcm2835_codec_dev {
-       /* allocated mmal instance and components */
-       bool                    decode;  /* Is this instance a decoder? */
-+      /* The list of formats supported on input and output queues. */
-+      struct bcm2835_codec_fmt_list   supported_fmts[2];
-+
-       struct vchiq_mmal_instance      *instance;
-       struct v4l2_m2m_dev     *m2m_dev;
-@@ -374,8 +409,59 @@ struct bcm2835_codec_ctx {
- struct bcm2835_codec_driver {
-       struct bcm2835_codec_dev *encode;
-       struct bcm2835_codec_dev *decode;
-+      struct bcm2835_codec_dev *isp;
-+};
-+
-+enum {
-+      V4L2_M2M_SRC = 0,
-+      V4L2_M2M_DST = 1,
- };
-+static const struct bcm2835_codec_fmt *get_fmt(u32 mmal_fmt)
-+{
-+      unsigned int i;
-+
-+      for (i = 0; i < ARRAY_SIZE(supported_formats); i++) {
-+              if (supported_formats[i].mmal_fmt == mmal_fmt)
-+                      return &supported_formats[i];
-+      }
-+      return NULL;
-+}
-+
-+static inline
-+struct bcm2835_codec_fmt_list *get_format_list(struct bcm2835_codec_dev *dev,
-+                                             bool capture)
-+{
-+      return &dev->supported_fmts[capture ? 1 : 0];
-+}
-+
-+static
-+struct bcm2835_codec_fmt *get_default_format(struct bcm2835_codec_dev *dev,
-+                                           bool capture)
-+{
-+      return &dev->supported_fmts[capture ? 1 : 0].list[0];
-+}
-+
-+static struct bcm2835_codec_fmt *find_format(struct v4l2_format *f,
-+                                           struct bcm2835_codec_dev *dev,
-+                                           bool capture)
-+{
-+      struct bcm2835_codec_fmt *fmt;
-+      unsigned int k;
-+      struct bcm2835_codec_fmt_list *fmts =
-+                                      &dev->supported_fmts[capture ? 1 : 0];
-+
-+      for (k = 0; k < fmts->num_entries; k++) {
-+              fmt = &fmts->list[k];
-+              if (fmt->fourcc == f->fmt.pix.pixelformat)
-+                      break;
-+      }
-+      if (k == fmts->num_entries)
-+              return NULL;
-+
-+      return &fmts->list[k];
-+}
-+
- static inline struct bcm2835_codec_ctx *file2ctx(struct file *file)
- {
-       return container_of(file->private_data, struct bcm2835_codec_ctx, fh);
-@@ -456,7 +542,6 @@ static inline unsigned int get_bytesperl
- }
- static void setup_mmal_port_format(struct bcm2835_codec_ctx *ctx,
--                                 bool decode,
-                                  struct bcm2835_codec_q_data *q_data,
-                                  struct vchiq_mmal_port *port)
- {
-@@ -473,7 +558,7 @@ static void setup_mmal_port_format(struc
-               port->es.video.frame_rate.den = 1;
-       } else {
-               /* Compressed format - leave resolution as 0 for decode */
--              if (decode) {
-+              if (ctx->dev->decode) {
-                       port->es.video.width = 0;
-                       port->es.video.height = 0;
-                       port->es.video.crop.width = 0;
-@@ -802,22 +887,15 @@ static int vidioc_querycap(struct file *
-       return 0;
- }
--static int enum_fmt(struct v4l2_fmtdesc *f, bool decode, bool capture)
-+static int enum_fmt(struct v4l2_fmtdesc *f, struct bcm2835_codec_ctx *ctx,
-+                  bool capture)
- {
-       struct bcm2835_codec_fmt *fmt;
--      struct bcm2835_codec_fmt_list *fmts = get_format_list(decode, capture);
-+      struct bcm2835_codec_fmt_list *fmts =
-+                                      get_format_list(ctx->dev, capture);
-       if (f->index < fmts->num_entries) {
-               /* Format found */
--              /* Check format isn't a decode only format when encoding */
--              if (!decode &&
--                  fmts->list[f->index].decode_only)
--                      return -EINVAL;
--              /* Check format isn't a decode only format when encoding */
--              if (decode &&
--                  fmts->list[f->index].encode_only)
--                      return -EINVAL;
--
-               fmt = &fmts->list[f->index];
-               f->pixelformat = fmt->fourcc;
-               f->flags = fmt->flags;
-@@ -833,7 +911,7 @@ static int vidioc_enum_fmt_vid_cap(struc
- {
-       struct bcm2835_codec_ctx *ctx = file2ctx(file);
--      return enum_fmt(f, ctx->dev->decode, true);
-+      return enum_fmt(f, ctx, true);
- }
- static int vidioc_enum_fmt_vid_out(struct file *file, void *priv,
-@@ -841,7 +919,7 @@ static int vidioc_enum_fmt_vid_out(struc
- {
-       struct bcm2835_codec_ctx *ctx = file2ctx(file);
--      return enum_fmt(f, ctx->dev->decode, false);
-+      return enum_fmt(f, ctx, false);
- }
- static int vidioc_g_fmt(struct bcm2835_codec_ctx *ctx, struct v4l2_format *f)
-@@ -933,11 +1011,11 @@ static int vidioc_try_fmt_vid_cap(struct
-       struct bcm2835_codec_fmt *fmt;
-       struct bcm2835_codec_ctx *ctx = file2ctx(file);
--      fmt = find_format(f, ctx->dev->decode, true);
-+      fmt = find_format(f, ctx->dev, true);
-       if (!fmt) {
--              f->fmt.pix.pixelformat = get_default_format(ctx->dev->decode,
-+              f->fmt.pix.pixelformat = get_default_format(ctx->dev,
-                                                           true)->fourcc;
--              fmt = find_format(f, ctx->dev->decode, true);
-+              fmt = find_format(f, ctx->dev, true);
-       }
-       return vidioc_try_fmt(f, fmt);
-@@ -949,11 +1027,11 @@ static int vidioc_try_fmt_vid_out(struct
-       struct bcm2835_codec_fmt *fmt;
-       struct bcm2835_codec_ctx *ctx = file2ctx(file);
--      fmt = find_format(f, ctx->dev->decode, false);
-+      fmt = find_format(f, ctx->dev, false);
-       if (!fmt) {
--              f->fmt.pix.pixelformat = get_default_format(ctx->dev->decode,
-+              f->fmt.pix.pixelformat = get_default_format(ctx->dev,
-                                                           false)->fourcc;
--              fmt = find_format(f, ctx->dev->decode, false);
-+              fmt = find_format(f, ctx->dev, false);
-       }
-       if (!f->fmt.pix.colorspace)
-@@ -988,7 +1066,7 @@ static int vidioc_s_fmt(struct bcm2835_c
-               return -EBUSY;
-       }
--      q_data->fmt = find_format(f, ctx->dev->decode,
-+      q_data->fmt = find_format(f, ctx->dev,
-                                 f->type == V4L2_BUF_TYPE_VIDEO_CAPTURE);
-       q_data->crop_width = f->fmt.pix.width;
-       q_data->height = f->fmt.pix.height;
-@@ -1041,7 +1119,7 @@ static int vidioc_s_fmt(struct bcm2835_c
-       if (!port)
-               return 0;
--      setup_mmal_port_format(ctx, ctx->dev->decode, q_data, port);
-+      setup_mmal_port_format(ctx, q_data, port);
-       ret = vchiq_mmal_port_set_format(ctx->dev->instance, port);
-       if (ret) {
-               v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed vchiq_mmal_port_set_format on port, ret %d\n",
-@@ -1064,8 +1142,7 @@ static int vidioc_s_fmt(struct bcm2835_c
-               struct bcm2835_codec_q_data *q_data_dst =
-                                               &ctx->q_data[V4L2_M2M_DST];
--              setup_mmal_port_format(ctx, ctx->dev->decode, q_data_dst,
--                                     port_dst);
-+              setup_mmal_port_format(ctx, q_data_dst, port_dst);
-               ret = vchiq_mmal_port_set_format(ctx->dev->instance, port_dst);
-               if (ret) {
-                       v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed vchiq_mmal_port_set_format on output port, ret %d\n",
-@@ -1636,10 +1713,10 @@ static int bcm2835_codec_create_componen
-                                     MMAL_PARAMETER_ZERO_COPY, &enable,
-                                     sizeof(enable));
--      setup_mmal_port_format(ctx, dev->decode, &ctx->q_data[V4L2_M2M_SRC],
-+      setup_mmal_port_format(ctx, &ctx->q_data[V4L2_M2M_SRC],
-                              &ctx->component->input[0]);
--      setup_mmal_port_format(ctx, dev->decode, &ctx->q_data[V4L2_M2M_DST],
-+      setup_mmal_port_format(ctx, &ctx->q_data[V4L2_M2M_DST],
-                              &ctx->component->output[0]);
-       ret = vchiq_mmal_port_set_format(dev->instance,
-@@ -2025,8 +2102,8 @@ static int bcm2835_codec_open(struct fil
-               goto open_unlock;
-       }
--      ctx->q_data[V4L2_M2M_SRC].fmt = get_default_format(dev->decode, false);
--      ctx->q_data[V4L2_M2M_DST].fmt = get_default_format(dev->decode, true);
-+      ctx->q_data[V4L2_M2M_SRC].fmt = get_default_format(dev, false);
-+      ctx->q_data[V4L2_M2M_DST].fmt = get_default_format(dev, true);
-       if (dev->decode) {
-               /*
-                * Input width and height are irrelevant as they will be defined
-@@ -2209,13 +2286,130 @@ static const struct v4l2_m2m_ops m2m_ops
-       .job_abort      = job_abort,
- };
-+/* Size of the array to provide to the VPU when asking for the list of supported
-+ * formats.
-+ * The ISP component currently advertises 33 input formats, so add a small
-+ * overhead on that.
-+ */
-+#define MAX_SUPPORTED_ENCODINGS 40
-+
-+/* Populate dev->supported_fmts with the formats supported by those ports. */
-+static int bcm2835_codec_get_supported_fmts(struct bcm2835_codec_dev *dev)
-+{
-+      struct bcm2835_codec_fmt *list;
-+      struct vchiq_mmal_component *component;
-+      u32 fourccs[MAX_SUPPORTED_ENCODINGS];
-+      u32 param_size = sizeof(fourccs);
-+      unsigned int i, j, num_encodings;
-+      int ret;
-+
-+      ret = vchiq_mmal_component_init(dev->instance,
-+                                      dev->decode ?
-+                                              "ril.video_decode" :
-+                                              "ril.video_encode",
-+                                      &component);
-+      if (ret < 0) {
-+              v4l2_err(&dev->v4l2_dev, "%s: failed to create component\n",
-+                       __func__);
-+              return -ENOMEM;
-+      }
-+
-+      ret = vchiq_mmal_port_parameter_get(dev->instance,
-+                                          &component->input[0],
-+                                          MMAL_PARAMETER_SUPPORTED_ENCODINGS,
-+                                          &fourccs,
-+                                          &param_size);
-+
-+      if (ret) {
-+              if (ret == MMAL_MSG_STATUS_ENOSPC) {
-+                      v4l2_err(&dev->v4l2_dev, "%s: port has more encoding than we provided space for. Some are dropped.\n",
-+                               __func__);
-+                      num_encodings = MAX_SUPPORTED_ENCODINGS;
-+              } else {
-+                      v4l2_err(&dev->v4l2_dev, "%s: get_param ret %u.\n",
-+                               __func__, ret);
-+                      ret = -EINVAL;
-+                      goto destroy_component;
-+              }
-+      } else {
-+              num_encodings = param_size / sizeof(u32);
-+      }
-+
-+      /* Assume at this stage that all encodings will be supported in V4L2.
-+       * Any that aren't supported will waste a very small amount of memory.
-+       */
-+      list = devm_kzalloc(&dev->pdev->dev,
-+                          sizeof(struct bcm2835_codec_fmt) * num_encodings,
-+                          GFP_KERNEL);
-+      if (!list) {
-+              ret = -ENOMEM;
-+              goto destroy_component;
-+      }
-+      dev->supported_fmts[0].list = list;
-+
-+      for (i = 0, j = 0; i < num_encodings; i++) {
-+              const struct bcm2835_codec_fmt *fmt = get_fmt(fourccs[i]);
-+
-+              if (fmt) {
-+                      list[j] = *fmt;
-+                      j++;
-+              }
-+      }
-+      dev->supported_fmts[0].num_entries = j;
-+
-+      param_size = sizeof(fourccs);
-+      ret = vchiq_mmal_port_parameter_get(dev->instance,
-+                                          &component->output[0],
-+                                          MMAL_PARAMETER_SUPPORTED_ENCODINGS,
-+                                          &fourccs,
-+                                          &param_size);
-+
-+      if (ret) {
-+              if (ret == MMAL_MSG_STATUS_ENOSPC) {
-+                      v4l2_err(&dev->v4l2_dev, "%s: port has more encoding than we provided space for. Some are dropped.\n",
-+                               __func__);
-+                      num_encodings = MAX_SUPPORTED_ENCODINGS;
-+              } else {
-+                      ret = -EINVAL;
-+                      goto destroy_component;
-+              }
-+      } else {
-+              num_encodings = param_size / sizeof(u32);
-+      }
-+      /* Assume at this stage that all encodings will be supported in V4L2. */
-+      list = devm_kzalloc(&dev->pdev->dev,
-+                          sizeof(struct bcm2835_codec_fmt) * num_encodings,
-+                          GFP_KERNEL);
-+      if (!list) {
-+              ret = -ENOMEM;
-+              goto destroy_component;
-+      }
-+      dev->supported_fmts[1].list = list;
-+
-+      for (i = 0, j = 0; i < num_encodings; i++) {
-+              const struct bcm2835_codec_fmt *fmt = get_fmt(fourccs[i]);
-+
-+              if (fmt) {
-+                      list[j] = *fmt;
-+                      j++;
-+              }
-+      }
-+      dev->supported_fmts[1].num_entries = j;
-+
-+      ret = 0;
-+
-+destroy_component:
-+      vchiq_mmal_component_finalise(dev->instance, component);
-+
-+      return ret;
-+}
-+
- static int bcm2835_codec_create(struct platform_device *pdev,
-                               struct bcm2835_codec_dev **new_dev,
-                               bool decode)
- {
-       struct bcm2835_codec_dev *dev;
-       struct video_device *vfd;
--      struct vchiq_mmal_instance *instance = NULL;
-       int video_nr;
-       int ret;
-@@ -2227,10 +2421,18 @@ static int bcm2835_codec_create(struct p
-       dev->decode = decode;
--      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
-+      ret = vchiq_mmal_init(&dev->instance);
-       if (ret)
-               return ret;
-+      ret = bcm2835_codec_get_supported_fmts(dev);
-+      if (ret)
-+              goto vchiq_finalise;
-+
-+      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
-+      if (ret)
-+              goto vchiq_finalise;
-+
-       atomic_set(&dev->num_inst, 0);
-       mutex_init(&dev->dev_mutex);
-@@ -2270,12 +2472,7 @@ static int bcm2835_codec_create(struct p
-               goto err_m2m;
-       }
--      ret = vchiq_mmal_init(&instance);
--      if (ret < 0)
--              goto err_m2m;
--      dev->instance = instance;
--
--      v4l2_info(&dev->v4l2_dev, "Loaded V4L2 %s codec\n",
-+      v4l2_info(&dev->v4l2_dev, "Loaded V4L2 %s\n",
-                 dev->decode ? "decode" : "encode");
-       return 0;
-@@ -2284,7 +2481,8 @@ err_m2m:
-       video_unregister_device(&dev->vfd);
- unreg_dev:
-       v4l2_device_unregister(&dev->v4l2_dev);
--
-+vchiq_finalise:
-+      vchiq_mmal_finalise(dev->instance);
-       return ret;
- }
-@@ -2297,6 +2495,7 @@ static int bcm2835_codec_destroy(struct
-       v4l2_m2m_release(dev->m2m_dev);
-       video_unregister_device(&dev->vfd);
-       v4l2_device_unregister(&dev->v4l2_dev);
-+      vchiq_mmal_finalise(dev->instance);
-       return 0;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0187-staging-bcm2835_codec-Add-support-for-the-ISP-as-an-.patch b/target/linux/bcm27xx/patches-5.4/950-0187-staging-bcm2835_codec-Add-support-for-the-ISP-as-an-.patch
deleted file mode 100644 (file)
index 3bbe681..0000000
+++ /dev/null
@@ -1,384 +0,0 @@
-From 6fe43df9941cbd4810c4fcd02e49156a85180c16 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 13 Feb 2019 14:07:52 +0000
-Subject: [PATCH] staging: bcm2835_codec: Add support for the ISP as an
- M2M device
-
-The MMAL ISP component can also use this same V4L2 wrapper to
-provide a M2M format conversion and resizer.
-Instantiate 3 V4L2 devices now, one for each of decode, encode,
-and isp.
-The ISP currently doesn't expose any controls via V4L2, but this
-can be extended in the future.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../bcm2835-codec/bcm2835-v4l2-codec.c        | 132 ++++++++++++------
- 1 file changed, 92 insertions(+), 40 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -54,10 +54,26 @@ static int encode_video_nr = 11;
- module_param(encode_video_nr, int, 0644);
- MODULE_PARM_DESC(encode_video_nr, "encoder video device number");
-+static int isp_video_nr = 12;
-+module_param(isp_video_nr, int, 0644);
-+MODULE_PARM_DESC(isp_video_nr, "isp video device number");
-+
- static unsigned int debug;
- module_param(debug, uint, 0644);
- MODULE_PARM_DESC(debug, "activates debug info (0-3)");
-+enum bcm2835_codec_role {
-+      DECODE,
-+      ENCODE,
-+      ISP,
-+};
-+
-+static const char * const components[] = {
-+      "ril.video_decode",
-+      "ril.video_encode",
-+      "ril.isp",
-+};
-+
- #define MIN_W         32
- #define MIN_H         32
- #define MAX_W         1920
-@@ -373,7 +389,7 @@ struct bcm2835_codec_dev {
-       atomic_t                num_inst;
-       /* allocated mmal instance and components */
--      bool                    decode;  /* Is this instance a decoder? */
-+      enum bcm2835_codec_role role;
-       /* The list of formats supported on input and output queues. */
-       struct bcm2835_codec_fmt_list   supported_fmts[2];
-@@ -558,7 +574,7 @@ static void setup_mmal_port_format(struc
-               port->es.video.frame_rate.den = 1;
-       } else {
-               /* Compressed format - leave resolution as 0 for decode */
--              if (ctx->dev->decode) {
-+              if (ctx->dev->role == DECODE) {
-                       port->es.video.width = 0;
-                       port->es.video.height = 0;
-                       port->es.video.crop.width = 0;
-@@ -1089,7 +1105,8 @@ static int vidioc_s_fmt(struct bcm2835_c
-       v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Calulated bpl as %u, size %u\n",
-                q_data->bytesperline, q_data->sizeimage);
--      if (ctx->dev->decode && q_data->fmt->flags & V4L2_FMT_FLAG_COMPRESSED &&
-+      if (ctx->dev->role == DECODE &&
-+          q_data->fmt->flags & V4L2_FMT_FLAG_COMPRESSED &&
-           f->fmt.pix.width && f->fmt.pix.height) {
-               /*
-                * On the decoder, if provided with a resolution on the input
-@@ -1188,7 +1205,8 @@ static int vidioc_g_selection(struct fil
-       bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE ?
-                                                               true : false;
--      if (capture_queue ^ ctx->dev->decode)
-+      if ((ctx->dev->role == DECODE && !capture_queue) ||
-+          (ctx->dev->role == ENCODE && capture_queue))
-               /* OUTPUT on decoder and CAPTURE on encoder are not valid. */
-               return -EINVAL;
-@@ -1196,7 +1214,8 @@ static int vidioc_g_selection(struct fil
-       if (!q_data)
-               return -EINVAL;
--      if (ctx->dev->decode) {
-+      switch (ctx->dev->role) {
-+      case DECODE:
-               switch (s->target) {
-               case V4L2_SEL_TGT_COMPOSE_DEFAULT:
-               case V4L2_SEL_TGT_COMPOSE:
-@@ -1214,7 +1233,8 @@ static int vidioc_g_selection(struct fil
-               default:
-                       return -EINVAL;
-               }
--      } else {
-+              break;
-+      case ENCODE:
-               switch (s->target) {
-               case V4L2_SEL_TGT_CROP_DEFAULT:
-               case V4L2_SEL_TGT_CROP_BOUNDS:
-@@ -1232,6 +1252,9 @@ static int vidioc_g_selection(struct fil
-               default:
-                       return -EINVAL;
-               }
-+              break;
-+      case ISP:
-+              break;
-       }
-       return 0;
-@@ -1249,7 +1272,8 @@ static int vidioc_s_selection(struct fil
-                __func__, ctx, s->type, q_data, s->target, s->r.left, s->r.top,
-                s->r.width, s->r.height);
--      if (capture_queue ^ ctx->dev->decode)
-+      if ((ctx->dev->role == DECODE && !capture_queue) ||
-+          (ctx->dev->role == ENCODE && capture_queue))
-               /* OUTPUT on decoder and CAPTURE on encoder are not valid. */
-               return -EINVAL;
-@@ -1257,7 +1281,8 @@ static int vidioc_s_selection(struct fil
-       if (!q_data)
-               return -EINVAL;
--      if (ctx->dev->decode) {
-+      switch (ctx->dev->role) {
-+      case DECODE:
-               switch (s->target) {
-               case V4L2_SEL_TGT_COMPOSE:
-                       /* Accept cropped image */
-@@ -1272,7 +1297,8 @@ static int vidioc_s_selection(struct fil
-               default:
-                       return -EINVAL;
-               }
--      } else {
-+              break;
-+      case ENCODE:
-               switch (s->target) {
-               case V4L2_SEL_TGT_CROP:
-                       /* Only support crop from (0,0) */
-@@ -1287,6 +1313,9 @@ static int vidioc_s_selection(struct fil
-               default:
-                       return -EINVAL;
-               }
-+              break;
-+      case ISP:
-+              break;
-       }
-       return 0;
-@@ -1490,7 +1519,7 @@ static int vidioc_try_decoder_cmd(struct
- {
-       struct bcm2835_codec_ctx *ctx = file2ctx(file);
--      if (!ctx->dev->decode)
-+      if (ctx->dev->role != DECODE)
-               return -EINVAL;
-       switch (cmd->cmd) {
-@@ -1564,7 +1593,7 @@ static int vidioc_try_encoder_cmd(struct
- {
-       struct bcm2835_codec_ctx *ctx = file2ctx(file);
--      if (ctx->dev->decode)
-+      if (ctx->dev->role != ENCODE)
-               return -EINVAL;
-       switch (cmd->cmd) {
-@@ -1697,12 +1726,11 @@ static int bcm2835_codec_create_componen
-       unsigned int enable = 1;
-       int ret;
--      ret = vchiq_mmal_component_init(dev->instance, dev->decode ?
--                                      "ril.video_decode" : "ril.video_encode",
-+      ret = vchiq_mmal_component_init(dev->instance, components[dev->role],
-                                       &ctx->component);
-       if (ret < 0) {
--              v4l2_err(&dev->v4l2_dev, "%s: failed to create component for %s\n",
--                       __func__, dev->decode ? "decode" : "encode");
-+              v4l2_err(&dev->v4l2_dev, "%s: failed to create component %s\n",
-+                       __func__, components[dev->role]);
-               return -ENOMEM;
-       }
-@@ -1729,13 +1757,7 @@ static int bcm2835_codec_create_componen
-       if (ret < 0)
-               goto destroy_component;
--      if (dev->decode) {
--              if (ctx->q_data[V4L2_M2M_DST].sizeimage <
--                      ctx->component->output[0].minimum_buffer.size)
--                      v4l2_err(&dev->v4l2_dev, "buffer size mismatch sizeimage %u < min size %u\n",
--                               ctx->q_data[V4L2_M2M_DST].sizeimage,
--                               ctx->component->output[0].minimum_buffer.size);
--      } else {
-+      if (dev->role == ENCODE) {
-               if (ctx->q_data[V4L2_M2M_SRC].sizeimage <
-                       ctx->component->output[0].minimum_buffer.size)
-                       v4l2_err(&dev->v4l2_dev, "buffer size mismatch sizeimage %u < min size %u\n",
-@@ -1744,6 +1766,12 @@ static int bcm2835_codec_create_componen
-               /* Now we have a component we can set all the ctrls */
-               bcm2835_codec_set_ctrls(ctx);
-+      } else {
-+              if (ctx->q_data[V4L2_M2M_DST].sizeimage <
-+                      ctx->component->output[0].minimum_buffer.size)
-+                      v4l2_err(&dev->v4l2_dev, "buffer size mismatch sizeimage %u < min size %u\n",
-+                               ctx->q_data[V4L2_M2M_DST].sizeimage,
-+                               ctx->component->output[0].minimum_buffer.size);
-       }
-       return 0;
-@@ -2090,8 +2118,6 @@ static int bcm2835_codec_open(struct fil
-       struct v4l2_ctrl_handler *hdl;
-       int rc = 0;
--      v4l2_dbg(1, debug, &dev->v4l2_dev, "Creating instance for %s\n",
--               dev->decode ? "decode" : "encode");
-       if (mutex_lock_interruptible(&dev->dev_mutex)) {
-               v4l2_err(&dev->v4l2_dev, "Mutex fail\n");
-               return -ERESTARTSYS;
-@@ -2104,7 +2130,8 @@ static int bcm2835_codec_open(struct fil
-       ctx->q_data[V4L2_M2M_SRC].fmt = get_default_format(dev, false);
-       ctx->q_data[V4L2_M2M_DST].fmt = get_default_format(dev, true);
--      if (dev->decode) {
-+      switch (dev->role) {
-+      case DECODE:
-               /*
-                * Input width and height are irrelevant as they will be defined
-                * by the bitstream not the format. Required by V4L2 though.
-@@ -2126,7 +2153,8 @@ static int bcm2835_codec_open(struct fil
-                       get_sizeimage(ctx->q_data[V4L2_M2M_DST].bytesperline,
-                                     ctx->q_data[V4L2_M2M_DST].height,
-                                     ctx->q_data[V4L2_M2M_DST].fmt);
--      } else {
-+              break;
-+      case ENCODE:
-               ctx->q_data[V4L2_M2M_SRC].crop_width = DEFAULT_WIDTH;
-               ctx->q_data[V4L2_M2M_SRC].crop_height = DEFAULT_HEIGHT;
-               ctx->q_data[V4L2_M2M_SRC].height = DEFAULT_HEIGHT;
-@@ -2144,6 +2172,9 @@ static int bcm2835_codec_open(struct fil
-               ctx->q_data[V4L2_M2M_DST].height = DEFAULT_HEIGHT;
-               ctx->q_data[V4L2_M2M_DST].sizeimage =
-                                               DEF_COMP_BUF_SIZE_720P_OR_LESS;
-+              break;
-+      case ISP:
-+              break;
-       }
-       ctx->colorspace = V4L2_COLORSPACE_REC709;
-@@ -2154,7 +2185,7 @@ static int bcm2835_codec_open(struct fil
-       file->private_data = &ctx->fh;
-       ctx->dev = dev;
-       hdl = &ctx->hdl;
--      if (!dev->decode) {
-+      if (dev->role == ENCODE) {
-               /* Encode controls */
-               v4l2_ctrl_handler_init(hdl, 6);
-@@ -2303,14 +2334,11 @@ static int bcm2835_codec_get_supported_f
-       unsigned int i, j, num_encodings;
-       int ret;
--      ret = vchiq_mmal_component_init(dev->instance,
--                                      dev->decode ?
--                                              "ril.video_decode" :
--                                              "ril.video_encode",
-+      ret = vchiq_mmal_component_init(dev->instance, components[dev->role],
-                                       &component);
-       if (ret < 0) {
--              v4l2_err(&dev->v4l2_dev, "%s: failed to create component\n",
--                       __func__);
-+              v4l2_err(&dev->v4l2_dev, "%s: failed to create component %s\n",
-+                       __func__, components[dev->role]);
-               return -ENOMEM;
-       }
-@@ -2406,12 +2434,13 @@ destroy_component:
- static int bcm2835_codec_create(struct platform_device *pdev,
-                               struct bcm2835_codec_dev **new_dev,
--                              bool decode)
-+                              enum bcm2835_codec_role role)
- {
-       struct bcm2835_codec_dev *dev;
-       struct video_device *vfd;
-       int video_nr;
-       int ret;
-+      const static char *roles[] = {"decode", "encode", "isp"};
-       dev = devm_kzalloc(&pdev->dev, sizeof(*dev), GFP_KERNEL);
-       if (!dev)
-@@ -2419,7 +2448,7 @@ static int bcm2835_codec_create(struct p
-       dev->pdev = pdev;
--      dev->decode = decode;
-+      dev->role = role;
-       ret = vchiq_mmal_init(&dev->instance);
-       if (ret)
-@@ -2441,14 +2470,27 @@ static int bcm2835_codec_create(struct p
-       vfd->lock = &dev->dev_mutex;
-       vfd->v4l2_dev = &dev->v4l2_dev;
--      if (dev->decode) {
-+      switch (role) {
-+      case DECODE:
-               v4l2_disable_ioctl(vfd, VIDIOC_ENCODER_CMD);
-               v4l2_disable_ioctl(vfd, VIDIOC_TRY_ENCODER_CMD);
-               video_nr = decode_video_nr;
--      } else {
-+              break;
-+      case ENCODE:
-               v4l2_disable_ioctl(vfd, VIDIOC_DECODER_CMD);
-               v4l2_disable_ioctl(vfd, VIDIOC_TRY_DECODER_CMD);
-               video_nr = encode_video_nr;
-+              break;
-+      case ISP:
-+              v4l2_disable_ioctl(vfd, VIDIOC_ENCODER_CMD);
-+              v4l2_disable_ioctl(vfd, VIDIOC_TRY_ENCODER_CMD);
-+              v4l2_disable_ioctl(vfd, VIDIOC_DECODER_CMD);
-+              v4l2_disable_ioctl(vfd, VIDIOC_TRY_DECODER_CMD);
-+              video_nr = isp_video_nr;
-+              break;
-+      default:
-+              ret = -EINVAL;
-+              goto unreg_dev;
-       }
-       ret = video_register_device(vfd, VFL_TYPE_GRABBER, video_nr);
-@@ -2473,7 +2515,7 @@ static int bcm2835_codec_create(struct p
-       }
-       v4l2_info(&dev->v4l2_dev, "Loaded V4L2 %s\n",
--                dev->decode ? "decode" : "encode");
-+                roles[role]);
-       return 0;
- err_m2m:
-@@ -2509,11 +2551,15 @@ static int bcm2835_codec_probe(struct pl
-       if (!drv)
-               return -ENOMEM;
--      ret = bcm2835_codec_create(pdev, &drv->encode, false);
-+      ret = bcm2835_codec_create(pdev, &drv->decode, DECODE);
-       if (ret)
-               goto out;
--      ret = bcm2835_codec_create(pdev, &drv->decode, true);
-+      ret = bcm2835_codec_create(pdev, &drv->encode, ENCODE);
-+      if (ret)
-+              goto out;
-+
-+      ret = bcm2835_codec_create(pdev, &drv->isp, ISP);
-       if (ret)
-               goto out;
-@@ -2526,6 +2572,10 @@ out:
-               bcm2835_codec_destroy(drv->encode);
-               drv->encode = NULL;
-       }
-+      if (drv->decode) {
-+              bcm2835_codec_destroy(drv->decode);
-+              drv->decode = NULL;
-+      }
-       return ret;
- }
-@@ -2533,6 +2583,8 @@ static int bcm2835_codec_remove(struct p
- {
-       struct bcm2835_codec_driver *drv = platform_get_drvdata(pdev);
-+      bcm2835_codec_destroy(drv->isp);
-+
-       bcm2835_codec_destroy(drv->encode);
-       bcm2835_codec_destroy(drv->decode);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0187-staging-bcm2835_codec-Fix-handling-of-VB2_MEMORY_DMA.patch b/target/linux/bcm27xx/patches-5.4/950-0187-staging-bcm2835_codec-Fix-handling-of-VB2_MEMORY_DMA.patch
new file mode 100644 (file)
index 0000000..f2aeb91
--- /dev/null
@@ -0,0 +1,186 @@
+From 5dce6c2a033e210ceead1b9ae756905246ae5082 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 15 Feb 2019 11:38:45 +0000
+Subject: [PATCH] staging: bcm2835_codec: Fix handling of
+ VB2_MEMORY_DMABUF buffers
+
+If the queue is configured as VB2_MEMORY_DMABUF then vb2_core_expbuf
+fails as it ensures the queue is defined as VB2_MEMORY_MMAP.
+
+Correct the handling so that we unmap the buffer from vcsm and the
+VPU on cleanup, and then correctly get the dma buf of the new buffer.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 80 +++++++++++++------
+ .../vc04_services/vchiq-mmal/mmal-vchiq.c     | 21 +++--
+ .../vc04_services/vchiq-mmal/mmal-vchiq.h     |  2 +
+ 3 files changed, 73 insertions(+), 30 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -1852,6 +1852,18 @@ static int bcm2835_codec_queue_setup(str
+       return 0;
+ }
++static int bcm2835_codec_mmal_buf_cleanup(struct mmal_buffer *mmal_buf)
++{
++      mmal_vchi_buffer_cleanup(mmal_buf);
++
++      if (mmal_buf->dma_buf) {
++              dma_buf_put(mmal_buf->dma_buf);
++              mmal_buf->dma_buf = NULL;
++      }
++
++      return 0;
++}
++
+ static int bcm2835_codec_buf_init(struct vb2_buffer *vb)
+ {
+       struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
+@@ -1880,6 +1892,7 @@ static int bcm2835_codec_buf_prepare(str
+                                                  vb);
+       struct m2m_mmal_buffer *buf = container_of(m2m, struct m2m_mmal_buffer,
+                                                  m2m);
++      struct dma_buf *dma_buf;
+       int ret;
+       v4l2_dbg(4, debug, &ctx->dev->v4l2_dev, "%s: type: %d ptr %p\n",
+@@ -1906,20 +1919,48 @@ static int bcm2835_codec_buf_prepare(str
+       if (!V4L2_TYPE_IS_OUTPUT(vb->vb2_queue->type))
+               vb2_set_plane_payload(vb, 0, q_data->sizeimage);
+-      /*
+-       * We want to do this at init, but vb2_core_expbuf checks that the
+-       * index < q->num_buffers, and q->num_buffers only gets updated once
+-       * all the buffers are allocated.
+-       */
+-      if (!buf->mmal.dma_buf) {
+-              ret = vb2_core_expbuf_dmabuf(vb->vb2_queue,
+-                                           vb->vb2_queue->type, vb->index, 0,
+-                                           O_CLOEXEC, &buf->mmal.dma_buf);
+-              if (ret)
+-                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed to expbuf idx %d, ret %d\n",
+-                               __func__, vb->index, ret);
+-      } else {
++      switch (vb->memory) {
++      case VB2_MEMORY_DMABUF:
++              dma_buf = dma_buf_get(vb->planes[0].m.fd);
++
++              if (dma_buf != buf->mmal.dma_buf) {
++                      /* dmabuf either hasn't already been mapped, or it has
++                       * changed.
++                       */
++                      if (buf->mmal.dma_buf) {
++                              v4l2_err(&ctx->dev->v4l2_dev,
++                                       "%s Buffer changed - why did the core not call cleanup?\n",
++                                       __func__);
++                              bcm2835_codec_mmal_buf_cleanup(&buf->mmal);
++                      }
++
++                      buf->mmal.dma_buf = dma_buf;
++              }
+               ret = 0;
++              break;
++      case VB2_MEMORY_MMAP:
++              /*
++               * We want to do this at init, but vb2_core_expbuf checks that
++               * the index < q->num_buffers, and q->num_buffers only gets
++               * updated once all the buffers are allocated.
++               */
++              if (!buf->mmal.dma_buf) {
++                      ret = vb2_core_expbuf_dmabuf(vb->vb2_queue,
++                                                   vb->vb2_queue->type,
++                                                   vb->index, 0,
++                                                   O_CLOEXEC,
++                                                   &buf->mmal.dma_buf);
++                      if (ret)
++                              v4l2_err(&ctx->dev->v4l2_dev,
++                                       "%s: Failed to expbuf idx %d, ret %d\n",
++                                       __func__, vb->index, ret);
++              } else {
++                      ret = 0;
++              }
++              break;
++      default:
++              ret = -EINVAL;
++              break;
+       }
+       return ret;
+@@ -1948,12 +1989,7 @@ static void bcm2835_codec_buffer_cleanup
+       v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: ctx:%p, vb %p\n",
+                __func__, ctx, vb);
+-      mmal_vchi_buffer_cleanup(&buf->mmal);
+-
+-      if (buf->mmal.dma_buf) {
+-              dma_buf_put(buf->mmal.dma_buf);
+-              buf->mmal.dma_buf = NULL;
+-      }
++      bcm2835_codec_mmal_buf_cleanup(&buf->mmal);
+ }
+ static int bcm2835_codec_start_streaming(struct vb2_queue *q,
+@@ -2067,11 +2103,7 @@ static void bcm2835_codec_stop_streaming
+               m2m = container_of(vb2, struct v4l2_m2m_buffer, vb);
+               buf = container_of(m2m, struct m2m_mmal_buffer, m2m);
+-              mmal_vchi_buffer_cleanup(&buf->mmal);
+-              if (buf->mmal.dma_buf) {
+-                      dma_buf_put(buf->mmal.dma_buf);
+-                      buf->mmal.dma_buf = NULL;
+-              }
++              bcm2835_codec_mmal_buf_cleanup(&buf->mmal);
+       }
+       /* If both ports disabled, then disable the component */
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -1784,13 +1784,9 @@ int mmal_vchi_buffer_init(struct vchiq_m
+ }
+ EXPORT_SYMBOL_GPL(mmal_vchi_buffer_init);
+-int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf)
++int mmal_vchi_buffer_unmap(struct mmal_buffer *buf)
+ {
+-      struct mmal_msg_context *msg_context = buf->msg_context;
+-
+-      if (msg_context)
+-              release_msg_context(msg_context);
+-      buf->msg_context = NULL;
++      int ret = 0;
+       if (buf->vcsm_handle) {
+               int ret;
+@@ -1802,6 +1798,19 @@ int mmal_vchi_buffer_cleanup(struct mmal
+                       pr_err("%s: vcsm_free failed, ret %d\n", __func__, ret);
+               buf->vcsm_handle = 0;
+       }
++      return ret;
++}
++EXPORT_SYMBOL_GPL(mmal_vchi_buffer_unmap);
++
++int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf)
++{
++      struct mmal_msg_context *msg_context = buf->msg_context;
++
++      if (msg_context)
++              release_msg_context(msg_context);
++      buf->msg_context = NULL;
++
++      mmal_vchi_buffer_unmap(buf);
+       return 0;
+ }
+ EXPORT_SYMBOL_GPL(mmal_vchi_buffer_cleanup);
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
+@@ -167,6 +167,8 @@ int vchiq_mmal_submit_buffer(struct vchi
+                            struct vchiq_mmal_port *port,
+                            struct mmal_buffer *buf);
++int mmal_vchi_buffer_unmap(struct mmal_buffer *buf);
++
+ int mmal_vchi_buffer_init(struct vchiq_mmal_instance *instance,
+                         struct mmal_buffer *buf);
+ int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0188-staging-bcm2835_codec-Add-an-option-for-ignoring-Bay.patch b/target/linux/bcm27xx/patches-5.4/950-0188-staging-bcm2835_codec-Add-an-option-for-ignoring-Bay.patch
deleted file mode 100644 (file)
index 9116126..0000000
+++ /dev/null
@@ -1,179 +0,0 @@
-From c2740ccf8d92b25940ddda20abd4c4f5b97f235c Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 15 Feb 2019 11:36:14 +0000
-Subject: [PATCH] staging: bcm2835_codec: Add an option for ignoring
- Bayer formats.
-
-This is a workaround for GStreamer currently not identifying Bayer
-as a raw format, therefore any device that supports it does not
-match the criteria for v4l2convert.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../bcm2835-codec/bcm2835-v4l2-codec.c        | 29 ++++++++++++++++++-
- 1 file changed, 28 insertions(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -58,6 +58,15 @@ static int isp_video_nr = 12;
- module_param(isp_video_nr, int, 0644);
- MODULE_PARM_DESC(isp_video_nr, "isp video device number");
-+/*
-+ * Workaround for GStreamer v4l2convert component not considering Bayer formats
-+ * as raw, and therefore not considering a V4L2 device that supports them as
-+ * as a suitable candidate.
-+ */
-+static bool disable_bayer;
-+module_param(disable_bayer, bool, 0644);
-+MODULE_PARM_DESC(disable_bayer, "Disable support for Bayer formats");
-+
- static unsigned int debug;
- module_param(debug, uint, 0644);
- MODULE_PARM_DESC(debug, "activates debug info (0-3)");
-@@ -105,6 +114,7 @@ struct bcm2835_codec_fmt {
-       u32     flags;
-       u32     mmal_fmt;
-       int     size_multiplier_x2;
-+      bool    is_bayer;
- };
- static const struct bcm2835_codec_fmt supported_formats[] = {
-@@ -203,6 +213,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB8,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_SBGGR8,
-               .depth                  = 8,
-@@ -210,6 +221,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR8,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_SGRBG8,
-               .depth                  = 8,
-@@ -217,6 +229,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG8,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_SGBRG8,
-               .depth                  = 8,
-@@ -224,6 +237,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG8,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               /* 10 bit */
-               .fourcc                 = V4L2_PIX_FMT_SRGGB10P,
-@@ -232,6 +246,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB10P,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_SBGGR10P,
-               .depth                  = 10,
-@@ -239,6 +254,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR10P,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_SGRBG10P,
-               .depth                  = 10,
-@@ -246,6 +262,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG10P,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_SGBRG10P,
-               .depth                  = 10,
-@@ -253,6 +270,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG10P,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               /* 12 bit */
-               .fourcc                 = V4L2_PIX_FMT_SRGGB12P,
-@@ -261,6 +279,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB12P,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_SBGGR12P,
-               .depth                  = 12,
-@@ -268,6 +287,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR12P,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_SGRBG12P,
-               .depth                  = 12,
-@@ -275,6 +295,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG12P,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_SGBRG12P,
-               .depth                  = 12,
-@@ -282,6 +303,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG12P,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               /* 16 bit */
-               .fourcc                 = V4L2_PIX_FMT_SRGGB16,
-@@ -290,6 +312,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB16,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_SBGGR16,
-               .depth                  = 16,
-@@ -297,6 +320,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR16,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_SGRBG16,
-               .depth                  = 16,
-@@ -304,6 +328,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG16,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               .fourcc                 = V4L2_PIX_FMT_SGBRG16,
-               .depth                  = 16,
-@@ -311,6 +336,7 @@ static const struct bcm2835_codec_fmt su
-               .flags                  = 0,
-               .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG16,
-               .size_multiplier_x2     = 2,
-+              .is_bayer               = true,
-       }, {
-               /* Compressed formats */
-               .fourcc                 = V4L2_PIX_FMT_H264,
-@@ -438,7 +464,8 @@ static const struct bcm2835_codec_fmt *g
-       unsigned int i;
-       for (i = 0; i < ARRAY_SIZE(supported_formats); i++) {
--              if (supported_formats[i].mmal_fmt == mmal_fmt)
-+              if (supported_formats[i].mmal_fmt == mmal_fmt &&
-+                  (!disable_bayer || !supported_formats[i].is_bayer))
-                       return &supported_formats[i];
-       }
-       return NULL;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0188-staging-mmal-vchiq-Update-mmal_parameters.h-with-rec.patch b/target/linux/bcm27xx/patches-5.4/950-0188-staging-mmal-vchiq-Update-mmal_parameters.h-with-rec.patch
new file mode 100644 (file)
index 0000000..1198880
--- /dev/null
@@ -0,0 +1,56 @@
+From 07ef6940df90334980fa3c888358474aaf5bd0c3 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 18 Feb 2019 15:52:29 +0000
+Subject: [PATCH] staging: mmal-vchiq: Update mmal_parameters.h with
+ recently defined params
+
+mmal_parameters.h hasn't been updated to reflect additions made
+over the last few years. Update it to reflect the currently
+supported parameters.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../vchiq-mmal/mmal-parameters.h              | 32 ++++++++++++++++++-
+ 1 file changed, 31 insertions(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
+@@ -580,7 +580,37 @@ enum mmal_parameter_video_type {
+       MMAL_PARAMETER_VIDEO_ENCODE_H264_LOW_DELAY_HRD_FLAG,
+       /**< @ref MMAL_PARAMETER_BOOLEAN_T */
+-      MMAL_PARAMETER_VIDEO_ENCODE_INLINE_HEADER
++      MMAL_PARAMETER_VIDEO_ENCODE_INLINE_HEADER,
++
++      /**< Take a @ref MMAL_PARAMETER_BOOLEAN_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_SEI_ENABLE,
++
++      /**< Take a @ref MMAL_PARAMETER_BOOLEAN_T. */
++      MMAL_PARAMETER_VIDEO_ENCODE_INLINE_VECTORS,
++
++      /**< Take a @ref MMAL_PARAMETER_VIDEO_RENDER_STATS_T. */
++      MMAL_PARAMETER_VIDEO_RENDER_STATS,
++
++      /**< Take a @ref MMAL_PARAMETER_VIDEO_INTERLACE_TYPE_T. */
++      MMAL_PARAMETER_VIDEO_INTERLACE_TYPE,
++
++      /**< Takes a @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_VIDEO_INTERPOLATE_TIMESTAMPS,
++
++      /**< Takes a @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_VIDEO_ENCODE_SPS_TIMING,
++
++      /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_VIDEO_MAX_NUM_CALLBACKS,
++
++      /**< Takes a @ref MMAL_PARAMETER_SOURCE_PATTERN_T */
++      MMAL_PARAMETER_VIDEO_SOURCE_PATTERN,
++
++      /**< Takes a @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_VIDEO_ENCODE_SEPARATE_NAL_BUFS,
++
++      /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_VIDEO_DROPPABLE_PFRAME_LENGTH,
+ };
+ /** Valid mirror modes */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0189-staging-bcm2835_codec-Fix-handling-of-VB2_MEMORY_DMA.patch b/target/linux/bcm27xx/patches-5.4/950-0189-staging-bcm2835_codec-Fix-handling-of-VB2_MEMORY_DMA.patch
deleted file mode 100644 (file)
index f2aeb91..0000000
+++ /dev/null
@@ -1,186 +0,0 @@
-From 5dce6c2a033e210ceead1b9ae756905246ae5082 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 15 Feb 2019 11:38:45 +0000
-Subject: [PATCH] staging: bcm2835_codec: Fix handling of
- VB2_MEMORY_DMABUF buffers
-
-If the queue is configured as VB2_MEMORY_DMABUF then vb2_core_expbuf
-fails as it ensures the queue is defined as VB2_MEMORY_MMAP.
-
-Correct the handling so that we unmap the buffer from vcsm and the
-VPU on cleanup, and then correctly get the dma buf of the new buffer.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../bcm2835-codec/bcm2835-v4l2-codec.c        | 80 +++++++++++++------
- .../vc04_services/vchiq-mmal/mmal-vchiq.c     | 21 +++--
- .../vc04_services/vchiq-mmal/mmal-vchiq.h     |  2 +
- 3 files changed, 73 insertions(+), 30 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -1852,6 +1852,18 @@ static int bcm2835_codec_queue_setup(str
-       return 0;
- }
-+static int bcm2835_codec_mmal_buf_cleanup(struct mmal_buffer *mmal_buf)
-+{
-+      mmal_vchi_buffer_cleanup(mmal_buf);
-+
-+      if (mmal_buf->dma_buf) {
-+              dma_buf_put(mmal_buf->dma_buf);
-+              mmal_buf->dma_buf = NULL;
-+      }
-+
-+      return 0;
-+}
-+
- static int bcm2835_codec_buf_init(struct vb2_buffer *vb)
- {
-       struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
-@@ -1880,6 +1892,7 @@ static int bcm2835_codec_buf_prepare(str
-                                                  vb);
-       struct m2m_mmal_buffer *buf = container_of(m2m, struct m2m_mmal_buffer,
-                                                  m2m);
-+      struct dma_buf *dma_buf;
-       int ret;
-       v4l2_dbg(4, debug, &ctx->dev->v4l2_dev, "%s: type: %d ptr %p\n",
-@@ -1906,20 +1919,48 @@ static int bcm2835_codec_buf_prepare(str
-       if (!V4L2_TYPE_IS_OUTPUT(vb->vb2_queue->type))
-               vb2_set_plane_payload(vb, 0, q_data->sizeimage);
--      /*
--       * We want to do this at init, but vb2_core_expbuf checks that the
--       * index < q->num_buffers, and q->num_buffers only gets updated once
--       * all the buffers are allocated.
--       */
--      if (!buf->mmal.dma_buf) {
--              ret = vb2_core_expbuf_dmabuf(vb->vb2_queue,
--                                           vb->vb2_queue->type, vb->index, 0,
--                                           O_CLOEXEC, &buf->mmal.dma_buf);
--              if (ret)
--                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed to expbuf idx %d, ret %d\n",
--                               __func__, vb->index, ret);
--      } else {
-+      switch (vb->memory) {
-+      case VB2_MEMORY_DMABUF:
-+              dma_buf = dma_buf_get(vb->planes[0].m.fd);
-+
-+              if (dma_buf != buf->mmal.dma_buf) {
-+                      /* dmabuf either hasn't already been mapped, or it has
-+                       * changed.
-+                       */
-+                      if (buf->mmal.dma_buf) {
-+                              v4l2_err(&ctx->dev->v4l2_dev,
-+                                       "%s Buffer changed - why did the core not call cleanup?\n",
-+                                       __func__);
-+                              bcm2835_codec_mmal_buf_cleanup(&buf->mmal);
-+                      }
-+
-+                      buf->mmal.dma_buf = dma_buf;
-+              }
-               ret = 0;
-+              break;
-+      case VB2_MEMORY_MMAP:
-+              /*
-+               * We want to do this at init, but vb2_core_expbuf checks that
-+               * the index < q->num_buffers, and q->num_buffers only gets
-+               * updated once all the buffers are allocated.
-+               */
-+              if (!buf->mmal.dma_buf) {
-+                      ret = vb2_core_expbuf_dmabuf(vb->vb2_queue,
-+                                                   vb->vb2_queue->type,
-+                                                   vb->index, 0,
-+                                                   O_CLOEXEC,
-+                                                   &buf->mmal.dma_buf);
-+                      if (ret)
-+                              v4l2_err(&ctx->dev->v4l2_dev,
-+                                       "%s: Failed to expbuf idx %d, ret %d\n",
-+                                       __func__, vb->index, ret);
-+              } else {
-+                      ret = 0;
-+              }
-+              break;
-+      default:
-+              ret = -EINVAL;
-+              break;
-       }
-       return ret;
-@@ -1948,12 +1989,7 @@ static void bcm2835_codec_buffer_cleanup
-       v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: ctx:%p, vb %p\n",
-                __func__, ctx, vb);
--      mmal_vchi_buffer_cleanup(&buf->mmal);
--
--      if (buf->mmal.dma_buf) {
--              dma_buf_put(buf->mmal.dma_buf);
--              buf->mmal.dma_buf = NULL;
--      }
-+      bcm2835_codec_mmal_buf_cleanup(&buf->mmal);
- }
- static int bcm2835_codec_start_streaming(struct vb2_queue *q,
-@@ -2067,11 +2103,7 @@ static void bcm2835_codec_stop_streaming
-               m2m = container_of(vb2, struct v4l2_m2m_buffer, vb);
-               buf = container_of(m2m, struct m2m_mmal_buffer, m2m);
--              mmal_vchi_buffer_cleanup(&buf->mmal);
--              if (buf->mmal.dma_buf) {
--                      dma_buf_put(buf->mmal.dma_buf);
--                      buf->mmal.dma_buf = NULL;
--              }
-+              bcm2835_codec_mmal_buf_cleanup(&buf->mmal);
-       }
-       /* If both ports disabled, then disable the component */
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -1784,13 +1784,9 @@ int mmal_vchi_buffer_init(struct vchiq_m
- }
- EXPORT_SYMBOL_GPL(mmal_vchi_buffer_init);
--int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf)
-+int mmal_vchi_buffer_unmap(struct mmal_buffer *buf)
- {
--      struct mmal_msg_context *msg_context = buf->msg_context;
--
--      if (msg_context)
--              release_msg_context(msg_context);
--      buf->msg_context = NULL;
-+      int ret = 0;
-       if (buf->vcsm_handle) {
-               int ret;
-@@ -1802,6 +1798,19 @@ int mmal_vchi_buffer_cleanup(struct mmal
-                       pr_err("%s: vcsm_free failed, ret %d\n", __func__, ret);
-               buf->vcsm_handle = 0;
-       }
-+      return ret;
-+}
-+EXPORT_SYMBOL_GPL(mmal_vchi_buffer_unmap);
-+
-+int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf)
-+{
-+      struct mmal_msg_context *msg_context = buf->msg_context;
-+
-+      if (msg_context)
-+              release_msg_context(msg_context);
-+      buf->msg_context = NULL;
-+
-+      mmal_vchi_buffer_unmap(buf);
-       return 0;
- }
- EXPORT_SYMBOL_GPL(mmal_vchi_buffer_cleanup);
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.h
-@@ -167,6 +167,8 @@ int vchiq_mmal_submit_buffer(struct vchi
-                            struct vchiq_mmal_port *port,
-                            struct mmal_buffer *buf);
-+int mmal_vchi_buffer_unmap(struct mmal_buffer *buf);
-+
- int mmal_vchi_buffer_init(struct vchiq_mmal_instance *instance,
-                         struct mmal_buffer *buf);
- int mmal_vchi_buffer_cleanup(struct mmal_buffer *buf);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0189-staging-bcm2835_codec-Include-timing-info-in-SPS-hea.patch b/target/linux/bcm27xx/patches-5.4/950-0189-staging-bcm2835_codec-Include-timing-info-in-SPS-hea.patch
new file mode 100644 (file)
index 0000000..b552698
--- /dev/null
@@ -0,0 +1,44 @@
+From fef7ce28d5ae5b38e4914b1cc54742ae16133807 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 18 Feb 2019 15:56:42 +0000
+Subject: [PATCH] staging: bcm2835_codec: Include timing info in SPS
+ headers
+
+Inserting timing information into the VUI block of the SPS is
+optional with the VPU encoder.
+GStreamer appears to require them when using V4L2 M2M, therefore
+set the option to enable them from the encoder.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c | 12 ++++++++++++
+ 1 file changed, 12 insertions(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -1785,6 +1785,8 @@ static int bcm2835_codec_create_componen
+               goto destroy_component;
+       if (dev->role == ENCODE) {
++              u32 param = 1;
++
+               if (ctx->q_data[V4L2_M2M_SRC].sizeimage <
+                       ctx->component->output[0].minimum_buffer.size)
+                       v4l2_err(&dev->v4l2_dev, "buffer size mismatch sizeimage %u < min size %u\n",
+@@ -1793,6 +1795,16 @@ static int bcm2835_codec_create_componen
+               /* Now we have a component we can set all the ctrls */
+               bcm2835_codec_set_ctrls(ctx);
++
++              /* Enable SPS Timing header so framerate information is encoded
++               * in the H264 header.
++               */
++              vchiq_mmal_port_parameter_set(
++                                      ctx->dev->instance,
++                                      &ctx->component->output[0],
++                                      MMAL_PARAMETER_VIDEO_ENCODE_SPS_TIMING,
++                                      &param, sizeof(param));
++
+       } else {
+               if (ctx->q_data[V4L2_M2M_DST].sizeimage <
+                       ctx->component->output[0].minimum_buffer.size)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0190-staging-bcm2835-codec-NULL-component-handle-on-queue.patch b/target/linux/bcm27xx/patches-5.4/950-0190-staging-bcm2835-codec-NULL-component-handle-on-queue.patch
new file mode 100644 (file)
index 0000000..45ba5ac
--- /dev/null
@@ -0,0 +1,59 @@
+From ee61cd0a1cd42707812e3662c1896f8e0c1155df Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 19 Mar 2019 17:55:09 +0000
+Subject: [PATCH] staging: bcm2835-codec: NULL component handle on
+ queue_setup failure
+
+queue_setup tries creating the relevant MMAL component and configures
+the input and output ports as we're expecting to start streaming.
+If the port configuration failed then it destroyed the component,
+but failed to clear the component handle, therefore release tried
+destroying the component again.
+Adds some logging should the port config fail as well.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c            | 15 +++++++++++++--
+ 1 file changed, 13 insertions(+), 2 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -1776,13 +1776,21 @@ static int bcm2835_codec_create_componen
+       ret = vchiq_mmal_port_set_format(dev->instance,
+                                        &ctx->component->input[0]);
+-      if (ret < 0)
++      if (ret < 0) {
++              v4l2_dbg(1, debug, &dev->v4l2_dev,
++                       "%s: vchiq_mmal_port_set_format ip port failed\n",
++                       __func__);
+               goto destroy_component;
++      }
+       ret = vchiq_mmal_port_set_format(dev->instance,
+                                        &ctx->component->output[0]);
+-      if (ret < 0)
++      if (ret < 0) {
++              v4l2_dbg(1, debug, &dev->v4l2_dev,
++                       "%s: vchiq_mmal_port_set_format op port failed\n",
++                       __func__);
+               goto destroy_component;
++      }
+       if (dev->role == ENCODE) {
+               u32 param = 1;
+@@ -1812,11 +1820,14 @@ static int bcm2835_codec_create_componen
+                                ctx->q_data[V4L2_M2M_DST].sizeimage,
+                                ctx->component->output[0].minimum_buffer.size);
+       }
++      v4l2_dbg(2, debug, &dev->v4l2_dev, "%s: component created as %s\n",
++               __func__, components[dev->role]);
+       return 0;
+ destroy_component:
+       vchiq_mmal_component_finalise(ctx->dev->instance, ctx->component);
++      ctx->component = NULL;
+       return ret;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0190-staging-mmal-vchiq-Update-mmal_parameters.h-with-rec.patch b/target/linux/bcm27xx/patches-5.4/950-0190-staging-mmal-vchiq-Update-mmal_parameters.h-with-rec.patch
deleted file mode 100644 (file)
index 1198880..0000000
+++ /dev/null
@@ -1,56 +0,0 @@
-From 07ef6940df90334980fa3c888358474aaf5bd0c3 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 18 Feb 2019 15:52:29 +0000
-Subject: [PATCH] staging: mmal-vchiq: Update mmal_parameters.h with
- recently defined params
-
-mmal_parameters.h hasn't been updated to reflect additions made
-over the last few years. Update it to reflect the currently
-supported parameters.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../vchiq-mmal/mmal-parameters.h              | 32 ++++++++++++++++++-
- 1 file changed, 31 insertions(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
-@@ -580,7 +580,37 @@ enum mmal_parameter_video_type {
-       MMAL_PARAMETER_VIDEO_ENCODE_H264_LOW_DELAY_HRD_FLAG,
-       /**< @ref MMAL_PARAMETER_BOOLEAN_T */
--      MMAL_PARAMETER_VIDEO_ENCODE_INLINE_HEADER
-+      MMAL_PARAMETER_VIDEO_ENCODE_INLINE_HEADER,
-+
-+      /**< Take a @ref MMAL_PARAMETER_BOOLEAN_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_SEI_ENABLE,
-+
-+      /**< Take a @ref MMAL_PARAMETER_BOOLEAN_T. */
-+      MMAL_PARAMETER_VIDEO_ENCODE_INLINE_VECTORS,
-+
-+      /**< Take a @ref MMAL_PARAMETER_VIDEO_RENDER_STATS_T. */
-+      MMAL_PARAMETER_VIDEO_RENDER_STATS,
-+
-+      /**< Take a @ref MMAL_PARAMETER_VIDEO_INTERLACE_TYPE_T. */
-+      MMAL_PARAMETER_VIDEO_INTERLACE_TYPE,
-+
-+      /**< Takes a @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_VIDEO_INTERPOLATE_TIMESTAMPS,
-+
-+      /**< Takes a @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_VIDEO_ENCODE_SPS_TIMING,
-+
-+      /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_VIDEO_MAX_NUM_CALLBACKS,
-+
-+      /**< Takes a @ref MMAL_PARAMETER_SOURCE_PATTERN_T */
-+      MMAL_PARAMETER_VIDEO_SOURCE_PATTERN,
-+
-+      /**< Takes a @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_VIDEO_ENCODE_SEPARATE_NAL_BUFS,
-+
-+      /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_VIDEO_DROPPABLE_PFRAME_LENGTH,
- };
- /** Valid mirror modes */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0191-staging-bcm2835_codec-Clean-up-logging-on-unloading-.patch b/target/linux/bcm27xx/patches-5.4/950-0191-staging-bcm2835_codec-Clean-up-logging-on-unloading-.patch
new file mode 100644 (file)
index 0000000..8b110ca
--- /dev/null
@@ -0,0 +1,49 @@
+From 02a4a6aaec65be6d1e8ec4b02d6079c1fbdcfec8 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 8 Mar 2019 11:26:00 +0000
+Subject: [PATCH] staging: bcm2835_codec: Clean up logging on unloading
+ the driver
+
+The log line was missing a closing \n, so wasn't added to the
+log immediately.
+Adds the function of the V4L2 device that is being unregistered
+too.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c   | 10 ++++++++--
+ 1 file changed, 8 insertions(+), 2 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -77,6 +77,12 @@ enum bcm2835_codec_role {
+       ISP,
+ };
++const static char *roles[] = {
++      "decode",
++      "encode",
++      "isp"
++};
++
+ static const char * const components[] = {
+       "ril.video_decode",
+       "ril.video_encode",
+@@ -2522,7 +2528,6 @@ static int bcm2835_codec_create(struct p
+       struct video_device *vfd;
+       int video_nr;
+       int ret;
+-      const static char *roles[] = {"decode", "encode", "isp"};
+       dev = devm_kzalloc(&pdev->dev, sizeof(*dev), GFP_KERNEL);
+       if (!dev)
+@@ -2615,7 +2620,8 @@ static int bcm2835_codec_destroy(struct
+       if (!dev)
+               return -ENODEV;
+-      v4l2_info(&dev->v4l2_dev, "Removing " MEM2MEM_NAME);
++      v4l2_info(&dev->v4l2_dev, "Removing " MEM2MEM_NAME ", %s\n",
++                roles[dev->role]);
+       v4l2_m2m_release(dev->m2m_dev);
+       video_unregister_device(&dev->vfd);
+       v4l2_device_unregister(&dev->v4l2_dev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0191-staging-bcm2835_codec-Include-timing-info-in-SPS-hea.patch b/target/linux/bcm27xx/patches-5.4/950-0191-staging-bcm2835_codec-Include-timing-info-in-SPS-hea.patch
deleted file mode 100644 (file)
index b552698..0000000
+++ /dev/null
@@ -1,44 +0,0 @@
-From fef7ce28d5ae5b38e4914b1cc54742ae16133807 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 18 Feb 2019 15:56:42 +0000
-Subject: [PATCH] staging: bcm2835_codec: Include timing info in SPS
- headers
-
-Inserting timing information into the VUI block of the SPS is
-optional with the VPU encoder.
-GStreamer appears to require them when using V4L2 M2M, therefore
-set the option to enable them from the encoder.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c | 12 ++++++++++++
- 1 file changed, 12 insertions(+)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -1785,6 +1785,8 @@ static int bcm2835_codec_create_componen
-               goto destroy_component;
-       if (dev->role == ENCODE) {
-+              u32 param = 1;
-+
-               if (ctx->q_data[V4L2_M2M_SRC].sizeimage <
-                       ctx->component->output[0].minimum_buffer.size)
-                       v4l2_err(&dev->v4l2_dev, "buffer size mismatch sizeimage %u < min size %u\n",
-@@ -1793,6 +1795,16 @@ static int bcm2835_codec_create_componen
-               /* Now we have a component we can set all the ctrls */
-               bcm2835_codec_set_ctrls(ctx);
-+
-+              /* Enable SPS Timing header so framerate information is encoded
-+               * in the H264 header.
-+               */
-+              vchiq_mmal_port_parameter_set(
-+                                      ctx->dev->instance,
-+                                      &ctx->component->output[0],
-+                                      MMAL_PARAMETER_VIDEO_ENCODE_SPS_TIMING,
-+                                      &param, sizeof(param));
-+
-       } else {
-               if (ctx->q_data[V4L2_M2M_DST].sizeimage <
-                       ctx->component->output[0].minimum_buffer.size)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0192-staging-bcm2835-codec-NULL-component-handle-on-queue.patch b/target/linux/bcm27xx/patches-5.4/950-0192-staging-bcm2835-codec-NULL-component-handle-on-queue.patch
deleted file mode 100644 (file)
index 45ba5ac..0000000
+++ /dev/null
@@ -1,59 +0,0 @@
-From ee61cd0a1cd42707812e3662c1896f8e0c1155df Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 19 Mar 2019 17:55:09 +0000
-Subject: [PATCH] staging: bcm2835-codec: NULL component handle on
- queue_setup failure
-
-queue_setup tries creating the relevant MMAL component and configures
-the input and output ports as we're expecting to start streaming.
-If the port configuration failed then it destroyed the component,
-but failed to clear the component handle, therefore release tried
-destroying the component again.
-Adds some logging should the port config fail as well.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../bcm2835-codec/bcm2835-v4l2-codec.c            | 15 +++++++++++++--
- 1 file changed, 13 insertions(+), 2 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -1776,13 +1776,21 @@ static int bcm2835_codec_create_componen
-       ret = vchiq_mmal_port_set_format(dev->instance,
-                                        &ctx->component->input[0]);
--      if (ret < 0)
-+      if (ret < 0) {
-+              v4l2_dbg(1, debug, &dev->v4l2_dev,
-+                       "%s: vchiq_mmal_port_set_format ip port failed\n",
-+                       __func__);
-               goto destroy_component;
-+      }
-       ret = vchiq_mmal_port_set_format(dev->instance,
-                                        &ctx->component->output[0]);
--      if (ret < 0)
-+      if (ret < 0) {
-+              v4l2_dbg(1, debug, &dev->v4l2_dev,
-+                       "%s: vchiq_mmal_port_set_format op port failed\n",
-+                       __func__);
-               goto destroy_component;
-+      }
-       if (dev->role == ENCODE) {
-               u32 param = 1;
-@@ -1812,11 +1820,14 @@ static int bcm2835_codec_create_componen
-                                ctx->q_data[V4L2_M2M_DST].sizeimage,
-                                ctx->component->output[0].minimum_buffer.size);
-       }
-+      v4l2_dbg(2, debug, &dev->v4l2_dev, "%s: component created as %s\n",
-+               __func__, components[dev->role]);
-       return 0;
- destroy_component:
-       vchiq_mmal_component_finalise(ctx->dev->instance, ctx->component);
-+      ctx->component = NULL;
-       return ret;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0192-staging-bcm2835-codec-Refactor-default-resolution-co.patch b/target/linux/bcm27xx/patches-5.4/950-0192-staging-bcm2835-codec-Refactor-default-resolution-co.patch
new file mode 100644 (file)
index 0000000..42ab46c
--- /dev/null
@@ -0,0 +1,154 @@
+From 90ad47170414e19ed6c6dcc3f3c9a68fbc7ad175 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 20 Mar 2019 10:06:51 +0000
+Subject: [PATCH] staging: bcm2835-codec: Refactor default resolution
+ code
+
+The default resolution code was different for each role
+as compressed formats need to pass bytesperline as 0 and
+set up customised buffer sizes.
+This is common setup, therefore amend get_sizeimage and
+get_bytesperline to do the correct thing whether compressed
+or uncompressed.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 103 +++++++-----------
+ 1 file changed, 40 insertions(+), 63 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -578,10 +578,17 @@ static void job_abort(void *priv)
+       ctx->aborting = 1;
+ }
+-static inline unsigned int get_sizeimage(int bpl, int height,
++static inline unsigned int get_sizeimage(int bpl, int width, int height,
+                                        struct bcm2835_codec_fmt *fmt)
+ {
+-      return (bpl * height * fmt->size_multiplier_x2) >> 1;
++      if (fmt->flags & V4L2_FMT_FLAG_COMPRESSED) {
++              if (width * height > 1280 * 720)
++                      return DEF_COMP_BUF_SIZE_GREATER_720P;
++              else
++                      return DEF_COMP_BUF_SIZE_720P_OR_LESS;
++      } else {
++              return (bpl * height * fmt->size_multiplier_x2) >> 1;
++      }
+ }
+ static inline unsigned int get_bytesperline(int width,
+@@ -1032,22 +1039,13 @@ static int vidioc_try_fmt(struct v4l2_fo
+                * some of the pixels are active.
+                */
+               f->fmt.pix.height = ALIGN(f->fmt.pix.height, 16);
+-
+-              f->fmt.pix.bytesperline = get_bytesperline(f->fmt.pix.width,
+-                                                         fmt);
+-              f->fmt.pix.sizeimage = get_sizeimage(f->fmt.pix.bytesperline,
+-                                                   f->fmt.pix.height,
+-                                                   fmt);
+-      } else {
+-              u32 min_size = f->fmt.pix.width > 1280 ||
+-                             f->fmt.pix.height > 720 ?
+-                             DEF_COMP_BUF_SIZE_GREATER_720P :
+-                             DEF_COMP_BUF_SIZE_720P_OR_LESS;
+-
+-              f->fmt.pix.bytesperline = 0;
+-              if (f->fmt.pix.sizeimage < min_size)
+-                      f->fmt.pix.sizeimage = min_size;
+       }
++      f->fmt.pix.bytesperline = get_bytesperline(f->fmt.pix.width,
++                                                 fmt);
++      f->fmt.pix.sizeimage = get_sizeimage(f->fmt.pix.bytesperline,
++                                           f->fmt.pix.width,
++                                           f->fmt.pix.height,
++                                           fmt);
+       f->fmt.pix.field = V4L2_FIELD_NONE;
+@@ -1159,6 +1157,7 @@ static int vidioc_s_fmt(struct bcm2835_c
+               q_data_dst->bytesperline =
+                       get_bytesperline(f->fmt.pix.width, q_data_dst->fmt);
+               q_data_dst->sizeimage = get_sizeimage(q_data_dst->bytesperline,
++                                                    q_data_dst->crop_width,
+                                                     q_data_dst->height,
+                                                     q_data_dst->fmt);
+               update_capture_port = true;
+@@ -2218,52 +2217,30 @@ static int bcm2835_codec_open(struct fil
+       ctx->q_data[V4L2_M2M_SRC].fmt = get_default_format(dev, false);
+       ctx->q_data[V4L2_M2M_DST].fmt = get_default_format(dev, true);
+-      switch (dev->role) {
+-      case DECODE:
+-              /*
+-               * Input width and height are irrelevant as they will be defined
+-               * by the bitstream not the format. Required by V4L2 though.
+-               */
+-              ctx->q_data[V4L2_M2M_SRC].crop_width = DEFAULT_WIDTH;
+-              ctx->q_data[V4L2_M2M_SRC].crop_height = DEFAULT_HEIGHT;
+-              ctx->q_data[V4L2_M2M_SRC].height = DEFAULT_HEIGHT;
+-              ctx->q_data[V4L2_M2M_SRC].bytesperline = 0;
+-              ctx->q_data[V4L2_M2M_SRC].sizeimage =
+-                                              DEF_COMP_BUF_SIZE_720P_OR_LESS;
+-
+-              ctx->q_data[V4L2_M2M_DST].crop_width = DEFAULT_WIDTH;
+-              ctx->q_data[V4L2_M2M_DST].crop_height = DEFAULT_HEIGHT;
+-              ctx->q_data[V4L2_M2M_DST].height = DEFAULT_HEIGHT;
+-              ctx->q_data[V4L2_M2M_DST].bytesperline =
+-                              get_bytesperline(DEFAULT_WIDTH,
+-                                               ctx->q_data[V4L2_M2M_DST].fmt);
+-              ctx->q_data[V4L2_M2M_DST].sizeimage =
+-                      get_sizeimage(ctx->q_data[V4L2_M2M_DST].bytesperline,
+-                                    ctx->q_data[V4L2_M2M_DST].height,
+-                                    ctx->q_data[V4L2_M2M_DST].fmt);
+-              break;
+-      case ENCODE:
+-              ctx->q_data[V4L2_M2M_SRC].crop_width = DEFAULT_WIDTH;
+-              ctx->q_data[V4L2_M2M_SRC].crop_height = DEFAULT_HEIGHT;
+-              ctx->q_data[V4L2_M2M_SRC].height = DEFAULT_HEIGHT;
+-              ctx->q_data[V4L2_M2M_SRC].bytesperline =
+-                              get_bytesperline(DEFAULT_WIDTH,
+-                                               ctx->q_data[V4L2_M2M_SRC].fmt);
+-              ctx->q_data[V4L2_M2M_SRC].sizeimage =
+-                      get_sizeimage(ctx->q_data[V4L2_M2M_SRC].bytesperline,
+-                                    ctx->q_data[V4L2_M2M_SRC].height,
+-                                    ctx->q_data[V4L2_M2M_SRC].fmt);
+-
+-              ctx->q_data[V4L2_M2M_DST].crop_width = DEFAULT_WIDTH;
+-              ctx->q_data[V4L2_M2M_DST].crop_height = DEFAULT_HEIGHT;
+-              ctx->q_data[V4L2_M2M_DST].bytesperline = 0;
+-              ctx->q_data[V4L2_M2M_DST].height = DEFAULT_HEIGHT;
+-              ctx->q_data[V4L2_M2M_DST].sizeimage =
+-                                              DEF_COMP_BUF_SIZE_720P_OR_LESS;
+-              break;
+-      case ISP:
+-              break;
+-      }
++
++      ctx->q_data[V4L2_M2M_SRC].crop_width = DEFAULT_WIDTH;
++      ctx->q_data[V4L2_M2M_SRC].crop_height = DEFAULT_HEIGHT;
++      ctx->q_data[V4L2_M2M_SRC].height = DEFAULT_HEIGHT;
++      ctx->q_data[V4L2_M2M_SRC].bytesperline =
++                      get_bytesperline(DEFAULT_WIDTH,
++                                       ctx->q_data[V4L2_M2M_SRC].fmt);
++      ctx->q_data[V4L2_M2M_SRC].sizeimage =
++              get_sizeimage(ctx->q_data[V4L2_M2M_SRC].bytesperline,
++                            ctx->q_data[V4L2_M2M_SRC].crop_width,
++                            ctx->q_data[V4L2_M2M_SRC].height,
++                            ctx->q_data[V4L2_M2M_SRC].fmt);
++
++      ctx->q_data[V4L2_M2M_DST].crop_width = DEFAULT_WIDTH;
++      ctx->q_data[V4L2_M2M_DST].crop_height = DEFAULT_HEIGHT;
++      ctx->q_data[V4L2_M2M_DST].height = DEFAULT_HEIGHT;
++      ctx->q_data[V4L2_M2M_DST].bytesperline =
++                      get_bytesperline(DEFAULT_WIDTH,
++                                       ctx->q_data[V4L2_M2M_DST].fmt);
++      ctx->q_data[V4L2_M2M_DST].sizeimage =
++              get_sizeimage(ctx->q_data[V4L2_M2M_DST].bytesperline,
++                            ctx->q_data[V4L2_M2M_DST].crop_width,
++                            ctx->q_data[V4L2_M2M_DST].height,
++                            ctx->q_data[V4L2_M2M_DST].fmt);
+       ctx->colorspace = V4L2_COLORSPACE_REC709;
+       ctx->bitrate = 10 * 1000 * 1000;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0193-staging-bcm2835-codec-Correct-port-width-calc-for-tr.patch b/target/linux/bcm27xx/patches-5.4/950-0193-staging-bcm2835-codec-Correct-port-width-calc-for-tr.patch
new file mode 100644 (file)
index 0000000..cf57c4c
--- /dev/null
@@ -0,0 +1,29 @@
+From ecd057b5856ebdc1a9a10f3f4a8fe445bc29623f Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 2 May 2019 14:30:24 +0100
+Subject: [PATCH] staging: bcm2835-codec: Correct port width calc for
+ truncation
+
+The calculation converting from V4L2 bytesperline to MMAL
+width had an operator ordering issue that lead to Bayer raw 10
+(and 12 and 14) setting an incorrect stride for the buffer.
+Correct this operation ordering issue.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c  | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -605,8 +605,8 @@ static void setup_mmal_port_format(struc
+       if (!(q_data->fmt->flags & V4L2_FMT_FLAG_COMPRESSED)) {
+               /* Raw image format - set width/height */
+-              port->es.video.width = q_data->bytesperline /
+-                                              (q_data->fmt->depth >> 3);
++              port->es.video.width = (q_data->bytesperline << 3) /
++                                              q_data->fmt->depth;
+               port->es.video.height = q_data->height;
+               port->es.video.crop.width = q_data->crop_width;
+               port->es.video.crop.height = q_data->crop_height;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0193-staging-bcm2835_codec-Clean-up-logging-on-unloading-.patch b/target/linux/bcm27xx/patches-5.4/950-0193-staging-bcm2835_codec-Clean-up-logging-on-unloading-.patch
deleted file mode 100644 (file)
index 8b110ca..0000000
+++ /dev/null
@@ -1,49 +0,0 @@
-From 02a4a6aaec65be6d1e8ec4b02d6079c1fbdcfec8 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 8 Mar 2019 11:26:00 +0000
-Subject: [PATCH] staging: bcm2835_codec: Clean up logging on unloading
- the driver
-
-The log line was missing a closing \n, so wasn't added to the
-log immediately.
-Adds the function of the V4L2 device that is being unregistered
-too.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c   | 10 ++++++++--
- 1 file changed, 8 insertions(+), 2 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -77,6 +77,12 @@ enum bcm2835_codec_role {
-       ISP,
- };
-+const static char *roles[] = {
-+      "decode",
-+      "encode",
-+      "isp"
-+};
-+
- static const char * const components[] = {
-       "ril.video_decode",
-       "ril.video_encode",
-@@ -2522,7 +2528,6 @@ static int bcm2835_codec_create(struct p
-       struct video_device *vfd;
-       int video_nr;
-       int ret;
--      const static char *roles[] = {"decode", "encode", "isp"};
-       dev = devm_kzalloc(&pdev->dev, sizeof(*dev), GFP_KERNEL);
-       if (!dev)
-@@ -2615,7 +2620,8 @@ static int bcm2835_codec_destroy(struct
-       if (!dev)
-               return -ENODEV;
--      v4l2_info(&dev->v4l2_dev, "Removing " MEM2MEM_NAME);
-+      v4l2_info(&dev->v4l2_dev, "Removing " MEM2MEM_NAME ", %s\n",
-+                roles[dev->role]);
-       v4l2_m2m_release(dev->m2m_dev);
-       video_unregister_device(&dev->vfd);
-       v4l2_device_unregister(&dev->v4l2_dev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0194-staging-bcm2835-codec-Refactor-default-resolution-co.patch b/target/linux/bcm27xx/patches-5.4/950-0194-staging-bcm2835-codec-Refactor-default-resolution-co.patch
deleted file mode 100644 (file)
index 42ab46c..0000000
+++ /dev/null
@@ -1,154 +0,0 @@
-From 90ad47170414e19ed6c6dcc3f3c9a68fbc7ad175 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 20 Mar 2019 10:06:51 +0000
-Subject: [PATCH] staging: bcm2835-codec: Refactor default resolution
- code
-
-The default resolution code was different for each role
-as compressed formats need to pass bytesperline as 0 and
-set up customised buffer sizes.
-This is common setup, therefore amend get_sizeimage and
-get_bytesperline to do the correct thing whether compressed
-or uncompressed.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../bcm2835-codec/bcm2835-v4l2-codec.c        | 103 +++++++-----------
- 1 file changed, 40 insertions(+), 63 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -578,10 +578,17 @@ static void job_abort(void *priv)
-       ctx->aborting = 1;
- }
--static inline unsigned int get_sizeimage(int bpl, int height,
-+static inline unsigned int get_sizeimage(int bpl, int width, int height,
-                                        struct bcm2835_codec_fmt *fmt)
- {
--      return (bpl * height * fmt->size_multiplier_x2) >> 1;
-+      if (fmt->flags & V4L2_FMT_FLAG_COMPRESSED) {
-+              if (width * height > 1280 * 720)
-+                      return DEF_COMP_BUF_SIZE_GREATER_720P;
-+              else
-+                      return DEF_COMP_BUF_SIZE_720P_OR_LESS;
-+      } else {
-+              return (bpl * height * fmt->size_multiplier_x2) >> 1;
-+      }
- }
- static inline unsigned int get_bytesperline(int width,
-@@ -1032,22 +1039,13 @@ static int vidioc_try_fmt(struct v4l2_fo
-                * some of the pixels are active.
-                */
-               f->fmt.pix.height = ALIGN(f->fmt.pix.height, 16);
--
--              f->fmt.pix.bytesperline = get_bytesperline(f->fmt.pix.width,
--                                                         fmt);
--              f->fmt.pix.sizeimage = get_sizeimage(f->fmt.pix.bytesperline,
--                                                   f->fmt.pix.height,
--                                                   fmt);
--      } else {
--              u32 min_size = f->fmt.pix.width > 1280 ||
--                             f->fmt.pix.height > 720 ?
--                             DEF_COMP_BUF_SIZE_GREATER_720P :
--                             DEF_COMP_BUF_SIZE_720P_OR_LESS;
--
--              f->fmt.pix.bytesperline = 0;
--              if (f->fmt.pix.sizeimage < min_size)
--                      f->fmt.pix.sizeimage = min_size;
-       }
-+      f->fmt.pix.bytesperline = get_bytesperline(f->fmt.pix.width,
-+                                                 fmt);
-+      f->fmt.pix.sizeimage = get_sizeimage(f->fmt.pix.bytesperline,
-+                                           f->fmt.pix.width,
-+                                           f->fmt.pix.height,
-+                                           fmt);
-       f->fmt.pix.field = V4L2_FIELD_NONE;
-@@ -1159,6 +1157,7 @@ static int vidioc_s_fmt(struct bcm2835_c
-               q_data_dst->bytesperline =
-                       get_bytesperline(f->fmt.pix.width, q_data_dst->fmt);
-               q_data_dst->sizeimage = get_sizeimage(q_data_dst->bytesperline,
-+                                                    q_data_dst->crop_width,
-                                                     q_data_dst->height,
-                                                     q_data_dst->fmt);
-               update_capture_port = true;
-@@ -2218,52 +2217,30 @@ static int bcm2835_codec_open(struct fil
-       ctx->q_data[V4L2_M2M_SRC].fmt = get_default_format(dev, false);
-       ctx->q_data[V4L2_M2M_DST].fmt = get_default_format(dev, true);
--      switch (dev->role) {
--      case DECODE:
--              /*
--               * Input width and height are irrelevant as they will be defined
--               * by the bitstream not the format. Required by V4L2 though.
--               */
--              ctx->q_data[V4L2_M2M_SRC].crop_width = DEFAULT_WIDTH;
--              ctx->q_data[V4L2_M2M_SRC].crop_height = DEFAULT_HEIGHT;
--              ctx->q_data[V4L2_M2M_SRC].height = DEFAULT_HEIGHT;
--              ctx->q_data[V4L2_M2M_SRC].bytesperline = 0;
--              ctx->q_data[V4L2_M2M_SRC].sizeimage =
--                                              DEF_COMP_BUF_SIZE_720P_OR_LESS;
--
--              ctx->q_data[V4L2_M2M_DST].crop_width = DEFAULT_WIDTH;
--              ctx->q_data[V4L2_M2M_DST].crop_height = DEFAULT_HEIGHT;
--              ctx->q_data[V4L2_M2M_DST].height = DEFAULT_HEIGHT;
--              ctx->q_data[V4L2_M2M_DST].bytesperline =
--                              get_bytesperline(DEFAULT_WIDTH,
--                                               ctx->q_data[V4L2_M2M_DST].fmt);
--              ctx->q_data[V4L2_M2M_DST].sizeimage =
--                      get_sizeimage(ctx->q_data[V4L2_M2M_DST].bytesperline,
--                                    ctx->q_data[V4L2_M2M_DST].height,
--                                    ctx->q_data[V4L2_M2M_DST].fmt);
--              break;
--      case ENCODE:
--              ctx->q_data[V4L2_M2M_SRC].crop_width = DEFAULT_WIDTH;
--              ctx->q_data[V4L2_M2M_SRC].crop_height = DEFAULT_HEIGHT;
--              ctx->q_data[V4L2_M2M_SRC].height = DEFAULT_HEIGHT;
--              ctx->q_data[V4L2_M2M_SRC].bytesperline =
--                              get_bytesperline(DEFAULT_WIDTH,
--                                               ctx->q_data[V4L2_M2M_SRC].fmt);
--              ctx->q_data[V4L2_M2M_SRC].sizeimage =
--                      get_sizeimage(ctx->q_data[V4L2_M2M_SRC].bytesperline,
--                                    ctx->q_data[V4L2_M2M_SRC].height,
--                                    ctx->q_data[V4L2_M2M_SRC].fmt);
--
--              ctx->q_data[V4L2_M2M_DST].crop_width = DEFAULT_WIDTH;
--              ctx->q_data[V4L2_M2M_DST].crop_height = DEFAULT_HEIGHT;
--              ctx->q_data[V4L2_M2M_DST].bytesperline = 0;
--              ctx->q_data[V4L2_M2M_DST].height = DEFAULT_HEIGHT;
--              ctx->q_data[V4L2_M2M_DST].sizeimage =
--                                              DEF_COMP_BUF_SIZE_720P_OR_LESS;
--              break;
--      case ISP:
--              break;
--      }
-+
-+      ctx->q_data[V4L2_M2M_SRC].crop_width = DEFAULT_WIDTH;
-+      ctx->q_data[V4L2_M2M_SRC].crop_height = DEFAULT_HEIGHT;
-+      ctx->q_data[V4L2_M2M_SRC].height = DEFAULT_HEIGHT;
-+      ctx->q_data[V4L2_M2M_SRC].bytesperline =
-+                      get_bytesperline(DEFAULT_WIDTH,
-+                                       ctx->q_data[V4L2_M2M_SRC].fmt);
-+      ctx->q_data[V4L2_M2M_SRC].sizeimage =
-+              get_sizeimage(ctx->q_data[V4L2_M2M_SRC].bytesperline,
-+                            ctx->q_data[V4L2_M2M_SRC].crop_width,
-+                            ctx->q_data[V4L2_M2M_SRC].height,
-+                            ctx->q_data[V4L2_M2M_SRC].fmt);
-+
-+      ctx->q_data[V4L2_M2M_DST].crop_width = DEFAULT_WIDTH;
-+      ctx->q_data[V4L2_M2M_DST].crop_height = DEFAULT_HEIGHT;
-+      ctx->q_data[V4L2_M2M_DST].height = DEFAULT_HEIGHT;
-+      ctx->q_data[V4L2_M2M_DST].bytesperline =
-+                      get_bytesperline(DEFAULT_WIDTH,
-+                                       ctx->q_data[V4L2_M2M_DST].fmt);
-+      ctx->q_data[V4L2_M2M_DST].sizeimage =
-+              get_sizeimage(ctx->q_data[V4L2_M2M_DST].bytesperline,
-+                            ctx->q_data[V4L2_M2M_DST].crop_width,
-+                            ctx->q_data[V4L2_M2M_DST].height,
-+                            ctx->q_data[V4L2_M2M_DST].fmt);
-       ctx->colorspace = V4L2_COLORSPACE_REC709;
-       ctx->bitrate = 10 * 1000 * 1000;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0194-staging-bcm2835-codec-Remove-height-padding-for-ISP-.patch b/target/linux/bcm27xx/patches-5.4/950-0194-staging-bcm2835-codec-Remove-height-padding-for-ISP-.patch
new file mode 100644 (file)
index 0000000..7a94ffa
--- /dev/null
@@ -0,0 +1,61 @@
+From 03b7c70e9164f7b7f0af0cf0b79e689ef97e796b Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 2 May 2019 14:32:21 +0100
+Subject: [PATCH] staging: bcm2835-codec: Remove height padding for ISP
+ role
+
+The ISP has no need for heights to be a multiple of macroblock
+sizes, therefore doesn't require the align on the height.
+Remove it for the ISP role. (It is required for the codecs).
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c              | 13 ++++++++-----
+ 1 file changed, 8 insertions(+), 5 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -1015,7 +1015,8 @@ static int vidioc_g_fmt_vid_cap(struct f
+       return vidioc_g_fmt(file2ctx(file), f);
+ }
+-static int vidioc_try_fmt(struct v4l2_format *f, struct bcm2835_codec_fmt *fmt)
++static int vidioc_try_fmt(struct bcm2835_codec_ctx *ctx, struct v4l2_format *f,
++                        struct bcm2835_codec_fmt *fmt)
+ {
+       /*
+        * The V4L2 specification requires the driver to correct the format
+@@ -1034,11 +1035,13 @@ static int vidioc_try_fmt(struct v4l2_fo
+                       f->fmt.pix.height = MIN_H;
+               /*
+-               * Buffer must have a vertical alignment of 16 lines.
++               * For codecs the buffer must have a vertical alignment of 16
++               * lines.
+                * The selection will reflect any cropping rectangle when only
+                * some of the pixels are active.
+                */
+-              f->fmt.pix.height = ALIGN(f->fmt.pix.height, 16);
++              if (ctx->dev->role != ISP)
++                      f->fmt.pix.height = ALIGN(f->fmt.pix.height, 16);
+       }
+       f->fmt.pix.bytesperline = get_bytesperline(f->fmt.pix.width,
+                                                  fmt);
+@@ -1065,7 +1068,7 @@ static int vidioc_try_fmt_vid_cap(struct
+               fmt = find_format(f, ctx->dev, true);
+       }
+-      return vidioc_try_fmt(f, fmt);
++      return vidioc_try_fmt(ctx, f, fmt);
+ }
+ static int vidioc_try_fmt_vid_out(struct file *file, void *priv,
+@@ -1084,7 +1087,7 @@ static int vidioc_try_fmt_vid_out(struct
+       if (!f->fmt.pix.colorspace)
+               f->fmt.pix.colorspace = ctx->colorspace;
+-      return vidioc_try_fmt(f, fmt);
++      return vidioc_try_fmt(ctx, f, fmt);
+ }
+ static int vidioc_s_fmt(struct bcm2835_codec_ctx *ctx, struct v4l2_format *f,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0195-staging-bcm2835-codec-Correct-port-width-calc-for-tr.patch b/target/linux/bcm27xx/patches-5.4/950-0195-staging-bcm2835-codec-Correct-port-width-calc-for-tr.patch
deleted file mode 100644 (file)
index cf57c4c..0000000
+++ /dev/null
@@ -1,29 +0,0 @@
-From ecd057b5856ebdc1a9a10f3f4a8fe445bc29623f Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 2 May 2019 14:30:24 +0100
-Subject: [PATCH] staging: bcm2835-codec: Correct port width calc for
- truncation
-
-The calculation converting from V4L2 bytesperline to MMAL
-width had an operator ordering issue that lead to Bayer raw 10
-(and 12 and 14) setting an incorrect stride for the buffer.
-Correct this operation ordering issue.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c  | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -605,8 +605,8 @@ static void setup_mmal_port_format(struc
-       if (!(q_data->fmt->flags & V4L2_FMT_FLAG_COMPRESSED)) {
-               /* Raw image format - set width/height */
--              port->es.video.width = q_data->bytesperline /
--                                              (q_data->fmt->depth >> 3);
-+              port->es.video.width = (q_data->bytesperline << 3) /
-+                                              q_data->fmt->depth;
-               port->es.video.height = q_data->height;
-               port->es.video.crop.width = q_data->crop_width;
-               port->es.video.crop.height = q_data->crop_height;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0195-staging-mmal-vchiq-Free-the-event-context-for-contro.patch b/target/linux/bcm27xx/patches-5.4/950-0195-staging-mmal-vchiq-Free-the-event-context-for-contro.patch
new file mode 100644 (file)
index 0000000..c510e64
--- /dev/null
@@ -0,0 +1,28 @@
+From 494d7b63100a1ca9aabeabdf752ecba1c4e5189f Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 1 May 2019 13:27:23 +0100
+Subject: [PATCH] staging: mmal-vchiq: Free the event context for
+ control ports
+
+vchiq_mmal_component_init calls init_event_context for the
+control port, but vchiq_mmal_component_finalise didn't free
+it, causing a memory leak..
+
+Add the free call.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c | 2 ++
+ 1 file changed, 2 insertions(+)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -1981,6 +1981,8 @@ int vchiq_mmal_component_finalise(struct
+       for (idx = 0; idx < component->clocks; idx++)
+               free_event_context(&component->clock[idx]);
++      free_event_context(&component->control);
++
+       mutex_unlock(&instance->vchiq_mutex);
+       return ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0196-staging-bcm2835-codec-Remove-height-padding-for-ISP-.patch b/target/linux/bcm27xx/patches-5.4/950-0196-staging-bcm2835-codec-Remove-height-padding-for-ISP-.patch
deleted file mode 100644 (file)
index 7a94ffa..0000000
+++ /dev/null
@@ -1,61 +0,0 @@
-From 03b7c70e9164f7b7f0af0cf0b79e689ef97e796b Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 2 May 2019 14:32:21 +0100
-Subject: [PATCH] staging: bcm2835-codec: Remove height padding for ISP
- role
-
-The ISP has no need for heights to be a multiple of macroblock
-sizes, therefore doesn't require the align on the height.
-Remove it for the ISP role. (It is required for the codecs).
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../bcm2835-codec/bcm2835-v4l2-codec.c              | 13 ++++++++-----
- 1 file changed, 8 insertions(+), 5 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -1015,7 +1015,8 @@ static int vidioc_g_fmt_vid_cap(struct f
-       return vidioc_g_fmt(file2ctx(file), f);
- }
--static int vidioc_try_fmt(struct v4l2_format *f, struct bcm2835_codec_fmt *fmt)
-+static int vidioc_try_fmt(struct bcm2835_codec_ctx *ctx, struct v4l2_format *f,
-+                        struct bcm2835_codec_fmt *fmt)
- {
-       /*
-        * The V4L2 specification requires the driver to correct the format
-@@ -1034,11 +1035,13 @@ static int vidioc_try_fmt(struct v4l2_fo
-                       f->fmt.pix.height = MIN_H;
-               /*
--               * Buffer must have a vertical alignment of 16 lines.
-+               * For codecs the buffer must have a vertical alignment of 16
-+               * lines.
-                * The selection will reflect any cropping rectangle when only
-                * some of the pixels are active.
-                */
--              f->fmt.pix.height = ALIGN(f->fmt.pix.height, 16);
-+              if (ctx->dev->role != ISP)
-+                      f->fmt.pix.height = ALIGN(f->fmt.pix.height, 16);
-       }
-       f->fmt.pix.bytesperline = get_bytesperline(f->fmt.pix.width,
-                                                  fmt);
-@@ -1065,7 +1068,7 @@ static int vidioc_try_fmt_vid_cap(struct
-               fmt = find_format(f, ctx->dev, true);
-       }
--      return vidioc_try_fmt(f, fmt);
-+      return vidioc_try_fmt(ctx, f, fmt);
- }
- static int vidioc_try_fmt_vid_out(struct file *file, void *priv,
-@@ -1084,7 +1087,7 @@ static int vidioc_try_fmt_vid_out(struct
-       if (!f->fmt.pix.colorspace)
-               f->fmt.pix.colorspace = ctx->colorspace;
--      return vidioc_try_fmt(f, fmt);
-+      return vidioc_try_fmt(ctx, f, fmt);
- }
- static int vidioc_s_fmt(struct bcm2835_codec_ctx *ctx, struct v4l2_format *f,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0196-staging-mmal-vchiq-Fix-memory-leak-in-error-path.patch b/target/linux/bcm27xx/patches-5.4/950-0196-staging-mmal-vchiq-Fix-memory-leak-in-error-path.patch
new file mode 100644 (file)
index 0000000..6e846e4
--- /dev/null
@@ -0,0 +1,76 @@
+From 20bf522637b3e113d8eb961f9a5d11e4c2d555b6 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 2 May 2019 15:50:01 +0100
+Subject: [PATCH] staging: mmal-vchiq: Fix memory leak in error path
+
+On error, vchiq_mmal_component_init could leave the
+event context allocated for ports.
+Clean them up in the error path.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../vc04_services/vchiq-mmal/mmal-vchiq.c     | 29 +++++++++++++------
+ 1 file changed, 20 insertions(+), 9 deletions(-)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
+@@ -1847,9 +1847,26 @@ static void free_event_context(struct vc
+ {
+       struct mmal_msg_context *ctx = port->event_context;
++      if (!ctx)
++              return;
++
+       kfree(ctx->u.bulk.buffer->buffer);
+       kfree(ctx->u.bulk.buffer);
+       release_msg_context(ctx);
++      port->event_context = NULL;
++}
++
++static void release_all_event_contexts(struct vchiq_mmal_component *component)
++{
++      int idx;
++
++      for (idx = 0; idx < component->inputs; idx++)
++              free_event_context(&component->input[idx]);
++      for (idx = 0; idx < component->outputs; idx++)
++              free_event_context(&component->output[idx]);
++      for (idx = 0; idx < component->clocks; idx++)
++              free_event_context(&component->clock[idx]);
++      free_event_context(&component->control);
+ }
+ /* Initialise a mmal component and its ports
+@@ -1947,6 +1964,7 @@ int vchiq_mmal_component_init(struct vch
+ release_component:
+       destroy_component(instance, component);
++      release_all_event_contexts(component);
+ unlock:
+       if (component)
+               component->in_use = 0;
+@@ -1962,7 +1980,7 @@ EXPORT_SYMBOL_GPL(vchiq_mmal_component_i
+ int vchiq_mmal_component_finalise(struct vchiq_mmal_instance *instance,
+                                 struct vchiq_mmal_component *component)
+ {
+-      int ret, idx;
++      int ret;
+       if (mutex_lock_interruptible(&instance->vchiq_mutex))
+               return -EINTR;
+@@ -1974,14 +1992,7 @@ int vchiq_mmal_component_finalise(struct
+       component->in_use = 0;
+-      for (idx = 0; idx < component->inputs; idx++)
+-              free_event_context(&component->input[idx]);
+-      for (idx = 0; idx < component->outputs; idx++)
+-              free_event_context(&component->output[idx]);
+-      for (idx = 0; idx < component->clocks; idx++)
+-              free_event_context(&component->clock[idx]);
+-
+-      free_event_context(&component->control);
++      release_all_event_contexts(component);
+       mutex_unlock(&instance->vchiq_mutex);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0197-staging-bcm2835-codec-Convert-V4L2-nsec-timestamps-t.patch b/target/linux/bcm27xx/patches-5.4/950-0197-staging-bcm2835-codec-Convert-V4L2-nsec-timestamps-t.patch
new file mode 100644 (file)
index 0000000..481ca48
--- /dev/null
@@ -0,0 +1,46 @@
+From 19a2ab86f47213575ebcde216505623bc65e3918 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 10 May 2019 14:11:58 +0100
+Subject: [PATCH] staging: bcm2835-codec: Convert V4L2 nsec timestamps
+ to MMAL usec
+
+V4L2 uses nsecs, whilst MMAL uses usecs, but the code wasn't converting
+between them. This upsets video encode rate control.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c     | 9 +++++++--
+ 1 file changed, 7 insertions(+), 2 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -823,7 +823,8 @@ static void op_buffer_cb(struct vchiq_mm
+               vb2->flags |= V4L2_BUF_FLAG_LAST;
+       }
+-      vb2->vb2_buf.timestamp = mmal_buf->pts;
++      /* vb2 timestamps in nsecs, mmal in usecs */
++      vb2->vb2_buf.timestamp = mmal_buf->pts * 1000;
+       vb2_set_plane_payload(&vb2->vb2_buf, 0, mmal_buf->length);
+       if (mmal_buf->mmal_flags & MMAL_BUFFER_HEADER_FLAG_KEYFRAME)
+@@ -847,6 +848,7 @@ static void op_buffer_cb(struct vchiq_mm
+ static void vb2_to_mmal_buffer(struct m2m_mmal_buffer *buf,
+                              struct vb2_v4l2_buffer *vb2)
+ {
++      u64 pts;
+       buf->mmal.mmal_flags = 0;
+       if (vb2->flags & V4L2_BUF_FLAG_KEYFRAME)
+               buf->mmal.mmal_flags |= MMAL_BUFFER_HEADER_FLAG_KEYFRAME;
+@@ -869,7 +871,10 @@ static void vb2_to_mmal_buffer(struct m2
+       if (!buf->mmal.length || vb2->flags & V4L2_BUF_FLAG_LAST)
+               buf->mmal.mmal_flags |= MMAL_BUFFER_HEADER_FLAG_EOS;
+-      buf->mmal.pts = vb2->vb2_buf.timestamp;
++      /* vb2 timestamps in nsecs, mmal in usecs */
++      pts = vb2->vb2_buf.timestamp;
++      do_div(pts, 1000);
++      buf->mmal.pts = pts;
+       buf->mmal.dts = MMAL_TIME_UNKNOWN;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0197-staging-mmal-vchiq-Free-the-event-context-for-contro.patch b/target/linux/bcm27xx/patches-5.4/950-0197-staging-mmal-vchiq-Free-the-event-context-for-contro.patch
deleted file mode 100644 (file)
index c510e64..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From 494d7b63100a1ca9aabeabdf752ecba1c4e5189f Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 1 May 2019 13:27:23 +0100
-Subject: [PATCH] staging: mmal-vchiq: Free the event context for
- control ports
-
-vchiq_mmal_component_init calls init_event_context for the
-control port, but vchiq_mmal_component_finalise didn't free
-it, causing a memory leak..
-
-Add the free call.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c | 2 ++
- 1 file changed, 2 insertions(+)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -1981,6 +1981,8 @@ int vchiq_mmal_component_finalise(struct
-       for (idx = 0; idx < component->clocks; idx++)
-               free_event_context(&component->clock[idx]);
-+      free_event_context(&component->control);
-+
-       mutex_unlock(&instance->vchiq_mutex);
-       return ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0198-staging-bcm2835-codec-Add-support-for-setting-S_PARM.patch b/target/linux/bcm27xx/patches-5.4/950-0198-staging-bcm2835-codec-Add-support-for-setting-S_PARM.patch
new file mode 100644 (file)
index 0000000..dca6c24
--- /dev/null
@@ -0,0 +1,118 @@
+From 9f1a5a143f0da79813775d9f29608cd4ea2dd01b Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 10 May 2019 14:13:11 +0100
+Subject: [PATCH] staging: bcm2835-codec: Add support for setting
+ S_PARM and G_PARM
+
+Video encode can use the frame rate for rate control calculations,
+therefore plumb it through from V4L2's [S|G]_PARM ioctl.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 52 +++++++++++++++++--
+ 1 file changed, 48 insertions(+), 4 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -447,6 +447,8 @@ struct bcm2835_codec_ctx {
+       /* Source and destination queue data */
+       struct bcm2835_codec_q_data   q_data[2];
+       s32  bitrate;
++      unsigned int    framerate_num;
++      unsigned int    framerate_denom;
+       bool aborting;
+       int num_ip_buffers;
+@@ -610,8 +612,8 @@ static void setup_mmal_port_format(struc
+               port->es.video.height = q_data->height;
+               port->es.video.crop.width = q_data->crop_width;
+               port->es.video.crop.height = q_data->crop_height;
+-              port->es.video.frame_rate.num = 0;
+-              port->es.video.frame_rate.den = 1;
++              port->es.video.frame_rate.num = ctx->framerate_num;
++              port->es.video.frame_rate.den = ctx->framerate_denom;
+       } else {
+               /* Compressed format - leave resolution as 0 for decode */
+               if (ctx->dev->role == DECODE) {
+@@ -625,9 +627,9 @@ static void setup_mmal_port_format(struc
+                       port->es.video.crop.width = q_data->crop_width;
+                       port->es.video.crop.height = q_data->crop_height;
+                       port->format.bitrate = ctx->bitrate;
++                      port->es.video.frame_rate.num = ctx->framerate_num;
++                      port->es.video.frame_rate.den = ctx->framerate_denom;
+               }
+-              port->es.video.frame_rate.num = 0;
+-              port->es.video.frame_rate.den = 1;
+       }
+       port->es.video.crop.x = 0;
+       port->es.video.crop.y = 0;
+@@ -1361,6 +1363,41 @@ static int vidioc_s_selection(struct fil
+       return 0;
+ }
++static int vidioc_s_parm(struct file *file, void *priv,
++                       struct v4l2_streamparm *parm)
++{
++      struct bcm2835_codec_ctx *ctx = file2ctx(file);
++
++      if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT)
++              return -EINVAL;
++
++      ctx->framerate_num =
++                      parm->parm.output.timeperframe.denominator;
++      ctx->framerate_denom =
++                      parm->parm.output.timeperframe.numerator;
++
++      parm->parm.output.capability = V4L2_CAP_TIMEPERFRAME;
++
++      return 0;
++}
++
++static int vidioc_g_parm(struct file *file, void *priv,
++                       struct v4l2_streamparm *parm)
++{
++      struct bcm2835_codec_ctx *ctx = file2ctx(file);
++
++      if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT)
++              return -EINVAL;
++
++      parm->parm.output.capability = V4L2_CAP_TIMEPERFRAME;
++      parm->parm.output.timeperframe.denominator =
++                      ctx->framerate_num;
++      parm->parm.output.timeperframe.numerator =
++                      ctx->framerate_denom;
++
++      return 0;
++}
++
+ static int vidioc_subscribe_evt(struct v4l2_fh *fh,
+                               const struct v4l2_event_subscription *sub)
+ {
+@@ -1725,6 +1762,9 @@ static const struct v4l2_ioctl_ops bcm28
+       .vidioc_g_selection     = vidioc_g_selection,
+       .vidioc_s_selection     = vidioc_s_selection,
++      .vidioc_g_parm          = vidioc_g_parm,
++      .vidioc_s_parm          = vidioc_s_parm,
++
+       .vidioc_subscribe_event = vidioc_subscribe_evt,
+       .vidioc_unsubscribe_event = v4l2_event_unsubscribe,
+@@ -2546,6 +2586,8 @@ static int bcm2835_codec_create(struct p
+       case DECODE:
+               v4l2_disable_ioctl(vfd, VIDIOC_ENCODER_CMD);
+               v4l2_disable_ioctl(vfd, VIDIOC_TRY_ENCODER_CMD);
++              v4l2_disable_ioctl(vfd, VIDIOC_S_PARM);
++              v4l2_disable_ioctl(vfd, VIDIOC_G_PARM);
+               video_nr = decode_video_nr;
+               break;
+       case ENCODE:
+@@ -2558,6 +2600,8 @@ static int bcm2835_codec_create(struct p
+               v4l2_disable_ioctl(vfd, VIDIOC_TRY_ENCODER_CMD);
+               v4l2_disable_ioctl(vfd, VIDIOC_DECODER_CMD);
+               v4l2_disable_ioctl(vfd, VIDIOC_TRY_DECODER_CMD);
++              v4l2_disable_ioctl(vfd, VIDIOC_S_PARM);
++              v4l2_disable_ioctl(vfd, VIDIOC_G_PARM);
+               video_nr = isp_video_nr;
+               break;
+       default:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0198-staging-mmal-vchiq-Fix-memory-leak-in-error-path.patch b/target/linux/bcm27xx/patches-5.4/950-0198-staging-mmal-vchiq-Fix-memory-leak-in-error-path.patch
deleted file mode 100644 (file)
index 6e846e4..0000000
+++ /dev/null
@@ -1,76 +0,0 @@
-From 20bf522637b3e113d8eb961f9a5d11e4c2d555b6 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 2 May 2019 15:50:01 +0100
-Subject: [PATCH] staging: mmal-vchiq: Fix memory leak in error path
-
-On error, vchiq_mmal_component_init could leave the
-event context allocated for ports.
-Clean them up in the error path.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../vc04_services/vchiq-mmal/mmal-vchiq.c     | 29 +++++++++++++------
- 1 file changed, 20 insertions(+), 9 deletions(-)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-vchiq.c
-@@ -1847,9 +1847,26 @@ static void free_event_context(struct vc
- {
-       struct mmal_msg_context *ctx = port->event_context;
-+      if (!ctx)
-+              return;
-+
-       kfree(ctx->u.bulk.buffer->buffer);
-       kfree(ctx->u.bulk.buffer);
-       release_msg_context(ctx);
-+      port->event_context = NULL;
-+}
-+
-+static void release_all_event_contexts(struct vchiq_mmal_component *component)
-+{
-+      int idx;
-+
-+      for (idx = 0; idx < component->inputs; idx++)
-+              free_event_context(&component->input[idx]);
-+      for (idx = 0; idx < component->outputs; idx++)
-+              free_event_context(&component->output[idx]);
-+      for (idx = 0; idx < component->clocks; idx++)
-+              free_event_context(&component->clock[idx]);
-+      free_event_context(&component->control);
- }
- /* Initialise a mmal component and its ports
-@@ -1947,6 +1964,7 @@ int vchiq_mmal_component_init(struct vch
- release_component:
-       destroy_component(instance, component);
-+      release_all_event_contexts(component);
- unlock:
-       if (component)
-               component->in_use = 0;
-@@ -1962,7 +1980,7 @@ EXPORT_SYMBOL_GPL(vchiq_mmal_component_i
- int vchiq_mmal_component_finalise(struct vchiq_mmal_instance *instance,
-                                 struct vchiq_mmal_component *component)
- {
--      int ret, idx;
-+      int ret;
-       if (mutex_lock_interruptible(&instance->vchiq_mutex))
-               return -EINTR;
-@@ -1974,14 +1992,7 @@ int vchiq_mmal_component_finalise(struct
-       component->in_use = 0;
--      for (idx = 0; idx < component->inputs; idx++)
--              free_event_context(&component->input[idx]);
--      for (idx = 0; idx < component->outputs; idx++)
--              free_event_context(&component->output[idx]);
--      for (idx = 0; idx < component->clocks; idx++)
--              free_event_context(&component->clock[idx]);
--
--      free_event_context(&component->control);
-+      release_all_event_contexts(component);
-       mutex_unlock(&instance->vchiq_mutex);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0199-Bluetooth-Check-key-sizes-only-when-Secure-Simple-Pa.patch b/target/linux/bcm27xx/patches-5.4/950-0199-Bluetooth-Check-key-sizes-only-when-Secure-Simple-Pa.patch
deleted file mode 100644 (file)
index 0de5509..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-From 3e2981c7e55d75dc93a2f2e9bb6be2b9704c05f5 Mon Sep 17 00:00:00 2001
-From: Marcel Holtmann <marcel@holtmann.org>
-Date: Wed, 22 May 2019 09:05:40 +0200
-Subject: [PATCH] Bluetooth: Check key sizes only when Secure Simple
- Pairing is enabled
-
-The encryption is only mandatory to be enforced when both sides are using
-Secure Simple Pairing and this means the key size check makes only sense
-in that case.
-
-On legacy Bluetooth 2.0 and earlier devices like mice the encryption was
-optional and thus causing an issue if the key size check is not bound to
-using Secure Simple Pairing.
-
-Fixes: d5bb334a8e17 ("Bluetooth: Align minimum encryption key size for LE and BR/EDR connections")
-Signed-off-by: Marcel Holtmann <marcel@holtmann.org>
-Cc: stable@vger.kernel.org
----
- net/bluetooth/hci_conn.c | 9 +++++++--
- 1 file changed, 7 insertions(+), 2 deletions(-)
-
---- a/net/bluetooth/hci_conn.c
-+++ b/net/bluetooth/hci_conn.c
-@@ -1302,8 +1302,13 @@ int hci_conn_check_link_mode(struct hci_
-               return 0;
-       }
--      if (hci_conn_ssp_enabled(conn) &&
--          !test_bit(HCI_CONN_ENCRYPT, &conn->flags))
-+      /* If Secure Simple Pairing is not enabled, then legacy connection
-+       * setup is used and no encryption or key sizes can be enforced.
-+       */
-+      if (!hci_conn_ssp_enabled(conn))
-+              return 1;
-+
-+      if (!test_bit(HCI_CONN_ENCRYPT, &conn->flags))
-               return 0;
-       return 1;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0199-w1-w1-gpio-Make-GPIO-an-output-for-strong-pullup.patch b/target/linux/bcm27xx/patches-5.4/950-0199-w1-w1-gpio-Make-GPIO-an-output-for-strong-pullup.patch
new file mode 100644 (file)
index 0000000..c8d656a
--- /dev/null
@@ -0,0 +1,27 @@
+From db2d840e64afb069ae3debab013610cce05ac099 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Wed, 12 Jun 2019 17:15:05 +0100
+Subject: [PATCH] w1: w1-gpio: Make GPIO an output for strong pullup
+
+The logic to drive the data line high to implement a strong pullup
+assumed that the pin was already an output - setting a value does
+not change an input.
+
+See: https://github.com/raspberrypi/firmware/issues/1143
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/w1/masters/w1-gpio.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/w1/masters/w1-gpio.c
++++ b/drivers/w1/masters/w1-gpio.c
+@@ -30,7 +30,7 @@ static u8 w1_gpio_set_pullup(void *data,
+                        * This will OVERRIDE open drain emulation and force-pull
+                        * the line high for some time.
+                        */
+-                      gpiod_set_raw_value(pdata->gpiod, 1);
++                      gpiod_direction_output_raw(pdata->gpiod, 1);
+                       msleep(pdata->pullup_duration);
+                       /*
+                        * This will simply set the line as input since we are doing
diff --git a/target/linux/bcm27xx/patches-5.4/950-0200-arm-bcm2835-Fix-FIQ-early-ioremap.patch b/target/linux/bcm27xx/patches-5.4/950-0200-arm-bcm2835-Fix-FIQ-early-ioremap.patch
new file mode 100644 (file)
index 0000000..1521476
--- /dev/null
@@ -0,0 +1,73 @@
+From 9b9474236597f87247fcf93147598e50f7f02b9e Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Wed, 20 Feb 2019 08:49:39 +0000
+Subject: [PATCH] arm: bcm2835: Fix FIQ early ioremap
+
+The ioremapping creates mappings within the vmalloc area. The
+equivalent early function, create_mapping, now checks that the
+requested explicit virtual address is between VMALLOC_START and
+VMALLOC_END. As there is no reason to have any correlation between
+the physical and virtual addresses, put the required mappings at
+VMALLOC_START and above.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/mach-bcm/board_bcm2835.c | 21 +++++++++++++++------
+ 1 file changed, 15 insertions(+), 6 deletions(-)
+
+--- a/arch/arm/mach-bcm/board_bcm2835.c
++++ b/arch/arm/mach-bcm/board_bcm2835.c
+@@ -5,17 +5,20 @@
+ #include <linux/init.h>
+ #include <linux/irqchip.h>
++#include <linux/mm.h>
+ #include <linux/of_address.h>
+ #include <linux/of_fdt.h>
+ #include <asm/system_info.h>
+ #include <asm/mach/arch.h>
+ #include <asm/mach/map.h>
++#include <asm/memory.h>
++#include <asm/pgtable.h>
+ #include "platsmp.h"
+-#define BCM2835_USB_VIRT_BASE   0xf0980000
+-#define BCM2835_USB_VIRT_MPHI   0xf0006000
++#define BCM2835_USB_VIRT_BASE   (VMALLOC_START)
++#define BCM2835_USB_VIRT_MPHI   (VMALLOC_START + 0x10000)
+ static void __init bcm2835_init(void)
+ {
+@@ -74,20 +77,26 @@ static int __init bcm2835_map_usb(unsign
+ static void __init bcm2835_map_io(void)
+ {
+-      const __be32 *ranges;
++      const __be32 *ranges, *address_cells;
++      unsigned long root, addr_cells;
+       int soc, len;
+       unsigned long p2b_offset;
+       debug_ll_io_init();
++      root = of_get_flat_dt_root();
+       /* Find out how to map bus to physical address first from soc/ranges */
+-      soc = of_get_flat_dt_subnode_by_name(of_get_flat_dt_root(), "soc");
++      soc = of_get_flat_dt_subnode_by_name(root, "soc");
+       if (soc < 0)
+               return;
++      address_cells = of_get_flat_dt_prop(root, "#address-cells", &len);
++      if (!address_cells || len < (sizeof(unsigned long)))
++              return;
++      addr_cells = be32_to_cpu(address_cells[0]);
+       ranges = of_get_flat_dt_prop(soc, "ranges", &len);
+-      if (!ranges || len < (sizeof(unsigned long) * 3))
++      if (!ranges || len < (sizeof(unsigned long) * (2 + addr_cells)))
+               return;
+-      p2b_offset = be32_to_cpu(ranges[0]) - be32_to_cpu(ranges[1]);
++      p2b_offset = be32_to_cpu(ranges[0]) - be32_to_cpu(ranges[addr_cells]);
+       /* Now search for bcm2708-usb node in device tree */
+       of_scan_flat_dt(bcm2835_map_usb, &p2b_offset);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0200-staging-bcm2835-codec-Convert-V4L2-nsec-timestamps-t.patch b/target/linux/bcm27xx/patches-5.4/950-0200-staging-bcm2835-codec-Convert-V4L2-nsec-timestamps-t.patch
deleted file mode 100644 (file)
index 481ca48..0000000
+++ /dev/null
@@ -1,46 +0,0 @@
-From 19a2ab86f47213575ebcde216505623bc65e3918 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 10 May 2019 14:11:58 +0100
-Subject: [PATCH] staging: bcm2835-codec: Convert V4L2 nsec timestamps
- to MMAL usec
-
-V4L2 uses nsecs, whilst MMAL uses usecs, but the code wasn't converting
-between them. This upsets video encode rate control.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c     | 9 +++++++--
- 1 file changed, 7 insertions(+), 2 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -823,7 +823,8 @@ static void op_buffer_cb(struct vchiq_mm
-               vb2->flags |= V4L2_BUF_FLAG_LAST;
-       }
--      vb2->vb2_buf.timestamp = mmal_buf->pts;
-+      /* vb2 timestamps in nsecs, mmal in usecs */
-+      vb2->vb2_buf.timestamp = mmal_buf->pts * 1000;
-       vb2_set_plane_payload(&vb2->vb2_buf, 0, mmal_buf->length);
-       if (mmal_buf->mmal_flags & MMAL_BUFFER_HEADER_FLAG_KEYFRAME)
-@@ -847,6 +848,7 @@ static void op_buffer_cb(struct vchiq_mm
- static void vb2_to_mmal_buffer(struct m2m_mmal_buffer *buf,
-                              struct vb2_v4l2_buffer *vb2)
- {
-+      u64 pts;
-       buf->mmal.mmal_flags = 0;
-       if (vb2->flags & V4L2_BUF_FLAG_KEYFRAME)
-               buf->mmal.mmal_flags |= MMAL_BUFFER_HEADER_FLAG_KEYFRAME;
-@@ -869,7 +871,10 @@ static void vb2_to_mmal_buffer(struct m2
-       if (!buf->mmal.length || vb2->flags & V4L2_BUF_FLAG_LAST)
-               buf->mmal.mmal_flags |= MMAL_BUFFER_HEADER_FLAG_EOS;
--      buf->mmal.pts = vb2->vb2_buf.timestamp;
-+      /* vb2 timestamps in nsecs, mmal in usecs */
-+      pts = vb2->vb2_buf.timestamp;
-+      do_div(pts, 1000);
-+      buf->mmal.pts = pts;
-       buf->mmal.dts = MMAL_TIME_UNKNOWN;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0201-Fix-copy_from_user-if-BCM2835_FAST_MEMCPY-n.patch b/target/linux/bcm27xx/patches-5.4/950-0201-Fix-copy_from_user-if-BCM2835_FAST_MEMCPY-n.patch
new file mode 100644 (file)
index 0000000..fc6efce
--- /dev/null
@@ -0,0 +1,39 @@
+From dc516e6e8dfdaecf01efc7ee643a234191761062 Mon Sep 17 00:00:00 2001
+From: Tim Gover <tim.gover@raspberrypi.org>
+Date: Thu, 14 Mar 2019 10:16:02 +0000
+Subject: [PATCH] Fix copy_from_user if BCM2835_FAST_MEMCPY=n
+
+The change which introduced CONFIG_BCM2835_FAST_MEMCPY unconditionally
+changed the behaviour of arm_copy_from_user. The page pinning code
+is not safe on ARMv7 if LPAE & high memory is enabled and causes
+crashes which look like PTE corruption.
+
+Make __copy_from_user_memcpy conditional on CONFIG_2835_FAST_MEMCPY=y
+which is really an ARMv6 / Pi1 optimization and not necessary on newer
+ARM processors.
+---
+ arch/arm/lib/uaccess_with_memcpy.c | 6 ++++++
+ 1 file changed, 6 insertions(+)
+
+--- a/arch/arm/lib/uaccess_with_memcpy.c
++++ b/arch/arm/lib/uaccess_with_memcpy.c
+@@ -254,6 +254,7 @@ arm_copy_to_user(void __user *to, const
+ unsigned long __must_check
+ arm_copy_from_user(void *to, const void __user *from, unsigned long n)
+ {
++#ifdef CONFIG_BCM2835_FAST_MEMCPY
+       /*
+        * This test is stubbed out of the main function above to keep
+        * the overhead for small copies low by avoiding a large
+@@ -268,6 +269,11 @@ arm_copy_from_user(void *to, const void
+       } else {
+               n = __copy_from_user_memcpy(to, from, n);
+       }
++#else
++      unsigned long ua_flags = uaccess_save_and_enable();
++      n = __copy_from_user_std(to, from, n);
++      uaccess_restore(ua_flags);
++#endif
+       return n;
+ }
+       
diff --git a/target/linux/bcm27xx/patches-5.4/950-0201-staging-bcm2835-codec-Add-support-for-setting-S_PARM.patch b/target/linux/bcm27xx/patches-5.4/950-0201-staging-bcm2835-codec-Add-support-for-setting-S_PARM.patch
deleted file mode 100644 (file)
index dca6c24..0000000
+++ /dev/null
@@ -1,118 +0,0 @@
-From 9f1a5a143f0da79813775d9f29608cd4ea2dd01b Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 10 May 2019 14:13:11 +0100
-Subject: [PATCH] staging: bcm2835-codec: Add support for setting
- S_PARM and G_PARM
-
-Video encode can use the frame rate for rate control calculations,
-therefore plumb it through from V4L2's [S|G]_PARM ioctl.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../bcm2835-codec/bcm2835-v4l2-codec.c        | 52 +++++++++++++++++--
- 1 file changed, 48 insertions(+), 4 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -447,6 +447,8 @@ struct bcm2835_codec_ctx {
-       /* Source and destination queue data */
-       struct bcm2835_codec_q_data   q_data[2];
-       s32  bitrate;
-+      unsigned int    framerate_num;
-+      unsigned int    framerate_denom;
-       bool aborting;
-       int num_ip_buffers;
-@@ -610,8 +612,8 @@ static void setup_mmal_port_format(struc
-               port->es.video.height = q_data->height;
-               port->es.video.crop.width = q_data->crop_width;
-               port->es.video.crop.height = q_data->crop_height;
--              port->es.video.frame_rate.num = 0;
--              port->es.video.frame_rate.den = 1;
-+              port->es.video.frame_rate.num = ctx->framerate_num;
-+              port->es.video.frame_rate.den = ctx->framerate_denom;
-       } else {
-               /* Compressed format - leave resolution as 0 for decode */
-               if (ctx->dev->role == DECODE) {
-@@ -625,9 +627,9 @@ static void setup_mmal_port_format(struc
-                       port->es.video.crop.width = q_data->crop_width;
-                       port->es.video.crop.height = q_data->crop_height;
-                       port->format.bitrate = ctx->bitrate;
-+                      port->es.video.frame_rate.num = ctx->framerate_num;
-+                      port->es.video.frame_rate.den = ctx->framerate_denom;
-               }
--              port->es.video.frame_rate.num = 0;
--              port->es.video.frame_rate.den = 1;
-       }
-       port->es.video.crop.x = 0;
-       port->es.video.crop.y = 0;
-@@ -1361,6 +1363,41 @@ static int vidioc_s_selection(struct fil
-       return 0;
- }
-+static int vidioc_s_parm(struct file *file, void *priv,
-+                       struct v4l2_streamparm *parm)
-+{
-+      struct bcm2835_codec_ctx *ctx = file2ctx(file);
-+
-+      if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT)
-+              return -EINVAL;
-+
-+      ctx->framerate_num =
-+                      parm->parm.output.timeperframe.denominator;
-+      ctx->framerate_denom =
-+                      parm->parm.output.timeperframe.numerator;
-+
-+      parm->parm.output.capability = V4L2_CAP_TIMEPERFRAME;
-+
-+      return 0;
-+}
-+
-+static int vidioc_g_parm(struct file *file, void *priv,
-+                       struct v4l2_streamparm *parm)
-+{
-+      struct bcm2835_codec_ctx *ctx = file2ctx(file);
-+
-+      if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT)
-+              return -EINVAL;
-+
-+      parm->parm.output.capability = V4L2_CAP_TIMEPERFRAME;
-+      parm->parm.output.timeperframe.denominator =
-+                      ctx->framerate_num;
-+      parm->parm.output.timeperframe.numerator =
-+                      ctx->framerate_denom;
-+
-+      return 0;
-+}
-+
- static int vidioc_subscribe_evt(struct v4l2_fh *fh,
-                               const struct v4l2_event_subscription *sub)
- {
-@@ -1725,6 +1762,9 @@ static const struct v4l2_ioctl_ops bcm28
-       .vidioc_g_selection     = vidioc_g_selection,
-       .vidioc_s_selection     = vidioc_s_selection,
-+      .vidioc_g_parm          = vidioc_g_parm,
-+      .vidioc_s_parm          = vidioc_s_parm,
-+
-       .vidioc_subscribe_event = vidioc_subscribe_evt,
-       .vidioc_unsubscribe_event = v4l2_event_unsubscribe,
-@@ -2546,6 +2586,8 @@ static int bcm2835_codec_create(struct p
-       case DECODE:
-               v4l2_disable_ioctl(vfd, VIDIOC_ENCODER_CMD);
-               v4l2_disable_ioctl(vfd, VIDIOC_TRY_ENCODER_CMD);
-+              v4l2_disable_ioctl(vfd, VIDIOC_S_PARM);
-+              v4l2_disable_ioctl(vfd, VIDIOC_G_PARM);
-               video_nr = decode_video_nr;
-               break;
-       case ENCODE:
-@@ -2558,6 +2600,8 @@ static int bcm2835_codec_create(struct p
-               v4l2_disable_ioctl(vfd, VIDIOC_TRY_ENCODER_CMD);
-               v4l2_disable_ioctl(vfd, VIDIOC_DECODER_CMD);
-               v4l2_disable_ioctl(vfd, VIDIOC_TRY_DECODER_CMD);
-+              v4l2_disable_ioctl(vfd, VIDIOC_S_PARM);
-+              v4l2_disable_ioctl(vfd, VIDIOC_G_PARM);
-               video_nr = isp_video_nr;
-               break;
-       default:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0202-dt-bindings-pci-Add-DT-docs-for-Brcmstb-PCIe-device.patch b/target/linux/bcm27xx/patches-5.4/950-0202-dt-bindings-pci-Add-DT-docs-for-Brcmstb-PCIe-device.patch
new file mode 100644 (file)
index 0000000..d4ff316
--- /dev/null
@@ -0,0 +1,77 @@
+From 417e4745f7470ca8b9809056485eb7a81305019b Mon Sep 17 00:00:00 2001
+From: Jim Quinlan <jim2101024@gmail.com>
+Date: Mon, 15 Jan 2018 18:28:39 -0500
+Subject: [PATCH] dt-bindings: pci: Add DT docs for Brcmstb PCIe device
+
+The DT bindings description of the Brcmstb PCIe device is described.  This
+node can be used by almost all Broadcom settop box chips, using
+ARM, ARM64, or MIPS CPU architectures.
+
+Signed-off-by: Jim Quinlan <jim2101024@gmail.com>
+---
+ .../devicetree/bindings/pci/brcmstb-pcie.txt  | 59 +++++++++++++++++++
+ 1 file changed, 59 insertions(+)
+ create mode 100644 Documentation/devicetree/bindings/pci/brcmstb-pcie.txt
+
+--- /dev/null
++++ b/Documentation/devicetree/bindings/pci/brcmstb-pcie.txt
+@@ -0,0 +1,59 @@
++Brcmstb PCIe Host Controller Device Tree Bindings
++
++Required Properties:
++- compatible
++  "brcm,bcm7425-pcie" -- for 7425 family MIPS-based SOCs.
++  "brcm,bcm7435-pcie" -- for 7435 family MIPS-based SOCs.
++  "brcm,bcm7445-pcie" -- for 7445 and later ARM based SOCs (not including
++      the 7278).
++  "brcm,bcm7278-pcie"  -- for 7278 family ARM-based SOCs.
++
++- reg -- the register start address and length for the PCIe reg block.
++- interrupts -- two interrupts are specified; the first interrupt is for
++     the PCI host controller and the second is for MSI if the built-in
++     MSI controller is to be used.
++- interrupt-names -- names of the interrupts (above): "pcie" and "msi".
++- #address-cells -- set to <3>.
++- #size-cells -- set to <2>.
++- #interrupt-cells: set to <1>.
++- interrupt-map-mask and interrupt-map, standard PCI properties to define the
++     mapping of the PCIe interface to interrupt numbers.
++- ranges: ranges for the PCI memory and I/O regions.
++- linux,pci-domain -- should be unique per host controller.
++
++Optional Properties:
++- clocks -- phandle of pcie clock.
++- clock-names -- set to "sw_pcie" if clocks is used.
++- dma-ranges -- Specifies the inbound memory mapping regions when
++     an "identity map" is not possible.
++- msi-controller -- this property is typically specified to have the
++     PCIe controller use its internal MSI controller.
++- msi-parent -- set to use an external MSI interrupt controller.
++- brcm,enable-ssc -- (boolean) indicates usage of spread-spectrum clocking.
++- max-link-speed --  (integer) indicates desired generation of link:
++     1 => 2.5 Gbps (gen1), 2 => 5.0 Gbps (gen2), 3 => 8.0 Gbps (gen3).
++
++Example Node:
++
++pcie0: pcie@f0460000 {
++              reg = <0x0 0xf0460000 0x0 0x9310>;
++              interrupts = <0x0 0x0 0x4>;
++              compatible = "brcm,bcm7445-pcie";
++              #address-cells = <3>;
++              #size-cells = <2>;
++              ranges = <0x02000000 0x00000000 0x00000000 0x00000000 0xc0000000 0x00000000 0x08000000
++                        0x02000000 0x00000000 0x08000000 0x00000000 0xc8000000 0x00000000 0x08000000>;
++              #interrupt-cells = <1>;
++              interrupt-map-mask = <0 0 0 7>;
++              interrupt-map = <0 0 0 1 &intc 0 47 3
++                               0 0 0 2 &intc 0 48 3
++                               0 0 0 3 &intc 0 49 3
++                               0 0 0 4 &intc 0 50 3>;
++              clocks = <&sw_pcie0>;
++              clock-names = "sw_pcie";
++              msi-parent = <&pcie0>;  /* use PCIe's internal MSI controller */
++              msi-controller;         /* use PCIe's internal MSI controller */
++              brcm,ssc;
++              max-link-speed = <1>;
++              linux,pci-domain = <0>;
++      };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0202-w1-w1-gpio-Make-GPIO-an-output-for-strong-pullup.patch b/target/linux/bcm27xx/patches-5.4/950-0202-w1-w1-gpio-Make-GPIO-an-output-for-strong-pullup.patch
deleted file mode 100644 (file)
index c8d656a..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From db2d840e64afb069ae3debab013610cce05ac099 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Wed, 12 Jun 2019 17:15:05 +0100
-Subject: [PATCH] w1: w1-gpio: Make GPIO an output for strong pullup
-
-The logic to drive the data line high to implement a strong pullup
-assumed that the pin was already an output - setting a value does
-not change an input.
-
-See: https://github.com/raspberrypi/firmware/issues/1143
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/w1/masters/w1-gpio.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/w1/masters/w1-gpio.c
-+++ b/drivers/w1/masters/w1-gpio.c
-@@ -30,7 +30,7 @@ static u8 w1_gpio_set_pullup(void *data,
-                        * This will OVERRIDE open drain emulation and force-pull
-                        * the line high for some time.
-                        */
--                      gpiod_set_raw_value(pdata->gpiod, 1);
-+                      gpiod_direction_output_raw(pdata->gpiod, 1);
-                       msleep(pdata->pullup_duration);
-                       /*
-                        * This will simply set the line as input since we are doing
diff --git a/target/linux/bcm27xx/patches-5.4/950-0203-arm-bcm2835-DMA-can-only-address-1GB.patch b/target/linux/bcm27xx/patches-5.4/950-0203-arm-bcm2835-DMA-can-only-address-1GB.patch
new file mode 100644 (file)
index 0000000..86ad707
--- /dev/null
@@ -0,0 +1,25 @@
+From 67b31f71da2c251860dc6ddeffc5d15f8a74c675 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Wed, 29 May 2019 15:47:42 +0100
+Subject: [PATCH] arm: bcm2835: DMA can only address 1GB
+
+The legacy peripherals can only address the first gigabyte of RAM, so
+ensure that DMA allocations are restricted to that region.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/mach-bcm/board_bcm2835.c | 3 +++
+ 1 file changed, 3 insertions(+)
+
+--- a/arch/arm/mach-bcm/board_bcm2835.c
++++ b/arch/arm/mach-bcm/board_bcm2835.c
+@@ -114,6 +114,9 @@ static const char * const bcm2835_compat
+ };
+ DT_MACHINE_START(BCM2835, "BCM2835")
++#if defined(CONFIG_ZONE_DMA) && defined(CONFIG_ARM_LPAE)
++      .dma_zone_size  = SZ_1G,
++#endif
+       .map_io = bcm2835_map_io,
+       .init_machine = bcm2835_init,
+       .dt_compat = bcm2835_compat,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0203-arm-bcm2835-Fix-FIQ-early-ioremap.patch b/target/linux/bcm27xx/patches-5.4/950-0203-arm-bcm2835-Fix-FIQ-early-ioremap.patch
deleted file mode 100644 (file)
index 1521476..0000000
+++ /dev/null
@@ -1,73 +0,0 @@
-From 9b9474236597f87247fcf93147598e50f7f02b9e Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Wed, 20 Feb 2019 08:49:39 +0000
-Subject: [PATCH] arm: bcm2835: Fix FIQ early ioremap
-
-The ioremapping creates mappings within the vmalloc area. The
-equivalent early function, create_mapping, now checks that the
-requested explicit virtual address is between VMALLOC_START and
-VMALLOC_END. As there is no reason to have any correlation between
-the physical and virtual addresses, put the required mappings at
-VMALLOC_START and above.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/mach-bcm/board_bcm2835.c | 21 +++++++++++++++------
- 1 file changed, 15 insertions(+), 6 deletions(-)
-
---- a/arch/arm/mach-bcm/board_bcm2835.c
-+++ b/arch/arm/mach-bcm/board_bcm2835.c
-@@ -5,17 +5,20 @@
- #include <linux/init.h>
- #include <linux/irqchip.h>
-+#include <linux/mm.h>
- #include <linux/of_address.h>
- #include <linux/of_fdt.h>
- #include <asm/system_info.h>
- #include <asm/mach/arch.h>
- #include <asm/mach/map.h>
-+#include <asm/memory.h>
-+#include <asm/pgtable.h>
- #include "platsmp.h"
--#define BCM2835_USB_VIRT_BASE   0xf0980000
--#define BCM2835_USB_VIRT_MPHI   0xf0006000
-+#define BCM2835_USB_VIRT_BASE   (VMALLOC_START)
-+#define BCM2835_USB_VIRT_MPHI   (VMALLOC_START + 0x10000)
- static void __init bcm2835_init(void)
- {
-@@ -74,20 +77,26 @@ static int __init bcm2835_map_usb(unsign
- static void __init bcm2835_map_io(void)
- {
--      const __be32 *ranges;
-+      const __be32 *ranges, *address_cells;
-+      unsigned long root, addr_cells;
-       int soc, len;
-       unsigned long p2b_offset;
-       debug_ll_io_init();
-+      root = of_get_flat_dt_root();
-       /* Find out how to map bus to physical address first from soc/ranges */
--      soc = of_get_flat_dt_subnode_by_name(of_get_flat_dt_root(), "soc");
-+      soc = of_get_flat_dt_subnode_by_name(root, "soc");
-       if (soc < 0)
-               return;
-+      address_cells = of_get_flat_dt_prop(root, "#address-cells", &len);
-+      if (!address_cells || len < (sizeof(unsigned long)))
-+              return;
-+      addr_cells = be32_to_cpu(address_cells[0]);
-       ranges = of_get_flat_dt_prop(soc, "ranges", &len);
--      if (!ranges || len < (sizeof(unsigned long) * 3))
-+      if (!ranges || len < (sizeof(unsigned long) * (2 + addr_cells)))
-               return;
--      p2b_offset = be32_to_cpu(ranges[0]) - be32_to_cpu(ranges[1]);
-+      p2b_offset = be32_to_cpu(ranges[0]) - be32_to_cpu(ranges[addr_cells]);
-       /* Now search for bcm2708-usb node in device tree */
-       of_scan_flat_dt(bcm2835_map_usb, &p2b_offset);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0204-Fix-copy_from_user-if-BCM2835_FAST_MEMCPY-n.patch b/target/linux/bcm27xx/patches-5.4/950-0204-Fix-copy_from_user-if-BCM2835_FAST_MEMCPY-n.patch
deleted file mode 100644 (file)
index fc6efce..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-From dc516e6e8dfdaecf01efc7ee643a234191761062 Mon Sep 17 00:00:00 2001
-From: Tim Gover <tim.gover@raspberrypi.org>
-Date: Thu, 14 Mar 2019 10:16:02 +0000
-Subject: [PATCH] Fix copy_from_user if BCM2835_FAST_MEMCPY=n
-
-The change which introduced CONFIG_BCM2835_FAST_MEMCPY unconditionally
-changed the behaviour of arm_copy_from_user. The page pinning code
-is not safe on ARMv7 if LPAE & high memory is enabled and causes
-crashes which look like PTE corruption.
-
-Make __copy_from_user_memcpy conditional on CONFIG_2835_FAST_MEMCPY=y
-which is really an ARMv6 / Pi1 optimization and not necessary on newer
-ARM processors.
----
- arch/arm/lib/uaccess_with_memcpy.c | 6 ++++++
- 1 file changed, 6 insertions(+)
-
---- a/arch/arm/lib/uaccess_with_memcpy.c
-+++ b/arch/arm/lib/uaccess_with_memcpy.c
-@@ -254,6 +254,7 @@ arm_copy_to_user(void __user *to, const
- unsigned long __must_check
- arm_copy_from_user(void *to, const void __user *from, unsigned long n)
- {
-+#ifdef CONFIG_BCM2835_FAST_MEMCPY
-       /*
-        * This test is stubbed out of the main function above to keep
-        * the overhead for small copies low by avoiding a large
-@@ -268,6 +269,11 @@ arm_copy_from_user(void *to, const void
-       } else {
-               n = __copy_from_user_memcpy(to, from, n);
-       }
-+#else
-+      unsigned long ua_flags = uaccess_save_and_enable();
-+      n = __copy_from_user_std(to, from, n);
-+      uaccess_restore(ua_flags);
-+#endif
-       return n;
- }
-       
diff --git a/target/linux/bcm27xx/patches-5.4/950-0204-hwrng-iproc-rng200-Add-BCM2838-support.patch b/target/linux/bcm27xx/patches-5.4/950-0204-hwrng-iproc-rng200-Add-BCM2838-support.patch
new file mode 100644 (file)
index 0000000..c9eac88
--- /dev/null
@@ -0,0 +1,158 @@
+From cbf5cde9c460eae458829a7b357cea6734c4755b Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Sat, 4 May 2019 17:06:15 +0200
+Subject: [PATCH] hwrng: iproc-rng200: Add BCM2838 support
+
+The HWRNG on the BCM2838 is compatible to iproc-rng200, so add the
+support to this driver instead of bcm2835-rng.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ drivers/char/hw_random/Kconfig        |  4 +-
+ drivers/char/hw_random/iproc-rng200.c | 81 +++++++++++++++++++++++++--
+ 2 files changed, 79 insertions(+), 6 deletions(-)
+
+--- a/drivers/char/hw_random/Kconfig
++++ b/drivers/char/hw_random/Kconfig
+@@ -90,11 +90,11 @@ config HW_RANDOM_BCM2835
+ config HW_RANDOM_IPROC_RNG200
+       tristate "Broadcom iProc/STB RNG200 support"
+-      depends on ARCH_BCM_IPROC || ARCH_BRCMSTB
++      depends on ARCH_BCM_IPROC || ARCH_BCM2835 || ARCH_BRCMSTB
+       default HW_RANDOM
+       ---help---
+         This driver provides kernel-side support for the RNG200
+-        hardware found on the Broadcom iProc and STB SoCs.
++        hardware found on the Broadcom iProc, BCM2838 and STB SoCs.
+         To compile this driver as a module, choose M here: the
+         module will be called iproc-rng200
+--- a/drivers/char/hw_random/iproc-rng200.c
++++ b/drivers/char/hw_random/iproc-rng200.c
+@@ -29,6 +29,7 @@
+ #define RNG_CTRL_RNG_RBGEN_MASK                               0x00001FFF
+ #define RNG_CTRL_RNG_RBGEN_ENABLE                     0x00000001
+ #define RNG_CTRL_RNG_RBGEN_DISABLE                    0x00000000
++#define RNG_CTRL_RNG_DIV_CTRL_SHIFT                   13
+ #define RNG_SOFT_RESET_OFFSET                         0x04
+ #define RNG_SOFT_RESET                                        0x00000001
+@@ -36,16 +37,23 @@
+ #define RBG_SOFT_RESET_OFFSET                         0x08
+ #define RBG_SOFT_RESET                                        0x00000001
++#define RNG_TOTAL_BIT_COUNT_OFFSET                    0x0C
++
++#define RNG_TOTAL_BIT_COUNT_THRESHOLD_OFFSET          0x10
++
+ #define RNG_INT_STATUS_OFFSET                         0x18
+ #define RNG_INT_STATUS_MASTER_FAIL_LOCKOUT_IRQ_MASK   0x80000000
+ #define RNG_INT_STATUS_STARTUP_TRANSITIONS_MET_IRQ_MASK       0x00020000
+ #define RNG_INT_STATUS_NIST_FAIL_IRQ_MASK             0x00000020
+ #define RNG_INT_STATUS_TOTAL_BITS_COUNT_IRQ_MASK      0x00000001
++#define RNG_INT_ENABLE_OFFSET                         0x1C
++
+ #define RNG_FIFO_DATA_OFFSET                          0x20
+ #define RNG_FIFO_COUNT_OFFSET                         0x24
+ #define RNG_FIFO_COUNT_RNG_FIFO_COUNT_MASK            0x000000FF
++#define RNG_FIFO_COUNT_RNG_FIFO_THRESHOLD_SHIFT               8
+ struct iproc_rng200_dev {
+       struct hwrng rng;
+@@ -166,6 +174,64 @@ static int iproc_rng200_init(struct hwrn
+       return 0;
+ }
++static int bcm2838_rng200_read(struct hwrng *rng, void *buf, size_t max,
++                             bool wait)
++{
++      struct iproc_rng200_dev *priv = to_rng_priv(rng);
++      u32 max_words = max / sizeof(u32);
++      u32 num_words, count, val;
++
++      /* ensure warm up period has elapsed */
++      while (1) {
++              val = ioread32(priv->base + RNG_TOTAL_BIT_COUNT_OFFSET);
++              if (val > 16)
++                      break;
++              cpu_relax();
++      }
++
++      /* ensure fifo is not empty */
++      while (1) {
++              num_words = ioread32(priv->base + RNG_FIFO_COUNT_OFFSET) &
++                          RNG_FIFO_COUNT_RNG_FIFO_COUNT_MASK;
++              if (num_words)
++                      break;
++              if (!wait)
++                      return 0;
++              cpu_relax();
++      }
++
++      if (num_words > max_words)
++              num_words = max_words;
++
++      for (count = 0; count < num_words; count++) {
++              ((u32 *)buf)[count] = ioread32(priv->base +
++                                             RNG_FIFO_DATA_OFFSET);
++      }
++
++      return num_words * sizeof(u32);
++}
++
++static int bcm2838_rng200_init(struct hwrng *rng)
++{
++      struct iproc_rng200_dev *priv = to_rng_priv(rng);
++      uint32_t val;
++
++      if (ioread32(priv->base + RNG_CTRL_OFFSET) & RNG_CTRL_RNG_RBGEN_MASK)
++              return 0;
++
++      /* initial numbers generated are "less random" so will be discarded */
++      val = 0x40000;
++      iowrite32(val, priv->base + RNG_TOTAL_BIT_COUNT_THRESHOLD_OFFSET);
++      /* min fifo count to generate full interrupt */
++      val = 2 << RNG_FIFO_COUNT_RNG_FIFO_THRESHOLD_SHIFT;
++      iowrite32(val, priv->base + RNG_FIFO_COUNT_OFFSET);
++      /* enable the rng - 1Mhz sample rate */
++      val = (0x3 << RNG_CTRL_RNG_DIV_CTRL_SHIFT) | RNG_CTRL_RNG_RBGEN_MASK;
++      iowrite32(val, priv->base + RNG_CTRL_OFFSET);
++
++      return 0;
++}
++
+ static void iproc_rng200_cleanup(struct hwrng *rng)
+ {
+       struct iproc_rng200_dev *priv = to_rng_priv(rng);
+@@ -202,10 +268,16 @@ static int iproc_rng200_probe(struct pla
+               return PTR_ERR(priv->base);
+       }
+-      priv->rng.name = "iproc-rng200",
+-      priv->rng.read = iproc_rng200_read,
+-      priv->rng.init = iproc_rng200_init,
+-      priv->rng.cleanup = iproc_rng200_cleanup,
++      priv->rng.name = pdev->name;
++      priv->rng.cleanup = iproc_rng200_cleanup;
++
++      if (of_device_is_compatible(dev->of_node, "brcm,bcm2838-rng200")) {
++              priv->rng.init = bcm2838_rng200_init;
++              priv->rng.read = bcm2838_rng200_read;
++      } else {
++              priv->rng.init = iproc_rng200_init;
++              priv->rng.read = iproc_rng200_read;
++      }
+       /* Register driver */
+       ret = devm_hwrng_register(dev, &priv->rng);
+@@ -223,6 +295,7 @@ static const struct of_device_id iproc_r
+       { .compatible = "brcm,bcm7211-rng200", },
+       { .compatible = "brcm,bcm7278-rng200", },
+       { .compatible = "brcm,iproc-rng200", },
++      { .compatible = "brcm,bcm2838-rng200"},
+       {},
+ };
+ MODULE_DEVICE_TABLE(of, iproc_rng200_of_match);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0205-dt-bindings-pci-Add-DT-docs-for-Brcmstb-PCIe-device.patch b/target/linux/bcm27xx/patches-5.4/950-0205-dt-bindings-pci-Add-DT-docs-for-Brcmstb-PCIe-device.patch
deleted file mode 100644 (file)
index d4ff316..0000000
+++ /dev/null
@@ -1,77 +0,0 @@
-From 417e4745f7470ca8b9809056485eb7a81305019b Mon Sep 17 00:00:00 2001
-From: Jim Quinlan <jim2101024@gmail.com>
-Date: Mon, 15 Jan 2018 18:28:39 -0500
-Subject: [PATCH] dt-bindings: pci: Add DT docs for Brcmstb PCIe device
-
-The DT bindings description of the Brcmstb PCIe device is described.  This
-node can be used by almost all Broadcom settop box chips, using
-ARM, ARM64, or MIPS CPU architectures.
-
-Signed-off-by: Jim Quinlan <jim2101024@gmail.com>
----
- .../devicetree/bindings/pci/brcmstb-pcie.txt  | 59 +++++++++++++++++++
- 1 file changed, 59 insertions(+)
- create mode 100644 Documentation/devicetree/bindings/pci/brcmstb-pcie.txt
-
---- /dev/null
-+++ b/Documentation/devicetree/bindings/pci/brcmstb-pcie.txt
-@@ -0,0 +1,59 @@
-+Brcmstb PCIe Host Controller Device Tree Bindings
-+
-+Required Properties:
-+- compatible
-+  "brcm,bcm7425-pcie" -- for 7425 family MIPS-based SOCs.
-+  "brcm,bcm7435-pcie" -- for 7435 family MIPS-based SOCs.
-+  "brcm,bcm7445-pcie" -- for 7445 and later ARM based SOCs (not including
-+      the 7278).
-+  "brcm,bcm7278-pcie"  -- for 7278 family ARM-based SOCs.
-+
-+- reg -- the register start address and length for the PCIe reg block.
-+- interrupts -- two interrupts are specified; the first interrupt is for
-+     the PCI host controller and the second is for MSI if the built-in
-+     MSI controller is to be used.
-+- interrupt-names -- names of the interrupts (above): "pcie" and "msi".
-+- #address-cells -- set to <3>.
-+- #size-cells -- set to <2>.
-+- #interrupt-cells: set to <1>.
-+- interrupt-map-mask and interrupt-map, standard PCI properties to define the
-+     mapping of the PCIe interface to interrupt numbers.
-+- ranges: ranges for the PCI memory and I/O regions.
-+- linux,pci-domain -- should be unique per host controller.
-+
-+Optional Properties:
-+- clocks -- phandle of pcie clock.
-+- clock-names -- set to "sw_pcie" if clocks is used.
-+- dma-ranges -- Specifies the inbound memory mapping regions when
-+     an "identity map" is not possible.
-+- msi-controller -- this property is typically specified to have the
-+     PCIe controller use its internal MSI controller.
-+- msi-parent -- set to use an external MSI interrupt controller.
-+- brcm,enable-ssc -- (boolean) indicates usage of spread-spectrum clocking.
-+- max-link-speed --  (integer) indicates desired generation of link:
-+     1 => 2.5 Gbps (gen1), 2 => 5.0 Gbps (gen2), 3 => 8.0 Gbps (gen3).
-+
-+Example Node:
-+
-+pcie0: pcie@f0460000 {
-+              reg = <0x0 0xf0460000 0x0 0x9310>;
-+              interrupts = <0x0 0x0 0x4>;
-+              compatible = "brcm,bcm7445-pcie";
-+              #address-cells = <3>;
-+              #size-cells = <2>;
-+              ranges = <0x02000000 0x00000000 0x00000000 0x00000000 0xc0000000 0x00000000 0x08000000
-+                        0x02000000 0x00000000 0x08000000 0x00000000 0xc8000000 0x00000000 0x08000000>;
-+              #interrupt-cells = <1>;
-+              interrupt-map-mask = <0 0 0 7>;
-+              interrupt-map = <0 0 0 1 &intc 0 47 3
-+                               0 0 0 2 &intc 0 48 3
-+                               0 0 0 3 &intc 0 49 3
-+                               0 0 0 4 &intc 0 50 3>;
-+              clocks = <&sw_pcie0>;
-+              clock-names = "sw_pcie";
-+              msi-parent = <&pcie0>;  /* use PCIe's internal MSI controller */
-+              msi-controller;         /* use PCIe's internal MSI controller */
-+              brcm,ssc;
-+              max-link-speed = <1>;
-+              linux,pci-domain = <0>;
-+      };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0205-vchiq-Add-36-bit-address-support.patch b/target/linux/bcm27xx/patches-5.4/950-0205-vchiq-Add-36-bit-address-support.patch
new file mode 100644 (file)
index 0000000..ca2a404
--- /dev/null
@@ -0,0 +1,196 @@
+From 2cba27bce0470a06237b3bd7883d43ade0d5c39c Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Thu, 1 Nov 2018 17:31:37 +0000
+Subject: [PATCH] vchiq: Add 36-bit address support
+
+Conditional on a new compatible string, change the pagelist encoding
+such that the top 24 bits are the pfn, leaving 8 bits for run length
+(-1).
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ .../interface/vchiq_arm/vchiq_2835_arm.c      | 90 ++++++++++++++-----
+ .../interface/vchiq_arm/vchiq_arm.c           |  6 ++
+ .../interface/vchiq_arm/vchiq_arm.h           |  1 +
+ 3 files changed, 75 insertions(+), 22 deletions(-)
+
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
+@@ -16,6 +16,8 @@
+ #include <soc/bcm2835/raspberrypi-firmware.h>
+ #define TOTAL_SLOTS (VCHIQ_SLOT_ZERO_SLOTS + 2 * 32)
++#define VC_SAFE(x) (g_use_36bit_addrs ? ((u32)(x) | 0xc0000000) : (u32)(x))
++#define IS_VC_SAFE(x) (g_use_36bit_addrs ? !((x) & ~0x3fffffffull) : 1)
+ #include "vchiq_arm.h"
+ #include "vchiq_connected.h"
+@@ -63,6 +65,7 @@ static void __iomem *g_regs;
+  */
+ static unsigned int g_cache_line_size = 32;
+ static struct dma_pool *g_dma_pool;
++static unsigned int g_use_36bit_addrs = 0;
+ static unsigned int g_fragments_size;
+ static char *g_fragments_base;
+ static char *g_free_fragments;
+@@ -106,6 +109,8 @@ int vchiq_platform_init(struct platform_
+       g_cache_line_size = drvdata->cache_line_size;
+       g_fragments_size = 2 * g_cache_line_size;
++      g_use_36bit_addrs = (dev->dma_pfn_offset == 0);
++
+       /* Allocate space for the channels in coherent memory */
+       slot_mem_size = PAGE_ALIGN(TOTAL_SLOTS * VCHIQ_SLOT_SIZE);
+       frag_mem_size = PAGE_ALIGN(g_fragments_size * MAX_FRAGMENTS);
+@@ -117,14 +122,21 @@ int vchiq_platform_init(struct platform_
+               return -ENOMEM;
+       }
++      if (!IS_VC_SAFE(slot_phys)) {
++              dev_err(dev, "allocated DMA memory %pad is not VC-safe\n",
++                      &slot_phys);
++              return -ENOMEM;
++      }
++
+       WARN_ON(((unsigned long)slot_mem & (PAGE_SIZE - 1)) != 0);
++      channelbase = VC_SAFE(slot_phys);
+       vchiq_slot_zero = vchiq_init_slots(slot_mem, slot_mem_size);
+       if (!vchiq_slot_zero)
+               return -EINVAL;
+       vchiq_slot_zero->platform_data[VCHIQ_PLATFORM_FRAGMENTS_OFFSET_IDX] =
+-              (int)slot_phys + slot_mem_size;
++              channelbase + slot_mem_size;
+       vchiq_slot_zero->platform_data[VCHIQ_PLATFORM_FRAGMENTS_COUNT_IDX] =
+               MAX_FRAGMENTS;
+@@ -158,7 +170,6 @@ int vchiq_platform_init(struct platform_
+       }
+       /* Send the base address of the slots to VideoCore */
+-      channelbase = slot_phys;
+       err = rpi_firmware_property(fw, RPI_FIRMWARE_VCHIQ_INIT,
+                                   &channelbase, sizeof(channelbase));
+       if (err || channelbase) {
+@@ -244,7 +255,7 @@ vchiq_prepare_bulk_data(struct vchiq_bul
+       if (!pagelistinfo)
+               return VCHIQ_ERROR;
+-      bulk->data = (void *)(unsigned long)pagelistinfo->dma_addr;
++      bulk->data = (void *)VC_SAFE(pagelistinfo->dma_addr);
+       /*
+        * Store the pagelistinfo address in remote_data,
+@@ -522,25 +533,60 @@ create_pagelist(char __user *buf, size_t
+       /* Combine adjacent blocks for performance */
+       k = 0;
+-      for_each_sg(scatterlist, sg, dma_buffers, i) {
+-              u32 len = sg_dma_len(sg);
+-              u32 addr = sg_dma_address(sg);
+-
+-              /* Note: addrs is the address + page_count - 1
+-               * The firmware expects blocks after the first to be page-
+-               * aligned and a multiple of the page size
+-               */
+-              WARN_ON(len == 0);
+-              WARN_ON(i && (i != (dma_buffers - 1)) && (len & ~PAGE_MASK));
+-              WARN_ON(i && (addr & ~PAGE_MASK));
+-              if (k > 0 &&
+-                  ((addrs[k - 1] & PAGE_MASK) +
+-                   (((addrs[k - 1] & ~PAGE_MASK) + 1) << PAGE_SHIFT))
+-                  == (addr & PAGE_MASK))
+-                      addrs[k - 1] += ((len + PAGE_SIZE - 1) >> PAGE_SHIFT);
+-              else
+-                      addrs[k++] = (addr & PAGE_MASK) |
+-                              (((len + PAGE_SIZE - 1) >> PAGE_SHIFT) - 1);
++      if (g_use_36bit_addrs) {
++              for_each_sg(scatterlist, sg, dma_buffers, i) {
++                      u32 len = sg_dma_len(sg);
++                      u64 addr = sg_dma_address(sg);
++                      u32 page_id = (u32)((addr >> 4) & ~0xff);
++                      u32 sg_pages = (len + PAGE_SIZE - 1) >> PAGE_SHIFT;
++
++                      /* Note: addrs is the address + page_count - 1
++                       * The firmware expects blocks after the first to be page-
++                       * aligned and a multiple of the page size
++                       */
++                      WARN_ON(len == 0);
++                      WARN_ON(i &&
++                              (i != (dma_buffers - 1)) && (len & ~PAGE_MASK));
++                      WARN_ON(i && (addr & ~PAGE_MASK));
++                      WARN_ON(upper_32_bits(addr) > 0xf);
++                      if (k > 0 &&
++                          ((addrs[k - 1] & ~0xff) +
++                           (((addrs[k - 1] & 0xff) + 1) << 8)
++                           == page_id)) {
++                              u32 inc_pages = min(sg_pages,
++                                                  0xff - (addrs[k - 1] & 0xff));
++                              addrs[k - 1] += inc_pages;
++                              page_id += inc_pages << 8;
++                              sg_pages -= inc_pages;
++                      }
++                      while (sg_pages) {
++                              u32 inc_pages = min(sg_pages, 0x100u);
++                              addrs[k++] = page_id | (inc_pages - 1);
++                              page_id += inc_pages << 8;
++                              sg_pages -= inc_pages;
++                      }
++              }
++      } else {
++              for_each_sg(scatterlist, sg, dma_buffers, i) {
++                      u32 len = sg_dma_len(sg);
++                      u32 addr = VC_SAFE(sg_dma_address(sg));
++                      u32 new_pages = (len + PAGE_SIZE - 1) >> PAGE_SHIFT;
++
++                      /* Note: addrs is the address + page_count - 1
++                       * The firmware expects blocks after the first to be page-
++                       * aligned and a multiple of the page size
++                       */
++                      WARN_ON(len == 0);
++                      WARN_ON(i && (i != (dma_buffers - 1)) && (len & ~PAGE_MASK));
++                      WARN_ON(i && (addr & ~PAGE_MASK));
++                      if (k > 0 &&
++                          ((addrs[k - 1] & PAGE_MASK) +
++                           (((addrs[k - 1] & ~PAGE_MASK) + 1) << PAGE_SHIFT))
++                          == (addr & PAGE_MASK))
++                              addrs[k - 1] += new_pages;
++                      else
++                              addrs[k++] = (addr & PAGE_MASK) | (new_pages - 1);
++              }
+       }
+       /* Partial cache lines (fragments) require special measures */
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
+@@ -149,6 +149,11 @@ static struct vchiq_drvdata bcm2836_drvd
+       .cache_line_size = 64,
+ };
++static struct vchiq_drvdata bcm2838_drvdata = {
++      .cache_line_size = 64,
++      .use_36bit_addrs = true,
++};
++
+ static const char *const ioctl_names[] = {
+       "CONNECT",
+       "SHUTDOWN",
+@@ -3164,6 +3169,7 @@ void vchiq_platform_conn_state_changed(s
+ static const struct of_device_id vchiq_of_match[] = {
+       { .compatible = "brcm,bcm2835-vchiq", .data = &bcm2835_drvdata },
+       { .compatible = "brcm,bcm2836-vchiq", .data = &bcm2836_drvdata },
++      { .compatible = "brcm,bcm2838-vchiq", .data = &bcm2838_drvdata },
+       {},
+ };
+ MODULE_DEVICE_TABLE(of, vchiq_of_match);
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.h
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.h
+@@ -97,6 +97,7 @@ struct vchiq_arm_state {
+ struct vchiq_drvdata {
+       const unsigned int cache_line_size;
++      const bool use_36bit_addrs;
+       struct rpi_firmware *fw;
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0206-arm-bcm2835-DMA-can-only-address-1GB.patch b/target/linux/bcm27xx/patches-5.4/950-0206-arm-bcm2835-DMA-can-only-address-1GB.patch
deleted file mode 100644 (file)
index 86ad707..0000000
+++ /dev/null
@@ -1,25 +0,0 @@
-From 67b31f71da2c251860dc6ddeffc5d15f8a74c675 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Wed, 29 May 2019 15:47:42 +0100
-Subject: [PATCH] arm: bcm2835: DMA can only address 1GB
-
-The legacy peripherals can only address the first gigabyte of RAM, so
-ensure that DMA allocations are restricted to that region.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/mach-bcm/board_bcm2835.c | 3 +++
- 1 file changed, 3 insertions(+)
-
---- a/arch/arm/mach-bcm/board_bcm2835.c
-+++ b/arch/arm/mach-bcm/board_bcm2835.c
-@@ -114,6 +114,9 @@ static const char * const bcm2835_compat
- };
- DT_MACHINE_START(BCM2835, "BCM2835")
-+#if defined(CONFIG_ZONE_DMA) && defined(CONFIG_ARM_LPAE)
-+      .dma_zone_size  = SZ_1G,
-+#endif
-       .map_io = bcm2835_map_io,
-       .init_machine = bcm2835_init,
-       .dt_compat = bcm2835_compat,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0206-bcm2835-pcm.c-Support-multichannel-audio.patch b/target/linux/bcm27xx/patches-5.4/950-0206-bcm2835-pcm.c-Support-multichannel-audio.patch
new file mode 100644 (file)
index 0000000..133d346
--- /dev/null
@@ -0,0 +1,46 @@
+From c76427651677c03c9611b20b914ab2a2ea173522 Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Tue, 30 Apr 2019 19:15:30 +0100
+Subject: [PATCH] bcm2835-pcm.c: Support multichannel audio
+
+---
+ .../vc04_services/bcm2835-audio/bcm2835-pcm.c   | 17 +++++++++--------
+ 1 file changed, 9 insertions(+), 8 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835-pcm.c
++++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835-pcm.c
+@@ -14,9 +14,9 @@ static const struct snd_pcm_hardware snd
+                SNDRV_PCM_INFO_MMAP | SNDRV_PCM_INFO_MMAP_VALID |
+                SNDRV_PCM_INFO_SYNC_APPLPTR),
+       .formats = SNDRV_PCM_FMTBIT_U8 | SNDRV_PCM_FMTBIT_S16_LE,
+-      .rates = SNDRV_PCM_RATE_CONTINUOUS | SNDRV_PCM_RATE_8000_48000,
++      .rates = SNDRV_PCM_RATE_CONTINUOUS |  SNDRV_PCM_RATE_8000_192000,
+       .rate_min = 8000,
+-      .rate_max = 48000,
++      .rate_max = 192000,
+       .channels_min = 1,
+       .channels_max = 2,
+       .buffer_bytes_max = 128 * 1024,
+@@ -31,15 +31,16 @@ static const struct snd_pcm_hardware snd
+                SNDRV_PCM_INFO_MMAP | SNDRV_PCM_INFO_MMAP_VALID |
+                SNDRV_PCM_INFO_SYNC_APPLPTR),
+       .formats = SNDRV_PCM_FMTBIT_S16_LE,
+-      .rates = SNDRV_PCM_RATE_CONTINUOUS | SNDRV_PCM_RATE_44100 |
+-      SNDRV_PCM_RATE_48000,
++      .rates = SNDRV_PCM_RATE_44100 | SNDRV_PCM_RATE_48000 |
++      SNDRV_PCM_RATE_88200 | SNDRV_PCM_RATE_96000 |
++      SNDRV_PCM_RATE_176400 | SNDRV_PCM_RATE_192000,
+       .rate_min = 44100,
+-      .rate_max = 48000,
++      .rate_max = 192000,
+       .channels_min = 2,
+-      .channels_max = 2,
+-      .buffer_bytes_max = 128 * 1024,
++      .channels_max = 8,
++      .buffer_bytes_max = 512 * 1024,
+       .period_bytes_min = 1 * 1024,
+-      .period_bytes_max = 128 * 1024,
++      .period_bytes_max = 512 * 1024,
+       .periods_min = 1,
+       .periods_max = 128,
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0207-bcmgenet-constrain-max-DMA-burst-length.patch b/target/linux/bcm27xx/patches-5.4/950-0207-bcmgenet-constrain-max-DMA-burst-length.patch
new file mode 100644 (file)
index 0000000..5f683b5
--- /dev/null
@@ -0,0 +1,20 @@
+From f4d211891064eef8b133838c213485a228ad75f3 Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Wed, 12 Sep 2018 14:44:53 +0100
+Subject: [PATCH] bcmgenet: constrain max DMA burst length
+
+---
+ drivers/net/ethernet/broadcom/genet/bcmgenet.h | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/net/ethernet/broadcom/genet/bcmgenet.h
++++ b/drivers/net/ethernet/broadcom/genet/bcmgenet.h
+@@ -29,7 +29,7 @@
+ #define ENET_PAD              8
+ #define ENET_MAX_MTU_SIZE     (ETH_DATA_LEN + ETH_HLEN + VLAN_HLEN + \
+                                ENET_BRCM_TAG_LEN + ETH_FCS_LEN + ENET_PAD)
+-#define DMA_MAX_BURST_LENGTH    0x10
++#define DMA_MAX_BURST_LENGTH    0x08
+ /* misc. configuration */
+ #define CLEAR_ALL_HFB                 0xFF
diff --git a/target/linux/bcm27xx/patches-5.4/950-0207-hwrng-iproc-rng200-Add-BCM2838-support.patch b/target/linux/bcm27xx/patches-5.4/950-0207-hwrng-iproc-rng200-Add-BCM2838-support.patch
deleted file mode 100644 (file)
index c9eac88..0000000
+++ /dev/null
@@ -1,158 +0,0 @@
-From cbf5cde9c460eae458829a7b357cea6734c4755b Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Sat, 4 May 2019 17:06:15 +0200
-Subject: [PATCH] hwrng: iproc-rng200: Add BCM2838 support
-
-The HWRNG on the BCM2838 is compatible to iproc-rng200, so add the
-support to this driver instead of bcm2835-rng.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- drivers/char/hw_random/Kconfig        |  4 +-
- drivers/char/hw_random/iproc-rng200.c | 81 +++++++++++++++++++++++++--
- 2 files changed, 79 insertions(+), 6 deletions(-)
-
---- a/drivers/char/hw_random/Kconfig
-+++ b/drivers/char/hw_random/Kconfig
-@@ -90,11 +90,11 @@ config HW_RANDOM_BCM2835
- config HW_RANDOM_IPROC_RNG200
-       tristate "Broadcom iProc/STB RNG200 support"
--      depends on ARCH_BCM_IPROC || ARCH_BRCMSTB
-+      depends on ARCH_BCM_IPROC || ARCH_BCM2835 || ARCH_BRCMSTB
-       default HW_RANDOM
-       ---help---
-         This driver provides kernel-side support for the RNG200
--        hardware found on the Broadcom iProc and STB SoCs.
-+        hardware found on the Broadcom iProc, BCM2838 and STB SoCs.
-         To compile this driver as a module, choose M here: the
-         module will be called iproc-rng200
---- a/drivers/char/hw_random/iproc-rng200.c
-+++ b/drivers/char/hw_random/iproc-rng200.c
-@@ -29,6 +29,7 @@
- #define RNG_CTRL_RNG_RBGEN_MASK                               0x00001FFF
- #define RNG_CTRL_RNG_RBGEN_ENABLE                     0x00000001
- #define RNG_CTRL_RNG_RBGEN_DISABLE                    0x00000000
-+#define RNG_CTRL_RNG_DIV_CTRL_SHIFT                   13
- #define RNG_SOFT_RESET_OFFSET                         0x04
- #define RNG_SOFT_RESET                                        0x00000001
-@@ -36,16 +37,23 @@
- #define RBG_SOFT_RESET_OFFSET                         0x08
- #define RBG_SOFT_RESET                                        0x00000001
-+#define RNG_TOTAL_BIT_COUNT_OFFSET                    0x0C
-+
-+#define RNG_TOTAL_BIT_COUNT_THRESHOLD_OFFSET          0x10
-+
- #define RNG_INT_STATUS_OFFSET                         0x18
- #define RNG_INT_STATUS_MASTER_FAIL_LOCKOUT_IRQ_MASK   0x80000000
- #define RNG_INT_STATUS_STARTUP_TRANSITIONS_MET_IRQ_MASK       0x00020000
- #define RNG_INT_STATUS_NIST_FAIL_IRQ_MASK             0x00000020
- #define RNG_INT_STATUS_TOTAL_BITS_COUNT_IRQ_MASK      0x00000001
-+#define RNG_INT_ENABLE_OFFSET                         0x1C
-+
- #define RNG_FIFO_DATA_OFFSET                          0x20
- #define RNG_FIFO_COUNT_OFFSET                         0x24
- #define RNG_FIFO_COUNT_RNG_FIFO_COUNT_MASK            0x000000FF
-+#define RNG_FIFO_COUNT_RNG_FIFO_THRESHOLD_SHIFT               8
- struct iproc_rng200_dev {
-       struct hwrng rng;
-@@ -166,6 +174,64 @@ static int iproc_rng200_init(struct hwrn
-       return 0;
- }
-+static int bcm2838_rng200_read(struct hwrng *rng, void *buf, size_t max,
-+                             bool wait)
-+{
-+      struct iproc_rng200_dev *priv = to_rng_priv(rng);
-+      u32 max_words = max / sizeof(u32);
-+      u32 num_words, count, val;
-+
-+      /* ensure warm up period has elapsed */
-+      while (1) {
-+              val = ioread32(priv->base + RNG_TOTAL_BIT_COUNT_OFFSET);
-+              if (val > 16)
-+                      break;
-+              cpu_relax();
-+      }
-+
-+      /* ensure fifo is not empty */
-+      while (1) {
-+              num_words = ioread32(priv->base + RNG_FIFO_COUNT_OFFSET) &
-+                          RNG_FIFO_COUNT_RNG_FIFO_COUNT_MASK;
-+              if (num_words)
-+                      break;
-+              if (!wait)
-+                      return 0;
-+              cpu_relax();
-+      }
-+
-+      if (num_words > max_words)
-+              num_words = max_words;
-+
-+      for (count = 0; count < num_words; count++) {
-+              ((u32 *)buf)[count] = ioread32(priv->base +
-+                                             RNG_FIFO_DATA_OFFSET);
-+      }
-+
-+      return num_words * sizeof(u32);
-+}
-+
-+static int bcm2838_rng200_init(struct hwrng *rng)
-+{
-+      struct iproc_rng200_dev *priv = to_rng_priv(rng);
-+      uint32_t val;
-+
-+      if (ioread32(priv->base + RNG_CTRL_OFFSET) & RNG_CTRL_RNG_RBGEN_MASK)
-+              return 0;
-+
-+      /* initial numbers generated are "less random" so will be discarded */
-+      val = 0x40000;
-+      iowrite32(val, priv->base + RNG_TOTAL_BIT_COUNT_THRESHOLD_OFFSET);
-+      /* min fifo count to generate full interrupt */
-+      val = 2 << RNG_FIFO_COUNT_RNG_FIFO_THRESHOLD_SHIFT;
-+      iowrite32(val, priv->base + RNG_FIFO_COUNT_OFFSET);
-+      /* enable the rng - 1Mhz sample rate */
-+      val = (0x3 << RNG_CTRL_RNG_DIV_CTRL_SHIFT) | RNG_CTRL_RNG_RBGEN_MASK;
-+      iowrite32(val, priv->base + RNG_CTRL_OFFSET);
-+
-+      return 0;
-+}
-+
- static void iproc_rng200_cleanup(struct hwrng *rng)
- {
-       struct iproc_rng200_dev *priv = to_rng_priv(rng);
-@@ -202,10 +268,16 @@ static int iproc_rng200_probe(struct pla
-               return PTR_ERR(priv->base);
-       }
--      priv->rng.name = "iproc-rng200",
--      priv->rng.read = iproc_rng200_read,
--      priv->rng.init = iproc_rng200_init,
--      priv->rng.cleanup = iproc_rng200_cleanup,
-+      priv->rng.name = pdev->name;
-+      priv->rng.cleanup = iproc_rng200_cleanup;
-+
-+      if (of_device_is_compatible(dev->of_node, "brcm,bcm2838-rng200")) {
-+              priv->rng.init = bcm2838_rng200_init;
-+              priv->rng.read = bcm2838_rng200_read;
-+      } else {
-+              priv->rng.init = iproc_rng200_init;
-+              priv->rng.read = iproc_rng200_read;
-+      }
-       /* Register driver */
-       ret = devm_hwrng_register(dev, &priv->rng);
-@@ -223,6 +295,7 @@ static const struct of_device_id iproc_r
-       { .compatible = "brcm,bcm7211-rng200", },
-       { .compatible = "brcm,bcm7278-rng200", },
-       { .compatible = "brcm,iproc-rng200", },
-+      { .compatible = "brcm,bcm2838-rng200"},
-       {},
- };
- MODULE_DEVICE_TABLE(of, iproc_rng200_of_match);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0208-bcmgenet-Better-coalescing-parameter-defaults.patch b/target/linux/bcm27xx/patches-5.4/950-0208-bcmgenet-Better-coalescing-parameter-defaults.patch
new file mode 100644 (file)
index 0000000..3a38f64
--- /dev/null
@@ -0,0 +1,43 @@
+From b3344ca9ef887c4004c61be39f7d8d058a569d4d Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Wed, 27 Mar 2019 13:45:46 +0000
+Subject: [PATCH] bcmgenet: Better coalescing parameter defaults
+
+Set defaults for TX and RX packet coalescing to be equivalent to:
+
+  # ethtool -C eth0 tx-frames 10
+  # ethtool -C eth0 rx-usecs 50
+
+This may be something we want to set via DT parameters in the
+future.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/net/ethernet/broadcom/genet/bcmgenet.c | 7 +++++--
+ 1 file changed, 5 insertions(+), 2 deletions(-)
+
+--- a/drivers/net/ethernet/broadcom/genet/bcmgenet.c
++++ b/drivers/net/ethernet/broadcom/genet/bcmgenet.c
+@@ -2149,7 +2149,7 @@ static void bcmgenet_init_tx_ring(struct
+       bcmgenet_tdma_ring_writel(priv, index, 0, TDMA_PROD_INDEX);
+       bcmgenet_tdma_ring_writel(priv, index, 0, TDMA_CONS_INDEX);
+-      bcmgenet_tdma_ring_writel(priv, index, 1, DMA_MBUF_DONE_THRESH);
++      bcmgenet_tdma_ring_writel(priv, index, 10, DMA_MBUF_DONE_THRESH);
+       /* Disable rate control for now */
+       bcmgenet_tdma_ring_writel(priv, index, flow_period_val,
+                                 TDMA_FLOW_PERIOD);
+@@ -3573,9 +3573,12 @@ static int bcmgenet_probe(struct platfor
+       netif_set_real_num_rx_queues(priv->dev, priv->hw_params->rx_queues + 1);
+       /* Set default coalescing parameters */
+-      for (i = 0; i < priv->hw_params->rx_queues; i++)
++      for (i = 0; i < priv->hw_params->rx_queues; i++) {
+               priv->rx_rings[i].rx_max_coalesced_frames = 1;
++              priv->rx_rings[i].rx_coalesce_usecs = 50;
++      }
+       priv->rx_rings[DESC_INDEX].rx_max_coalesced_frames = 1;
++      priv->rx_rings[DESC_INDEX].rx_coalesce_usecs = 50;
+       /* libphy will determine the link state */
+       netif_carrier_off(dev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0208-vchiq-Add-36-bit-address-support.patch b/target/linux/bcm27xx/patches-5.4/950-0208-vchiq-Add-36-bit-address-support.patch
deleted file mode 100644 (file)
index ca2a404..0000000
+++ /dev/null
@@ -1,196 +0,0 @@
-From 2cba27bce0470a06237b3bd7883d43ade0d5c39c Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Thu, 1 Nov 2018 17:31:37 +0000
-Subject: [PATCH] vchiq: Add 36-bit address support
-
-Conditional on a new compatible string, change the pagelist encoding
-such that the top 24 bits are the pfn, leaving 8 bits for run length
-(-1).
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- .../interface/vchiq_arm/vchiq_2835_arm.c      | 90 ++++++++++++++-----
- .../interface/vchiq_arm/vchiq_arm.c           |  6 ++
- .../interface/vchiq_arm/vchiq_arm.h           |  1 +
- 3 files changed, 75 insertions(+), 22 deletions(-)
-
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
-@@ -16,6 +16,8 @@
- #include <soc/bcm2835/raspberrypi-firmware.h>
- #define TOTAL_SLOTS (VCHIQ_SLOT_ZERO_SLOTS + 2 * 32)
-+#define VC_SAFE(x) (g_use_36bit_addrs ? ((u32)(x) | 0xc0000000) : (u32)(x))
-+#define IS_VC_SAFE(x) (g_use_36bit_addrs ? !((x) & ~0x3fffffffull) : 1)
- #include "vchiq_arm.h"
- #include "vchiq_connected.h"
-@@ -63,6 +65,7 @@ static void __iomem *g_regs;
-  */
- static unsigned int g_cache_line_size = 32;
- static struct dma_pool *g_dma_pool;
-+static unsigned int g_use_36bit_addrs = 0;
- static unsigned int g_fragments_size;
- static char *g_fragments_base;
- static char *g_free_fragments;
-@@ -106,6 +109,8 @@ int vchiq_platform_init(struct platform_
-       g_cache_line_size = drvdata->cache_line_size;
-       g_fragments_size = 2 * g_cache_line_size;
-+      g_use_36bit_addrs = (dev->dma_pfn_offset == 0);
-+
-       /* Allocate space for the channels in coherent memory */
-       slot_mem_size = PAGE_ALIGN(TOTAL_SLOTS * VCHIQ_SLOT_SIZE);
-       frag_mem_size = PAGE_ALIGN(g_fragments_size * MAX_FRAGMENTS);
-@@ -117,14 +122,21 @@ int vchiq_platform_init(struct platform_
-               return -ENOMEM;
-       }
-+      if (!IS_VC_SAFE(slot_phys)) {
-+              dev_err(dev, "allocated DMA memory %pad is not VC-safe\n",
-+                      &slot_phys);
-+              return -ENOMEM;
-+      }
-+
-       WARN_ON(((unsigned long)slot_mem & (PAGE_SIZE - 1)) != 0);
-+      channelbase = VC_SAFE(slot_phys);
-       vchiq_slot_zero = vchiq_init_slots(slot_mem, slot_mem_size);
-       if (!vchiq_slot_zero)
-               return -EINVAL;
-       vchiq_slot_zero->platform_data[VCHIQ_PLATFORM_FRAGMENTS_OFFSET_IDX] =
--              (int)slot_phys + slot_mem_size;
-+              channelbase + slot_mem_size;
-       vchiq_slot_zero->platform_data[VCHIQ_PLATFORM_FRAGMENTS_COUNT_IDX] =
-               MAX_FRAGMENTS;
-@@ -158,7 +170,6 @@ int vchiq_platform_init(struct platform_
-       }
-       /* Send the base address of the slots to VideoCore */
--      channelbase = slot_phys;
-       err = rpi_firmware_property(fw, RPI_FIRMWARE_VCHIQ_INIT,
-                                   &channelbase, sizeof(channelbase));
-       if (err || channelbase) {
-@@ -244,7 +255,7 @@ vchiq_prepare_bulk_data(struct vchiq_bul
-       if (!pagelistinfo)
-               return VCHIQ_ERROR;
--      bulk->data = (void *)(unsigned long)pagelistinfo->dma_addr;
-+      bulk->data = (void *)VC_SAFE(pagelistinfo->dma_addr);
-       /*
-        * Store the pagelistinfo address in remote_data,
-@@ -522,25 +533,60 @@ create_pagelist(char __user *buf, size_t
-       /* Combine adjacent blocks for performance */
-       k = 0;
--      for_each_sg(scatterlist, sg, dma_buffers, i) {
--              u32 len = sg_dma_len(sg);
--              u32 addr = sg_dma_address(sg);
--
--              /* Note: addrs is the address + page_count - 1
--               * The firmware expects blocks after the first to be page-
--               * aligned and a multiple of the page size
--               */
--              WARN_ON(len == 0);
--              WARN_ON(i && (i != (dma_buffers - 1)) && (len & ~PAGE_MASK));
--              WARN_ON(i && (addr & ~PAGE_MASK));
--              if (k > 0 &&
--                  ((addrs[k - 1] & PAGE_MASK) +
--                   (((addrs[k - 1] & ~PAGE_MASK) + 1) << PAGE_SHIFT))
--                  == (addr & PAGE_MASK))
--                      addrs[k - 1] += ((len + PAGE_SIZE - 1) >> PAGE_SHIFT);
--              else
--                      addrs[k++] = (addr & PAGE_MASK) |
--                              (((len + PAGE_SIZE - 1) >> PAGE_SHIFT) - 1);
-+      if (g_use_36bit_addrs) {
-+              for_each_sg(scatterlist, sg, dma_buffers, i) {
-+                      u32 len = sg_dma_len(sg);
-+                      u64 addr = sg_dma_address(sg);
-+                      u32 page_id = (u32)((addr >> 4) & ~0xff);
-+                      u32 sg_pages = (len + PAGE_SIZE - 1) >> PAGE_SHIFT;
-+
-+                      /* Note: addrs is the address + page_count - 1
-+                       * The firmware expects blocks after the first to be page-
-+                       * aligned and a multiple of the page size
-+                       */
-+                      WARN_ON(len == 0);
-+                      WARN_ON(i &&
-+                              (i != (dma_buffers - 1)) && (len & ~PAGE_MASK));
-+                      WARN_ON(i && (addr & ~PAGE_MASK));
-+                      WARN_ON(upper_32_bits(addr) > 0xf);
-+                      if (k > 0 &&
-+                          ((addrs[k - 1] & ~0xff) +
-+                           (((addrs[k - 1] & 0xff) + 1) << 8)
-+                           == page_id)) {
-+                              u32 inc_pages = min(sg_pages,
-+                                                  0xff - (addrs[k - 1] & 0xff));
-+                              addrs[k - 1] += inc_pages;
-+                              page_id += inc_pages << 8;
-+                              sg_pages -= inc_pages;
-+                      }
-+                      while (sg_pages) {
-+                              u32 inc_pages = min(sg_pages, 0x100u);
-+                              addrs[k++] = page_id | (inc_pages - 1);
-+                              page_id += inc_pages << 8;
-+                              sg_pages -= inc_pages;
-+                      }
-+              }
-+      } else {
-+              for_each_sg(scatterlist, sg, dma_buffers, i) {
-+                      u32 len = sg_dma_len(sg);
-+                      u32 addr = VC_SAFE(sg_dma_address(sg));
-+                      u32 new_pages = (len + PAGE_SIZE - 1) >> PAGE_SHIFT;
-+
-+                      /* Note: addrs is the address + page_count - 1
-+                       * The firmware expects blocks after the first to be page-
-+                       * aligned and a multiple of the page size
-+                       */
-+                      WARN_ON(len == 0);
-+                      WARN_ON(i && (i != (dma_buffers - 1)) && (len & ~PAGE_MASK));
-+                      WARN_ON(i && (addr & ~PAGE_MASK));
-+                      if (k > 0 &&
-+                          ((addrs[k - 1] & PAGE_MASK) +
-+                           (((addrs[k - 1] & ~PAGE_MASK) + 1) << PAGE_SHIFT))
-+                          == (addr & PAGE_MASK))
-+                              addrs[k - 1] += new_pages;
-+                      else
-+                              addrs[k++] = (addr & PAGE_MASK) | (new_pages - 1);
-+              }
-       }
-       /* Partial cache lines (fragments) require special measures */
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-@@ -149,6 +149,11 @@ static struct vchiq_drvdata bcm2836_drvd
-       .cache_line_size = 64,
- };
-+static struct vchiq_drvdata bcm2838_drvdata = {
-+      .cache_line_size = 64,
-+      .use_36bit_addrs = true,
-+};
-+
- static const char *const ioctl_names[] = {
-       "CONNECT",
-       "SHUTDOWN",
-@@ -3164,6 +3169,7 @@ void vchiq_platform_conn_state_changed(s
- static const struct of_device_id vchiq_of_match[] = {
-       { .compatible = "brcm,bcm2835-vchiq", .data = &bcm2835_drvdata },
-       { .compatible = "brcm,bcm2836-vchiq", .data = &bcm2836_drvdata },
-+      { .compatible = "brcm,bcm2838-vchiq", .data = &bcm2838_drvdata },
-       {},
- };
- MODULE_DEVICE_TABLE(of, vchiq_of_match);
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.h
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.h
-@@ -97,6 +97,7 @@ struct vchiq_arm_state {
- struct vchiq_drvdata {
-       const unsigned int cache_line_size;
-+      const bool use_36bit_addrs;
-       struct rpi_firmware *fw;
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0209-bcm2835-pcm.c-Support-multichannel-audio.patch b/target/linux/bcm27xx/patches-5.4/950-0209-bcm2835-pcm.c-Support-multichannel-audio.patch
deleted file mode 100644 (file)
index 133d346..0000000
+++ /dev/null
@@ -1,46 +0,0 @@
-From c76427651677c03c9611b20b914ab2a2ea173522 Mon Sep 17 00:00:00 2001
-From: popcornmix <popcornmix@gmail.com>
-Date: Tue, 30 Apr 2019 19:15:30 +0100
-Subject: [PATCH] bcm2835-pcm.c: Support multichannel audio
-
----
- .../vc04_services/bcm2835-audio/bcm2835-pcm.c   | 17 +++++++++--------
- 1 file changed, 9 insertions(+), 8 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835-pcm.c
-+++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835-pcm.c
-@@ -14,9 +14,9 @@ static const struct snd_pcm_hardware snd
-                SNDRV_PCM_INFO_MMAP | SNDRV_PCM_INFO_MMAP_VALID |
-                SNDRV_PCM_INFO_SYNC_APPLPTR),
-       .formats = SNDRV_PCM_FMTBIT_U8 | SNDRV_PCM_FMTBIT_S16_LE,
--      .rates = SNDRV_PCM_RATE_CONTINUOUS | SNDRV_PCM_RATE_8000_48000,
-+      .rates = SNDRV_PCM_RATE_CONTINUOUS |  SNDRV_PCM_RATE_8000_192000,
-       .rate_min = 8000,
--      .rate_max = 48000,
-+      .rate_max = 192000,
-       .channels_min = 1,
-       .channels_max = 2,
-       .buffer_bytes_max = 128 * 1024,
-@@ -31,15 +31,16 @@ static const struct snd_pcm_hardware snd
-                SNDRV_PCM_INFO_MMAP | SNDRV_PCM_INFO_MMAP_VALID |
-                SNDRV_PCM_INFO_SYNC_APPLPTR),
-       .formats = SNDRV_PCM_FMTBIT_S16_LE,
--      .rates = SNDRV_PCM_RATE_CONTINUOUS | SNDRV_PCM_RATE_44100 |
--      SNDRV_PCM_RATE_48000,
-+      .rates = SNDRV_PCM_RATE_44100 | SNDRV_PCM_RATE_48000 |
-+      SNDRV_PCM_RATE_88200 | SNDRV_PCM_RATE_96000 |
-+      SNDRV_PCM_RATE_176400 | SNDRV_PCM_RATE_192000,
-       .rate_min = 44100,
--      .rate_max = 48000,
-+      .rate_max = 192000,
-       .channels_min = 2,
--      .channels_max = 2,
--      .buffer_bytes_max = 128 * 1024,
-+      .channels_max = 8,
-+      .buffer_bytes_max = 512 * 1024,
-       .period_bytes_min = 1 * 1024,
--      .period_bytes_max = 128 * 1024,
-+      .period_bytes_max = 512 * 1024,
-       .periods_min = 1,
-       .periods_max = 128,
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0209-net-genet-enable-link-energy-detect-powerdown-for-ex.patch b/target/linux/bcm27xx/patches-5.4/950-0209-net-genet-enable-link-energy-detect-powerdown-for-ex.patch
new file mode 100644 (file)
index 0000000..ab43dfd
--- /dev/null
@@ -0,0 +1,31 @@
+From cbe8b55622fc2f0a959da599447c87cf1f967a91 Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Tue, 14 May 2019 17:17:59 +0100
+Subject: [PATCH] net: genet: enable link energy detect powerdown for
+ external PHYs
+
+There are several warts surrounding bcmgenet_mii_probe() as this
+function is called from ndo_open, but it's calling registration-type
+functions. The probe should be called at probe time and refactored
+such that the PHY device data can be extracted to limit the scope
+of this flag to Broadcom PHYs.
+
+For now, pass this flag in as it puts our attached PHY into a low-power
+state when disconnected.
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
+---
+ drivers/net/ethernet/broadcom/genet/bcmmii.c | 2 ++
+ 1 file changed, 2 insertions(+)
+
+--- a/drivers/net/ethernet/broadcom/genet/bcmmii.c
++++ b/drivers/net/ethernet/broadcom/genet/bcmmii.c
+@@ -316,6 +316,8 @@ int bcmgenet_mii_probe(struct net_device
+       /* Communicate the integrated PHY revision */
+       if (priv->internal_phy)
+               phy_flags = priv->gphy_rev;
++      else
++              phy_flags = PHY_BRCM_AUTO_PWRDWN_ENABLE;
+       /* Initialize link state variables that bcmgenet_mii_setup() uses */
+       priv->old_link = -1;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0210-bcmgenet-constrain-max-DMA-burst-length.patch b/target/linux/bcm27xx/patches-5.4/950-0210-bcmgenet-constrain-max-DMA-burst-length.patch
deleted file mode 100644 (file)
index 5f683b5..0000000
+++ /dev/null
@@ -1,20 +0,0 @@
-From f4d211891064eef8b133838c213485a228ad75f3 Mon Sep 17 00:00:00 2001
-From: Jonathan Bell <jonathan@raspberrypi.org>
-Date: Wed, 12 Sep 2018 14:44:53 +0100
-Subject: [PATCH] bcmgenet: constrain max DMA burst length
-
----
- drivers/net/ethernet/broadcom/genet/bcmgenet.h | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/net/ethernet/broadcom/genet/bcmgenet.h
-+++ b/drivers/net/ethernet/broadcom/genet/bcmgenet.h
-@@ -29,7 +29,7 @@
- #define ENET_PAD              8
- #define ENET_MAX_MTU_SIZE     (ETH_DATA_LEN + ETH_HLEN + VLAN_HLEN + \
-                                ENET_BRCM_TAG_LEN + ETH_FCS_LEN + ENET_PAD)
--#define DMA_MAX_BURST_LENGTH    0x10
-+#define DMA_MAX_BURST_LENGTH    0x08
- /* misc. configuration */
- #define CLEAR_ALL_HFB                 0xFF
diff --git a/target/linux/bcm27xx/patches-5.4/950-0210-usb-xhci-Disable-the-XHCI-5-second-timeout.patch b/target/linux/bcm27xx/patches-5.4/950-0210-usb-xhci-Disable-the-XHCI-5-second-timeout.patch
new file mode 100644 (file)
index 0000000..2f5e08b
--- /dev/null
@@ -0,0 +1,29 @@
+From a71750c83a6f1f2f7c22864bbb4e62af5e70c214 Mon Sep 17 00:00:00 2001
+From: Tim Gover <tim.gover@raspberrypi.org>
+Date: Fri, 22 Mar 2019 09:47:14 +0000
+Subject: [PATCH] usb: xhci: Disable the XHCI 5 second timeout
+
+If the VL805 EEPROM has not been programmed then boot will hang for five
+seconds. The timeout seems to be arbitrary and is an unecessary
+delay on the first boot. Remove the timeout.
+
+This is common code and probably can't be upstreamed unless the timeout
+can be configurable somehow or perhaps the XHCI driver can be skipped
+on the first boot.
+---
+ drivers/usb/host/xhci.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/usb/host/xhci.c
++++ b/drivers/usb/host/xhci.c
+@@ -196,8 +196,9 @@ int xhci_reset(struct xhci_hcd *xhci)
+       if (xhci->quirks & XHCI_INTEL_HOST)
+               udelay(1000);
++      // Hack: reduce handshake timeout from 10s 0.5s due to unprogrammed vl805
+       ret = xhci_handshake(&xhci->op_regs->command,
+-                      CMD_RESET, 0, 10 * 1000 * 1000);
++                      CMD_RESET, 0, 500 * 1000);
+       if (ret)
+               return ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0211-bcmgenet-Better-coalescing-parameter-defaults.patch b/target/linux/bcm27xx/patches-5.4/950-0211-bcmgenet-Better-coalescing-parameter-defaults.patch
deleted file mode 100644 (file)
index 3a38f64..0000000
+++ /dev/null
@@ -1,43 +0,0 @@
-From b3344ca9ef887c4004c61be39f7d8d058a569d4d Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Wed, 27 Mar 2019 13:45:46 +0000
-Subject: [PATCH] bcmgenet: Better coalescing parameter defaults
-
-Set defaults for TX and RX packet coalescing to be equivalent to:
-
-  # ethtool -C eth0 tx-frames 10
-  # ethtool -C eth0 rx-usecs 50
-
-This may be something we want to set via DT parameters in the
-future.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/net/ethernet/broadcom/genet/bcmgenet.c | 7 +++++--
- 1 file changed, 5 insertions(+), 2 deletions(-)
-
---- a/drivers/net/ethernet/broadcom/genet/bcmgenet.c
-+++ b/drivers/net/ethernet/broadcom/genet/bcmgenet.c
-@@ -2149,7 +2149,7 @@ static void bcmgenet_init_tx_ring(struct
-       bcmgenet_tdma_ring_writel(priv, index, 0, TDMA_PROD_INDEX);
-       bcmgenet_tdma_ring_writel(priv, index, 0, TDMA_CONS_INDEX);
--      bcmgenet_tdma_ring_writel(priv, index, 1, DMA_MBUF_DONE_THRESH);
-+      bcmgenet_tdma_ring_writel(priv, index, 10, DMA_MBUF_DONE_THRESH);
-       /* Disable rate control for now */
-       bcmgenet_tdma_ring_writel(priv, index, flow_period_val,
-                                 TDMA_FLOW_PERIOD);
-@@ -3573,9 +3573,12 @@ static int bcmgenet_probe(struct platfor
-       netif_set_real_num_rx_queues(priv->dev, priv->hw_params->rx_queues + 1);
-       /* Set default coalescing parameters */
--      for (i = 0; i < priv->hw_params->rx_queues; i++)
-+      for (i = 0; i < priv->hw_params->rx_queues; i++) {
-               priv->rx_rings[i].rx_max_coalesced_frames = 1;
-+              priv->rx_rings[i].rx_coalesce_usecs = 50;
-+      }
-       priv->rx_rings[DESC_INDEX].rx_max_coalesced_frames = 1;
-+      priv->rx_rings[DESC_INDEX].rx_coalesce_usecs = 50;
-       /* libphy will determine the link state */
-       netif_carrier_off(dev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0211-usb-xhci-Show-that-the-VIA-VL805-supports-LPM.patch b/target/linux/bcm27xx/patches-5.4/950-0211-usb-xhci-Show-that-the-VIA-VL805-supports-LPM.patch
new file mode 100644 (file)
index 0000000..b2a8279
--- /dev/null
@@ -0,0 +1,23 @@
+From 605cd2341a6be51fd91da8d985a4698db7d9a623 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Thu, 23 May 2019 15:08:30 +0100
+Subject: [PATCH] usb: xhci: Show that the VIA VL805 supports LPM
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/usb/host/xhci-pci.c | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/drivers/usb/host/xhci-pci.c
++++ b/drivers/usb/host/xhci-pci.c
+@@ -254,6 +254,10 @@ static void xhci_pci_quirks(struct devic
+                       pdev->device == 0x3432)
+               xhci->quirks |= XHCI_BROKEN_STREAMS;
++      if (pdev->vendor == PCI_VENDOR_ID_VIA &&
++                      pdev->device == 0x3483)
++              xhci->quirks |= XHCI_LPM_SUPPORT;
++
+       if (pdev->vendor == PCI_VENDOR_ID_ASMEDIA &&
+               pdev->device == PCI_DEVICE_ID_ASMEDIA_1042_XHCI)
+               xhci->quirks |= XHCI_BROKEN_STREAMS;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0212-net-genet-enable-link-energy-detect-powerdown-for-ex.patch b/target/linux/bcm27xx/patches-5.4/950-0212-net-genet-enable-link-energy-detect-powerdown-for-ex.patch
deleted file mode 100644 (file)
index ab43dfd..0000000
+++ /dev/null
@@ -1,31 +0,0 @@
-From cbe8b55622fc2f0a959da599447c87cf1f967a91 Mon Sep 17 00:00:00 2001
-From: Jonathan Bell <jonathan@raspberrypi.org>
-Date: Tue, 14 May 2019 17:17:59 +0100
-Subject: [PATCH] net: genet: enable link energy detect powerdown for
- external PHYs
-
-There are several warts surrounding bcmgenet_mii_probe() as this
-function is called from ndo_open, but it's calling registration-type
-functions. The probe should be called at probe time and refactored
-such that the PHY device data can be extracted to limit the scope
-of this flag to Broadcom PHYs.
-
-For now, pass this flag in as it puts our attached PHY into a low-power
-state when disconnected.
-
-Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
----
- drivers/net/ethernet/broadcom/genet/bcmmii.c | 2 ++
- 1 file changed, 2 insertions(+)
-
---- a/drivers/net/ethernet/broadcom/genet/bcmmii.c
-+++ b/drivers/net/ethernet/broadcom/genet/bcmmii.c
-@@ -316,6 +316,8 @@ int bcmgenet_mii_probe(struct net_device
-       /* Communicate the integrated PHY revision */
-       if (priv->internal_phy)
-               phy_flags = priv->gphy_rev;
-+      else
-+              phy_flags = PHY_BRCM_AUTO_PWRDWN_ENABLE;
-       /* Initialize link state variables that bcmgenet_mii_setup() uses */
-       priv->old_link = -1;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0212-spi-bcm2835-enable-shared-interrupt-support.patch b/target/linux/bcm27xx/patches-5.4/950-0212-spi-bcm2835-enable-shared-interrupt-support.patch
new file mode 100644 (file)
index 0000000..f82ae7d
--- /dev/null
@@ -0,0 +1,35 @@
+From ac94635b678715af00a685ada0a1b60dfb54c771 Mon Sep 17 00:00:00 2001
+From: Martin Sperl <kernel@martin.sperl.org>
+Date: Mon, 13 May 2019 11:05:27 +0000
+Subject: [PATCH] spi: bcm2835: enable shared interrupt support
+
+Add shared interrupt support for this driver.
+
+Signed-off-by: Martin Sperl <kernel@martin.sperl.org>
+---
+ drivers/spi/spi-bcm2835.c | 7 ++++++-
+ 1 file changed, 6 insertions(+), 1 deletion(-)
+
+--- a/drivers/spi/spi-bcm2835.c
++++ b/drivers/spi/spi-bcm2835.c
+@@ -379,6 +379,10 @@ static irqreturn_t bcm2835_spi_interrupt
+       if (bs->tx_len && cs & BCM2835_SPI_CS_DONE)
+               bcm2835_wr_fifo_blind(bs, BCM2835_SPI_FIFO_SIZE);
++      /* check if we got interrupt enabled */
++      if (!(bcm2835_rd(bs, BCM2835_SPI_CS) & BCM2835_SPI_CS_INTR))
++              return IRQ_NONE;
++
+       /* Read as many bytes as possible from FIFO */
+       bcm2835_rd_fifo(bs);
+       /* Write as many bytes as possible to FIFO */
+@@ -1281,7 +1285,8 @@ static int bcm2835_spi_probe(struct plat
+       bcm2835_wr(bs, BCM2835_SPI_CS,
+                  BCM2835_SPI_CS_CLEAR_RX | BCM2835_SPI_CS_CLEAR_TX);
+-      err = devm_request_irq(&pdev->dev, bs->irq, bcm2835_spi_interrupt, 0,
++      err = devm_request_irq(&pdev->dev, bs->irq, bcm2835_spi_interrupt,
++                             IRQF_SHARED,
+                              dev_name(&pdev->dev), ctlr);
+       if (err) {
+               dev_err(&pdev->dev, "could not request IRQ: %d\n", err);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0213-clk-bcm2835-Don-t-wait-for-pllh-lock.patch b/target/linux/bcm27xx/patches-5.4/950-0213-clk-bcm2835-Don-t-wait-for-pllh-lock.patch
new file mode 100644 (file)
index 0000000..77625e8
--- /dev/null
@@ -0,0 +1,38 @@
+From 35d84e9f2944b72ccfc508dc5c540c526ab351c1 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Wed, 23 Jan 2019 16:11:50 +0000
+Subject: [PATCH] clk-bcm2835: Don't wait for pllh lock
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/clk/bcm/clk-bcm2835.c | 18 ++++++++++--------
+ 1 file changed, 10 insertions(+), 8 deletions(-)
+
+--- a/drivers/clk/bcm/clk-bcm2835.c
++++ b/drivers/clk/bcm/clk-bcm2835.c
+@@ -643,15 +643,17 @@ static int bcm2835_pll_on(struct clk_hw
+       spin_unlock(&cprman->regs_lock);
+       /* Wait for the PLL to lock. */
+-      timeout = ktime_add_ns(ktime_get(), LOCK_TIMEOUT_NS);
+-      while (!(cprman_read(cprman, CM_LOCK) & data->lock_mask)) {
+-              if (ktime_after(ktime_get(), timeout)) {
+-                      dev_err(cprman->dev, "%s: couldn't lock PLL\n",
+-                              clk_hw_get_name(hw));
+-                      return -ETIMEDOUT;
+-              }
++      if (strcmp(data->name, "pllh")) {
++              timeout = ktime_add_ns(ktime_get(), LOCK_TIMEOUT_NS);
++              while (!(cprman_read(cprman, CM_LOCK) & data->lock_mask)) {
++                      if (ktime_after(ktime_get(), timeout)) {
++                              dev_err(cprman->dev, "%s: couldn't lock PLL\n",
++                                      clk_hw_get_name(hw));
++                              return -ETIMEDOUT;
++                      }
+-              cpu_relax();
++                      cpu_relax();
++              }
+       }
+       cprman_write(cprman, data->a2w_ctrl_reg,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0213-usb-xhci-Disable-the-XHCI-5-second-timeout.patch b/target/linux/bcm27xx/patches-5.4/950-0213-usb-xhci-Disable-the-XHCI-5-second-timeout.patch
deleted file mode 100644 (file)
index 2f5e08b..0000000
+++ /dev/null
@@ -1,29 +0,0 @@
-From a71750c83a6f1f2f7c22864bbb4e62af5e70c214 Mon Sep 17 00:00:00 2001
-From: Tim Gover <tim.gover@raspberrypi.org>
-Date: Fri, 22 Mar 2019 09:47:14 +0000
-Subject: [PATCH] usb: xhci: Disable the XHCI 5 second timeout
-
-If the VL805 EEPROM has not been programmed then boot will hang for five
-seconds. The timeout seems to be arbitrary and is an unecessary
-delay on the first boot. Remove the timeout.
-
-This is common code and probably can't be upstreamed unless the timeout
-can be configurable somehow or perhaps the XHCI driver can be skipped
-on the first boot.
----
- drivers/usb/host/xhci.c | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/drivers/usb/host/xhci.c
-+++ b/drivers/usb/host/xhci.c
-@@ -196,8 +196,9 @@ int xhci_reset(struct xhci_hcd *xhci)
-       if (xhci->quirks & XHCI_INTEL_HOST)
-               udelay(1000);
-+      // Hack: reduce handshake timeout from 10s 0.5s due to unprogrammed vl805
-       ret = xhci_handshake(&xhci->op_regs->command,
--                      CMD_RESET, 0, 10 * 1000 * 1000);
-+                      CMD_RESET, 0, 500 * 1000);
-       if (ret)
-               return ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0214-soc-bcm-bcm2835-pm-Add-support-for-2711.patch b/target/linux/bcm27xx/patches-5.4/950-0214-soc-bcm-bcm2835-pm-Add-support-for-2711.patch
new file mode 100644 (file)
index 0000000..61bf911
--- /dev/null
@@ -0,0 +1,102 @@
+From 0cb69292622e3530a72d3173d78c484c8f4d3eab Mon Sep 17 00:00:00 2001
+From: Eric Anholt <eric@anholt.net>
+Date: Fri, 11 Jan 2019 17:31:07 -0800
+Subject: [PATCH] soc: bcm: bcm2835-pm: Add support for 2711.
+
+Without the actual power management part any more, there's a lot less
+to set up for V3D.  We just need to clear the RSTN field for the power
+domain, and expose the reset controller for toggling it again.
+
+This is definitely incomplete -- the old ISP and H264 is in the old
+bridge, but since we have no consumers of it I've just done the
+minimum to get V3D working.
+
+Signed-off-by: Eric Anholt <eric@anholt.net>
+---
+ drivers/mfd/bcm2835-pm.c        | 11 +++++++++++
+ drivers/soc/bcm/bcm2835-power.c | 22 ++++++++++++++++++++++
+ include/linux/mfd/bcm2835-pm.h  |  1 +
+ 3 files changed, 34 insertions(+)
+
+--- a/drivers/mfd/bcm2835-pm.c
++++ b/drivers/mfd/bcm2835-pm.c
+@@ -50,6 +50,17 @@ static int bcm2835_pm_probe(struct platf
+       if (ret)
+               return ret;
++      /* Map the ARGON ASB regs if present. */
++      res = platform_get_resource(pdev, IORESOURCE_MEM, 2);
++      if (res) {
++              pm->arg_asb = devm_ioremap_resource(dev, res);
++              if (IS_ERR(pm->arg_asb)) {
++                      dev_err(dev, "Failed to map ARGON ASB: %ld\n",
++                              PTR_ERR(pm->arg_asb));
++                      return PTR_ERR(pm->arg_asb);
++              }
++      }
++
+       /* We'll use the presence of the AXI ASB regs in the
+        * bcm2835-pm binding as the key for whether we can reference
+        * the full PM register range and support power domains.
+--- a/drivers/soc/bcm/bcm2835-power.c
++++ b/drivers/soc/bcm/bcm2835-power.c
+@@ -143,6 +143,8 @@ struct bcm2835_power {
+       /* AXI Async bridge registers. */
+       void __iomem            *asb;
++      bool is_2711;
++
+       struct genpd_onecell_data pd_xlate;
+       struct bcm2835_power_domain domains[BCM2835_POWER_DOMAIN_COUNT];
+       struct reset_controller_dev reset;
+@@ -192,6 +194,10 @@ static int bcm2835_power_power_off(struc
+ {
+       struct bcm2835_power *power = pd->power;
++      /* 2711 has no power domains above the reset controller. */
++      if (power->is_2711)
++              return 0;
++
+       /* Enable functional isolation */
+       PM_WRITE(pm_reg, PM_READ(pm_reg) & ~PM_ISFUNC);
+@@ -213,6 +219,10 @@ static int bcm2835_power_power_on(struct
+       int inrush;
+       bool powok;
++      /* 2711 has no power domains above the reset controller. */
++      if (power->is_2711)
++              return 0;
++
+       /* If it was already powered on by the fw, leave it that way. */
+       if (PM_READ(pm_reg) & PM_POWUP)
+               return 0;
+@@ -627,6 +637,18 @@ static int bcm2835_power_probe(struct pl
+       power->base = pm->base;
+       power->asb = pm->asb;
++      /* 2711 hack: the new ARGON ASB took over V3D, which is our
++       * only consumer of this driver so far.  The old ASB seems to
++       * still be present with ISP and H264 bits but no V3D, but I
++       * don't know if that's real or not.  The V3D is in the same
++       * place in the new ASB as the old one, so just poke the new
++       * one for now.
++       */
++      if (pm->arg_asb) {
++              power->asb = pm->arg_asb;
++              power->is_2711 = true;
++      }
++
+       id = ASB_READ(ASB_AXI_BRDG_ID);
+       if (id != 0x62726467 /* "BRDG" */) {
+               dev_err(dev, "ASB register ID returned 0x%08x\n", id);
+--- a/include/linux/mfd/bcm2835-pm.h
++++ b/include/linux/mfd/bcm2835-pm.h
+@@ -9,6 +9,7 @@ struct bcm2835_pm {
+       struct device *dev;
+       void __iomem *base;
+       void __iomem *asb;
++      void __iomem *arg_asb;
+ };
+ #endif /* BCM2835_MFD_PM_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0214-usb-xhci-Show-that-the-VIA-VL805-supports-LPM.patch b/target/linux/bcm27xx/patches-5.4/950-0214-usb-xhci-Show-that-the-VIA-VL805-supports-LPM.patch
deleted file mode 100644 (file)
index b2a8279..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-From 605cd2341a6be51fd91da8d985a4698db7d9a623 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Thu, 23 May 2019 15:08:30 +0100
-Subject: [PATCH] usb: xhci: Show that the VIA VL805 supports LPM
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/usb/host/xhci-pci.c | 4 ++++
- 1 file changed, 4 insertions(+)
-
---- a/drivers/usb/host/xhci-pci.c
-+++ b/drivers/usb/host/xhci-pci.c
-@@ -254,6 +254,10 @@ static void xhci_pci_quirks(struct devic
-                       pdev->device == 0x3432)
-               xhci->quirks |= XHCI_BROKEN_STREAMS;
-+      if (pdev->vendor == PCI_VENDOR_ID_VIA &&
-+                      pdev->device == 0x3483)
-+              xhci->quirks |= XHCI_LPM_SUPPORT;
-+
-       if (pdev->vendor == PCI_VENDOR_ID_ASMEDIA &&
-               pdev->device == PCI_DEVICE_ID_ASMEDIA_1042_XHCI)
-               xhci->quirks |= XHCI_BROKEN_STREAMS;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0215-config-Permit-LPAE-and-PCIE_BRCMSTB-on-BCM2835.patch b/target/linux/bcm27xx/patches-5.4/950-0215-config-Permit-LPAE-and-PCIE_BRCMSTB-on-BCM2835.patch
new file mode 100644 (file)
index 0000000..4e3805d
--- /dev/null
@@ -0,0 +1,30 @@
+From 15880303abc8b93cda3c62203fa5303726f53ca6 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Tue, 4 Sep 2018 11:50:25 +0100
+Subject: [PATCH] config: Permit LPAE and PCIE_BRCMSTB on BCM2835
+
+---
+ arch/arm/mach-bcm/Kconfig      | 4 ++++
+ drivers/pci/controller/Kconfig | 4 ++--
+ 2 files changed, 6 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/mach-bcm/Kconfig
++++ b/arch/arm/mach-bcm/Kconfig
+@@ -161,6 +161,7 @@ config ARCH_BCM2835
+       select GPIOLIB
+       select ARM_AMBA
+       select ARM_ERRATA_411920 if ARCH_MULTI_V6
++      select ARM_GIC
+       select ARM_TIMER_SP804
+       select HAVE_ARM_ARCH_TIMER if ARCH_MULTI_V7
+       select TIMER_OF
+@@ -170,6 +171,9 @@ config ARCH_BCM2835
+       select PINCTRL_BCM2835
+       select MFD_CORE
+       select MFD_SYSCON if ARCH_MULTI_V7
++      select ARCH_DMA_ADDR_T_64BIT if ARM_LPAE
++      select ZONE_DMA if ARM_LPAE
++      select MFD_CORE
+       help
+         This enables support for the Broadcom BCM2835 and BCM2836 SoCs.
+         This SoC is used in the Raspberry Pi and Roku 2 devices.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0215-spi-bcm2835-enable-shared-interrupt-support.patch b/target/linux/bcm27xx/patches-5.4/950-0215-spi-bcm2835-enable-shared-interrupt-support.patch
deleted file mode 100644 (file)
index 35a4e71..0000000
+++ /dev/null
@@ -1,35 +0,0 @@
-From ac94635b678715af00a685ada0a1b60dfb54c771 Mon Sep 17 00:00:00 2001
-From: Martin Sperl <kernel@martin.sperl.org>
-Date: Mon, 13 May 2019 11:05:27 +0000
-Subject: [PATCH] spi: bcm2835: enable shared interrupt support
-
-Add shared interrupt support for this driver.
-
-Signed-off-by: Martin Sperl <kernel@martin.sperl.org>
----
- drivers/spi/spi-bcm2835.c | 7 ++++++-
- 1 file changed, 6 insertions(+), 1 deletion(-)
-
---- a/drivers/spi/spi-bcm2835.c
-+++ b/drivers/spi/spi-bcm2835.c
-@@ -379,6 +379,10 @@ static irqreturn_t bcm2835_spi_interrupt
-       if (bs->tx_len && cs & BCM2835_SPI_CS_DONE)
-               bcm2835_wr_fifo_blind(bs, BCM2835_SPI_FIFO_SIZE);
-+      /* check if we got interrupt enabled */
-+      if (!(bcm2835_rd(bs, BCM2835_SPI_CS) & BCM2835_SPI_CS_INTR))
-+              return IRQ_NONE;
-+
-       /* Read as many bytes as possible from FIFO */
-       bcm2835_rd_fifo(bs);
-       /* Write as many bytes as possible to FIFO */
-@@ -1330,7 +1334,8 @@ static int bcm2835_spi_probe(struct plat
-       bcm2835_wr(bs, BCM2835_SPI_CS,
-                  BCM2835_SPI_CS_CLEAR_RX | BCM2835_SPI_CS_CLEAR_TX);
--      err = devm_request_irq(&pdev->dev, bs->irq, bcm2835_spi_interrupt, 0,
-+      err = devm_request_irq(&pdev->dev, bs->irq, bcm2835_spi_interrupt,
-+                             IRQF_SHARED,
-                              dev_name(&pdev->dev), ctlr);
-       if (err) {
-               dev_err(&pdev->dev, "could not request IRQ: %d\n", err);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0216-clk-bcm2835-Add-support-for-setting-leaf-clock-rates.patch b/target/linux/bcm27xx/patches-5.4/950-0216-clk-bcm2835-Add-support-for-setting-leaf-clock-rates.patch
new file mode 100644 (file)
index 0000000..3227f8c
--- /dev/null
@@ -0,0 +1,53 @@
+From cfe0832e8306cd9955f682b7314a5a6fc3b9d514 Mon Sep 17 00:00:00 2001
+From: Eric Anholt <eric@anholt.net>
+Date: Thu, 2 May 2019 15:11:05 -0700
+Subject: [PATCH] clk: bcm2835: Add support for setting leaf clock
+ rates while running.
+
+As long as you wait for !BUSY, you can do glitch-free updates of clock
+rate while the clock is running.
+
+Signed-off-by: Eric Anholt <eric@anholt.net>
+---
+ drivers/clk/bcm/clk-bcm2835.c | 22 +++++++++++++---------
+ 1 file changed, 13 insertions(+), 9 deletions(-)
+
+--- a/drivers/clk/bcm/clk-bcm2835.c
++++ b/drivers/clk/bcm/clk-bcm2835.c
+@@ -1114,15 +1114,19 @@ static int bcm2835_clock_set_rate(struct
+       spin_lock(&cprman->regs_lock);
+-      /*
+-       * Setting up frac support
+-       *
+-       * In principle it is recommended to stop/start the clock first,
+-       * but as we set CLK_SET_RATE_GATE during registration of the
+-       * clock this requirement should be take care of by the
+-       * clk-framework.
++      ctl = cprman_read(cprman, data->ctl_reg);
++
++      /* If the clock is running, we have to pause clock generation while
++       * updating the control and div regs.  This is glitchless (no clock
++       * signals generated faster than the rate) but each reg access is two
++       * OSC cycles so the clock will slow down for a moment.
+        */
+-      ctl = cprman_read(cprman, data->ctl_reg) & ~CM_FRAC;
++      if (ctl & CM_ENABLE) {
++              cprman_write(cprman, data->ctl_reg, ctl & ~CM_ENABLE);
++              bcm2835_clock_wait_busy(clock);
++      }
++
++      ctl &= ~CM_FRAC;
+       ctl |= (div & CM_DIV_FRAC_MASK) ? CM_FRAC : 0;
+       cprman_write(cprman, data->ctl_reg, ctl);
+@@ -1494,7 +1498,7 @@ static struct clk_hw *bcm2835_register_c
+               init.ops = &bcm2835_vpu_clock_clk_ops;
+       } else {
+               init.ops = &bcm2835_clock_clk_ops;
+-              init.flags |= CLK_SET_RATE_GATE | CLK_SET_PARENT_GATE;
++              init.flags |= CLK_SET_PARENT_GATE;
+               /* If the clock wasn't actually enabled at boot, it's not
+                * critical.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0216-clk-bcm2835-Don-t-wait-for-pllh-lock.patch b/target/linux/bcm27xx/patches-5.4/950-0216-clk-bcm2835-Don-t-wait-for-pllh-lock.patch
deleted file mode 100644 (file)
index 77625e8..0000000
+++ /dev/null
@@ -1,38 +0,0 @@
-From 35d84e9f2944b72ccfc508dc5c540c526ab351c1 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Wed, 23 Jan 2019 16:11:50 +0000
-Subject: [PATCH] clk-bcm2835: Don't wait for pllh lock
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/clk/bcm/clk-bcm2835.c | 18 ++++++++++--------
- 1 file changed, 10 insertions(+), 8 deletions(-)
-
---- a/drivers/clk/bcm/clk-bcm2835.c
-+++ b/drivers/clk/bcm/clk-bcm2835.c
-@@ -643,15 +643,17 @@ static int bcm2835_pll_on(struct clk_hw
-       spin_unlock(&cprman->regs_lock);
-       /* Wait for the PLL to lock. */
--      timeout = ktime_add_ns(ktime_get(), LOCK_TIMEOUT_NS);
--      while (!(cprman_read(cprman, CM_LOCK) & data->lock_mask)) {
--              if (ktime_after(ktime_get(), timeout)) {
--                      dev_err(cprman->dev, "%s: couldn't lock PLL\n",
--                              clk_hw_get_name(hw));
--                      return -ETIMEDOUT;
--              }
-+      if (strcmp(data->name, "pllh")) {
-+              timeout = ktime_add_ns(ktime_get(), LOCK_TIMEOUT_NS);
-+              while (!(cprman_read(cprman, CM_LOCK) & data->lock_mask)) {
-+                      if (ktime_after(ktime_get(), timeout)) {
-+                              dev_err(cprman->dev, "%s: couldn't lock PLL\n",
-+                                      clk_hw_get_name(hw));
-+                              return -ETIMEDOUT;
-+                      }
--              cpu_relax();
-+                      cpu_relax();
-+              }
-       }
-       cprman_write(cprman, data->a2w_ctrl_reg,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0217-clk-bcm2835-Allow-reparenting-leaf-clocks-while-they.patch b/target/linux/bcm27xx/patches-5.4/950-0217-clk-bcm2835-Allow-reparenting-leaf-clocks-while-they.patch
new file mode 100644 (file)
index 0000000..bae0f84
--- /dev/null
@@ -0,0 +1,71 @@
+From 1ee90bb75979c183e241c14f7c31d72cdb4bcc9b Mon Sep 17 00:00:00 2001
+From: Eric Anholt <eric@anholt.net>
+Date: Thu, 2 May 2019 15:24:04 -0700
+Subject: [PATCH] clk: bcm2835: Allow reparenting leaf clocks while
+ they're running.
+
+This falls under the same "we can reprogram glitch-free as long as we
+pause generation" rule as updating the div/frac fields.  This can be
+used for runtime reclocking of V3D to manage power leakage.
+
+Signed-off-by: Eric Anholt <eric@anholt.net>
+---
+ drivers/clk/bcm/clk-bcm2835.c | 19 ++++++++++++++++---
+ 1 file changed, 16 insertions(+), 3 deletions(-)
+
+--- a/drivers/clk/bcm/clk-bcm2835.c
++++ b/drivers/clk/bcm/clk-bcm2835.c
+@@ -1103,8 +1103,10 @@ static int bcm2835_clock_on(struct clk_h
+       return 0;
+ }
+-static int bcm2835_clock_set_rate(struct clk_hw *hw,
+-                                unsigned long rate, unsigned long parent_rate)
++static int bcm2835_clock_set_rate_and_parent(struct clk_hw *hw,
++                                           unsigned long rate,
++                                           unsigned long parent_rate,
++                                           u8 parent)
+ {
+       struct bcm2835_clock *clock = bcm2835_clock_from_hw(hw);
+       struct bcm2835_cprman *cprman = clock->cprman;
+@@ -1126,6 +1128,11 @@ static int bcm2835_clock_set_rate(struct
+               bcm2835_clock_wait_busy(clock);
+       }
++      if (parent != 0xff) {
++              ctl &= ~(CM_SRC_MASK << CM_SRC_SHIFT);
++              ctl |= parent << CM_SRC_SHIFT;
++      }
++
+       ctl &= ~CM_FRAC;
+       ctl |= (div & CM_DIV_FRAC_MASK) ? CM_FRAC : 0;
+       cprman_write(cprman, data->ctl_reg, ctl);
+@@ -1137,6 +1144,12 @@ static int bcm2835_clock_set_rate(struct
+       return 0;
+ }
++static int bcm2835_clock_set_rate(struct clk_hw *hw,
++                                unsigned long rate, unsigned long parent_rate)
++{
++      return bcm2835_clock_set_rate_and_parent(hw, rate, parent_rate, 0xff);
++}
++
+ static bool
+ bcm2835_clk_is_pllc(struct clk_hw *hw)
+ {
+@@ -1320,6 +1333,7 @@ static const struct clk_ops bcm2835_cloc
+       .unprepare = bcm2835_clock_off,
+       .recalc_rate = bcm2835_clock_get_rate,
+       .set_rate = bcm2835_clock_set_rate,
++      .set_rate_and_parent = bcm2835_clock_set_rate_and_parent,
+       .determine_rate = bcm2835_clock_determine_rate,
+       .set_parent = bcm2835_clock_set_parent,
+       .get_parent = bcm2835_clock_get_parent,
+@@ -1498,7 +1512,6 @@ static struct clk_hw *bcm2835_register_c
+               init.ops = &bcm2835_vpu_clock_clk_ops;
+       } else {
+               init.ops = &bcm2835_clock_clk_ops;
+-              init.flags |= CLK_SET_PARENT_GATE;
+               /* If the clock wasn't actually enabled at boot, it's not
+                * critical.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0217-soc-bcm-bcm2835-pm-Add-support-for-2711.patch b/target/linux/bcm27xx/patches-5.4/950-0217-soc-bcm-bcm2835-pm-Add-support-for-2711.patch
deleted file mode 100644 (file)
index 61bf911..0000000
+++ /dev/null
@@ -1,102 +0,0 @@
-From 0cb69292622e3530a72d3173d78c484c8f4d3eab Mon Sep 17 00:00:00 2001
-From: Eric Anholt <eric@anholt.net>
-Date: Fri, 11 Jan 2019 17:31:07 -0800
-Subject: [PATCH] soc: bcm: bcm2835-pm: Add support for 2711.
-
-Without the actual power management part any more, there's a lot less
-to set up for V3D.  We just need to clear the RSTN field for the power
-domain, and expose the reset controller for toggling it again.
-
-This is definitely incomplete -- the old ISP and H264 is in the old
-bridge, but since we have no consumers of it I've just done the
-minimum to get V3D working.
-
-Signed-off-by: Eric Anholt <eric@anholt.net>
----
- drivers/mfd/bcm2835-pm.c        | 11 +++++++++++
- drivers/soc/bcm/bcm2835-power.c | 22 ++++++++++++++++++++++
- include/linux/mfd/bcm2835-pm.h  |  1 +
- 3 files changed, 34 insertions(+)
-
---- a/drivers/mfd/bcm2835-pm.c
-+++ b/drivers/mfd/bcm2835-pm.c
-@@ -50,6 +50,17 @@ static int bcm2835_pm_probe(struct platf
-       if (ret)
-               return ret;
-+      /* Map the ARGON ASB regs if present. */
-+      res = platform_get_resource(pdev, IORESOURCE_MEM, 2);
-+      if (res) {
-+              pm->arg_asb = devm_ioremap_resource(dev, res);
-+              if (IS_ERR(pm->arg_asb)) {
-+                      dev_err(dev, "Failed to map ARGON ASB: %ld\n",
-+                              PTR_ERR(pm->arg_asb));
-+                      return PTR_ERR(pm->arg_asb);
-+              }
-+      }
-+
-       /* We'll use the presence of the AXI ASB regs in the
-        * bcm2835-pm binding as the key for whether we can reference
-        * the full PM register range and support power domains.
---- a/drivers/soc/bcm/bcm2835-power.c
-+++ b/drivers/soc/bcm/bcm2835-power.c
-@@ -143,6 +143,8 @@ struct bcm2835_power {
-       /* AXI Async bridge registers. */
-       void __iomem            *asb;
-+      bool is_2711;
-+
-       struct genpd_onecell_data pd_xlate;
-       struct bcm2835_power_domain domains[BCM2835_POWER_DOMAIN_COUNT];
-       struct reset_controller_dev reset;
-@@ -192,6 +194,10 @@ static int bcm2835_power_power_off(struc
- {
-       struct bcm2835_power *power = pd->power;
-+      /* 2711 has no power domains above the reset controller. */
-+      if (power->is_2711)
-+              return 0;
-+
-       /* Enable functional isolation */
-       PM_WRITE(pm_reg, PM_READ(pm_reg) & ~PM_ISFUNC);
-@@ -213,6 +219,10 @@ static int bcm2835_power_power_on(struct
-       int inrush;
-       bool powok;
-+      /* 2711 has no power domains above the reset controller. */
-+      if (power->is_2711)
-+              return 0;
-+
-       /* If it was already powered on by the fw, leave it that way. */
-       if (PM_READ(pm_reg) & PM_POWUP)
-               return 0;
-@@ -627,6 +637,18 @@ static int bcm2835_power_probe(struct pl
-       power->base = pm->base;
-       power->asb = pm->asb;
-+      /* 2711 hack: the new ARGON ASB took over V3D, which is our
-+       * only consumer of this driver so far.  The old ASB seems to
-+       * still be present with ISP and H264 bits but no V3D, but I
-+       * don't know if that's real or not.  The V3D is in the same
-+       * place in the new ASB as the old one, so just poke the new
-+       * one for now.
-+       */
-+      if (pm->arg_asb) {
-+              power->asb = pm->arg_asb;
-+              power->is_2711 = true;
-+      }
-+
-       id = ASB_READ(ASB_AXI_BRDG_ID);
-       if (id != 0x62726467 /* "BRDG" */) {
-               dev_err(dev, "ASB register ID returned 0x%08x\n", id);
---- a/include/linux/mfd/bcm2835-pm.h
-+++ b/include/linux/mfd/bcm2835-pm.h
-@@ -9,6 +9,7 @@ struct bcm2835_pm {
-       struct device *dev;
-       void __iomem *base;
-       void __iomem *asb;
-+      void __iomem *arg_asb;
- };
- #endif /* BCM2835_MFD_PM_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0218-config-Permit-LPAE-and-PCIE_BRCMSTB-on-BCM2835.patch b/target/linux/bcm27xx/patches-5.4/950-0218-config-Permit-LPAE-and-PCIE_BRCMSTB-on-BCM2835.patch
deleted file mode 100644 (file)
index 4e3805d..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-From 15880303abc8b93cda3c62203fa5303726f53ca6 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Tue, 4 Sep 2018 11:50:25 +0100
-Subject: [PATCH] config: Permit LPAE and PCIE_BRCMSTB on BCM2835
-
----
- arch/arm/mach-bcm/Kconfig      | 4 ++++
- drivers/pci/controller/Kconfig | 4 ++--
- 2 files changed, 6 insertions(+), 2 deletions(-)
-
---- a/arch/arm/mach-bcm/Kconfig
-+++ b/arch/arm/mach-bcm/Kconfig
-@@ -161,6 +161,7 @@ config ARCH_BCM2835
-       select GPIOLIB
-       select ARM_AMBA
-       select ARM_ERRATA_411920 if ARCH_MULTI_V6
-+      select ARM_GIC
-       select ARM_TIMER_SP804
-       select HAVE_ARM_ARCH_TIMER if ARCH_MULTI_V7
-       select TIMER_OF
-@@ -170,6 +171,9 @@ config ARCH_BCM2835
-       select PINCTRL_BCM2835
-       select MFD_CORE
-       select MFD_SYSCON if ARCH_MULTI_V7
-+      select ARCH_DMA_ADDR_T_64BIT if ARM_LPAE
-+      select ZONE_DMA if ARM_LPAE
-+      select MFD_CORE
-       help
-         This enables support for the Broadcom BCM2835 and BCM2836 SoCs.
-         This SoC is used in the Raspberry Pi and Roku 2 devices.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0218-usb-add-plumbing-for-updating-interrupt-endpoint-int.patch b/target/linux/bcm27xx/patches-5.4/950-0218-usb-add-plumbing-for-updating-interrupt-endpoint-int.patch
new file mode 100644 (file)
index 0000000..127e91c
--- /dev/null
@@ -0,0 +1,104 @@
+From 2669f337d78306667e4243fda9282fb8c07d0d3d Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Tue, 11 Jun 2019 10:55:00 +0100
+Subject: [PATCH] usb: add plumbing for updating interrupt endpoint
+ interval state
+
+xHCI caches device and endpoint data after the interface is configured,
+so an explicit command needs to be issued for any device driver wanting
+to alter the polling interval of an endpoint.
+
+Add usb_fixup_endpoint() to allow drivers to do this. The fixup must be
+called after calculating endpoint bandwidth requirements but before any
+URBs are submitted.
+
+If polling intervals are shortened, any bandwidth reservations are no
+longer valid but in practice polling intervals are only ever relaxed.
+
+Limit the scope to interrupt transfers for now.
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
+---
+ drivers/usb/core/hcd.c     | 10 ++++++++++
+ drivers/usb/core/message.c | 15 +++++++++++++++
+ include/linux/usb.h        |  2 ++
+ include/linux/usb/hcd.h    |  7 +++++++
+ 4 files changed, 34 insertions(+)
+
+--- a/drivers/usb/core/hcd.c
++++ b/drivers/usb/core/hcd.c
+@@ -1941,6 +1941,16 @@ reset:
+       return ret;
+ }
++void usb_hcd_fixup_endpoint(struct usb_device *udev,
++                          struct usb_host_endpoint *ep, int interval)
++{
++      struct usb_hcd *hcd;
++
++      hcd = bus_to_hcd(udev->bus);
++      if (hcd->driver->fixup_endpoint)
++              hcd->driver->fixup_endpoint(hcd, udev, ep, interval);
++}
++
+ /* Disables the endpoint: synchronizes with the hcd to make sure all
+  * endpoint state is gone from hardware.  usb_hcd_flush_endpoint() must
+  * have been called previously.  Use for set_configuration, set_interface,
+--- a/drivers/usb/core/message.c
++++ b/drivers/usb/core/message.c
+@@ -1120,6 +1120,21 @@ static void remove_intf_ep_devs(struct u
+       intf->ep_devs_created = 0;
+ }
++void usb_fixup_endpoint(struct usb_device *dev, int epaddr, int interval)
++{
++      unsigned int epnum = epaddr & USB_ENDPOINT_NUMBER_MASK;
++      struct usb_host_endpoint *ep;
++
++      if (usb_endpoint_out(epaddr))
++              ep = dev->ep_out[epnum];
++      else
++              ep = dev->ep_in[epnum];
++
++      if (ep && usb_endpoint_xfer_int(&ep->desc))
++              usb_hcd_fixup_endpoint(dev, ep, interval);
++}
++EXPORT_SYMBOL_GPL(usb_fixup_endpoint);
++
+ /**
+  * usb_disable_endpoint -- Disable an endpoint by address
+  * @dev: the device whose endpoint is being disabled
+--- a/include/linux/usb.h
++++ b/include/linux/usb.h
+@@ -1816,6 +1816,8 @@ extern int usb_clear_halt(struct usb_dev
+ extern int usb_reset_configuration(struct usb_device *dev);
+ extern int usb_set_interface(struct usb_device *dev, int ifnum, int alternate);
+ extern void usb_reset_endpoint(struct usb_device *dev, unsigned int epaddr);
++extern void usb_fixup_endpoint(struct usb_device *dev, int epaddr,
++                             int interval);
+ /* this request isn't really synchronous, but it belongs with the others */
+ extern int usb_driver_set_configuration(struct usb_device *udev, int config);
+--- a/include/linux/usb/hcd.h
++++ b/include/linux/usb/hcd.h
+@@ -382,6 +382,11 @@ struct hc_driver {
+                * or bandwidth constraints.
+                */
+       void    (*reset_bandwidth)(struct usb_hcd *, struct usb_device *);
++              /* Override the endpoint-derived interval
++               * (if there is any cached hardware state).
++               */
++      void    (*fixup_endpoint)(struct usb_hcd *hcd, struct usb_device *udev,
++                                struct usb_host_endpoint *ep, int interval);
+               /* Returns the hardware-chosen device address */
+       int     (*address_device)(struct usb_hcd *, struct usb_device *udev);
+               /* prepares the hardware to send commands to the device */
+@@ -443,6 +448,8 @@ extern void usb_hcd_unmap_urb_setup_for_
+ extern void usb_hcd_unmap_urb_for_dma(struct usb_hcd *, struct urb *);
+ extern void usb_hcd_flush_endpoint(struct usb_device *udev,
+               struct usb_host_endpoint *ep);
++extern void usb_hcd_fixup_endpoint(struct usb_device *udev,
++              struct usb_host_endpoint *ep, int interval);
+ extern void usb_hcd_disable_endpoint(struct usb_device *udev,
+               struct usb_host_endpoint *ep);
+ extern void usb_hcd_reset_endpoint(struct usb_device *udev,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0219-clk-bcm2835-Add-support-for-setting-leaf-clock-rates.patch b/target/linux/bcm27xx/patches-5.4/950-0219-clk-bcm2835-Add-support-for-setting-leaf-clock-rates.patch
deleted file mode 100644 (file)
index 3227f8c..0000000
+++ /dev/null
@@ -1,53 +0,0 @@
-From cfe0832e8306cd9955f682b7314a5a6fc3b9d514 Mon Sep 17 00:00:00 2001
-From: Eric Anholt <eric@anholt.net>
-Date: Thu, 2 May 2019 15:11:05 -0700
-Subject: [PATCH] clk: bcm2835: Add support for setting leaf clock
- rates while running.
-
-As long as you wait for !BUSY, you can do glitch-free updates of clock
-rate while the clock is running.
-
-Signed-off-by: Eric Anholt <eric@anholt.net>
----
- drivers/clk/bcm/clk-bcm2835.c | 22 +++++++++++++---------
- 1 file changed, 13 insertions(+), 9 deletions(-)
-
---- a/drivers/clk/bcm/clk-bcm2835.c
-+++ b/drivers/clk/bcm/clk-bcm2835.c
-@@ -1114,15 +1114,19 @@ static int bcm2835_clock_set_rate(struct
-       spin_lock(&cprman->regs_lock);
--      /*
--       * Setting up frac support
--       *
--       * In principle it is recommended to stop/start the clock first,
--       * but as we set CLK_SET_RATE_GATE during registration of the
--       * clock this requirement should be take care of by the
--       * clk-framework.
-+      ctl = cprman_read(cprman, data->ctl_reg);
-+
-+      /* If the clock is running, we have to pause clock generation while
-+       * updating the control and div regs.  This is glitchless (no clock
-+       * signals generated faster than the rate) but each reg access is two
-+       * OSC cycles so the clock will slow down for a moment.
-        */
--      ctl = cprman_read(cprman, data->ctl_reg) & ~CM_FRAC;
-+      if (ctl & CM_ENABLE) {
-+              cprman_write(cprman, data->ctl_reg, ctl & ~CM_ENABLE);
-+              bcm2835_clock_wait_busy(clock);
-+      }
-+
-+      ctl &= ~CM_FRAC;
-       ctl |= (div & CM_DIV_FRAC_MASK) ? CM_FRAC : 0;
-       cprman_write(cprman, data->ctl_reg, ctl);
-@@ -1494,7 +1498,7 @@ static struct clk_hw *bcm2835_register_c
-               init.ops = &bcm2835_vpu_clock_clk_ops;
-       } else {
-               init.ops = &bcm2835_clock_clk_ops;
--              init.flags |= CLK_SET_RATE_GATE | CLK_SET_PARENT_GATE;
-+              init.flags |= CLK_SET_PARENT_GATE;
-               /* If the clock wasn't actually enabled at boot, it's not
-                * critical.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0219-xhci-implement-xhci_fixup_endpoint-for-interval-adju.patch b/target/linux/bcm27xx/patches-5.4/950-0219-xhci-implement-xhci_fixup_endpoint-for-interval-adju.patch
new file mode 100644 (file)
index 0000000..5c24153
--- /dev/null
@@ -0,0 +1,129 @@
+From 00e1a43b64abc8950b471678b7ed4415f3513f3e Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Tue, 11 Jun 2019 11:33:39 +0100
+Subject: [PATCH] xhci: implement xhci_fixup_endpoint for interval
+ adjustments
+
+Must be called in a non-atomic context, after the endpoint
+has been registered with the hardware via xhci_add_endpoint
+and before the first URB is submitted for the endpoint.
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
+---
+ drivers/usb/host/xhci.c | 98 +++++++++++++++++++++++++++++++++++++++++
+ 1 file changed, 98 insertions(+)
+
+--- a/drivers/usb/host/xhci.c
++++ b/drivers/usb/host/xhci.c
+@@ -1456,6 +1456,103 @@ command_cleanup:
+ }
+ /*
++ * RPI: Fixup endpoint intervals when requested
++ * - Check interval versus the (cached) endpoint context
++ * - set the endpoint interval to the new value
++ * - force an endpoint configure command
++ * XXX: bandwidth is not recalculated. We should probably do that.
++ */
++static void xhci_fixup_endpoint(struct usb_hcd *hcd, struct usb_device *udev,
++                              struct usb_host_endpoint *ep, int interval)
++{
++      struct xhci_hcd *xhci;
++      struct xhci_ep_ctx *ep_ctx_out, *ep_ctx_in;
++      struct xhci_command *command;
++      struct xhci_input_control_ctx *ctrl_ctx;
++      struct xhci_virt_device *vdev;
++      int xhci_interval;
++      int ret;
++      int ep_index;
++      unsigned long flags;
++      u32 ep_info_tmp;
++
++      xhci = hcd_to_xhci(hcd);
++      ep_index = xhci_get_endpoint_index(&ep->desc);
++
++      /* FS/LS interval translations */
++      if ((udev->speed == USB_SPEED_FULL ||
++           udev->speed == USB_SPEED_LOW))
++              interval *= 8;
++
++      mutex_lock(&xhci->mutex);
++
++      spin_lock_irqsave(&xhci->lock, flags);
++
++      vdev = xhci->devs[udev->slot_id];
++      /* Get context-derived endpoint interval */
++      ep_ctx_out = xhci_get_ep_ctx(xhci, vdev->out_ctx, ep_index);
++      ep_ctx_in = xhci_get_ep_ctx(xhci, vdev->in_ctx, ep_index);
++      xhci_interval = EP_INTERVAL_TO_UFRAMES(le32_to_cpu(ep_ctx_out->ep_info));
++
++      if (interval == xhci_interval) {
++              spin_unlock_irqrestore(&xhci->lock, flags);
++              mutex_unlock(&xhci->mutex);
++              return;
++      }
++
++      xhci_dbg(xhci, "Fixup interval=%d xhci_interval=%d\n",
++               interval, xhci_interval);
++      command = xhci_alloc_command_with_ctx(xhci, true, GFP_ATOMIC);
++      if (!command) {
++              /* Failure here is benign, poll at the original rate */
++              spin_unlock_irqrestore(&xhci->lock, flags);
++              mutex_unlock(&xhci->mutex);
++              return;
++      }
++
++      /* xHCI uses exponents for intervals... */
++      xhci_interval = fls(interval) - 1;
++      xhci_interval = clamp_val(xhci_interval, 3, 10);
++      ep_info_tmp = le32_to_cpu(ep_ctx_out->ep_info);
++      ep_info_tmp &= ~EP_INTERVAL(255);
++      ep_info_tmp |= EP_INTERVAL(xhci_interval);
++
++      /* Keep the endpoint context up-to-date while issuing the command. */
++      xhci_endpoint_copy(xhci, vdev->in_ctx,
++                         vdev->out_ctx, ep_index);
++      ep_ctx_in->ep_info = cpu_to_le32(ep_info_tmp);
++
++      /*
++       * We need to drop the lock, so take an explicit copy
++       * of the ep context.
++       */
++      xhci_endpoint_copy(xhci, command->in_ctx, vdev->in_ctx, ep_index);
++
++      ctrl_ctx = xhci_get_input_control_ctx(command->in_ctx);
++      if (!ctrl_ctx) {
++              xhci_warn(xhci,
++                        "%s: Could not get input context, bad type.\n",
++                        __func__);
++              spin_unlock_irqrestore(&xhci->lock, flags);
++              xhci_free_command(xhci, command);
++              mutex_unlock(&xhci->mutex);
++              return;
++      }
++      ctrl_ctx->add_flags = xhci_get_endpoint_flag_from_index(ep_index);
++      ctrl_ctx->drop_flags = 0;
++
++      spin_unlock_irqrestore(&xhci->lock, flags);
++
++      ret = xhci_configure_endpoint(xhci, udev, command,
++                                    false, false);
++      if (ret)
++              xhci_warn(xhci, "%s: Configure endpoint failed: %d\n",
++                        __func__, ret);
++      xhci_free_command(xhci, command);
++      mutex_unlock(&xhci->mutex);
++}
++
++/*
+  * non-error returns are a promise to giveback() the urb later
+  * we drop ownership so next owner (or urb unlink) can get it
+  */
+@@ -5337,6 +5434,7 @@ static const struct hc_driver xhci_hc_dr
+       .endpoint_reset =       xhci_endpoint_reset,
+       .check_bandwidth =      xhci_check_bandwidth,
+       .reset_bandwidth =      xhci_reset_bandwidth,
++      .fixup_endpoint =       xhci_fixup_endpoint,
+       .address_device =       xhci_address_device,
+       .enable_device =        xhci_enable_device,
+       .update_hub_device =    xhci_update_hub_device,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0220-clk-bcm2835-Allow-reparenting-leaf-clocks-while-they.patch b/target/linux/bcm27xx/patches-5.4/950-0220-clk-bcm2835-Allow-reparenting-leaf-clocks-while-they.patch
deleted file mode 100644 (file)
index bae0f84..0000000
+++ /dev/null
@@ -1,71 +0,0 @@
-From 1ee90bb75979c183e241c14f7c31d72cdb4bcc9b Mon Sep 17 00:00:00 2001
-From: Eric Anholt <eric@anholt.net>
-Date: Thu, 2 May 2019 15:24:04 -0700
-Subject: [PATCH] clk: bcm2835: Allow reparenting leaf clocks while
- they're running.
-
-This falls under the same "we can reprogram glitch-free as long as we
-pause generation" rule as updating the div/frac fields.  This can be
-used for runtime reclocking of V3D to manage power leakage.
-
-Signed-off-by: Eric Anholt <eric@anholt.net>
----
- drivers/clk/bcm/clk-bcm2835.c | 19 ++++++++++++++++---
- 1 file changed, 16 insertions(+), 3 deletions(-)
-
---- a/drivers/clk/bcm/clk-bcm2835.c
-+++ b/drivers/clk/bcm/clk-bcm2835.c
-@@ -1103,8 +1103,10 @@ static int bcm2835_clock_on(struct clk_h
-       return 0;
- }
--static int bcm2835_clock_set_rate(struct clk_hw *hw,
--                                unsigned long rate, unsigned long parent_rate)
-+static int bcm2835_clock_set_rate_and_parent(struct clk_hw *hw,
-+                                           unsigned long rate,
-+                                           unsigned long parent_rate,
-+                                           u8 parent)
- {
-       struct bcm2835_clock *clock = bcm2835_clock_from_hw(hw);
-       struct bcm2835_cprman *cprman = clock->cprman;
-@@ -1126,6 +1128,11 @@ static int bcm2835_clock_set_rate(struct
-               bcm2835_clock_wait_busy(clock);
-       }
-+      if (parent != 0xff) {
-+              ctl &= ~(CM_SRC_MASK << CM_SRC_SHIFT);
-+              ctl |= parent << CM_SRC_SHIFT;
-+      }
-+
-       ctl &= ~CM_FRAC;
-       ctl |= (div & CM_DIV_FRAC_MASK) ? CM_FRAC : 0;
-       cprman_write(cprman, data->ctl_reg, ctl);
-@@ -1137,6 +1144,12 @@ static int bcm2835_clock_set_rate(struct
-       return 0;
- }
-+static int bcm2835_clock_set_rate(struct clk_hw *hw,
-+                                unsigned long rate, unsigned long parent_rate)
-+{
-+      return bcm2835_clock_set_rate_and_parent(hw, rate, parent_rate, 0xff);
-+}
-+
- static bool
- bcm2835_clk_is_pllc(struct clk_hw *hw)
- {
-@@ -1320,6 +1333,7 @@ static const struct clk_ops bcm2835_cloc
-       .unprepare = bcm2835_clock_off,
-       .recalc_rate = bcm2835_clock_get_rate,
-       .set_rate = bcm2835_clock_set_rate,
-+      .set_rate_and_parent = bcm2835_clock_set_rate_and_parent,
-       .determine_rate = bcm2835_clock_determine_rate,
-       .set_parent = bcm2835_clock_set_parent,
-       .get_parent = bcm2835_clock_get_parent,
-@@ -1498,7 +1512,6 @@ static struct clk_hw *bcm2835_register_c
-               init.ops = &bcm2835_vpu_clock_clk_ops;
-       } else {
-               init.ops = &bcm2835_clock_clk_ops;
--              init.flags |= CLK_SET_PARENT_GATE;
-               /* If the clock wasn't actually enabled at boot, it's not
-                * critical.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0220-usbhid-call-usb_fixup_endpoint-after-mangling-interv.patch b/target/linux/bcm27xx/patches-5.4/950-0220-usbhid-call-usb_fixup_endpoint-after-mangling-interv.patch
new file mode 100644 (file)
index 0000000..bf88c40
--- /dev/null
@@ -0,0 +1,23 @@
+From df28fdf0b853c0951bab5c9cbb5aa82819f7b34b Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Tue, 11 Jun 2019 11:42:03 +0100
+Subject: [PATCH] usbhid: call usb_fixup_endpoint after mangling
+ intervals
+
+Lets the mousepoll override mechanism work with xhci.
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
+---
+ drivers/hid/usbhid/hid-core.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/hid/usbhid/hid-core.c
++++ b/drivers/hid/usbhid/hid-core.c
+@@ -1128,6 +1128,7 @@ static int usbhid_start(struct hid_devic
+                               interval = hid_kbpoll_interval;
+                       break;
+               }
++              usb_fixup_endpoint(dev, endpoint->bEndpointAddress, interval);
+               ret = -ENOMEM;
+               if (usb_endpoint_dir_in(endpoint)) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0221-arm-bcm2835-Add-bcm2838-compatible-string.patch b/target/linux/bcm27xx/patches-5.4/950-0221-arm-bcm2835-Add-bcm2838-compatible-string.patch
new file mode 100644 (file)
index 0000000..f11ccb4
--- /dev/null
@@ -0,0 +1,20 @@
+From 8af54831d1d377b6a4ab087c409f1684e1e985a7 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Tue, 11 Jun 2019 17:38:28 +0100
+Subject: [PATCH] arm: bcm2835: Add bcm2838 compatible string.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/mach-bcm/board_bcm2835.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/arch/arm/mach-bcm/board_bcm2835.c
++++ b/arch/arm/mach-bcm/board_bcm2835.c
+@@ -109,6 +109,7 @@ static const char * const bcm2835_compat
+ #ifdef CONFIG_ARCH_MULTI_V7
+       "brcm,bcm2836",
+       "brcm,bcm2837",
++      "brcm,bcm2838",
+ #endif
+       NULL
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0221-usb-add-plumbing-for-updating-interrupt-endpoint-int.patch b/target/linux/bcm27xx/patches-5.4/950-0221-usb-add-plumbing-for-updating-interrupt-endpoint-int.patch
deleted file mode 100644 (file)
index 127e91c..0000000
+++ /dev/null
@@ -1,104 +0,0 @@
-From 2669f337d78306667e4243fda9282fb8c07d0d3d Mon Sep 17 00:00:00 2001
-From: Jonathan Bell <jonathan@raspberrypi.org>
-Date: Tue, 11 Jun 2019 10:55:00 +0100
-Subject: [PATCH] usb: add plumbing for updating interrupt endpoint
- interval state
-
-xHCI caches device and endpoint data after the interface is configured,
-so an explicit command needs to be issued for any device driver wanting
-to alter the polling interval of an endpoint.
-
-Add usb_fixup_endpoint() to allow drivers to do this. The fixup must be
-called after calculating endpoint bandwidth requirements but before any
-URBs are submitted.
-
-If polling intervals are shortened, any bandwidth reservations are no
-longer valid but in practice polling intervals are only ever relaxed.
-
-Limit the scope to interrupt transfers for now.
-
-Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
----
- drivers/usb/core/hcd.c     | 10 ++++++++++
- drivers/usb/core/message.c | 15 +++++++++++++++
- include/linux/usb.h        |  2 ++
- include/linux/usb/hcd.h    |  7 +++++++
- 4 files changed, 34 insertions(+)
-
---- a/drivers/usb/core/hcd.c
-+++ b/drivers/usb/core/hcd.c
-@@ -1941,6 +1941,16 @@ reset:
-       return ret;
- }
-+void usb_hcd_fixup_endpoint(struct usb_device *udev,
-+                          struct usb_host_endpoint *ep, int interval)
-+{
-+      struct usb_hcd *hcd;
-+
-+      hcd = bus_to_hcd(udev->bus);
-+      if (hcd->driver->fixup_endpoint)
-+              hcd->driver->fixup_endpoint(hcd, udev, ep, interval);
-+}
-+
- /* Disables the endpoint: synchronizes with the hcd to make sure all
-  * endpoint state is gone from hardware.  usb_hcd_flush_endpoint() must
-  * have been called previously.  Use for set_configuration, set_interface,
---- a/drivers/usb/core/message.c
-+++ b/drivers/usb/core/message.c
-@@ -1120,6 +1120,21 @@ static void remove_intf_ep_devs(struct u
-       intf->ep_devs_created = 0;
- }
-+void usb_fixup_endpoint(struct usb_device *dev, int epaddr, int interval)
-+{
-+      unsigned int epnum = epaddr & USB_ENDPOINT_NUMBER_MASK;
-+      struct usb_host_endpoint *ep;
-+
-+      if (usb_endpoint_out(epaddr))
-+              ep = dev->ep_out[epnum];
-+      else
-+              ep = dev->ep_in[epnum];
-+
-+      if (ep && usb_endpoint_xfer_int(&ep->desc))
-+              usb_hcd_fixup_endpoint(dev, ep, interval);
-+}
-+EXPORT_SYMBOL_GPL(usb_fixup_endpoint);
-+
- /**
-  * usb_disable_endpoint -- Disable an endpoint by address
-  * @dev: the device whose endpoint is being disabled
---- a/include/linux/usb.h
-+++ b/include/linux/usb.h
-@@ -1816,6 +1816,8 @@ extern int usb_clear_halt(struct usb_dev
- extern int usb_reset_configuration(struct usb_device *dev);
- extern int usb_set_interface(struct usb_device *dev, int ifnum, int alternate);
- extern void usb_reset_endpoint(struct usb_device *dev, unsigned int epaddr);
-+extern void usb_fixup_endpoint(struct usb_device *dev, int epaddr,
-+                             int interval);
- /* this request isn't really synchronous, but it belongs with the others */
- extern int usb_driver_set_configuration(struct usb_device *udev, int config);
---- a/include/linux/usb/hcd.h
-+++ b/include/linux/usb/hcd.h
-@@ -382,6 +382,11 @@ struct hc_driver {
-                * or bandwidth constraints.
-                */
-       void    (*reset_bandwidth)(struct usb_hcd *, struct usb_device *);
-+              /* Override the endpoint-derived interval
-+               * (if there is any cached hardware state).
-+               */
-+      void    (*fixup_endpoint)(struct usb_hcd *hcd, struct usb_device *udev,
-+                                struct usb_host_endpoint *ep, int interval);
-               /* Returns the hardware-chosen device address */
-       int     (*address_device)(struct usb_hcd *, struct usb_device *udev);
-               /* prepares the hardware to send commands to the device */
-@@ -443,6 +448,8 @@ extern void usb_hcd_unmap_urb_setup_for_
- extern void usb_hcd_unmap_urb_for_dma(struct usb_hcd *, struct urb *);
- extern void usb_hcd_flush_endpoint(struct usb_device *udev,
-               struct usb_host_endpoint *ep);
-+extern void usb_hcd_fixup_endpoint(struct usb_device *udev,
-+              struct usb_host_endpoint *ep, int interval);
- extern void usb_hcd_disable_endpoint(struct usb_device *udev,
-               struct usb_host_endpoint *ep);
- extern void usb_hcd_reset_endpoint(struct usb_device *udev,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0222-drm-vc4-Fix-oops-at-boot-with-firmwarekms-on-4.19.patch b/target/linux/bcm27xx/patches-5.4/950-0222-drm-vc4-Fix-oops-at-boot-with-firmwarekms-on-4.19.patch
new file mode 100644 (file)
index 0000000..f95c877
--- /dev/null
@@ -0,0 +1,22 @@
+From 50f3c90e2400a0391a7461e5e2fea86ffb3f8f60 Mon Sep 17 00:00:00 2001
+From: Eric Anholt <eric@anholt.net>
+Date: Mon, 4 Mar 2019 11:59:34 -0800
+Subject: [PATCH] drm/vc4: Fix oops at boot with firmwarekms on 4.19.
+
+Signed-off-by: Eric Anholt <eric@anholt.net>
+---
+ drivers/gpu/drm/vc4/vc4_kms.c | 3 +++
+ 1 file changed, 3 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_kms.c
+@@ -116,6 +116,9 @@ vc4_ctm_commit(struct vc4_dev *vc4, stru
+       struct vc4_ctm_state *ctm_state = to_vc4_ctm_state(vc4->ctm_manager.state);
+       struct drm_color_ctm *ctm = ctm_state->ctm;
++      if (vc4->firmware_kms)
++              return;
++
+       if (ctm_state->fifo) {
+               HVS_WRITE(SCALER_OLEDCOEF2,
+                         VC4_SET_FIELD(vc4_ctm_s31_32_to_s0_9(ctm->matrix[0]),
diff --git a/target/linux/bcm27xx/patches-5.4/950-0222-xhci-implement-xhci_fixup_endpoint-for-interval-adju.patch b/target/linux/bcm27xx/patches-5.4/950-0222-xhci-implement-xhci_fixup_endpoint-for-interval-adju.patch
deleted file mode 100644 (file)
index 5c24153..0000000
+++ /dev/null
@@ -1,129 +0,0 @@
-From 00e1a43b64abc8950b471678b7ed4415f3513f3e Mon Sep 17 00:00:00 2001
-From: Jonathan Bell <jonathan@raspberrypi.org>
-Date: Tue, 11 Jun 2019 11:33:39 +0100
-Subject: [PATCH] xhci: implement xhci_fixup_endpoint for interval
- adjustments
-
-Must be called in a non-atomic context, after the endpoint
-has been registered with the hardware via xhci_add_endpoint
-and before the first URB is submitted for the endpoint.
-
-Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
----
- drivers/usb/host/xhci.c | 98 +++++++++++++++++++++++++++++++++++++++++
- 1 file changed, 98 insertions(+)
-
---- a/drivers/usb/host/xhci.c
-+++ b/drivers/usb/host/xhci.c
-@@ -1456,6 +1456,103 @@ command_cleanup:
- }
- /*
-+ * RPI: Fixup endpoint intervals when requested
-+ * - Check interval versus the (cached) endpoint context
-+ * - set the endpoint interval to the new value
-+ * - force an endpoint configure command
-+ * XXX: bandwidth is not recalculated. We should probably do that.
-+ */
-+static void xhci_fixup_endpoint(struct usb_hcd *hcd, struct usb_device *udev,
-+                              struct usb_host_endpoint *ep, int interval)
-+{
-+      struct xhci_hcd *xhci;
-+      struct xhci_ep_ctx *ep_ctx_out, *ep_ctx_in;
-+      struct xhci_command *command;
-+      struct xhci_input_control_ctx *ctrl_ctx;
-+      struct xhci_virt_device *vdev;
-+      int xhci_interval;
-+      int ret;
-+      int ep_index;
-+      unsigned long flags;
-+      u32 ep_info_tmp;
-+
-+      xhci = hcd_to_xhci(hcd);
-+      ep_index = xhci_get_endpoint_index(&ep->desc);
-+
-+      /* FS/LS interval translations */
-+      if ((udev->speed == USB_SPEED_FULL ||
-+           udev->speed == USB_SPEED_LOW))
-+              interval *= 8;
-+
-+      mutex_lock(&xhci->mutex);
-+
-+      spin_lock_irqsave(&xhci->lock, flags);
-+
-+      vdev = xhci->devs[udev->slot_id];
-+      /* Get context-derived endpoint interval */
-+      ep_ctx_out = xhci_get_ep_ctx(xhci, vdev->out_ctx, ep_index);
-+      ep_ctx_in = xhci_get_ep_ctx(xhci, vdev->in_ctx, ep_index);
-+      xhci_interval = EP_INTERVAL_TO_UFRAMES(le32_to_cpu(ep_ctx_out->ep_info));
-+
-+      if (interval == xhci_interval) {
-+              spin_unlock_irqrestore(&xhci->lock, flags);
-+              mutex_unlock(&xhci->mutex);
-+              return;
-+      }
-+
-+      xhci_dbg(xhci, "Fixup interval=%d xhci_interval=%d\n",
-+               interval, xhci_interval);
-+      command = xhci_alloc_command_with_ctx(xhci, true, GFP_ATOMIC);
-+      if (!command) {
-+              /* Failure here is benign, poll at the original rate */
-+              spin_unlock_irqrestore(&xhci->lock, flags);
-+              mutex_unlock(&xhci->mutex);
-+              return;
-+      }
-+
-+      /* xHCI uses exponents for intervals... */
-+      xhci_interval = fls(interval) - 1;
-+      xhci_interval = clamp_val(xhci_interval, 3, 10);
-+      ep_info_tmp = le32_to_cpu(ep_ctx_out->ep_info);
-+      ep_info_tmp &= ~EP_INTERVAL(255);
-+      ep_info_tmp |= EP_INTERVAL(xhci_interval);
-+
-+      /* Keep the endpoint context up-to-date while issuing the command. */
-+      xhci_endpoint_copy(xhci, vdev->in_ctx,
-+                         vdev->out_ctx, ep_index);
-+      ep_ctx_in->ep_info = cpu_to_le32(ep_info_tmp);
-+
-+      /*
-+       * We need to drop the lock, so take an explicit copy
-+       * of the ep context.
-+       */
-+      xhci_endpoint_copy(xhci, command->in_ctx, vdev->in_ctx, ep_index);
-+
-+      ctrl_ctx = xhci_get_input_control_ctx(command->in_ctx);
-+      if (!ctrl_ctx) {
-+              xhci_warn(xhci,
-+                        "%s: Could not get input context, bad type.\n",
-+                        __func__);
-+              spin_unlock_irqrestore(&xhci->lock, flags);
-+              xhci_free_command(xhci, command);
-+              mutex_unlock(&xhci->mutex);
-+              return;
-+      }
-+      ctrl_ctx->add_flags = xhci_get_endpoint_flag_from_index(ep_index);
-+      ctrl_ctx->drop_flags = 0;
-+
-+      spin_unlock_irqrestore(&xhci->lock, flags);
-+
-+      ret = xhci_configure_endpoint(xhci, udev, command,
-+                                    false, false);
-+      if (ret)
-+              xhci_warn(xhci, "%s: Configure endpoint failed: %d\n",
-+                        __func__, ret);
-+      xhci_free_command(xhci, command);
-+      mutex_unlock(&xhci->mutex);
-+}
-+
-+/*
-  * non-error returns are a promise to giveback() the urb later
-  * we drop ownership so next owner (or urb unlink) can get it
-  */
-@@ -5337,6 +5434,7 @@ static const struct hc_driver xhci_hc_dr
-       .endpoint_reset =       xhci_endpoint_reset,
-       .check_bandwidth =      xhci_check_bandwidth,
-       .reset_bandwidth =      xhci_reset_bandwidth,
-+      .fixup_endpoint =       xhci_fixup_endpoint,
-       .address_device =       xhci_address_device,
-       .enable_device =        xhci_enable_device,
-       .update_hub_device =    xhci_update_hub_device,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0223-drm-v3d-Add-support-for-2711.patch b/target/linux/bcm27xx/patches-5.4/950-0223-drm-v3d-Add-support-for-2711.patch
new file mode 100644 (file)
index 0000000..328c303
--- /dev/null
@@ -0,0 +1,20 @@
+From c023f241d93059ba6ee2ab5acdb2b54b85b12f53 Mon Sep 17 00:00:00 2001
+From: Eric Anholt <eric@anholt.net>
+Date: Thu, 4 Oct 2018 17:22:43 -0700
+Subject: [PATCH] drm/v3d: Add support for 2711.
+
+Signed-off-by: Eric Anholt <eric@anholt.net>
+---
+ drivers/gpu/drm/v3d/v3d_drv.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/gpu/drm/v3d/v3d_drv.c
++++ b/drivers/gpu/drm/v3d/v3d_drv.c
+@@ -221,6 +221,7 @@ static struct drm_driver v3d_drm_driver
+ static const struct of_device_id v3d_of_match[] = {
+       { .compatible = "brcm,7268-v3d" },
+       { .compatible = "brcm,7278-v3d" },
++      { .compatible = "brcm,2711-v3d" },
+       {},
+ };
+ MODULE_DEVICE_TABLE(of, v3d_of_match);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0223-usbhid-call-usb_fixup_endpoint-after-mangling-interv.patch b/target/linux/bcm27xx/patches-5.4/950-0223-usbhid-call-usb_fixup_endpoint-after-mangling-interv.patch
deleted file mode 100644 (file)
index bf88c40..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-From df28fdf0b853c0951bab5c9cbb5aa82819f7b34b Mon Sep 17 00:00:00 2001
-From: Jonathan Bell <jonathan@raspberrypi.org>
-Date: Tue, 11 Jun 2019 11:42:03 +0100
-Subject: [PATCH] usbhid: call usb_fixup_endpoint after mangling
- intervals
-
-Lets the mousepoll override mechanism work with xhci.
-
-Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
----
- drivers/hid/usbhid/hid-core.c | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/drivers/hid/usbhid/hid-core.c
-+++ b/drivers/hid/usbhid/hid-core.c
-@@ -1128,6 +1128,7 @@ static int usbhid_start(struct hid_devic
-                               interval = hid_kbpoll_interval;
-                       break;
-               }
-+              usb_fixup_endpoint(dev, endpoint->bEndpointAddress, interval);
-               ret = -ENOMEM;
-               if (usb_endpoint_dir_in(endpoint)) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0224-arm-bcm2835-Add-bcm2838-compatible-string.patch b/target/linux/bcm27xx/patches-5.4/950-0224-arm-bcm2835-Add-bcm2838-compatible-string.patch
deleted file mode 100644 (file)
index f11ccb4..0000000
+++ /dev/null
@@ -1,20 +0,0 @@
-From 8af54831d1d377b6a4ab087c409f1684e1e985a7 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Tue, 11 Jun 2019 17:38:28 +0100
-Subject: [PATCH] arm: bcm2835: Add bcm2838 compatible string.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/mach-bcm/board_bcm2835.c | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/arch/arm/mach-bcm/board_bcm2835.c
-+++ b/arch/arm/mach-bcm/board_bcm2835.c
-@@ -109,6 +109,7 @@ static const char * const bcm2835_compat
- #ifdef CONFIG_ARCH_MULTI_V7
-       "brcm,bcm2836",
-       "brcm,bcm2837",
-+      "brcm,bcm2838",
- #endif
-       NULL
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0224-drm-v3d-Skip-MMU-flush-if-the-device-is-currently-of.patch b/target/linux/bcm27xx/patches-5.4/950-0224-drm-v3d-Skip-MMU-flush-if-the-device-is-currently-of.patch
new file mode 100644 (file)
index 0000000..1581cc0
--- /dev/null
@@ -0,0 +1,52 @@
+From f34daf3b5ae9533f88d31eef74bbf38099e96aa1 Mon Sep 17 00:00:00 2001
+From: Eric Anholt <eric@anholt.net>
+Date: Mon, 14 Jan 2019 12:35:43 -0800
+Subject: [PATCH] drm/v3d: Skip MMU flush if the device is currently
+ off.
+
+If it's off, we know it will be reset on poweron, so the MMU won't
+have any TLB cached from before this point.  Avoids failed waits for
+MMU flush to reply.
+
+Signed-off-by: Eric Anholt <eric@anholt.net>
+(cherry picked from commit 3ee4e2e0a9e9587eacbb69b067bbc72ab2cdc47b)
+---
+ drivers/gpu/drm/v3d/v3d_mmu.c | 13 +++++++++++++
+ 1 file changed, 13 insertions(+)
+
+--- a/drivers/gpu/drm/v3d/v3d_mmu.c
++++ b/drivers/gpu/drm/v3d/v3d_mmu.c
+@@ -18,6 +18,8 @@
+  * each client.  This is not yet implemented.
+  */
++#include <linux/pm_runtime.h>
++
+ #include "v3d_drv.h"
+ #include "v3d_regs.h"
+@@ -34,6 +36,14 @@ static int v3d_mmu_flush_all(struct v3d_
+ {
+       int ret;
++      /* Keep power on the device on until we're done with this
++       * call, but skip the flush if the device is off and will be
++       * reset when powered back on.
++       */
++      ret = pm_runtime_get_if_in_use(v3d->dev);
++      if (ret == 0)
++              return 0;
++
+       /* Make sure that another flush isn't already running when we
+        * start this one.
+        */
+@@ -61,6 +71,9 @@ static int v3d_mmu_flush_all(struct v3d_
+       if (ret)
+               dev_err(v3d->dev, "MMUC flush wait idle failed\n");
++      pm_runtime_mark_last_busy(v3d->dev);
++      pm_runtime_put_autosuspend(v3d->dev);
++
+       return ret;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0225-drm-v3d-Hook-up-the-runtime-PM-ops.patch b/target/linux/bcm27xx/patches-5.4/950-0225-drm-v3d-Hook-up-the-runtime-PM-ops.patch
new file mode 100644 (file)
index 0000000..4389221
--- /dev/null
@@ -0,0 +1,34 @@
+From 0b1f35dfb545dab884df2b4761e1af731f41ca9e Mon Sep 17 00:00:00 2001
+From: Eric Anholt <eric@anholt.net>
+Date: Mon, 14 Jan 2019 14:47:57 -0800
+Subject: [PATCH] drm/v3d: Hook up the runtime PM ops.
+
+In translating the runtime PM code from vc4, I missed the ".pm"
+assignment to actually connect them up.  Fixes missing MMU setup if
+runtime PM resets V3D.
+
+Signed-off-by: Eric Anholt <eric@anholt.net>
+(cherry picked from commit ca197699af29baa8236c74c53d4904ca8957ee06)
+---
+ drivers/gpu/drm/v3d/v3d_drv.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/v3d/v3d_drv.c
++++ b/drivers/gpu/drm/v3d/v3d_drv.c
+@@ -69,7 +69,7 @@ static int v3d_runtime_resume(struct dev
+ }
+ #endif
+-static const struct dev_pm_ops v3d_v3d_pm_ops = {
++static const struct dev_pm_ops v3d_pm_ops = {
+       SET_RUNTIME_PM_OPS(v3d_runtime_suspend, v3d_runtime_resume, NULL)
+ };
+@@ -362,6 +362,7 @@ static struct platform_driver v3d_platfo
+       .driver         = {
+               .name   = "v3d",
+               .of_match_table = v3d_of_match,
++              .pm = &v3d_pm_ops,
+       },
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0225-drm-vc4-Fix-oops-at-boot-with-firmwarekms-on-4.19.patch b/target/linux/bcm27xx/patches-5.4/950-0225-drm-vc4-Fix-oops-at-boot-with-firmwarekms-on-4.19.patch
deleted file mode 100644 (file)
index f95c877..0000000
+++ /dev/null
@@ -1,22 +0,0 @@
-From 50f3c90e2400a0391a7461e5e2fea86ffb3f8f60 Mon Sep 17 00:00:00 2001
-From: Eric Anholt <eric@anholt.net>
-Date: Mon, 4 Mar 2019 11:59:34 -0800
-Subject: [PATCH] drm/vc4: Fix oops at boot with firmwarekms on 4.19.
-
-Signed-off-by: Eric Anholt <eric@anholt.net>
----
- drivers/gpu/drm/vc4/vc4_kms.c | 3 +++
- 1 file changed, 3 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_kms.c
-@@ -116,6 +116,9 @@ vc4_ctm_commit(struct vc4_dev *vc4, stru
-       struct vc4_ctm_state *ctm_state = to_vc4_ctm_state(vc4->ctm_manager.state);
-       struct drm_color_ctm *ctm = ctm_state->ctm;
-+      if (vc4->firmware_kms)
-+              return;
-+
-       if (ctm_state->fifo) {
-               HVS_WRITE(SCALER_OLEDCOEF2,
-                         VC4_SET_FIELD(vc4_ctm_s31_32_to_s0_9(ctm->matrix[0]),
diff --git a/target/linux/bcm27xx/patches-5.4/950-0226-drm-v3d-Add-support-for-2711.patch b/target/linux/bcm27xx/patches-5.4/950-0226-drm-v3d-Add-support-for-2711.patch
deleted file mode 100644 (file)
index 328c303..0000000
+++ /dev/null
@@ -1,20 +0,0 @@
-From c023f241d93059ba6ee2ab5acdb2b54b85b12f53 Mon Sep 17 00:00:00 2001
-From: Eric Anholt <eric@anholt.net>
-Date: Thu, 4 Oct 2018 17:22:43 -0700
-Subject: [PATCH] drm/v3d: Add support for 2711.
-
-Signed-off-by: Eric Anholt <eric@anholt.net>
----
- drivers/gpu/drm/v3d/v3d_drv.c | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/drivers/gpu/drm/v3d/v3d_drv.c
-+++ b/drivers/gpu/drm/v3d/v3d_drv.c
-@@ -221,6 +221,7 @@ static struct drm_driver v3d_drm_driver
- static const struct of_device_id v3d_of_match[] = {
-       { .compatible = "brcm,7268-v3d" },
-       { .compatible = "brcm,7278-v3d" },
-+      { .compatible = "brcm,2711-v3d" },
-       {},
- };
- MODULE_DEVICE_TABLE(of, v3d_of_match);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0226-drm-vc4-Fix-synchronization-firmwarekms-against-GL-r.patch b/target/linux/bcm27xx/patches-5.4/950-0226-drm-vc4-Fix-synchronization-firmwarekms-against-GL-r.patch
new file mode 100644 (file)
index 0000000..ccd6de0
--- /dev/null
@@ -0,0 +1,44 @@
+From 87df00d6b301f5de54443ac7e3765dce983e8b6a Mon Sep 17 00:00:00 2001
+From: Eric Anholt <eric@anholt.net>
+Date: Thu, 28 Mar 2019 11:58:51 -0700
+Subject: [PATCH] drm/vc4: Fix synchronization firmwarekms against GL
+ rendering.
+
+We would present the framebuffer immediately without waiting for
+rendering to finish first, resulting in stuttering and flickering as a
+window was dragged around when the GPU was busy enough to not just win
+the race.
+
+Signed-off-by: Eric Anholt <eric@anholt.net>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 5 +++--
+ 1 file changed, 3 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -15,6 +15,7 @@
+  */
+ #include "drm/drm_atomic_helper.h"
++#include "drm/drm_gem_framebuffer_helper.h"
+ #include "drm/drm_plane_helper.h"
+ #include "drm/drm_crtc_helper.h"
+ #include "drm/drm_fourcc.h"
+@@ -291,7 +292,7 @@ static const struct drm_plane_funcs vc4_
+ };
+ static const struct drm_plane_helper_funcs vc4_primary_plane_helper_funcs = {
+-      .prepare_fb = NULL,
++      .prepare_fb = drm_gem_fb_prepare_fb,
+       .cleanup_fb = NULL,
+       .atomic_check = vc4_plane_atomic_check,
+       .atomic_update = vc4_primary_plane_atomic_update,
+@@ -299,7 +300,7 @@ static const struct drm_plane_helper_fun
+ };
+ static const struct drm_plane_helper_funcs vc4_cursor_plane_helper_funcs = {
+-      .prepare_fb = NULL,
++      .prepare_fb = drm_gem_fb_prepare_fb,
+       .cleanup_fb = NULL,
+       .atomic_check = vc4_plane_atomic_check,
+       .atomic_update = vc4_cursor_plane_atomic_update,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0227-drm-v3d-Skip-MMU-flush-if-the-device-is-currently-of.patch b/target/linux/bcm27xx/patches-5.4/950-0227-drm-v3d-Skip-MMU-flush-if-the-device-is-currently-of.patch
deleted file mode 100644 (file)
index 1581cc0..0000000
+++ /dev/null
@@ -1,52 +0,0 @@
-From f34daf3b5ae9533f88d31eef74bbf38099e96aa1 Mon Sep 17 00:00:00 2001
-From: Eric Anholt <eric@anholt.net>
-Date: Mon, 14 Jan 2019 12:35:43 -0800
-Subject: [PATCH] drm/v3d: Skip MMU flush if the device is currently
- off.
-
-If it's off, we know it will be reset on poweron, so the MMU won't
-have any TLB cached from before this point.  Avoids failed waits for
-MMU flush to reply.
-
-Signed-off-by: Eric Anholt <eric@anholt.net>
-(cherry picked from commit 3ee4e2e0a9e9587eacbb69b067bbc72ab2cdc47b)
----
- drivers/gpu/drm/v3d/v3d_mmu.c | 13 +++++++++++++
- 1 file changed, 13 insertions(+)
-
---- a/drivers/gpu/drm/v3d/v3d_mmu.c
-+++ b/drivers/gpu/drm/v3d/v3d_mmu.c
-@@ -18,6 +18,8 @@
-  * each client.  This is not yet implemented.
-  */
-+#include <linux/pm_runtime.h>
-+
- #include "v3d_drv.h"
- #include "v3d_regs.h"
-@@ -34,6 +36,14 @@ static int v3d_mmu_flush_all(struct v3d_
- {
-       int ret;
-+      /* Keep power on the device on until we're done with this
-+       * call, but skip the flush if the device is off and will be
-+       * reset when powered back on.
-+       */
-+      ret = pm_runtime_get_if_in_use(v3d->dev);
-+      if (ret == 0)
-+              return 0;
-+
-       /* Make sure that another flush isn't already running when we
-        * start this one.
-        */
-@@ -61,6 +71,9 @@ static int v3d_mmu_flush_all(struct v3d_
-       if (ret)
-               dev_err(v3d->dev, "MMUC flush wait idle failed\n");
-+      pm_runtime_mark_last_busy(v3d->dev);
-+      pm_runtime_put_autosuspend(v3d->dev);
-+
-       return ret;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0227-drm-vc4-Expose-the-format-modifiers-for-firmware-kms.patch b/target/linux/bcm27xx/patches-5.4/950-0227-drm-vc4-Expose-the-format-modifiers-for-firmware-kms.patch
new file mode 100644 (file)
index 0000000..cb5b6d5
--- /dev/null
@@ -0,0 +1,80 @@
+From c27d4bbba9593a6ded8f482610a0247da66d78a9 Mon Sep 17 00:00:00 2001
+From: Eric Anholt <eric@anholt.net>
+Date: Mon, 18 Mar 2019 16:38:32 -0700
+Subject: [PATCH] drm/vc4: Expose the format modifiers for firmware
+ kms.
+
+This should technically not expose VC4_T_TILED on pi4.  However, if we
+don't expose anything, then userspace will assume that display can
+handle whatever modifiers 3d can do (UIF on 2711).  By exposing a
+list, that will get intersected with what 3D can do so that we get T
+tiling for display on 2710 and linear on 2711.
+
+Signed-off-by: Eric Anholt <eric@anholt.net>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 33 +++++++++++++++++++++++++-
+ 1 file changed, 32 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -281,6 +281,27 @@ static void vc4_plane_destroy(struct drm
+       drm_plane_cleanup(plane);
+ }
++static bool vc4_fkms_format_mod_supported(struct drm_plane *plane,
++                                        uint32_t format,
++                                        uint64_t modifier)
++{
++      /* Support T_TILING for RGB formats only. */
++      switch (format) {
++      case DRM_FORMAT_XRGB8888:
++      case DRM_FORMAT_ARGB8888:
++              switch (modifier) {
++              case DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED:
++              case DRM_FORMAT_MOD_LINEAR:
++              case DRM_FORMAT_MOD_BROADCOM_UIF:
++                      return true;
++              default:
++                      return false;
++              }
++      default:
++              return false;
++      }
++}
++
+ static const struct drm_plane_funcs vc4_plane_funcs = {
+       .update_plane = drm_atomic_helper_update_plane,
+       .disable_plane = drm_atomic_helper_disable_plane,
+@@ -289,6 +310,7 @@ static const struct drm_plane_funcs vc4_
+       .reset = drm_atomic_helper_plane_reset,
+       .atomic_duplicate_state = drm_atomic_helper_plane_duplicate_state,
+       .atomic_destroy_state = drm_atomic_helper_plane_destroy_state,
++      .format_mod_supported = vc4_fkms_format_mod_supported,
+ };
+ static const struct drm_plane_helper_funcs vc4_primary_plane_helper_funcs = {
+@@ -316,6 +338,14 @@ static struct drm_plane *vc4_fkms_plane_
+       u32 argb8888 = DRM_FORMAT_ARGB8888;
+       int ret = 0;
+       bool primary = (type == DRM_PLANE_TYPE_PRIMARY);
++      static const uint64_t modifiers[] = {
++              DRM_FORMAT_MOD_LINEAR,
++              /* VC4_T_TILED should come after linear, because we
++               * would prefer to scan out linear (less bus traffic).
++               */
++              DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED,
++              DRM_FORMAT_MOD_INVALID,
++      };
+       vc4_plane = devm_kzalloc(dev->dev, sizeof(*vc4_plane),
+                                GFP_KERNEL);
+@@ -327,7 +357,8 @@ static struct drm_plane *vc4_fkms_plane_
+       plane = &vc4_plane->base;
+       ret = drm_universal_plane_init(dev, plane, 0xff,
+                                      &vc4_plane_funcs,
+-                                     primary ? &xrgb8888 : &argb8888, 1, NULL,
++                                     primary ? &xrgb8888 : &argb8888, 1,
++                                     modifiers,
+                                      type, primary ? "primary" : "cursor");
+       if (type == DRM_PLANE_TYPE_PRIMARY) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0228-drm-v3d-Hook-up-the-runtime-PM-ops.patch b/target/linux/bcm27xx/patches-5.4/950-0228-drm-v3d-Hook-up-the-runtime-PM-ops.patch
deleted file mode 100644 (file)
index 4389221..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From 0b1f35dfb545dab884df2b4761e1af731f41ca9e Mon Sep 17 00:00:00 2001
-From: Eric Anholt <eric@anholt.net>
-Date: Mon, 14 Jan 2019 14:47:57 -0800
-Subject: [PATCH] drm/v3d: Hook up the runtime PM ops.
-
-In translating the runtime PM code from vc4, I missed the ".pm"
-assignment to actually connect them up.  Fixes missing MMU setup if
-runtime PM resets V3D.
-
-Signed-off-by: Eric Anholt <eric@anholt.net>
-(cherry picked from commit ca197699af29baa8236c74c53d4904ca8957ee06)
----
- drivers/gpu/drm/v3d/v3d_drv.c | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/v3d/v3d_drv.c
-+++ b/drivers/gpu/drm/v3d/v3d_drv.c
-@@ -69,7 +69,7 @@ static int v3d_runtime_resume(struct dev
- }
- #endif
--static const struct dev_pm_ops v3d_v3d_pm_ops = {
-+static const struct dev_pm_ops v3d_pm_ops = {
-       SET_RUNTIME_PM_OPS(v3d_runtime_suspend, v3d_runtime_resume, NULL)
- };
-@@ -362,6 +362,7 @@ static struct platform_driver v3d_platfo
-       .driver         = {
-               .name   = "v3d",
-               .of_match_table = v3d_of_match,
-+              .pm = &v3d_pm_ops,
-       },
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0228-drm-vc4-Fix-vblank-timestamping-for-firmwarekms.patch b/target/linux/bcm27xx/patches-5.4/950-0228-drm-vc4-Fix-vblank-timestamping-for-firmwarekms.patch
new file mode 100644 (file)
index 0000000..3b03c98
--- /dev/null
@@ -0,0 +1,53 @@
+From c71f09dafd82a37a488029f33552a45a99d0a9a6 Mon Sep 17 00:00:00 2001
+From: Eric Anholt <eric@anholt.net>
+Date: Tue, 2 Apr 2019 13:29:00 -0700
+Subject: [PATCH] drm/vc4: Fix vblank timestamping for firmwarekms.
+
+The core doesn't expect a false return from the scanoutpos function in
+normal usage, so we were doing the precise vblank timestamping path
+and thus "immediate" vblank disables (even though firmwarekms can't
+actually disable vblanks interrupts, sigh), and the kernel would get
+confused when getting timestamp info when also turning vblanks back
+on.
+
+Signed-off-by: Eric Anholt <eric@anholt.net>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c         | 3 ---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 7 +++++++
+ 2 files changed, 7 insertions(+), 3 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -97,9 +97,6 @@ bool vc4_crtc_get_scanoutpos(struct drm_
+       int vblank_lines;
+       bool ret = false;
+-      if (vc4->firmware_kms)
+-              return 0;
+-
+       /* preempt_disable_rt() should go right here in PREEMPT_RT patchset. */
+       /* Get optional system timestamp before query. */
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -20,6 +20,7 @@
+ #include "drm/drm_crtc_helper.h"
+ #include "drm/drm_fourcc.h"
+ #include "drm/drm_probe_helper.h"
++#include "drm/drm_drv.h"
+ #include "linux/clk.h"
+ #include "linux/debugfs.h"
+ #include "drm/drm_fb_cma_helper.h"
+@@ -673,6 +674,12 @@ static int vc4_fkms_bind(struct device *
+       vc4->firmware_kms = true;
++      /* firmware kms doesn't have precise a scanoutpos implementation, so
++       * we can't do the precise vblank timestamp mode.
++       */
++      drm->driver->get_scanout_position = NULL;
++      drm->driver->get_vblank_timestamp = NULL;
++
+       vc4_crtc = devm_kzalloc(dev, sizeof(*vc4_crtc), GFP_KERNEL);
+       if (!vc4_crtc)
+               return -ENOMEM;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0229-drm-vc4-Fix-synchronization-firmwarekms-against-GL-r.patch b/target/linux/bcm27xx/patches-5.4/950-0229-drm-vc4-Fix-synchronization-firmwarekms-against-GL-r.patch
deleted file mode 100644 (file)
index ccd6de0..0000000
+++ /dev/null
@@ -1,44 +0,0 @@
-From 87df00d6b301f5de54443ac7e3765dce983e8b6a Mon Sep 17 00:00:00 2001
-From: Eric Anholt <eric@anholt.net>
-Date: Thu, 28 Mar 2019 11:58:51 -0700
-Subject: [PATCH] drm/vc4: Fix synchronization firmwarekms against GL
- rendering.
-
-We would present the framebuffer immediately without waiting for
-rendering to finish first, resulting in stuttering and flickering as a
-window was dragged around when the GPU was busy enough to not just win
-the race.
-
-Signed-off-by: Eric Anholt <eric@anholt.net>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 5 +++--
- 1 file changed, 3 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -15,6 +15,7 @@
-  */
- #include "drm/drm_atomic_helper.h"
-+#include "drm/drm_gem_framebuffer_helper.h"
- #include "drm/drm_plane_helper.h"
- #include "drm/drm_crtc_helper.h"
- #include "drm/drm_fourcc.h"
-@@ -291,7 +292,7 @@ static const struct drm_plane_funcs vc4_
- };
- static const struct drm_plane_helper_funcs vc4_primary_plane_helper_funcs = {
--      .prepare_fb = NULL,
-+      .prepare_fb = drm_gem_fb_prepare_fb,
-       .cleanup_fb = NULL,
-       .atomic_check = vc4_plane_atomic_check,
-       .atomic_update = vc4_primary_plane_atomic_update,
-@@ -299,7 +300,7 @@ static const struct drm_plane_helper_fun
- };
- static const struct drm_plane_helper_funcs vc4_cursor_plane_helper_funcs = {
--      .prepare_fb = NULL,
-+      .prepare_fb = drm_gem_fb_prepare_fb,
-       .cleanup_fb = NULL,
-       .atomic_check = vc4_plane_atomic_check,
-       .atomic_update = vc4_cursor_plane_atomic_update,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0229-gpu-vc4-fkms-Switch-to-the-newer-mailbox-frame-buffe.patch b/target/linux/bcm27xx/patches-5.4/950-0229-gpu-vc4-fkms-Switch-to-the-newer-mailbox-frame-buffe.patch
new file mode 100644 (file)
index 0000000..fc84f53
--- /dev/null
@@ -0,0 +1,179 @@
+From b721bcc62759ae7a2d9730d1121974702be96d7c Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 26 Mar 2019 14:43:06 +0000
+Subject: [PATCH] gpu: vc4-fkms: Switch to the newer mailbox frame
+ buffer API.
+
+The old mailbox FB API was ideally deprecated but still used by
+the FKMS driver.
+Update to the newer API.
+
+NB This needs current firmware that accepts ARM allocated buffers
+through the newer API.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 109 +++++++++++++------------
+ 1 file changed, 57 insertions(+), 52 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -30,6 +30,25 @@
+ #include "vc4_regs.h"
+ #include <soc/bcm2835/raspberrypi-firmware.h>
++struct fb_alloc_tags {
++      struct rpi_firmware_property_tag_header tag1;
++      u32 xres, yres;
++      struct rpi_firmware_property_tag_header tag2;
++      u32 xres_virtual, yres_virtual;
++      struct rpi_firmware_property_tag_header tag3;
++      u32 bpp;
++      struct rpi_firmware_property_tag_header tag4;
++      u32 xoffset, yoffset;
++      struct rpi_firmware_property_tag_header tag5;
++      u32 base, screen_size;
++      struct rpi_firmware_property_tag_header tag6;
++      u32 pitch;
++      struct rpi_firmware_property_tag_header tag7;
++      u32 alpha_mode;
++      struct rpi_firmware_property_tag_header tag8;
++      u32 layer;
++};
++
+ /* The firmware delivers a vblank interrupt to us through the SMI
+  * hardware, which has only this one register.
+  */
+@@ -123,45 +142,39 @@ static void vc4_primary_plane_atomic_upd
+                                           struct drm_plane_state *old_state)
+ {
+       struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
+-      struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
+       struct drm_plane_state *state = plane->state;
+       struct drm_framebuffer *fb = state->fb;
+       struct drm_gem_cma_object *bo = drm_fb_cma_get_gem_obj(fb, 0);
+-      volatile struct fbinfo_s *fbinfo = vc4_plane->fbinfo;
++      u32 format = fb->format->format;
++      struct fb_alloc_tags fbinfo = {
++              .tag1 = { RPI_FIRMWARE_FRAMEBUFFER_SET_PHYSICAL_WIDTH_HEIGHT,
++                        8, 0, },
++                      .xres = state->crtc_w,
++                      .yres = state->crtc_h,
++              .tag2 = { RPI_FIRMWARE_FRAMEBUFFER_SET_VIRTUAL_WIDTH_HEIGHT,
++                        8, 0, },
++                      .xres_virtual = state->crtc_w,
++                      .yres_virtual = state->crtc_h,
++              .tag3 = { RPI_FIRMWARE_FRAMEBUFFER_SET_DEPTH, 4, 0 },
++                      .bpp = 32,
++              .tag4 = { RPI_FIRMWARE_FRAMEBUFFER_SET_VIRTUAL_OFFSET, 8, 0 },
++                      .xoffset = 0,
++                      .yoffset = 0,
++              .tag5 = { RPI_FIRMWARE_FRAMEBUFFER_ALLOCATE, 8, 0 },
++                      .base = bo->paddr + fb->offsets[0],
++                      .screen_size = state->crtc_w * state->crtc_h * 4,
++              .tag6 = { RPI_FIRMWARE_FRAMEBUFFER_SET_PITCH, 4, 0 },
++                      .pitch = fb->pitches[0],
++              .tag7 = { RPI_FIRMWARE_FRAMEBUFFER_SET_ALPHA_MODE, 4, 0 },
++                      .alpha_mode = format == DRM_FORMAT_ARGB8888 ? 0 : 2,
++              .tag8 = { RPI_FIRMWARE_FRAMEBUFFER_SET_LAYER, 4, 0 },
++                      .layer = -127,
++      };
+       u32 bpp = 32;
+       int ret;
+-      fbinfo->xres = state->crtc_w;
+-      fbinfo->yres = state->crtc_h;
+-      fbinfo->xres_virtual = state->crtc_w;
+-      fbinfo->yres_virtual = state->crtc_h;
+-      fbinfo->bpp = bpp;
+-      fbinfo->xoffset = state->crtc_x;
+-      fbinfo->yoffset = state->crtc_y;
+-      fbinfo->base = bo->paddr + fb->offsets[0];
+-      fbinfo->pitch = fb->pitches[0];
+-
+       if (fb->modifier == DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED)
+-              fbinfo->bpp |= BIT(31);
+-
+-      /* A bug in the firmware makes it so that if the fb->base is
+-       * set to nonzero, the configured pitch gets overwritten with
+-       * the previous pitch.  So, to get the configured pitch
+-       * recomputed, we have to make it allocate itself a new buffer
+-       * in VC memory, first.
+-       */
+-      if (vc4_plane->pitch != fb->pitches[0]) {
+-              u32 saved_base = fbinfo->base;
+-              fbinfo->base = 0;
+-
+-              ret = rpi_firmware_transaction(vc4->firmware,
+-                                             RPI_FIRMWARE_CHAN_FB,
+-                                             vc4_plane->fbinfo_bus_addr);
+-              fbinfo->base = saved_base;
+-
+-              vc4_plane->pitch = fbinfo->pitch;
+-              WARN_ON_ONCE(vc4_plane->pitch != fb->pitches[0]);
+-      }
++              fbinfo.bpp |= BIT(31);
+       DRM_DEBUG_ATOMIC("[PLANE:%d:%s] primary update %dx%d@%d +%d,%d 0x%pad/%d\n",
+                        plane->base.id, plane->name,
+@@ -170,14 +183,13 @@ static void vc4_primary_plane_atomic_upd
+                        bpp,
+                        state->crtc_x,
+                        state->crtc_y,
+-                       &fbinfo->base,
++                       &fbinfo.base,
+                        fb->pitches[0]);
+-      ret = rpi_firmware_transaction(vc4->firmware,
+-                                     RPI_FIRMWARE_CHAN_FB,
+-                                     vc4_plane->fbinfo_bus_addr);
+-      WARN_ON_ONCE(fbinfo->pitch != fb->pitches[0]);
+-      WARN_ON_ONCE(fbinfo->base != bo->paddr + fb->offsets[0]);
++      ret = rpi_firmware_property_list(vc4->firmware, &fbinfo,
++                                       sizeof(fbinfo));
++      WARN_ON_ONCE(fbinfo.pitch != fb->pitches[0]);
++      WARN_ON_ONCE(fbinfo.base != bo->paddr + fb->offsets[0]);
+       /* If the CRTC is on (or going to be on) and we're enabled,
+        * then unblank.  Otherwise, stay blank until CRTC enable.
+@@ -333,10 +345,10 @@ static const struct drm_plane_helper_fun
+ static struct drm_plane *vc4_fkms_plane_init(struct drm_device *dev,
+                                            enum drm_plane_type type)
+ {
++      /* Primary and cursor planes only */
+       struct drm_plane *plane = NULL;
+       struct vc4_fkms_plane *vc4_plane;
+-      u32 xrgb8888 = DRM_FORMAT_XRGB8888;
+-      u32 argb8888 = DRM_FORMAT_ARGB8888;
++      u32 formats[] = {DRM_FORMAT_ARGB8888, DRM_FORMAT_XRGB8888};
+       int ret = 0;
+       bool primary = (type == DRM_PLANE_TYPE_PRIMARY);
+       static const uint64_t modifiers[] = {
+@@ -358,22 +370,15 @@ static struct drm_plane *vc4_fkms_plane_
+       plane = &vc4_plane->base;
+       ret = drm_universal_plane_init(dev, plane, 0xff,
+                                      &vc4_plane_funcs,
+-                                     primary ? &xrgb8888 : &argb8888, 1,
+-                                     modifiers,
++                                     formats, primary ? 2 : 1, modifiers,
+                                      type, primary ? "primary" : "cursor");
+-      if (type == DRM_PLANE_TYPE_PRIMARY) {
+-              vc4_plane->fbinfo =
+-                      dma_alloc_coherent(dev->dev,
+-                                         sizeof(*vc4_plane->fbinfo),
+-                                         &vc4_plane->fbinfo_bus_addr,
+-                                         GFP_KERNEL);
+-              memset(vc4_plane->fbinfo, 0, sizeof(*vc4_plane->fbinfo));
+-
++      if (type == DRM_PLANE_TYPE_PRIMARY)
+               drm_plane_helper_add(plane, &vc4_primary_plane_helper_funcs);
+-      } else {
++      else
+               drm_plane_helper_add(plane, &vc4_cursor_plane_helper_funcs);
+-      }
++
++      drm_plane_create_alpha_property(plane);
+       return plane;
+ fail:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0230-drm-vc4-Add-an-overlay-plane-to-vc4-firmware-kms.patch b/target/linux/bcm27xx/patches-5.4/950-0230-drm-vc4-Add-an-overlay-plane-to-vc4-firmware-kms.patch
new file mode 100644 (file)
index 0000000..6878a0c
--- /dev/null
@@ -0,0 +1,853 @@
+From 75e1dce99c260cb1365edd9af68cb5c07487831c Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 27 Mar 2019 17:45:01 +0000
+Subject: [PATCH] drm: vc4: Add an overlay plane to vc4-firmware-kms
+
+This uses a new API that is exposed via the mailbox service
+to stick an element straight on the screen using DispmanX.
+
+The primary and cursor planes have also been switched to using
+the new plane API, and it supports layering based on the DRM
+zpos parameter.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c     | 518 ++++++++++++++-------
+ drivers/gpu/drm/vc4/vc4_kms.c              |   1 +
+ drivers/gpu/drm/vc4/vc_image_types.h       | 143 ++++++
+ include/soc/bcm2835/raspberrypi-firmware.h |   2 +
+ 4 files changed, 495 insertions(+), 169 deletions(-)
+ create mode 100644 drivers/gpu/drm/vc4/vc_image_types.h
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -28,8 +28,46 @@
+ #include "linux/of_device.h"
+ #include "vc4_drv.h"
+ #include "vc4_regs.h"
++#include "vc_image_types.h"
+ #include <soc/bcm2835/raspberrypi-firmware.h>
++struct set_plane {
++      u8 display;
++      u8 plane_id;
++      u8 vc_image_type;
++      s8 layer;
++
++      u16 width;
++      u16 height;
++
++      u16 pitch;
++      u16 vpitch;
++
++      u32 src_x;      /* 16p16 */
++      u32 src_y;      /* 16p16 */
++
++      u32 src_w;      /* 16p16 */
++      u32 src_h;      /* 16p16 */
++
++      s16 dst_x;
++      s16 dst_y;
++
++      u16 dst_w;
++      u16 dst_h;
++
++      u8 alpha;
++      u8 num_planes;
++      u8 is_vu;
++      u8 padding;
++
++      u32 planes[4];  /* DMA address of each plane */
++};
++
++struct mailbox_set_plane {
++      struct rpi_firmware_property_tag_header tag;
++      struct set_plane plane;
++};
++
+ struct fb_alloc_tags {
+       struct rpi_firmware_property_tag_header tag1;
+       u32 xres, yres;
+@@ -49,6 +87,79 @@ struct fb_alloc_tags {
+       u32 layer;
+ };
++static const struct vc_image_format {
++      u32 drm;        /* DRM_FORMAT_* */
++      u32 vc_image;   /* VC_IMAGE_* */
++      u32 is_vu;
++} vc_image_formats[] = {
++      {
++              .drm = DRM_FORMAT_XRGB8888,
++              .vc_image = VC_IMAGE_XRGB8888,
++      },
++      {
++              .drm = DRM_FORMAT_ARGB8888,
++              .vc_image = VC_IMAGE_ARGB8888,
++      },
++/*
++ *    FIXME: Need to resolve which DRM format goes to which vc_image format
++ *    for the remaining RGBA and RGBX formats.
++ *    {
++ *            .drm = DRM_FORMAT_ABGR8888,
++ *            .vc_image = VC_IMAGE_RGBA8888,
++ *    },
++ *    {
++ *            .drm = DRM_FORMAT_XBGR8888,
++ *            .vc_image = VC_IMAGE_RGBA8888,
++ *    },
++ */
++      {
++              .drm = DRM_FORMAT_RGB565,
++              .vc_image = VC_IMAGE_RGB565,
++      },
++      {
++              .drm = DRM_FORMAT_RGB888,
++              .vc_image = VC_IMAGE_BGR888,
++      },
++      {
++              .drm = DRM_FORMAT_BGR888,
++              .vc_image = VC_IMAGE_RGB888,
++      },
++      {
++              .drm = DRM_FORMAT_YUV422,
++              .vc_image = VC_IMAGE_YUV422PLANAR,
++      },
++      {
++              .drm = DRM_FORMAT_YUV420,
++              .vc_image = VC_IMAGE_YUV420,
++      },
++      {
++              .drm = DRM_FORMAT_YVU420,
++              .vc_image = VC_IMAGE_YUV420,
++              .is_vu = 1,
++      },
++      {
++              .drm = DRM_FORMAT_NV12,
++              .vc_image = VC_IMAGE_YUV420SP,
++      },
++      {
++              .drm = DRM_FORMAT_NV21,
++              .vc_image = VC_IMAGE_YUV420SP,
++              .is_vu = 1,
++      },
++};
++
++static const struct vc_image_format *vc4_get_vc_image_fmt(u32 drm_format)
++{
++      unsigned int i;
++
++      for (i = 0; i < ARRAY_SIZE(vc_image_formats); i++) {
++              if (vc_image_formats[i].drm == drm_format)
++                      return &vc_image_formats[i];
++      }
++
++      return NULL;
++}
++
+ /* The firmware delivers a vblank interrupt to us through the SMI
+  * hardware, which has only this one register.
+  */
+@@ -115,6 +226,7 @@ struct vc4_fkms_plane {
+       struct fbinfo_s *fbinfo;
+       dma_addr_t fbinfo_bus_addr;
+       u32 pitch;
++      struct mailbox_set_plane mb;
+ };
+ static inline struct vc4_fkms_plane *to_vc4_fkms_plane(struct drm_plane *plane)
+@@ -122,165 +234,183 @@ static inline struct vc4_fkms_plane *to_
+       return (struct vc4_fkms_plane *)plane;
+ }
+-/* Turns the display on/off. */
+-static int vc4_plane_set_primary_blank(struct drm_plane *plane, bool blank)
++static int vc4_plane_set_blank(struct drm_plane *plane, bool blank)
+ {
+       struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
++      struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
++      struct mailbox_set_plane blank_mb = {
++              .tag = { RPI_FIRMWARE_SET_PLANE, sizeof(struct set_plane), 0 },
++              .plane = {
++                      .display = vc4_plane->mb.plane.display,
++                      .plane_id = vc4_plane->mb.plane.plane_id,
++              }
++      };
++      int ret;
+-      u32 packet = blank;
+-
+-      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] primary plane %s",
++      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] overlay plane %s",
+                        plane->base.id, plane->name,
+                        blank ? "blank" : "unblank");
+-      return rpi_firmware_property(vc4->firmware,
+-                                   RPI_FIRMWARE_FRAMEBUFFER_BLANK,
+-                                   &packet, sizeof(packet));
++      if (blank)
++              ret = rpi_firmware_property_list(vc4->firmware, &blank_mb,
++                                               sizeof(blank_mb));
++      else
++              ret = rpi_firmware_property_list(vc4->firmware, &vc4_plane->mb,
++                                               sizeof(vc4_plane->mb));
++
++      WARN_ONCE(ret, "%s: firmware call failed. Please update your firmware",
++                __func__);
++      return ret;
+ }
+-static void vc4_primary_plane_atomic_update(struct drm_plane *plane,
+-                                          struct drm_plane_state *old_state)
++static void vc4_plane_atomic_update(struct drm_plane *plane,
++                                  struct drm_plane_state *old_state)
+ {
+-      struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
+       struct drm_plane_state *state = plane->state;
+       struct drm_framebuffer *fb = state->fb;
+       struct drm_gem_cma_object *bo = drm_fb_cma_get_gem_obj(fb, 0);
+-      u32 format = fb->format->format;
+-      struct fb_alloc_tags fbinfo = {
+-              .tag1 = { RPI_FIRMWARE_FRAMEBUFFER_SET_PHYSICAL_WIDTH_HEIGHT,
+-                        8, 0, },
+-                      .xres = state->crtc_w,
+-                      .yres = state->crtc_h,
+-              .tag2 = { RPI_FIRMWARE_FRAMEBUFFER_SET_VIRTUAL_WIDTH_HEIGHT,
+-                        8, 0, },
+-                      .xres_virtual = state->crtc_w,
+-                      .yres_virtual = state->crtc_h,
+-              .tag3 = { RPI_FIRMWARE_FRAMEBUFFER_SET_DEPTH, 4, 0 },
+-                      .bpp = 32,
+-              .tag4 = { RPI_FIRMWARE_FRAMEBUFFER_SET_VIRTUAL_OFFSET, 8, 0 },
+-                      .xoffset = 0,
+-                      .yoffset = 0,
+-              .tag5 = { RPI_FIRMWARE_FRAMEBUFFER_ALLOCATE, 8, 0 },
+-                      .base = bo->paddr + fb->offsets[0],
+-                      .screen_size = state->crtc_w * state->crtc_h * 4,
+-              .tag6 = { RPI_FIRMWARE_FRAMEBUFFER_SET_PITCH, 4, 0 },
+-                      .pitch = fb->pitches[0],
+-              .tag7 = { RPI_FIRMWARE_FRAMEBUFFER_SET_ALPHA_MODE, 4, 0 },
+-                      .alpha_mode = format == DRM_FORMAT_ARGB8888 ? 0 : 2,
+-              .tag8 = { RPI_FIRMWARE_FRAMEBUFFER_SET_LAYER, 4, 0 },
+-                      .layer = -127,
+-      };
+-      u32 bpp = 32;
+-      int ret;
++      const struct drm_format_info *drm_fmt = fb->format;
++      const struct vc_image_format *vc_fmt =
++                                      vc4_get_vc_image_fmt(drm_fmt->format);
++      struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
++      struct mailbox_set_plane *mb = &vc4_plane->mb;
++      struct vc4_crtc *vc4_crtc = to_vc4_crtc(state->crtc);
++      int num_planes = fb->format->num_planes;
++      struct drm_display_mode *mode = &state->crtc->mode;
+-      if (fb->modifier == DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED)
+-              fbinfo.bpp |= BIT(31);
++      mb->plane.vc_image_type = vc_fmt->vc_image;
++      mb->plane.width = fb->width;
++      mb->plane.height = fb->height;
++      mb->plane.pitch = fb->pitches[0];
++      mb->plane.src_w = state->src_w;
++      mb->plane.src_h = state->src_h;
++      mb->plane.src_x = state->src_x;
++      mb->plane.src_y = state->src_y;
++      mb->plane.dst_w = state->crtc_w;
++      mb->plane.dst_h = state->crtc_h;
++      mb->plane.dst_x = state->crtc_x;
++      mb->plane.dst_y = state->crtc_y;
++      mb->plane.alpha = state->alpha >> 8;
++      mb->plane.layer = state->normalized_zpos ?
++                                      state->normalized_zpos : -127;
++      mb->plane.num_planes = num_planes;
++      mb->plane.is_vu = vc_fmt->is_vu;
++      mb->plane.planes[0] = bo->paddr + fb->offsets[0];
+-      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] primary update %dx%d@%d +%d,%d 0x%pad/%d\n",
++      /* FIXME: If the dest rect goes off screen then clip the src rect so we
++       * don't have off-screen pixels.
++       */
++      if (plane->type == DRM_PLANE_TYPE_CURSOR) {
++              /* There is no scaling on the cursor plane, therefore the calcs
++               * to alter the source crop as the cursor goes off the screen
++               * are simple.
++               */
++              if (mb->plane.dst_x + mb->plane.dst_w > mode->hdisplay) {
++                      mb->plane.dst_w = mode->hdisplay - mb->plane.dst_x;
++                      mb->plane.src_w = (mode->hdisplay - mb->plane.dst_x)
++                                                                      << 16;
++              }
++              if (mb->plane.dst_y + mb->plane.dst_h > mode->vdisplay) {
++                      mb->plane.dst_h = mode->vdisplay - mb->plane.dst_y;
++                      mb->plane.src_h = (mode->vdisplay - mb->plane.dst_y)
++                                                                      << 16;
++              }
++      }
++
++      if (num_planes > 1) {
++              /* Assume this must be YUV */
++              /* Makes assumptions on the stride for the chroma planes as we
++               * can't easily plumb in non-standard pitches.
++               */
++              mb->plane.planes[1] = bo->paddr + fb->offsets[1];
++              if (num_planes > 2)
++                      mb->plane.planes[2] = bo->paddr + fb->offsets[2];
++              else
++                      mb->plane.planes[2] = 0;
++
++              /* Special case the YUV420 with U and V as line interleaved
++               * planes as we have special handling for that case.
++               */
++              if (num_planes == 3 &&
++                  (fb->offsets[2] - fb->offsets[1]) == fb->pitches[1])
++                      mb->plane.vc_image_type = VC_IMAGE_YUV420_S;
++      } else {
++              mb->plane.planes[1] = 0;
++              mb->plane.planes[2] = 0;
++      }
++      mb->plane.planes[3] = 0;
++
++      switch (fb->modifier) {
++      case DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED:
++              switch (mb->plane.vc_image_type) {
++              case VC_IMAGE_RGBX32:
++                      mb->plane.vc_image_type = VC_IMAGE_TF_RGBX32;
++                      break;
++              case VC_IMAGE_RGBA32:
++                      mb->plane.vc_image_type = VC_IMAGE_TF_RGBA32;
++                      break;
++              case VC_IMAGE_RGB565:
++                      mb->plane.vc_image_type = VC_IMAGE_TF_RGB565;
++                      break;
++              }
++              break;
++      case DRM_FORMAT_MOD_BROADCOM_SAND128:
++              mb->plane.vc_image_type = VC_IMAGE_YUV_UV;
++              mb->plane.pitch = fourcc_mod_broadcom_param(fb->modifier);
++              break;
++      }
++
++      if (vc4_crtc) {
++              mb->plane.dst_x += vc4_crtc->overscan[0];
++              mb->plane.dst_y += vc4_crtc->overscan[1];
++      }
++
++      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] plane update %dx%d@%d +dst(%d,%d, %d,%d) +src(%d,%d, %d,%d) 0x%08x/%08x/%08x/%d, alpha %u zpos %u\n",
+                        plane->base.id, plane->name,
+-                       state->crtc_w,
+-                       state->crtc_h,
+-                       bpp,
++                       mb->plane.width,
++                       mb->plane.height,
++                       mb->plane.vc_image_type,
+                        state->crtc_x,
+                        state->crtc_y,
+-                       &fbinfo.base,
+-                       fb->pitches[0]);
+-
+-      ret = rpi_firmware_property_list(vc4->firmware, &fbinfo,
+-                                       sizeof(fbinfo));
+-      WARN_ON_ONCE(fbinfo.pitch != fb->pitches[0]);
+-      WARN_ON_ONCE(fbinfo.base != bo->paddr + fb->offsets[0]);
+-
+-      /* If the CRTC is on (or going to be on) and we're enabled,
++                       state->crtc_w,
++                       state->crtc_h,
++                       mb->plane.src_x,
++                       mb->plane.src_y,
++                       mb->plane.src_w,
++                       mb->plane.src_h,
++                       mb->plane.planes[0],
++                       mb->plane.planes[1],
++                       mb->plane.planes[2],
++                       fb->pitches[0],
++                       state->alpha,
++                       state->normalized_zpos);
++
++      /*
++       * Do NOT set now, as we haven't checked if the crtc is active or not.
++       * Set from vc4_plane_set_blank instead.
++       *
++       * If the CRTC is on (or going to be on) and we're enabled,
+        * then unblank.  Otherwise, stay blank until CRTC enable.
+-      */
++       */
+       if (state->crtc->state->active)
+-              vc4_plane_set_primary_blank(plane, false);
++              vc4_plane_set_blank(plane, false);
+ }
+-static void vc4_primary_plane_atomic_disable(struct drm_plane *plane,
+-                                           struct drm_plane_state *old_state)
++static void vc4_plane_atomic_disable(struct drm_plane *plane,
++                                   struct drm_plane_state *old_state)
+ {
+-      vc4_plane_set_primary_blank(plane, true);
+-}
+-
+-static void vc4_cursor_plane_atomic_update(struct drm_plane *plane,
+-                                         struct drm_plane_state *old_state)
+-{
+-      struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
++      //struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
+       struct drm_plane_state *state = plane->state;
+-      struct vc4_crtc *vc4_crtc = to_vc4_crtc(state->crtc);
+-      struct drm_framebuffer *fb = state->fb;
+-      struct drm_gem_cma_object *bo = drm_fb_cma_get_gem_obj(fb, 0);
+-      dma_addr_t addr = bo->paddr + fb->offsets[0];
+-      int ret;
+-      u32 packet_state[] = {
+-              state->crtc->state->active,
+-              state->crtc_x,
+-              state->crtc_y,
+-              0
+-      };
+-      WARN_ON_ONCE(fb->pitches[0] != state->crtc_w * 4);
++      struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
+-      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] update %dx%d cursor at %d,%d (0x%pad/%d)",
++      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] plane disable %dx%d@%d +%d,%d\n",
+                        plane->base.id, plane->name,
+                        state->crtc_w,
+                        state->crtc_h,
++                       vc4_plane->mb.plane.vc_image_type,
+                        state->crtc_x,
+-                       state->crtc_y,
+-                       &addr,
+-                       fb->pitches[0]);
+-
+-      /* add on the top/left offsets when overscan is active */
+-      if (vc4_crtc) {
+-              packet_state[1] += vc4_crtc->overscan[0];
+-              packet_state[2] += vc4_crtc->overscan[1];
+-      }
+-
+-      ret = rpi_firmware_property(vc4->firmware,
+-                                  RPI_FIRMWARE_SET_CURSOR_STATE,
+-                                  &packet_state,
+-                                  sizeof(packet_state));
+-      if (ret || packet_state[0] != 0)
+-              DRM_ERROR("Failed to set cursor state: 0x%08x\n", packet_state[0]);
+-
+-      /* Note: When the cursor contents change, the modesetting
+-       * driver calls drm_mode_cursor_univeral() with
+-       * DRM_MODE_CURSOR_BO, which means a new fb will be allocated.
+-       */
+-      if (!old_state ||
+-          state->crtc_w != old_state->crtc_w ||
+-          state->crtc_h != old_state->crtc_h ||
+-          fb != old_state->fb) {
+-              u32 packet_info[] = { state->crtc_w, state->crtc_h,
+-                                    0, /* unused */
+-                                    addr,
+-                                    0, 0, /* hotx, hoty */};
+-
+-              ret = rpi_firmware_property(vc4->firmware,
+-                                          RPI_FIRMWARE_SET_CURSOR_INFO,
+-                                          &packet_info,
+-                                          sizeof(packet_info));
+-              if (ret || packet_info[0] != 0)
+-                      DRM_ERROR("Failed to set cursor info: 0x%08x\n", packet_info[0]);
+-      }
+-}
+-
+-static void vc4_cursor_plane_atomic_disable(struct drm_plane *plane,
+-                                          struct drm_plane_state *old_state)
+-{
+-      struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
+-      u32 packet_state[] = { false, 0, 0, 0 };
+-      int ret;
+-
+-      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] disabling cursor", plane->base.id, plane->name);
+-
+-      ret = rpi_firmware_property(vc4->firmware,
+-                                  RPI_FIRMWARE_SET_CURSOR_STATE,
+-                                  &packet_state,
+-                                  sizeof(packet_state));
+-      if (ret || packet_state[0] != 0)
+-              DRM_ERROR("Failed to set cursor state: 0x%08x\n", packet_state[0]);
++                       state->crtc_y);
++      vc4_plane_set_blank(plane, true);
+ }
+ static int vc4_plane_atomic_check(struct drm_plane *plane,
+@@ -302,6 +432,7 @@ static bool vc4_fkms_format_mod_supporte
+       switch (format) {
+       case DRM_FORMAT_XRGB8888:
+       case DRM_FORMAT_ARGB8888:
++      case DRM_FORMAT_RGB565:
+               switch (modifier) {
+               case DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED:
+               case DRM_FORMAT_MOD_LINEAR:
+@@ -310,8 +441,22 @@ static bool vc4_fkms_format_mod_supporte
+               default:
+                       return false;
+               }
++      case DRM_FORMAT_NV12:
++      case DRM_FORMAT_NV21:
++              switch (fourcc_mod_broadcom_mod(modifier)) {
++              case DRM_FORMAT_MOD_LINEAR:
++              case DRM_FORMAT_MOD_BROADCOM_SAND128:
++                      return true;
++              default:
++                      return false;
++              }
++      case DRM_FORMAT_RGB888:
++      case DRM_FORMAT_BGR888:
++      case DRM_FORMAT_YUV422:
++      case DRM_FORMAT_YUV420:
++      case DRM_FORMAT_YVU420:
+       default:
+-              return false;
++              return (modifier == DRM_FORMAT_MOD_LINEAR);
+       }
+ }
+@@ -326,31 +471,24 @@ static const struct drm_plane_funcs vc4_
+       .format_mod_supported = vc4_fkms_format_mod_supported,
+ };
+-static const struct drm_plane_helper_funcs vc4_primary_plane_helper_funcs = {
+-      .prepare_fb = drm_gem_fb_prepare_fb,
+-      .cleanup_fb = NULL,
+-      .atomic_check = vc4_plane_atomic_check,
+-      .atomic_update = vc4_primary_plane_atomic_update,
+-      .atomic_disable = vc4_primary_plane_atomic_disable,
+-};
+-
+-static const struct drm_plane_helper_funcs vc4_cursor_plane_helper_funcs = {
++static const struct drm_plane_helper_funcs vc4_plane_helper_funcs = {
+       .prepare_fb = drm_gem_fb_prepare_fb,
+       .cleanup_fb = NULL,
+       .atomic_check = vc4_plane_atomic_check,
+-      .atomic_update = vc4_cursor_plane_atomic_update,
+-      .atomic_disable = vc4_cursor_plane_atomic_disable,
++      .atomic_update = vc4_plane_atomic_update,
++      .atomic_disable = vc4_plane_atomic_disable,
+ };
+ static struct drm_plane *vc4_fkms_plane_init(struct drm_device *dev,
+-                                           enum drm_plane_type type)
++                                           enum drm_plane_type type,
++                                           u8 plane_id)
+ {
+-      /* Primary and cursor planes only */
+       struct drm_plane *plane = NULL;
+       struct vc4_fkms_plane *vc4_plane;
+-      u32 formats[] = {DRM_FORMAT_ARGB8888, DRM_FORMAT_XRGB8888};
++      u32 formats[ARRAY_SIZE(vc_image_formats)];
++      unsigned int default_zpos = 0;
++      u32 num_formats = 0;
+       int ret = 0;
+-      bool primary = (type == DRM_PLANE_TYPE_PRIMARY);
+       static const uint64_t modifiers[] = {
+               DRM_FORMAT_MOD_LINEAR,
+               /* VC4_T_TILED should come after linear, because we
+@@ -359,6 +497,7 @@ static struct drm_plane *vc4_fkms_plane_
+               DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED,
+               DRM_FORMAT_MOD_INVALID,
+       };
++      int i;
+       vc4_plane = devm_kzalloc(dev->dev, sizeof(*vc4_plane),
+                                GFP_KERNEL);
+@@ -367,19 +506,48 @@ static struct drm_plane *vc4_fkms_plane_
+               goto fail;
+       }
++      for (i = 0; i < ARRAY_SIZE(vc_image_formats); i++)
++              formats[num_formats++] = vc_image_formats[i].drm;
++
+       plane = &vc4_plane->base;
+       ret = drm_universal_plane_init(dev, plane, 0xff,
+                                      &vc4_plane_funcs,
+-                                     formats, primary ? 2 : 1, modifiers,
+-                                     type, primary ? "primary" : "cursor");
++                                     formats, num_formats, modifiers,
++                                     type, NULL);
+-      if (type == DRM_PLANE_TYPE_PRIMARY)
+-              drm_plane_helper_add(plane, &vc4_primary_plane_helper_funcs);
+-      else
+-              drm_plane_helper_add(plane, &vc4_cursor_plane_helper_funcs);
++      drm_plane_helper_add(plane, &vc4_plane_helper_funcs);
+       drm_plane_create_alpha_property(plane);
++      /*
++       * Default frame buffer setup is with FB on -127, and raspistill etc
++       * tend to drop overlays on layer 2. Cursor plane was on layer +127.
++       *
++       * For F-KMS the mailbox call allows for a s8.
++       * Remap zpos 0 to -127 for the background layer, but leave all the
++       * other layers as requested by KMS.
++       */
++      switch (type) {
++      case DRM_PLANE_TYPE_PRIMARY:
++              default_zpos = 0;
++              break;
++      case DRM_PLANE_TYPE_OVERLAY:
++              default_zpos = 1;
++              break;
++      case DRM_PLANE_TYPE_CURSOR:
++              default_zpos = 2;
++              break;
++      }
++      drm_plane_create_zpos_property(plane, default_zpos, 0, 127);
++
++      /* Prepare the static elements of the mailbox structure */
++      vc4_plane->mb.tag.tag = RPI_FIRMWARE_SET_PLANE;
++      vc4_plane->mb.tag.buf_size = sizeof(struct set_plane);
++      vc4_plane->mb.tag.req_resp_size = 0;
++      vc4_plane->mb.plane.display = 0;
++      vc4_plane->mb.plane.plane_id = plane_id;
++      vc4_plane->mb.plane.layer = default_zpos ? default_zpos : -127;
++
+       return plane;
+ fail:
+       if (plane)
+@@ -401,19 +569,23 @@ static void vc4_crtc_disable(struct drm_
+        * whether anything scans out at all, but the firmware doesn't
+        * give us a CRTC-level control for that.
+        */
+-      vc4_cursor_plane_atomic_disable(crtc->cursor, crtc->cursor->state);
+-      vc4_plane_set_primary_blank(crtc->primary, true);
++
++      vc4_plane_atomic_disable(crtc->cursor, crtc->cursor->state);
++      vc4_plane_atomic_disable(crtc->primary, crtc->primary->state);
++
++      /* FIXME: Disable overlay planes */
+ }
+ static void vc4_crtc_enable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
+ {
+       /* Unblank the planes (if they're supposed to be displayed). */
++
+       if (crtc->primary->state->fb)
+-              vc4_plane_set_primary_blank(crtc->primary, false);
+-      if (crtc->cursor->state->fb) {
+-              vc4_cursor_plane_atomic_update(crtc->cursor,
+-                                             crtc->cursor->state);
+-      }
++              vc4_plane_set_blank(crtc->primary, false);
++      if (crtc->cursor->state->fb)
++              vc4_plane_set_blank(crtc->cursor, crtc->cursor->state);
++
++      /* FIXME: Enable overlay planes */
+ }
+ static int vc4_crtc_atomic_check(struct drm_crtc *crtc,
+@@ -673,8 +845,10 @@ static int vc4_fkms_bind(struct device *
+       struct vc4_crtc *vc4_crtc;
+       struct vc4_fkms_encoder *vc4_encoder;
+       struct drm_crtc *crtc;
+-      struct drm_plane *primary_plane, *cursor_plane, *destroy_plane, *temp;
++      struct drm_plane *primary_plane, *overlay_plane, *cursor_plane;
++      struct drm_plane *destroy_plane, *temp;
+       struct device_node *firmware_node;
++      u32 blank = 1;
+       int ret;
+       vc4->firmware_kms = true;
+@@ -703,20 +877,26 @@ static int vc4_fkms_bind(struct device *
+       if (IS_ERR(vc4_crtc->regs))
+               return PTR_ERR(vc4_crtc->regs);
+-      /* For now, we create just the primary and the legacy cursor
+-       * planes.  We should be able to stack more planes on easily,
+-       * but to do that we would need to compute the bandwidth
+-       * requirement of the plane configuration, and reject ones
+-       * that will take too much.
+-       */
+-      primary_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_PRIMARY);
++      /* Blank the firmware provided framebuffer */
++      rpi_firmware_property(vc4->firmware,
++                            RPI_FIRMWARE_FRAMEBUFFER_BLANK,
++                            &blank, sizeof(blank));
++
++      primary_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_PRIMARY, 0);
+       if (IS_ERR(primary_plane)) {
+               dev_err(dev, "failed to construct primary plane\n");
+               ret = PTR_ERR(primary_plane);
+               goto err;
+       }
+-      cursor_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_CURSOR);
++      overlay_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_OVERLAY, 1);
++      if (IS_ERR(overlay_plane)) {
++              dev_err(dev, "failed to construct overlay plane\n");
++              ret = PTR_ERR(overlay_plane);
++              goto err;
++      }
++
++      cursor_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_CURSOR, 2);
+       if (IS_ERR(cursor_plane)) {
+               dev_err(dev, "failed to construct cursor plane\n");
+               ret = PTR_ERR(cursor_plane);
+--- a/drivers/gpu/drm/vc4/vc4_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_kms.c
+@@ -542,6 +542,7 @@ int vc4_kms_load(struct drm_device *dev)
+       dev->mode_config.preferred_depth = 24;
+       dev->mode_config.async_page_flip = true;
+       dev->mode_config.allow_fb_modifiers = true;
++      dev->mode_config.normalize_zpos = true;
+       drm_modeset_lock_init(&vc4->ctm_state_lock);
+--- /dev/null
++++ b/drivers/gpu/drm/vc4/vc_image_types.h
+@@ -0,0 +1,143 @@
++
++/*
++ * Copyright (c) 2012, Broadcom Europe Ltd
++ *
++ * Values taken from vc_image_types.h released by Broadcom at
++ * https://github.com/raspberrypi/userland/blob/master/interface/vctypes/vc_image_types.h
++ *
++ * This program is free software; you can redistribute it and/or modify
++ * it under the terms of the GNU General Public License version 2 as
++ * published by the Free Software Foundation.
++ */
++
++enum {
++      VC_IMAGE_MIN = 0, //bounds for error checking
++
++      VC_IMAGE_RGB565 = 1,
++      VC_IMAGE_1BPP,
++      VC_IMAGE_YUV420,
++      VC_IMAGE_48BPP,
++      VC_IMAGE_RGB888,
++      VC_IMAGE_8BPP,
++      /* 4bpp palettised image */
++      VC_IMAGE_4BPP,
++      /* A separated format of 16 colour/light shorts followed by 16 z
++       * values
++       */
++      VC_IMAGE_3D32,
++      /* 16 colours followed by 16 z values */
++      VC_IMAGE_3D32B,
++      /* A separated format of 16 material/colour/light shorts followed by
++       * 16 z values
++       */
++      VC_IMAGE_3D32MAT,
++      /* 32 bit format containing 18 bits of 6.6.6 RGB, 9 bits per short */
++      VC_IMAGE_RGB2X9,
++      /* 32-bit format holding 18 bits of 6.6.6 RGB */
++      VC_IMAGE_RGB666,
++      /* 4bpp palettised image with embedded palette */
++      VC_IMAGE_PAL4_OBSOLETE,
++      /* 8bpp palettised image with embedded palette */
++      VC_IMAGE_PAL8_OBSOLETE,
++      /* RGB888 with an alpha byte after each pixel */
++      VC_IMAGE_RGBA32,
++      /* a line of Y (32-byte padded), a line of U (16-byte padded), and a
++       * line of V (16-byte padded)
++       */
++      VC_IMAGE_YUV422,
++      /* RGB565 with a transparent patch */
++      VC_IMAGE_RGBA565,
++      /* Compressed (4444) version of RGBA32 */
++      VC_IMAGE_RGBA16,
++      /* VCIII codec format */
++      VC_IMAGE_YUV_UV,
++      /* VCIII T-format RGBA8888 */
++      VC_IMAGE_TF_RGBA32,
++      /* VCIII T-format RGBx8888 */
++      VC_IMAGE_TF_RGBX32,
++      /* VCIII T-format float */
++      VC_IMAGE_TF_FLOAT,
++      /* VCIII T-format RGBA4444 */
++      VC_IMAGE_TF_RGBA16,
++      /* VCIII T-format RGB5551 */
++      VC_IMAGE_TF_RGBA5551,
++      /* VCIII T-format RGB565 */
++      VC_IMAGE_TF_RGB565,
++      /* VCIII T-format 8-bit luma and 8-bit alpha */
++      VC_IMAGE_TF_YA88,
++      /* VCIII T-format 8 bit generic sample */
++      VC_IMAGE_TF_BYTE,
++      /* VCIII T-format 8-bit palette */
++      VC_IMAGE_TF_PAL8,
++      /* VCIII T-format 4-bit palette */
++      VC_IMAGE_TF_PAL4,
++      /* VCIII T-format Ericsson Texture Compressed */
++      VC_IMAGE_TF_ETC1,
++      /* RGB888 with R & B swapped */
++      VC_IMAGE_BGR888,
++      /* RGB888 with R & B swapped, but with no pitch, i.e. no padding after
++       * each row of pixels
++       */
++      VC_IMAGE_BGR888_NP,
++      /* Bayer image, extra defines which variant is being used */
++      VC_IMAGE_BAYER,
++      /* General wrapper for codec images e.g. JPEG from camera */
++      VC_IMAGE_CODEC,
++      /* VCIII codec format */
++      VC_IMAGE_YUV_UV32,
++      /* VCIII T-format 8-bit luma */
++      VC_IMAGE_TF_Y8,
++      /* VCIII T-format 8-bit alpha */
++      VC_IMAGE_TF_A8,
++      /* VCIII T-format 16-bit generic sample */
++      VC_IMAGE_TF_SHORT,
++      /* VCIII T-format 1bpp black/white */
++      VC_IMAGE_TF_1BPP,
++      VC_IMAGE_OPENGL,
++      /* VCIII-B0 HVS YUV 4:4:4 interleaved samples */
++      VC_IMAGE_YUV444I,
++      /* Y, U, & V planes separately (VC_IMAGE_YUV422 has them interleaved on
++       * a per line basis)
++       */
++      VC_IMAGE_YUV422PLANAR,
++      /* 32bpp with 8bit alpha at MS byte, with R, G, B (LS byte) */
++      VC_IMAGE_ARGB8888,
++      /* 32bpp with 8bit unused at MS byte, with R, G, B (LS byte) */
++      VC_IMAGE_XRGB8888,
++
++      /* interleaved 8 bit samples of Y, U, Y, V (4 flavours) */
++      VC_IMAGE_YUV422YUYV,
++      VC_IMAGE_YUV422YVYU,
++      VC_IMAGE_YUV422UYVY,
++      VC_IMAGE_YUV422VYUY,
++
++      /* 32bpp like RGBA32 but with unused alpha */
++      VC_IMAGE_RGBX32,
++      /* 32bpp, corresponding to RGBA with unused alpha */
++      VC_IMAGE_RGBX8888,
++      /* 32bpp, corresponding to BGRA with unused alpha */
++      VC_IMAGE_BGRX8888,
++
++      /* Y as a plane, then UV byte interleaved in plane with with same pitch,
++       * half height
++       */
++      VC_IMAGE_YUV420SP,
++
++      /* Y, U, & V planes separately 4:4:4 */
++      VC_IMAGE_YUV444PLANAR,
++
++      /* T-format 8-bit U - same as TF_Y8 buf from U plane */
++      VC_IMAGE_TF_U8,
++      /* T-format 8-bit U - same as TF_Y8 buf from V plane */
++      VC_IMAGE_TF_V8,
++
++      /* YUV4:2:0 planar, 16bit values */
++      VC_IMAGE_YUV420_16,
++      /* YUV4:2:0 codec format, 16bit values */
++      VC_IMAGE_YUV_UV_16,
++      /* YUV4:2:0 with U,V in side-by-side format */
++      VC_IMAGE_YUV420_S,
++
++      VC_IMAGE_MAX,     /* bounds for error checking */
++      VC_IMAGE_FORCE_ENUM_16BIT = 0xffff,
++};
+--- a/include/soc/bcm2835/raspberrypi-firmware.h
++++ b/include/soc/bcm2835/raspberrypi-firmware.h
+@@ -147,6 +147,8 @@ enum rpi_firmware_property_tag {
+       RPI_FIRMWARE_VCHIQ_INIT =                             0x00048010,
++      RPI_FIRMWARE_SET_PLANE =                              0x00048015,
++
+       RPI_FIRMWARE_GET_COMMAND_LINE =                       0x00050001,
+       RPI_FIRMWARE_GET_DMA_CHANNELS =                       0x00060001,
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0230-drm-vc4-Expose-the-format-modifiers-for-firmware-kms.patch b/target/linux/bcm27xx/patches-5.4/950-0230-drm-vc4-Expose-the-format-modifiers-for-firmware-kms.patch
deleted file mode 100644 (file)
index cb5b6d5..0000000
+++ /dev/null
@@ -1,80 +0,0 @@
-From c27d4bbba9593a6ded8f482610a0247da66d78a9 Mon Sep 17 00:00:00 2001
-From: Eric Anholt <eric@anholt.net>
-Date: Mon, 18 Mar 2019 16:38:32 -0700
-Subject: [PATCH] drm/vc4: Expose the format modifiers for firmware
- kms.
-
-This should technically not expose VC4_T_TILED on pi4.  However, if we
-don't expose anything, then userspace will assume that display can
-handle whatever modifiers 3d can do (UIF on 2711).  By exposing a
-list, that will get intersected with what 3D can do so that we get T
-tiling for display on 2710 and linear on 2711.
-
-Signed-off-by: Eric Anholt <eric@anholt.net>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 33 +++++++++++++++++++++++++-
- 1 file changed, 32 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -281,6 +281,27 @@ static void vc4_plane_destroy(struct drm
-       drm_plane_cleanup(plane);
- }
-+static bool vc4_fkms_format_mod_supported(struct drm_plane *plane,
-+                                        uint32_t format,
-+                                        uint64_t modifier)
-+{
-+      /* Support T_TILING for RGB formats only. */
-+      switch (format) {
-+      case DRM_FORMAT_XRGB8888:
-+      case DRM_FORMAT_ARGB8888:
-+              switch (modifier) {
-+              case DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED:
-+              case DRM_FORMAT_MOD_LINEAR:
-+              case DRM_FORMAT_MOD_BROADCOM_UIF:
-+                      return true;
-+              default:
-+                      return false;
-+              }
-+      default:
-+              return false;
-+      }
-+}
-+
- static const struct drm_plane_funcs vc4_plane_funcs = {
-       .update_plane = drm_atomic_helper_update_plane,
-       .disable_plane = drm_atomic_helper_disable_plane,
-@@ -289,6 +310,7 @@ static const struct drm_plane_funcs vc4_
-       .reset = drm_atomic_helper_plane_reset,
-       .atomic_duplicate_state = drm_atomic_helper_plane_duplicate_state,
-       .atomic_destroy_state = drm_atomic_helper_plane_destroy_state,
-+      .format_mod_supported = vc4_fkms_format_mod_supported,
- };
- static const struct drm_plane_helper_funcs vc4_primary_plane_helper_funcs = {
-@@ -316,6 +338,14 @@ static struct drm_plane *vc4_fkms_plane_
-       u32 argb8888 = DRM_FORMAT_ARGB8888;
-       int ret = 0;
-       bool primary = (type == DRM_PLANE_TYPE_PRIMARY);
-+      static const uint64_t modifiers[] = {
-+              DRM_FORMAT_MOD_LINEAR,
-+              /* VC4_T_TILED should come after linear, because we
-+               * would prefer to scan out linear (less bus traffic).
-+               */
-+              DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED,
-+              DRM_FORMAT_MOD_INVALID,
-+      };
-       vc4_plane = devm_kzalloc(dev->dev, sizeof(*vc4_plane),
-                                GFP_KERNEL);
-@@ -327,7 +357,8 @@ static struct drm_plane *vc4_fkms_plane_
-       plane = &vc4_plane->base;
-       ret = drm_universal_plane_init(dev, plane, 0xff,
-                                      &vc4_plane_funcs,
--                                     primary ? &xrgb8888 : &argb8888, 1, NULL,
-+                                     primary ? &xrgb8888 : &argb8888, 1,
-+                                     modifiers,
-                                      type, primary ? "primary" : "cursor");
-       if (type == DRM_PLANE_TYPE_PRIMARY) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0231-drm-vc4-Fix-vblank-timestamping-for-firmwarekms.patch b/target/linux/bcm27xx/patches-5.4/950-0231-drm-vc4-Fix-vblank-timestamping-for-firmwarekms.patch
deleted file mode 100644 (file)
index 3b03c98..0000000
+++ /dev/null
@@ -1,53 +0,0 @@
-From c71f09dafd82a37a488029f33552a45a99d0a9a6 Mon Sep 17 00:00:00 2001
-From: Eric Anholt <eric@anholt.net>
-Date: Tue, 2 Apr 2019 13:29:00 -0700
-Subject: [PATCH] drm/vc4: Fix vblank timestamping for firmwarekms.
-
-The core doesn't expect a false return from the scanoutpos function in
-normal usage, so we were doing the precise vblank timestamping path
-and thus "immediate" vblank disables (even though firmwarekms can't
-actually disable vblanks interrupts, sigh), and the kernel would get
-confused when getting timestamp info when also turning vblanks back
-on.
-
-Signed-off-by: Eric Anholt <eric@anholt.net>
----
- drivers/gpu/drm/vc4/vc4_crtc.c         | 3 ---
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 7 +++++++
- 2 files changed, 7 insertions(+), 3 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -97,9 +97,6 @@ bool vc4_crtc_get_scanoutpos(struct drm_
-       int vblank_lines;
-       bool ret = false;
--      if (vc4->firmware_kms)
--              return 0;
--
-       /* preempt_disable_rt() should go right here in PREEMPT_RT patchset. */
-       /* Get optional system timestamp before query. */
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -20,6 +20,7 @@
- #include "drm/drm_crtc_helper.h"
- #include "drm/drm_fourcc.h"
- #include "drm/drm_probe_helper.h"
-+#include "drm/drm_drv.h"
- #include "linux/clk.h"
- #include "linux/debugfs.h"
- #include "drm/drm_fb_cma_helper.h"
-@@ -673,6 +674,12 @@ static int vc4_fkms_bind(struct device *
-       vc4->firmware_kms = true;
-+      /* firmware kms doesn't have precise a scanoutpos implementation, so
-+       * we can't do the precise vblank timestamp mode.
-+       */
-+      drm->driver->get_scanout_position = NULL;
-+      drm->driver->get_vblank_timestamp = NULL;
-+
-       vc4_crtc = devm_kzalloc(dev, sizeof(*vc4_crtc), GFP_KERNEL);
-       if (!vc4_crtc)
-               return -ENOMEM;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0231-drm-vc4-Increase-max-screen-size-to-4096x4096.patch b/target/linux/bcm27xx/patches-5.4/950-0231-drm-vc4-Increase-max-screen-size-to-4096x4096.patch
new file mode 100644 (file)
index 0000000..92d3d35
--- /dev/null
@@ -0,0 +1,26 @@
+From a954d2d91eff32d1ab8baae12b8ac7dc856711cb Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 3 Apr 2019 15:20:05 +0100
+Subject: [PATCH] drm: vc4: Increase max screen size to 4096x4096.
+
+We now should support 4k screens, therefore this limit needs to
+be increased.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_kms.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_kms.c
+@@ -536,8 +536,8 @@ int vc4_kms_load(struct drm_device *dev)
+               return ret;
+       }
+-      dev->mode_config.max_width = 2048;
+-      dev->mode_config.max_height = 2048;
++      dev->mode_config.max_width = 4096;
++      dev->mode_config.max_height = 4096;
+       dev->mode_config.funcs = &vc4_mode_funcs;
+       dev->mode_config.preferred_depth = 24;
+       dev->mode_config.async_page_flip = true;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0232-drm-vc4-Add-support-for-multiple-displays-to-fkms.patch b/target/linux/bcm27xx/patches-5.4/950-0232-drm-vc4-Add-support-for-multiple-displays-to-fkms.patch
new file mode 100644 (file)
index 0000000..cbba43b
--- /dev/null
@@ -0,0 +1,282 @@
+From b7a52df8162e1eb55a8403e9e9af79c581206335 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 3 Apr 2019 17:15:45 +0100
+Subject: [PATCH] drm: vc4: Add support for multiple displays to fkms
+
+There is a slightly nasty hack in that all crtcs share the
+same SMI interrupt from the firmware. This seems to currently
+work well enough, but ought to be fixed at a later date.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 162 +++++++++++++++++--------
+ 1 file changed, 113 insertions(+), 49 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -31,6 +31,8 @@
+ #include "vc_image_types.h"
+ #include <soc/bcm2835/raspberrypi-firmware.h>
++#define PLANES_PER_CRTC               3
++
+ struct set_plane {
+       u8 display;
+       u8 plane_id;
+@@ -177,6 +179,7 @@ struct vc4_crtc {
+       struct drm_pending_vblank_event *event;
+       u32 overscan[4];
+       bool vblank_enabled;
++      u32 display_number;
+ };
+ static inline struct vc4_crtc *to_vc4_crtc(struct drm_crtc *crtc)
+@@ -481,6 +484,7 @@ static const struct drm_plane_helper_fun
+ static struct drm_plane *vc4_fkms_plane_init(struct drm_device *dev,
+                                            enum drm_plane_type type,
++                                           u8 display_num,
+                                            u8 plane_id)
+ {
+       struct drm_plane *plane = NULL;
+@@ -544,7 +548,7 @@ static struct drm_plane *vc4_fkms_plane_
+       vc4_plane->mb.tag.tag = RPI_FIRMWARE_SET_PLANE;
+       vc4_plane->mb.tag.buf_size = sizeof(struct set_plane);
+       vc4_plane->mb.tag.req_resp_size = 0;
+-      vc4_plane->mb.plane.display = 0;
++      vc4_plane->mb.plane.display = display_num;
+       vc4_plane->mb.plane.plane_id = plane_id;
+       vc4_plane->mb.plane.layer = default_zpos ? default_zpos : -127;
+@@ -631,16 +635,20 @@ static void vc4_crtc_handle_page_flip(st
+ static irqreturn_t vc4_crtc_irq_handler(int irq, void *data)
+ {
+-      struct vc4_crtc *vc4_crtc = data;
+-      u32 stat = readl(vc4_crtc->regs + SMICS);
++      struct vc4_crtc **crtc_list = data;
++      int i;
++      u32 stat = readl(crtc_list[0]->regs + SMICS);
+       irqreturn_t ret = IRQ_NONE;
+       if (stat & SMICS_INTERRUPTS) {
+-              writel(0, vc4_crtc->regs + SMICS);
+-              if (vc4_crtc->vblank_enabled)
+-                      drm_crtc_handle_vblank(&vc4_crtc->base);
+-              vc4_crtc_handle_page_flip(vc4_crtc);
+-              ret = IRQ_HANDLED;
++              writel(0, crtc_list[0]->regs + SMICS);
++
++              for (i = 0; crtc_list[i]; i++) {
++                      if (crtc_list[i]->vblank_enabled)
++                              drm_crtc_handle_vblank(&crtc_list[i]->base);
++                      vc4_crtc_handle_page_flip(crtc_list[i]);
++                      ret = IRQ_HANDLED;
++              }
+       }
+       return ret;
+@@ -837,66 +845,55 @@ static const struct drm_encoder_helper_f
+       .disable = vc4_fkms_encoder_disable,
+ };
+-static int vc4_fkms_bind(struct device *dev, struct device *master, void *data)
++static int vc4_fkms_create_screen(struct device *dev, struct drm_device *drm,
++                                int display_idx, int display_ref,
++                                struct vc4_crtc **ret_crtc)
+ {
+-      struct platform_device *pdev = to_platform_device(dev);
+-      struct drm_device *drm = dev_get_drvdata(master);
+       struct vc4_dev *vc4 = to_vc4_dev(drm);
+       struct vc4_crtc *vc4_crtc;
+       struct vc4_fkms_encoder *vc4_encoder;
+       struct drm_crtc *crtc;
+       struct drm_plane *primary_plane, *overlay_plane, *cursor_plane;
+       struct drm_plane *destroy_plane, *temp;
+-      struct device_node *firmware_node;
+       u32 blank = 1;
+       int ret;
+-      vc4->firmware_kms = true;
+-
+-      /* firmware kms doesn't have precise a scanoutpos implementation, so
+-       * we can't do the precise vblank timestamp mode.
+-       */
+-      drm->driver->get_scanout_position = NULL;
+-      drm->driver->get_vblank_timestamp = NULL;
+-
+       vc4_crtc = devm_kzalloc(dev, sizeof(*vc4_crtc), GFP_KERNEL);
+       if (!vc4_crtc)
+               return -ENOMEM;
+       crtc = &vc4_crtc->base;
+-      firmware_node = of_parse_phandle(dev->of_node, "brcm,firmware", 0);
+-      vc4->firmware = rpi_firmware_get(firmware_node);
+-      if (!vc4->firmware) {
+-              DRM_DEBUG("Failed to get Raspberry Pi firmware reference.\n");
+-              return -EPROBE_DEFER;
+-      }
+-      of_node_put(firmware_node);
+-
+-      /* Map the SMI interrupt reg */
+-      vc4_crtc->regs = vc4_ioremap_regs(pdev, 0);
+-      if (IS_ERR(vc4_crtc->regs))
+-              return PTR_ERR(vc4_crtc->regs);
++      vc4_crtc->display_number = display_ref;
+       /* Blank the firmware provided framebuffer */
+       rpi_firmware_property(vc4->firmware,
+                             RPI_FIRMWARE_FRAMEBUFFER_BLANK,
+                             &blank, sizeof(blank));
+-      primary_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_PRIMARY, 0);
++      primary_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_PRIMARY,
++                                          display_ref,
++                                          0 + (display_idx * PLANES_PER_CRTC)
++                                         );
+       if (IS_ERR(primary_plane)) {
+               dev_err(dev, "failed to construct primary plane\n");
+               ret = PTR_ERR(primary_plane);
+               goto err;
+       }
+-      overlay_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_OVERLAY, 1);
++      overlay_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_OVERLAY,
++                                          display_ref,
++                                          1 + (display_idx * PLANES_PER_CRTC)
++                                         );
+       if (IS_ERR(overlay_plane)) {
+               dev_err(dev, "failed to construct overlay plane\n");
+               ret = PTR_ERR(overlay_plane);
+               goto err;
+       }
+-      cursor_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_CURSOR, 2);
++      cursor_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_CURSOR,
++                                         display_ref,
++                                         2 + (display_idx * PLANES_PER_CRTC)
++                                        );
+       if (IS_ERR(cursor_plane)) {
+               dev_err(dev, "failed to construct cursor plane\n");
+               ret = PTR_ERR(cursor_plane);
+@@ -923,13 +920,6 @@ static int vc4_fkms_bind(struct device *
+               goto err_destroy_encoder;
+       }
+-      writel(0, vc4_crtc->regs + SMICS);
+-      ret = devm_request_irq(dev, platform_get_irq(pdev, 0),
+-                             vc4_crtc_irq_handler, 0, "vc4 firmware kms",
+-                             vc4_crtc);
+-      if (ret)
+-              goto err_destroy_connector;
+-
+       ret = rpi_firmware_property(vc4->firmware,
+                                   RPI_FIRMWARE_FRAMEBUFFER_GET_OVERSCAN,
+                                   &vc4_crtc->overscan,
+@@ -939,7 +929,7 @@ static int vc4_fkms_bind(struct device *
+               memset(&vc4_crtc->overscan, 0, sizeof(vc4_crtc->overscan));
+       }
+-      platform_set_drvdata(pdev, vc4_crtc);
++      *ret_crtc = vc4_crtc;
+       return 0;
+@@ -956,17 +946,91 @@ err:
+       return ret;
+ }
++static int vc4_fkms_bind(struct device *dev, struct device *master, void *data)
++{
++      struct platform_device *pdev = to_platform_device(dev);
++      struct drm_device *drm = dev_get_drvdata(master);
++      struct vc4_dev *vc4 = to_vc4_dev(drm);
++      struct device_node *firmware_node;
++      struct vc4_crtc **crtc_list;
++      u32 num_displays, display_num;
++      int ret;
++      const u32 display_num_lookup[] = {2, 7, 1};
++
++      vc4->firmware_kms = true;
++
++      /* firmware kms doesn't have precise a scanoutpos implementation, so
++       * we can't do the precise vblank timestamp mode.
++       */
++      drm->driver->get_scanout_position = NULL;
++      drm->driver->get_vblank_timestamp = NULL;
++
++      firmware_node = of_parse_phandle(dev->of_node, "brcm,firmware", 0);
++      vc4->firmware = rpi_firmware_get(firmware_node);
++      if (!vc4->firmware) {
++              DRM_DEBUG("Failed to get Raspberry Pi firmware reference.\n");
++              return -EPROBE_DEFER;
++      }
++      of_node_put(firmware_node);
++
++      ret = rpi_firmware_property(vc4->firmware,
++                                  RPI_FIRMWARE_FRAMEBUFFER_GET_NUM_DISPLAYS,
++                                  &num_displays, sizeof(u32));
++
++      /* If we fail to get the number of displays, or it returns 0, then
++       * assume old firmware that doesn't have the mailbox call, so just
++       * set one display
++       */
++      if (ret || num_displays == 0) {
++              num_displays = 1;
++              DRM_WARN("Unable to determine number of displays's. Assuming 1\n");
++              ret = 0;
++      }
++
++      /* Allocate a list, with space for a NULL on the end */
++      crtc_list = devm_kzalloc(dev, sizeof(crtc_list) * (num_displays + 1),
++                               GFP_KERNEL);
++      if (!crtc_list)
++              return -ENOMEM;
++
++      for (display_num = 0; display_num < num_displays; display_num++) {
++              ret = vc4_fkms_create_screen(dev, drm, display_num,
++                                           display_num_lookup[display_num],
++                                           &crtc_list[display_num]);
++              if (ret)
++                      DRM_ERROR("Oh dear, failed to create display %u\n",
++                                display_num);
++      }
++
++      /* Map the SMI interrupt reg */
++      crtc_list[0]->regs = vc4_ioremap_regs(pdev, 0);
++      if (IS_ERR(crtc_list[0]->regs))
++              DRM_ERROR("Oh dear, failed to map registers\n");
++
++      writel(0, crtc_list[0]->regs + SMICS);
++      ret = devm_request_irq(dev, platform_get_irq(pdev, 0),
++                             vc4_crtc_irq_handler, 0, "vc4 firmware kms",
++                             crtc_list);
++      if (ret)
++              DRM_ERROR("Oh dear, failed to register IRQ\n");
++
++      platform_set_drvdata(pdev, crtc_list);
++
++      return 0;
++}
++
+ static void vc4_fkms_unbind(struct device *dev, struct device *master,
+                           void *data)
+ {
+-      struct drm_device *drm = dev_get_drvdata(master);
+       struct platform_device *pdev = to_platform_device(dev);
+-      struct vc4_crtc *vc4_crtc = dev_get_drvdata(dev);
++      struct vc4_crtc **crtc_list = dev_get_drvdata(dev);
++      int i;
+-      vc4_fkms_connector_destroy(vc4_crtc->connector);
+-      vc4_fkms_encoder_destroy(vc4_crtc->encoder);
+-      drm_atomic_helper_shutdown(drm);
+-      drm_crtc_cleanup(&vc4_crtc->base);
++      for (i = 0; crtc_list[i]; i++) {
++              vc4_fkms_connector_destroy(crtc_list[i]->connector);
++              vc4_fkms_encoder_destroy(crtc_list[i]->encoder);
++              drm_crtc_cleanup(&crtc_list[i]->base);
++      }
+       platform_set_drvdata(pdev, NULL);
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0232-gpu-vc4-fkms-Switch-to-the-newer-mailbox-frame-buffe.patch b/target/linux/bcm27xx/patches-5.4/950-0232-gpu-vc4-fkms-Switch-to-the-newer-mailbox-frame-buffe.patch
deleted file mode 100644 (file)
index fc84f53..0000000
+++ /dev/null
@@ -1,179 +0,0 @@
-From b721bcc62759ae7a2d9730d1121974702be96d7c Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 26 Mar 2019 14:43:06 +0000
-Subject: [PATCH] gpu: vc4-fkms: Switch to the newer mailbox frame
- buffer API.
-
-The old mailbox FB API was ideally deprecated but still used by
-the FKMS driver.
-Update to the newer API.
-
-NB This needs current firmware that accepts ARM allocated buffers
-through the newer API.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 109 +++++++++++++------------
- 1 file changed, 57 insertions(+), 52 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -30,6 +30,25 @@
- #include "vc4_regs.h"
- #include <soc/bcm2835/raspberrypi-firmware.h>
-+struct fb_alloc_tags {
-+      struct rpi_firmware_property_tag_header tag1;
-+      u32 xres, yres;
-+      struct rpi_firmware_property_tag_header tag2;
-+      u32 xres_virtual, yres_virtual;
-+      struct rpi_firmware_property_tag_header tag3;
-+      u32 bpp;
-+      struct rpi_firmware_property_tag_header tag4;
-+      u32 xoffset, yoffset;
-+      struct rpi_firmware_property_tag_header tag5;
-+      u32 base, screen_size;
-+      struct rpi_firmware_property_tag_header tag6;
-+      u32 pitch;
-+      struct rpi_firmware_property_tag_header tag7;
-+      u32 alpha_mode;
-+      struct rpi_firmware_property_tag_header tag8;
-+      u32 layer;
-+};
-+
- /* The firmware delivers a vblank interrupt to us through the SMI
-  * hardware, which has only this one register.
-  */
-@@ -123,45 +142,39 @@ static void vc4_primary_plane_atomic_upd
-                                           struct drm_plane_state *old_state)
- {
-       struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
--      struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
-       struct drm_plane_state *state = plane->state;
-       struct drm_framebuffer *fb = state->fb;
-       struct drm_gem_cma_object *bo = drm_fb_cma_get_gem_obj(fb, 0);
--      volatile struct fbinfo_s *fbinfo = vc4_plane->fbinfo;
-+      u32 format = fb->format->format;
-+      struct fb_alloc_tags fbinfo = {
-+              .tag1 = { RPI_FIRMWARE_FRAMEBUFFER_SET_PHYSICAL_WIDTH_HEIGHT,
-+                        8, 0, },
-+                      .xres = state->crtc_w,
-+                      .yres = state->crtc_h,
-+              .tag2 = { RPI_FIRMWARE_FRAMEBUFFER_SET_VIRTUAL_WIDTH_HEIGHT,
-+                        8, 0, },
-+                      .xres_virtual = state->crtc_w,
-+                      .yres_virtual = state->crtc_h,
-+              .tag3 = { RPI_FIRMWARE_FRAMEBUFFER_SET_DEPTH, 4, 0 },
-+                      .bpp = 32,
-+              .tag4 = { RPI_FIRMWARE_FRAMEBUFFER_SET_VIRTUAL_OFFSET, 8, 0 },
-+                      .xoffset = 0,
-+                      .yoffset = 0,
-+              .tag5 = { RPI_FIRMWARE_FRAMEBUFFER_ALLOCATE, 8, 0 },
-+                      .base = bo->paddr + fb->offsets[0],
-+                      .screen_size = state->crtc_w * state->crtc_h * 4,
-+              .tag6 = { RPI_FIRMWARE_FRAMEBUFFER_SET_PITCH, 4, 0 },
-+                      .pitch = fb->pitches[0],
-+              .tag7 = { RPI_FIRMWARE_FRAMEBUFFER_SET_ALPHA_MODE, 4, 0 },
-+                      .alpha_mode = format == DRM_FORMAT_ARGB8888 ? 0 : 2,
-+              .tag8 = { RPI_FIRMWARE_FRAMEBUFFER_SET_LAYER, 4, 0 },
-+                      .layer = -127,
-+      };
-       u32 bpp = 32;
-       int ret;
--      fbinfo->xres = state->crtc_w;
--      fbinfo->yres = state->crtc_h;
--      fbinfo->xres_virtual = state->crtc_w;
--      fbinfo->yres_virtual = state->crtc_h;
--      fbinfo->bpp = bpp;
--      fbinfo->xoffset = state->crtc_x;
--      fbinfo->yoffset = state->crtc_y;
--      fbinfo->base = bo->paddr + fb->offsets[0];
--      fbinfo->pitch = fb->pitches[0];
--
-       if (fb->modifier == DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED)
--              fbinfo->bpp |= BIT(31);
--
--      /* A bug in the firmware makes it so that if the fb->base is
--       * set to nonzero, the configured pitch gets overwritten with
--       * the previous pitch.  So, to get the configured pitch
--       * recomputed, we have to make it allocate itself a new buffer
--       * in VC memory, first.
--       */
--      if (vc4_plane->pitch != fb->pitches[0]) {
--              u32 saved_base = fbinfo->base;
--              fbinfo->base = 0;
--
--              ret = rpi_firmware_transaction(vc4->firmware,
--                                             RPI_FIRMWARE_CHAN_FB,
--                                             vc4_plane->fbinfo_bus_addr);
--              fbinfo->base = saved_base;
--
--              vc4_plane->pitch = fbinfo->pitch;
--              WARN_ON_ONCE(vc4_plane->pitch != fb->pitches[0]);
--      }
-+              fbinfo.bpp |= BIT(31);
-       DRM_DEBUG_ATOMIC("[PLANE:%d:%s] primary update %dx%d@%d +%d,%d 0x%pad/%d\n",
-                        plane->base.id, plane->name,
-@@ -170,14 +183,13 @@ static void vc4_primary_plane_atomic_upd
-                        bpp,
-                        state->crtc_x,
-                        state->crtc_y,
--                       &fbinfo->base,
-+                       &fbinfo.base,
-                        fb->pitches[0]);
--      ret = rpi_firmware_transaction(vc4->firmware,
--                                     RPI_FIRMWARE_CHAN_FB,
--                                     vc4_plane->fbinfo_bus_addr);
--      WARN_ON_ONCE(fbinfo->pitch != fb->pitches[0]);
--      WARN_ON_ONCE(fbinfo->base != bo->paddr + fb->offsets[0]);
-+      ret = rpi_firmware_property_list(vc4->firmware, &fbinfo,
-+                                       sizeof(fbinfo));
-+      WARN_ON_ONCE(fbinfo.pitch != fb->pitches[0]);
-+      WARN_ON_ONCE(fbinfo.base != bo->paddr + fb->offsets[0]);
-       /* If the CRTC is on (or going to be on) and we're enabled,
-        * then unblank.  Otherwise, stay blank until CRTC enable.
-@@ -333,10 +345,10 @@ static const struct drm_plane_helper_fun
- static struct drm_plane *vc4_fkms_plane_init(struct drm_device *dev,
-                                            enum drm_plane_type type)
- {
-+      /* Primary and cursor planes only */
-       struct drm_plane *plane = NULL;
-       struct vc4_fkms_plane *vc4_plane;
--      u32 xrgb8888 = DRM_FORMAT_XRGB8888;
--      u32 argb8888 = DRM_FORMAT_ARGB8888;
-+      u32 formats[] = {DRM_FORMAT_ARGB8888, DRM_FORMAT_XRGB8888};
-       int ret = 0;
-       bool primary = (type == DRM_PLANE_TYPE_PRIMARY);
-       static const uint64_t modifiers[] = {
-@@ -358,22 +370,15 @@ static struct drm_plane *vc4_fkms_plane_
-       plane = &vc4_plane->base;
-       ret = drm_universal_plane_init(dev, plane, 0xff,
-                                      &vc4_plane_funcs,
--                                     primary ? &xrgb8888 : &argb8888, 1,
--                                     modifiers,
-+                                     formats, primary ? 2 : 1, modifiers,
-                                      type, primary ? "primary" : "cursor");
--      if (type == DRM_PLANE_TYPE_PRIMARY) {
--              vc4_plane->fbinfo =
--                      dma_alloc_coherent(dev->dev,
--                                         sizeof(*vc4_plane->fbinfo),
--                                         &vc4_plane->fbinfo_bus_addr,
--                                         GFP_KERNEL);
--              memset(vc4_plane->fbinfo, 0, sizeof(*vc4_plane->fbinfo));
--
-+      if (type == DRM_PLANE_TYPE_PRIMARY)
-               drm_plane_helper_add(plane, &vc4_primary_plane_helper_funcs);
--      } else {
-+      else
-               drm_plane_helper_add(plane, &vc4_cursor_plane_helper_funcs);
--      }
-+
-+      drm_plane_create_alpha_property(plane);
-       return plane;
- fail:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0233-drm-vc4-Add-an-overlay-plane-to-vc4-firmware-kms.patch b/target/linux/bcm27xx/patches-5.4/950-0233-drm-vc4-Add-an-overlay-plane-to-vc4-firmware-kms.patch
deleted file mode 100644 (file)
index 6878a0c..0000000
+++ /dev/null
@@ -1,853 +0,0 @@
-From 75e1dce99c260cb1365edd9af68cb5c07487831c Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 27 Mar 2019 17:45:01 +0000
-Subject: [PATCH] drm: vc4: Add an overlay plane to vc4-firmware-kms
-
-This uses a new API that is exposed via the mailbox service
-to stick an element straight on the screen using DispmanX.
-
-The primary and cursor planes have also been switched to using
-the new plane API, and it supports layering based on the DRM
-zpos parameter.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c     | 518 ++++++++++++++-------
- drivers/gpu/drm/vc4/vc4_kms.c              |   1 +
- drivers/gpu/drm/vc4/vc_image_types.h       | 143 ++++++
- include/soc/bcm2835/raspberrypi-firmware.h |   2 +
- 4 files changed, 495 insertions(+), 169 deletions(-)
- create mode 100644 drivers/gpu/drm/vc4/vc_image_types.h
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -28,8 +28,46 @@
- #include "linux/of_device.h"
- #include "vc4_drv.h"
- #include "vc4_regs.h"
-+#include "vc_image_types.h"
- #include <soc/bcm2835/raspberrypi-firmware.h>
-+struct set_plane {
-+      u8 display;
-+      u8 plane_id;
-+      u8 vc_image_type;
-+      s8 layer;
-+
-+      u16 width;
-+      u16 height;
-+
-+      u16 pitch;
-+      u16 vpitch;
-+
-+      u32 src_x;      /* 16p16 */
-+      u32 src_y;      /* 16p16 */
-+
-+      u32 src_w;      /* 16p16 */
-+      u32 src_h;      /* 16p16 */
-+
-+      s16 dst_x;
-+      s16 dst_y;
-+
-+      u16 dst_w;
-+      u16 dst_h;
-+
-+      u8 alpha;
-+      u8 num_planes;
-+      u8 is_vu;
-+      u8 padding;
-+
-+      u32 planes[4];  /* DMA address of each plane */
-+};
-+
-+struct mailbox_set_plane {
-+      struct rpi_firmware_property_tag_header tag;
-+      struct set_plane plane;
-+};
-+
- struct fb_alloc_tags {
-       struct rpi_firmware_property_tag_header tag1;
-       u32 xres, yres;
-@@ -49,6 +87,79 @@ struct fb_alloc_tags {
-       u32 layer;
- };
-+static const struct vc_image_format {
-+      u32 drm;        /* DRM_FORMAT_* */
-+      u32 vc_image;   /* VC_IMAGE_* */
-+      u32 is_vu;
-+} vc_image_formats[] = {
-+      {
-+              .drm = DRM_FORMAT_XRGB8888,
-+              .vc_image = VC_IMAGE_XRGB8888,
-+      },
-+      {
-+              .drm = DRM_FORMAT_ARGB8888,
-+              .vc_image = VC_IMAGE_ARGB8888,
-+      },
-+/*
-+ *    FIXME: Need to resolve which DRM format goes to which vc_image format
-+ *    for the remaining RGBA and RGBX formats.
-+ *    {
-+ *            .drm = DRM_FORMAT_ABGR8888,
-+ *            .vc_image = VC_IMAGE_RGBA8888,
-+ *    },
-+ *    {
-+ *            .drm = DRM_FORMAT_XBGR8888,
-+ *            .vc_image = VC_IMAGE_RGBA8888,
-+ *    },
-+ */
-+      {
-+              .drm = DRM_FORMAT_RGB565,
-+              .vc_image = VC_IMAGE_RGB565,
-+      },
-+      {
-+              .drm = DRM_FORMAT_RGB888,
-+              .vc_image = VC_IMAGE_BGR888,
-+      },
-+      {
-+              .drm = DRM_FORMAT_BGR888,
-+              .vc_image = VC_IMAGE_RGB888,
-+      },
-+      {
-+              .drm = DRM_FORMAT_YUV422,
-+              .vc_image = VC_IMAGE_YUV422PLANAR,
-+      },
-+      {
-+              .drm = DRM_FORMAT_YUV420,
-+              .vc_image = VC_IMAGE_YUV420,
-+      },
-+      {
-+              .drm = DRM_FORMAT_YVU420,
-+              .vc_image = VC_IMAGE_YUV420,
-+              .is_vu = 1,
-+      },
-+      {
-+              .drm = DRM_FORMAT_NV12,
-+              .vc_image = VC_IMAGE_YUV420SP,
-+      },
-+      {
-+              .drm = DRM_FORMAT_NV21,
-+              .vc_image = VC_IMAGE_YUV420SP,
-+              .is_vu = 1,
-+      },
-+};
-+
-+static const struct vc_image_format *vc4_get_vc_image_fmt(u32 drm_format)
-+{
-+      unsigned int i;
-+
-+      for (i = 0; i < ARRAY_SIZE(vc_image_formats); i++) {
-+              if (vc_image_formats[i].drm == drm_format)
-+                      return &vc_image_formats[i];
-+      }
-+
-+      return NULL;
-+}
-+
- /* The firmware delivers a vblank interrupt to us through the SMI
-  * hardware, which has only this one register.
-  */
-@@ -115,6 +226,7 @@ struct vc4_fkms_plane {
-       struct fbinfo_s *fbinfo;
-       dma_addr_t fbinfo_bus_addr;
-       u32 pitch;
-+      struct mailbox_set_plane mb;
- };
- static inline struct vc4_fkms_plane *to_vc4_fkms_plane(struct drm_plane *plane)
-@@ -122,165 +234,183 @@ static inline struct vc4_fkms_plane *to_
-       return (struct vc4_fkms_plane *)plane;
- }
--/* Turns the display on/off. */
--static int vc4_plane_set_primary_blank(struct drm_plane *plane, bool blank)
-+static int vc4_plane_set_blank(struct drm_plane *plane, bool blank)
- {
-       struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
-+      struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
-+      struct mailbox_set_plane blank_mb = {
-+              .tag = { RPI_FIRMWARE_SET_PLANE, sizeof(struct set_plane), 0 },
-+              .plane = {
-+                      .display = vc4_plane->mb.plane.display,
-+                      .plane_id = vc4_plane->mb.plane.plane_id,
-+              }
-+      };
-+      int ret;
--      u32 packet = blank;
--
--      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] primary plane %s",
-+      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] overlay plane %s",
-                        plane->base.id, plane->name,
-                        blank ? "blank" : "unblank");
--      return rpi_firmware_property(vc4->firmware,
--                                   RPI_FIRMWARE_FRAMEBUFFER_BLANK,
--                                   &packet, sizeof(packet));
-+      if (blank)
-+              ret = rpi_firmware_property_list(vc4->firmware, &blank_mb,
-+                                               sizeof(blank_mb));
-+      else
-+              ret = rpi_firmware_property_list(vc4->firmware, &vc4_plane->mb,
-+                                               sizeof(vc4_plane->mb));
-+
-+      WARN_ONCE(ret, "%s: firmware call failed. Please update your firmware",
-+                __func__);
-+      return ret;
- }
--static void vc4_primary_plane_atomic_update(struct drm_plane *plane,
--                                          struct drm_plane_state *old_state)
-+static void vc4_plane_atomic_update(struct drm_plane *plane,
-+                                  struct drm_plane_state *old_state)
- {
--      struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
-       struct drm_plane_state *state = plane->state;
-       struct drm_framebuffer *fb = state->fb;
-       struct drm_gem_cma_object *bo = drm_fb_cma_get_gem_obj(fb, 0);
--      u32 format = fb->format->format;
--      struct fb_alloc_tags fbinfo = {
--              .tag1 = { RPI_FIRMWARE_FRAMEBUFFER_SET_PHYSICAL_WIDTH_HEIGHT,
--                        8, 0, },
--                      .xres = state->crtc_w,
--                      .yres = state->crtc_h,
--              .tag2 = { RPI_FIRMWARE_FRAMEBUFFER_SET_VIRTUAL_WIDTH_HEIGHT,
--                        8, 0, },
--                      .xres_virtual = state->crtc_w,
--                      .yres_virtual = state->crtc_h,
--              .tag3 = { RPI_FIRMWARE_FRAMEBUFFER_SET_DEPTH, 4, 0 },
--                      .bpp = 32,
--              .tag4 = { RPI_FIRMWARE_FRAMEBUFFER_SET_VIRTUAL_OFFSET, 8, 0 },
--                      .xoffset = 0,
--                      .yoffset = 0,
--              .tag5 = { RPI_FIRMWARE_FRAMEBUFFER_ALLOCATE, 8, 0 },
--                      .base = bo->paddr + fb->offsets[0],
--                      .screen_size = state->crtc_w * state->crtc_h * 4,
--              .tag6 = { RPI_FIRMWARE_FRAMEBUFFER_SET_PITCH, 4, 0 },
--                      .pitch = fb->pitches[0],
--              .tag7 = { RPI_FIRMWARE_FRAMEBUFFER_SET_ALPHA_MODE, 4, 0 },
--                      .alpha_mode = format == DRM_FORMAT_ARGB8888 ? 0 : 2,
--              .tag8 = { RPI_FIRMWARE_FRAMEBUFFER_SET_LAYER, 4, 0 },
--                      .layer = -127,
--      };
--      u32 bpp = 32;
--      int ret;
-+      const struct drm_format_info *drm_fmt = fb->format;
-+      const struct vc_image_format *vc_fmt =
-+                                      vc4_get_vc_image_fmt(drm_fmt->format);
-+      struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
-+      struct mailbox_set_plane *mb = &vc4_plane->mb;
-+      struct vc4_crtc *vc4_crtc = to_vc4_crtc(state->crtc);
-+      int num_planes = fb->format->num_planes;
-+      struct drm_display_mode *mode = &state->crtc->mode;
--      if (fb->modifier == DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED)
--              fbinfo.bpp |= BIT(31);
-+      mb->plane.vc_image_type = vc_fmt->vc_image;
-+      mb->plane.width = fb->width;
-+      mb->plane.height = fb->height;
-+      mb->plane.pitch = fb->pitches[0];
-+      mb->plane.src_w = state->src_w;
-+      mb->plane.src_h = state->src_h;
-+      mb->plane.src_x = state->src_x;
-+      mb->plane.src_y = state->src_y;
-+      mb->plane.dst_w = state->crtc_w;
-+      mb->plane.dst_h = state->crtc_h;
-+      mb->plane.dst_x = state->crtc_x;
-+      mb->plane.dst_y = state->crtc_y;
-+      mb->plane.alpha = state->alpha >> 8;
-+      mb->plane.layer = state->normalized_zpos ?
-+                                      state->normalized_zpos : -127;
-+      mb->plane.num_planes = num_planes;
-+      mb->plane.is_vu = vc_fmt->is_vu;
-+      mb->plane.planes[0] = bo->paddr + fb->offsets[0];
--      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] primary update %dx%d@%d +%d,%d 0x%pad/%d\n",
-+      /* FIXME: If the dest rect goes off screen then clip the src rect so we
-+       * don't have off-screen pixels.
-+       */
-+      if (plane->type == DRM_PLANE_TYPE_CURSOR) {
-+              /* There is no scaling on the cursor plane, therefore the calcs
-+               * to alter the source crop as the cursor goes off the screen
-+               * are simple.
-+               */
-+              if (mb->plane.dst_x + mb->plane.dst_w > mode->hdisplay) {
-+                      mb->plane.dst_w = mode->hdisplay - mb->plane.dst_x;
-+                      mb->plane.src_w = (mode->hdisplay - mb->plane.dst_x)
-+                                                                      << 16;
-+              }
-+              if (mb->plane.dst_y + mb->plane.dst_h > mode->vdisplay) {
-+                      mb->plane.dst_h = mode->vdisplay - mb->plane.dst_y;
-+                      mb->plane.src_h = (mode->vdisplay - mb->plane.dst_y)
-+                                                                      << 16;
-+              }
-+      }
-+
-+      if (num_planes > 1) {
-+              /* Assume this must be YUV */
-+              /* Makes assumptions on the stride for the chroma planes as we
-+               * can't easily plumb in non-standard pitches.
-+               */
-+              mb->plane.planes[1] = bo->paddr + fb->offsets[1];
-+              if (num_planes > 2)
-+                      mb->plane.planes[2] = bo->paddr + fb->offsets[2];
-+              else
-+                      mb->plane.planes[2] = 0;
-+
-+              /* Special case the YUV420 with U and V as line interleaved
-+               * planes as we have special handling for that case.
-+               */
-+              if (num_planes == 3 &&
-+                  (fb->offsets[2] - fb->offsets[1]) == fb->pitches[1])
-+                      mb->plane.vc_image_type = VC_IMAGE_YUV420_S;
-+      } else {
-+              mb->plane.planes[1] = 0;
-+              mb->plane.planes[2] = 0;
-+      }
-+      mb->plane.planes[3] = 0;
-+
-+      switch (fb->modifier) {
-+      case DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED:
-+              switch (mb->plane.vc_image_type) {
-+              case VC_IMAGE_RGBX32:
-+                      mb->plane.vc_image_type = VC_IMAGE_TF_RGBX32;
-+                      break;
-+              case VC_IMAGE_RGBA32:
-+                      mb->plane.vc_image_type = VC_IMAGE_TF_RGBA32;
-+                      break;
-+              case VC_IMAGE_RGB565:
-+                      mb->plane.vc_image_type = VC_IMAGE_TF_RGB565;
-+                      break;
-+              }
-+              break;
-+      case DRM_FORMAT_MOD_BROADCOM_SAND128:
-+              mb->plane.vc_image_type = VC_IMAGE_YUV_UV;
-+              mb->plane.pitch = fourcc_mod_broadcom_param(fb->modifier);
-+              break;
-+      }
-+
-+      if (vc4_crtc) {
-+              mb->plane.dst_x += vc4_crtc->overscan[0];
-+              mb->plane.dst_y += vc4_crtc->overscan[1];
-+      }
-+
-+      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] plane update %dx%d@%d +dst(%d,%d, %d,%d) +src(%d,%d, %d,%d) 0x%08x/%08x/%08x/%d, alpha %u zpos %u\n",
-                        plane->base.id, plane->name,
--                       state->crtc_w,
--                       state->crtc_h,
--                       bpp,
-+                       mb->plane.width,
-+                       mb->plane.height,
-+                       mb->plane.vc_image_type,
-                        state->crtc_x,
-                        state->crtc_y,
--                       &fbinfo.base,
--                       fb->pitches[0]);
--
--      ret = rpi_firmware_property_list(vc4->firmware, &fbinfo,
--                                       sizeof(fbinfo));
--      WARN_ON_ONCE(fbinfo.pitch != fb->pitches[0]);
--      WARN_ON_ONCE(fbinfo.base != bo->paddr + fb->offsets[0]);
--
--      /* If the CRTC is on (or going to be on) and we're enabled,
-+                       state->crtc_w,
-+                       state->crtc_h,
-+                       mb->plane.src_x,
-+                       mb->plane.src_y,
-+                       mb->plane.src_w,
-+                       mb->plane.src_h,
-+                       mb->plane.planes[0],
-+                       mb->plane.planes[1],
-+                       mb->plane.planes[2],
-+                       fb->pitches[0],
-+                       state->alpha,
-+                       state->normalized_zpos);
-+
-+      /*
-+       * Do NOT set now, as we haven't checked if the crtc is active or not.
-+       * Set from vc4_plane_set_blank instead.
-+       *
-+       * If the CRTC is on (or going to be on) and we're enabled,
-        * then unblank.  Otherwise, stay blank until CRTC enable.
--      */
-+       */
-       if (state->crtc->state->active)
--              vc4_plane_set_primary_blank(plane, false);
-+              vc4_plane_set_blank(plane, false);
- }
--static void vc4_primary_plane_atomic_disable(struct drm_plane *plane,
--                                           struct drm_plane_state *old_state)
-+static void vc4_plane_atomic_disable(struct drm_plane *plane,
-+                                   struct drm_plane_state *old_state)
- {
--      vc4_plane_set_primary_blank(plane, true);
--}
--
--static void vc4_cursor_plane_atomic_update(struct drm_plane *plane,
--                                         struct drm_plane_state *old_state)
--{
--      struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
-+      //struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
-       struct drm_plane_state *state = plane->state;
--      struct vc4_crtc *vc4_crtc = to_vc4_crtc(state->crtc);
--      struct drm_framebuffer *fb = state->fb;
--      struct drm_gem_cma_object *bo = drm_fb_cma_get_gem_obj(fb, 0);
--      dma_addr_t addr = bo->paddr + fb->offsets[0];
--      int ret;
--      u32 packet_state[] = {
--              state->crtc->state->active,
--              state->crtc_x,
--              state->crtc_y,
--              0
--      };
--      WARN_ON_ONCE(fb->pitches[0] != state->crtc_w * 4);
-+      struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
--      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] update %dx%d cursor at %d,%d (0x%pad/%d)",
-+      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] plane disable %dx%d@%d +%d,%d\n",
-                        plane->base.id, plane->name,
-                        state->crtc_w,
-                        state->crtc_h,
-+                       vc4_plane->mb.plane.vc_image_type,
-                        state->crtc_x,
--                       state->crtc_y,
--                       &addr,
--                       fb->pitches[0]);
--
--      /* add on the top/left offsets when overscan is active */
--      if (vc4_crtc) {
--              packet_state[1] += vc4_crtc->overscan[0];
--              packet_state[2] += vc4_crtc->overscan[1];
--      }
--
--      ret = rpi_firmware_property(vc4->firmware,
--                                  RPI_FIRMWARE_SET_CURSOR_STATE,
--                                  &packet_state,
--                                  sizeof(packet_state));
--      if (ret || packet_state[0] != 0)
--              DRM_ERROR("Failed to set cursor state: 0x%08x\n", packet_state[0]);
--
--      /* Note: When the cursor contents change, the modesetting
--       * driver calls drm_mode_cursor_univeral() with
--       * DRM_MODE_CURSOR_BO, which means a new fb will be allocated.
--       */
--      if (!old_state ||
--          state->crtc_w != old_state->crtc_w ||
--          state->crtc_h != old_state->crtc_h ||
--          fb != old_state->fb) {
--              u32 packet_info[] = { state->crtc_w, state->crtc_h,
--                                    0, /* unused */
--                                    addr,
--                                    0, 0, /* hotx, hoty */};
--
--              ret = rpi_firmware_property(vc4->firmware,
--                                          RPI_FIRMWARE_SET_CURSOR_INFO,
--                                          &packet_info,
--                                          sizeof(packet_info));
--              if (ret || packet_info[0] != 0)
--                      DRM_ERROR("Failed to set cursor info: 0x%08x\n", packet_info[0]);
--      }
--}
--
--static void vc4_cursor_plane_atomic_disable(struct drm_plane *plane,
--                                          struct drm_plane_state *old_state)
--{
--      struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
--      u32 packet_state[] = { false, 0, 0, 0 };
--      int ret;
--
--      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] disabling cursor", plane->base.id, plane->name);
--
--      ret = rpi_firmware_property(vc4->firmware,
--                                  RPI_FIRMWARE_SET_CURSOR_STATE,
--                                  &packet_state,
--                                  sizeof(packet_state));
--      if (ret || packet_state[0] != 0)
--              DRM_ERROR("Failed to set cursor state: 0x%08x\n", packet_state[0]);
-+                       state->crtc_y);
-+      vc4_plane_set_blank(plane, true);
- }
- static int vc4_plane_atomic_check(struct drm_plane *plane,
-@@ -302,6 +432,7 @@ static bool vc4_fkms_format_mod_supporte
-       switch (format) {
-       case DRM_FORMAT_XRGB8888:
-       case DRM_FORMAT_ARGB8888:
-+      case DRM_FORMAT_RGB565:
-               switch (modifier) {
-               case DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED:
-               case DRM_FORMAT_MOD_LINEAR:
-@@ -310,8 +441,22 @@ static bool vc4_fkms_format_mod_supporte
-               default:
-                       return false;
-               }
-+      case DRM_FORMAT_NV12:
-+      case DRM_FORMAT_NV21:
-+              switch (fourcc_mod_broadcom_mod(modifier)) {
-+              case DRM_FORMAT_MOD_LINEAR:
-+              case DRM_FORMAT_MOD_BROADCOM_SAND128:
-+                      return true;
-+              default:
-+                      return false;
-+              }
-+      case DRM_FORMAT_RGB888:
-+      case DRM_FORMAT_BGR888:
-+      case DRM_FORMAT_YUV422:
-+      case DRM_FORMAT_YUV420:
-+      case DRM_FORMAT_YVU420:
-       default:
--              return false;
-+              return (modifier == DRM_FORMAT_MOD_LINEAR);
-       }
- }
-@@ -326,31 +471,24 @@ static const struct drm_plane_funcs vc4_
-       .format_mod_supported = vc4_fkms_format_mod_supported,
- };
--static const struct drm_plane_helper_funcs vc4_primary_plane_helper_funcs = {
--      .prepare_fb = drm_gem_fb_prepare_fb,
--      .cleanup_fb = NULL,
--      .atomic_check = vc4_plane_atomic_check,
--      .atomic_update = vc4_primary_plane_atomic_update,
--      .atomic_disable = vc4_primary_plane_atomic_disable,
--};
--
--static const struct drm_plane_helper_funcs vc4_cursor_plane_helper_funcs = {
-+static const struct drm_plane_helper_funcs vc4_plane_helper_funcs = {
-       .prepare_fb = drm_gem_fb_prepare_fb,
-       .cleanup_fb = NULL,
-       .atomic_check = vc4_plane_atomic_check,
--      .atomic_update = vc4_cursor_plane_atomic_update,
--      .atomic_disable = vc4_cursor_plane_atomic_disable,
-+      .atomic_update = vc4_plane_atomic_update,
-+      .atomic_disable = vc4_plane_atomic_disable,
- };
- static struct drm_plane *vc4_fkms_plane_init(struct drm_device *dev,
--                                           enum drm_plane_type type)
-+                                           enum drm_plane_type type,
-+                                           u8 plane_id)
- {
--      /* Primary and cursor planes only */
-       struct drm_plane *plane = NULL;
-       struct vc4_fkms_plane *vc4_plane;
--      u32 formats[] = {DRM_FORMAT_ARGB8888, DRM_FORMAT_XRGB8888};
-+      u32 formats[ARRAY_SIZE(vc_image_formats)];
-+      unsigned int default_zpos = 0;
-+      u32 num_formats = 0;
-       int ret = 0;
--      bool primary = (type == DRM_PLANE_TYPE_PRIMARY);
-       static const uint64_t modifiers[] = {
-               DRM_FORMAT_MOD_LINEAR,
-               /* VC4_T_TILED should come after linear, because we
-@@ -359,6 +497,7 @@ static struct drm_plane *vc4_fkms_plane_
-               DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED,
-               DRM_FORMAT_MOD_INVALID,
-       };
-+      int i;
-       vc4_plane = devm_kzalloc(dev->dev, sizeof(*vc4_plane),
-                                GFP_KERNEL);
-@@ -367,19 +506,48 @@ static struct drm_plane *vc4_fkms_plane_
-               goto fail;
-       }
-+      for (i = 0; i < ARRAY_SIZE(vc_image_formats); i++)
-+              formats[num_formats++] = vc_image_formats[i].drm;
-+
-       plane = &vc4_plane->base;
-       ret = drm_universal_plane_init(dev, plane, 0xff,
-                                      &vc4_plane_funcs,
--                                     formats, primary ? 2 : 1, modifiers,
--                                     type, primary ? "primary" : "cursor");
-+                                     formats, num_formats, modifiers,
-+                                     type, NULL);
--      if (type == DRM_PLANE_TYPE_PRIMARY)
--              drm_plane_helper_add(plane, &vc4_primary_plane_helper_funcs);
--      else
--              drm_plane_helper_add(plane, &vc4_cursor_plane_helper_funcs);
-+      drm_plane_helper_add(plane, &vc4_plane_helper_funcs);
-       drm_plane_create_alpha_property(plane);
-+      /*
-+       * Default frame buffer setup is with FB on -127, and raspistill etc
-+       * tend to drop overlays on layer 2. Cursor plane was on layer +127.
-+       *
-+       * For F-KMS the mailbox call allows for a s8.
-+       * Remap zpos 0 to -127 for the background layer, but leave all the
-+       * other layers as requested by KMS.
-+       */
-+      switch (type) {
-+      case DRM_PLANE_TYPE_PRIMARY:
-+              default_zpos = 0;
-+              break;
-+      case DRM_PLANE_TYPE_OVERLAY:
-+              default_zpos = 1;
-+              break;
-+      case DRM_PLANE_TYPE_CURSOR:
-+              default_zpos = 2;
-+              break;
-+      }
-+      drm_plane_create_zpos_property(plane, default_zpos, 0, 127);
-+
-+      /* Prepare the static elements of the mailbox structure */
-+      vc4_plane->mb.tag.tag = RPI_FIRMWARE_SET_PLANE;
-+      vc4_plane->mb.tag.buf_size = sizeof(struct set_plane);
-+      vc4_plane->mb.tag.req_resp_size = 0;
-+      vc4_plane->mb.plane.display = 0;
-+      vc4_plane->mb.plane.plane_id = plane_id;
-+      vc4_plane->mb.plane.layer = default_zpos ? default_zpos : -127;
-+
-       return plane;
- fail:
-       if (plane)
-@@ -401,19 +569,23 @@ static void vc4_crtc_disable(struct drm_
-        * whether anything scans out at all, but the firmware doesn't
-        * give us a CRTC-level control for that.
-        */
--      vc4_cursor_plane_atomic_disable(crtc->cursor, crtc->cursor->state);
--      vc4_plane_set_primary_blank(crtc->primary, true);
-+
-+      vc4_plane_atomic_disable(crtc->cursor, crtc->cursor->state);
-+      vc4_plane_atomic_disable(crtc->primary, crtc->primary->state);
-+
-+      /* FIXME: Disable overlay planes */
- }
- static void vc4_crtc_enable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
- {
-       /* Unblank the planes (if they're supposed to be displayed). */
-+
-       if (crtc->primary->state->fb)
--              vc4_plane_set_primary_blank(crtc->primary, false);
--      if (crtc->cursor->state->fb) {
--              vc4_cursor_plane_atomic_update(crtc->cursor,
--                                             crtc->cursor->state);
--      }
-+              vc4_plane_set_blank(crtc->primary, false);
-+      if (crtc->cursor->state->fb)
-+              vc4_plane_set_blank(crtc->cursor, crtc->cursor->state);
-+
-+      /* FIXME: Enable overlay planes */
- }
- static int vc4_crtc_atomic_check(struct drm_crtc *crtc,
-@@ -673,8 +845,10 @@ static int vc4_fkms_bind(struct device *
-       struct vc4_crtc *vc4_crtc;
-       struct vc4_fkms_encoder *vc4_encoder;
-       struct drm_crtc *crtc;
--      struct drm_plane *primary_plane, *cursor_plane, *destroy_plane, *temp;
-+      struct drm_plane *primary_plane, *overlay_plane, *cursor_plane;
-+      struct drm_plane *destroy_plane, *temp;
-       struct device_node *firmware_node;
-+      u32 blank = 1;
-       int ret;
-       vc4->firmware_kms = true;
-@@ -703,20 +877,26 @@ static int vc4_fkms_bind(struct device *
-       if (IS_ERR(vc4_crtc->regs))
-               return PTR_ERR(vc4_crtc->regs);
--      /* For now, we create just the primary and the legacy cursor
--       * planes.  We should be able to stack more planes on easily,
--       * but to do that we would need to compute the bandwidth
--       * requirement of the plane configuration, and reject ones
--       * that will take too much.
--       */
--      primary_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_PRIMARY);
-+      /* Blank the firmware provided framebuffer */
-+      rpi_firmware_property(vc4->firmware,
-+                            RPI_FIRMWARE_FRAMEBUFFER_BLANK,
-+                            &blank, sizeof(blank));
-+
-+      primary_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_PRIMARY, 0);
-       if (IS_ERR(primary_plane)) {
-               dev_err(dev, "failed to construct primary plane\n");
-               ret = PTR_ERR(primary_plane);
-               goto err;
-       }
--      cursor_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_CURSOR);
-+      overlay_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_OVERLAY, 1);
-+      if (IS_ERR(overlay_plane)) {
-+              dev_err(dev, "failed to construct overlay plane\n");
-+              ret = PTR_ERR(overlay_plane);
-+              goto err;
-+      }
-+
-+      cursor_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_CURSOR, 2);
-       if (IS_ERR(cursor_plane)) {
-               dev_err(dev, "failed to construct cursor plane\n");
-               ret = PTR_ERR(cursor_plane);
---- a/drivers/gpu/drm/vc4/vc4_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_kms.c
-@@ -542,6 +542,7 @@ int vc4_kms_load(struct drm_device *dev)
-       dev->mode_config.preferred_depth = 24;
-       dev->mode_config.async_page_flip = true;
-       dev->mode_config.allow_fb_modifiers = true;
-+      dev->mode_config.normalize_zpos = true;
-       drm_modeset_lock_init(&vc4->ctm_state_lock);
---- /dev/null
-+++ b/drivers/gpu/drm/vc4/vc_image_types.h
-@@ -0,0 +1,143 @@
-+
-+/*
-+ * Copyright (c) 2012, Broadcom Europe Ltd
-+ *
-+ * Values taken from vc_image_types.h released by Broadcom at
-+ * https://github.com/raspberrypi/userland/blob/master/interface/vctypes/vc_image_types.h
-+ *
-+ * This program is free software; you can redistribute it and/or modify
-+ * it under the terms of the GNU General Public License version 2 as
-+ * published by the Free Software Foundation.
-+ */
-+
-+enum {
-+      VC_IMAGE_MIN = 0, //bounds for error checking
-+
-+      VC_IMAGE_RGB565 = 1,
-+      VC_IMAGE_1BPP,
-+      VC_IMAGE_YUV420,
-+      VC_IMAGE_48BPP,
-+      VC_IMAGE_RGB888,
-+      VC_IMAGE_8BPP,
-+      /* 4bpp palettised image */
-+      VC_IMAGE_4BPP,
-+      /* A separated format of 16 colour/light shorts followed by 16 z
-+       * values
-+       */
-+      VC_IMAGE_3D32,
-+      /* 16 colours followed by 16 z values */
-+      VC_IMAGE_3D32B,
-+      /* A separated format of 16 material/colour/light shorts followed by
-+       * 16 z values
-+       */
-+      VC_IMAGE_3D32MAT,
-+      /* 32 bit format containing 18 bits of 6.6.6 RGB, 9 bits per short */
-+      VC_IMAGE_RGB2X9,
-+      /* 32-bit format holding 18 bits of 6.6.6 RGB */
-+      VC_IMAGE_RGB666,
-+      /* 4bpp palettised image with embedded palette */
-+      VC_IMAGE_PAL4_OBSOLETE,
-+      /* 8bpp palettised image with embedded palette */
-+      VC_IMAGE_PAL8_OBSOLETE,
-+      /* RGB888 with an alpha byte after each pixel */
-+      VC_IMAGE_RGBA32,
-+      /* a line of Y (32-byte padded), a line of U (16-byte padded), and a
-+       * line of V (16-byte padded)
-+       */
-+      VC_IMAGE_YUV422,
-+      /* RGB565 with a transparent patch */
-+      VC_IMAGE_RGBA565,
-+      /* Compressed (4444) version of RGBA32 */
-+      VC_IMAGE_RGBA16,
-+      /* VCIII codec format */
-+      VC_IMAGE_YUV_UV,
-+      /* VCIII T-format RGBA8888 */
-+      VC_IMAGE_TF_RGBA32,
-+      /* VCIII T-format RGBx8888 */
-+      VC_IMAGE_TF_RGBX32,
-+      /* VCIII T-format float */
-+      VC_IMAGE_TF_FLOAT,
-+      /* VCIII T-format RGBA4444 */
-+      VC_IMAGE_TF_RGBA16,
-+      /* VCIII T-format RGB5551 */
-+      VC_IMAGE_TF_RGBA5551,
-+      /* VCIII T-format RGB565 */
-+      VC_IMAGE_TF_RGB565,
-+      /* VCIII T-format 8-bit luma and 8-bit alpha */
-+      VC_IMAGE_TF_YA88,
-+      /* VCIII T-format 8 bit generic sample */
-+      VC_IMAGE_TF_BYTE,
-+      /* VCIII T-format 8-bit palette */
-+      VC_IMAGE_TF_PAL8,
-+      /* VCIII T-format 4-bit palette */
-+      VC_IMAGE_TF_PAL4,
-+      /* VCIII T-format Ericsson Texture Compressed */
-+      VC_IMAGE_TF_ETC1,
-+      /* RGB888 with R & B swapped */
-+      VC_IMAGE_BGR888,
-+      /* RGB888 with R & B swapped, but with no pitch, i.e. no padding after
-+       * each row of pixels
-+       */
-+      VC_IMAGE_BGR888_NP,
-+      /* Bayer image, extra defines which variant is being used */
-+      VC_IMAGE_BAYER,
-+      /* General wrapper for codec images e.g. JPEG from camera */
-+      VC_IMAGE_CODEC,
-+      /* VCIII codec format */
-+      VC_IMAGE_YUV_UV32,
-+      /* VCIII T-format 8-bit luma */
-+      VC_IMAGE_TF_Y8,
-+      /* VCIII T-format 8-bit alpha */
-+      VC_IMAGE_TF_A8,
-+      /* VCIII T-format 16-bit generic sample */
-+      VC_IMAGE_TF_SHORT,
-+      /* VCIII T-format 1bpp black/white */
-+      VC_IMAGE_TF_1BPP,
-+      VC_IMAGE_OPENGL,
-+      /* VCIII-B0 HVS YUV 4:4:4 interleaved samples */
-+      VC_IMAGE_YUV444I,
-+      /* Y, U, & V planes separately (VC_IMAGE_YUV422 has them interleaved on
-+       * a per line basis)
-+       */
-+      VC_IMAGE_YUV422PLANAR,
-+      /* 32bpp with 8bit alpha at MS byte, with R, G, B (LS byte) */
-+      VC_IMAGE_ARGB8888,
-+      /* 32bpp with 8bit unused at MS byte, with R, G, B (LS byte) */
-+      VC_IMAGE_XRGB8888,
-+
-+      /* interleaved 8 bit samples of Y, U, Y, V (4 flavours) */
-+      VC_IMAGE_YUV422YUYV,
-+      VC_IMAGE_YUV422YVYU,
-+      VC_IMAGE_YUV422UYVY,
-+      VC_IMAGE_YUV422VYUY,
-+
-+      /* 32bpp like RGBA32 but with unused alpha */
-+      VC_IMAGE_RGBX32,
-+      /* 32bpp, corresponding to RGBA with unused alpha */
-+      VC_IMAGE_RGBX8888,
-+      /* 32bpp, corresponding to BGRA with unused alpha */
-+      VC_IMAGE_BGRX8888,
-+
-+      /* Y as a plane, then UV byte interleaved in plane with with same pitch,
-+       * half height
-+       */
-+      VC_IMAGE_YUV420SP,
-+
-+      /* Y, U, & V planes separately 4:4:4 */
-+      VC_IMAGE_YUV444PLANAR,
-+
-+      /* T-format 8-bit U - same as TF_Y8 buf from U plane */
-+      VC_IMAGE_TF_U8,
-+      /* T-format 8-bit U - same as TF_Y8 buf from V plane */
-+      VC_IMAGE_TF_V8,
-+
-+      /* YUV4:2:0 planar, 16bit values */
-+      VC_IMAGE_YUV420_16,
-+      /* YUV4:2:0 codec format, 16bit values */
-+      VC_IMAGE_YUV_UV_16,
-+      /* YUV4:2:0 with U,V in side-by-side format */
-+      VC_IMAGE_YUV420_S,
-+
-+      VC_IMAGE_MAX,     /* bounds for error checking */
-+      VC_IMAGE_FORCE_ENUM_16BIT = 0xffff,
-+};
---- a/include/soc/bcm2835/raspberrypi-firmware.h
-+++ b/include/soc/bcm2835/raspberrypi-firmware.h
-@@ -147,6 +147,8 @@ enum rpi_firmware_property_tag {
-       RPI_FIRMWARE_VCHIQ_INIT =                             0x00048010,
-+      RPI_FIRMWARE_SET_PLANE =                              0x00048015,
-+
-       RPI_FIRMWARE_GET_COMMAND_LINE =                       0x00050001,
-       RPI_FIRMWARE_GET_DMA_CHANNELS =                       0x00060001,
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0233-drm-vc4-Fix-build-warning.patch b/target/linux/bcm27xx/patches-5.4/950-0233-drm-vc4-Fix-build-warning.patch
new file mode 100644 (file)
index 0000000..6324628
--- /dev/null
@@ -0,0 +1,21 @@
+From a06b826c199e6de39d4e91e41e8347a5629bb54a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 5 Apr 2019 17:21:56 +0100
+Subject: [PATCH] drm: vc4: Fix build warning
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 2 --
+ 1 file changed, 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -933,8 +933,6 @@ static int vc4_fkms_create_screen(struct
+       return 0;
+-err_destroy_connector:
+-      vc4_fkms_connector_destroy(vc4_crtc->connector);
+ err_destroy_encoder:
+       vc4_fkms_encoder_destroy(vc4_crtc->encoder);
+       list_for_each_entry_safe(destroy_plane, temp,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0234-drm-vc4-Increase-max-screen-size-to-4096x4096.patch b/target/linux/bcm27xx/patches-5.4/950-0234-drm-vc4-Increase-max-screen-size-to-4096x4096.patch
deleted file mode 100644 (file)
index 92d3d35..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From a954d2d91eff32d1ab8baae12b8ac7dc856711cb Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 3 Apr 2019 15:20:05 +0100
-Subject: [PATCH] drm: vc4: Increase max screen size to 4096x4096.
-
-We now should support 4k screens, therefore this limit needs to
-be increased.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_kms.c | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_kms.c
-@@ -536,8 +536,8 @@ int vc4_kms_load(struct drm_device *dev)
-               return ret;
-       }
--      dev->mode_config.max_width = 2048;
--      dev->mode_config.max_height = 2048;
-+      dev->mode_config.max_width = 4096;
-+      dev->mode_config.max_height = 4096;
-       dev->mode_config.funcs = &vc4_mode_funcs;
-       dev->mode_config.preferred_depth = 24;
-       dev->mode_config.async_page_flip = true;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0234-drm-vc4-Select-display-to-blank-during-initialisatio.patch b/target/linux/bcm27xx/patches-5.4/950-0234-drm-vc4-Select-display-to-blank-during-initialisatio.patch
new file mode 100644 (file)
index 0000000..693292d
--- /dev/null
@@ -0,0 +1,54 @@
+From c2666d7b749ade8ed250ab115a71d420c1403b24 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 5 Apr 2019 17:23:15 +0100
+Subject: [PATCH] drm: vc4: Select display to blank during
+ initialisation
+
+Otherwise the rainbow splash screen remained in the display list
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 18 ++++++++++++++----
+ 1 file changed, 14 insertions(+), 4 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -89,6 +89,13 @@ struct fb_alloc_tags {
+       u32 layer;
+ };
++struct mailbox_blank_display {
++      struct rpi_firmware_property_tag_header tag1;
++      u32 display;
++      struct rpi_firmware_property_tag_header tag2;
++      u32 blank;
++};
++
+ static const struct vc_image_format {
+       u32 drm;        /* DRM_FORMAT_* */
+       u32 vc_image;   /* VC_IMAGE_* */
+@@ -855,7 +862,12 @@ static int vc4_fkms_create_screen(struct
+       struct drm_crtc *crtc;
+       struct drm_plane *primary_plane, *overlay_plane, *cursor_plane;
+       struct drm_plane *destroy_plane, *temp;
+-      u32 blank = 1;
++      struct mailbox_blank_display blank = {
++              .tag1 = {RPI_FIRMWARE_FRAMEBUFFER_SET_DISPLAY_NUM, 4, 0, },
++              .display = display_idx,
++              .tag2 = { RPI_FIRMWARE_FRAMEBUFFER_BLANK, 4, 0, },
++              .blank = 1,
++      };
+       int ret;
+       vc4_crtc = devm_kzalloc(dev, sizeof(*vc4_crtc), GFP_KERNEL);
+@@ -866,9 +878,7 @@ static int vc4_fkms_create_screen(struct
+       vc4_crtc->display_number = display_ref;
+       /* Blank the firmware provided framebuffer */
+-      rpi_firmware_property(vc4->firmware,
+-                            RPI_FIRMWARE_FRAMEBUFFER_BLANK,
+-                            &blank, sizeof(blank));
++      rpi_firmware_property_list(vc4->firmware, &blank, sizeof(blank));
+       primary_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_PRIMARY,
+                                           display_ref,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0235-drm-vc4-Add-support-for-multiple-displays-to-fkms.patch b/target/linux/bcm27xx/patches-5.4/950-0235-drm-vc4-Add-support-for-multiple-displays-to-fkms.patch
deleted file mode 100644 (file)
index cbba43b..0000000
+++ /dev/null
@@ -1,282 +0,0 @@
-From b7a52df8162e1eb55a8403e9e9af79c581206335 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 3 Apr 2019 17:15:45 +0100
-Subject: [PATCH] drm: vc4: Add support for multiple displays to fkms
-
-There is a slightly nasty hack in that all crtcs share the
-same SMI interrupt from the firmware. This seems to currently
-work well enough, but ought to be fixed at a later date.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 162 +++++++++++++++++--------
- 1 file changed, 113 insertions(+), 49 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -31,6 +31,8 @@
- #include "vc_image_types.h"
- #include <soc/bcm2835/raspberrypi-firmware.h>
-+#define PLANES_PER_CRTC               3
-+
- struct set_plane {
-       u8 display;
-       u8 plane_id;
-@@ -177,6 +179,7 @@ struct vc4_crtc {
-       struct drm_pending_vblank_event *event;
-       u32 overscan[4];
-       bool vblank_enabled;
-+      u32 display_number;
- };
- static inline struct vc4_crtc *to_vc4_crtc(struct drm_crtc *crtc)
-@@ -481,6 +484,7 @@ static const struct drm_plane_helper_fun
- static struct drm_plane *vc4_fkms_plane_init(struct drm_device *dev,
-                                            enum drm_plane_type type,
-+                                           u8 display_num,
-                                            u8 plane_id)
- {
-       struct drm_plane *plane = NULL;
-@@ -544,7 +548,7 @@ static struct drm_plane *vc4_fkms_plane_
-       vc4_plane->mb.tag.tag = RPI_FIRMWARE_SET_PLANE;
-       vc4_plane->mb.tag.buf_size = sizeof(struct set_plane);
-       vc4_plane->mb.tag.req_resp_size = 0;
--      vc4_plane->mb.plane.display = 0;
-+      vc4_plane->mb.plane.display = display_num;
-       vc4_plane->mb.plane.plane_id = plane_id;
-       vc4_plane->mb.plane.layer = default_zpos ? default_zpos : -127;
-@@ -631,16 +635,20 @@ static void vc4_crtc_handle_page_flip(st
- static irqreturn_t vc4_crtc_irq_handler(int irq, void *data)
- {
--      struct vc4_crtc *vc4_crtc = data;
--      u32 stat = readl(vc4_crtc->regs + SMICS);
-+      struct vc4_crtc **crtc_list = data;
-+      int i;
-+      u32 stat = readl(crtc_list[0]->regs + SMICS);
-       irqreturn_t ret = IRQ_NONE;
-       if (stat & SMICS_INTERRUPTS) {
--              writel(0, vc4_crtc->regs + SMICS);
--              if (vc4_crtc->vblank_enabled)
--                      drm_crtc_handle_vblank(&vc4_crtc->base);
--              vc4_crtc_handle_page_flip(vc4_crtc);
--              ret = IRQ_HANDLED;
-+              writel(0, crtc_list[0]->regs + SMICS);
-+
-+              for (i = 0; crtc_list[i]; i++) {
-+                      if (crtc_list[i]->vblank_enabled)
-+                              drm_crtc_handle_vblank(&crtc_list[i]->base);
-+                      vc4_crtc_handle_page_flip(crtc_list[i]);
-+                      ret = IRQ_HANDLED;
-+              }
-       }
-       return ret;
-@@ -837,66 +845,55 @@ static const struct drm_encoder_helper_f
-       .disable = vc4_fkms_encoder_disable,
- };
--static int vc4_fkms_bind(struct device *dev, struct device *master, void *data)
-+static int vc4_fkms_create_screen(struct device *dev, struct drm_device *drm,
-+                                int display_idx, int display_ref,
-+                                struct vc4_crtc **ret_crtc)
- {
--      struct platform_device *pdev = to_platform_device(dev);
--      struct drm_device *drm = dev_get_drvdata(master);
-       struct vc4_dev *vc4 = to_vc4_dev(drm);
-       struct vc4_crtc *vc4_crtc;
-       struct vc4_fkms_encoder *vc4_encoder;
-       struct drm_crtc *crtc;
-       struct drm_plane *primary_plane, *overlay_plane, *cursor_plane;
-       struct drm_plane *destroy_plane, *temp;
--      struct device_node *firmware_node;
-       u32 blank = 1;
-       int ret;
--      vc4->firmware_kms = true;
--
--      /* firmware kms doesn't have precise a scanoutpos implementation, so
--       * we can't do the precise vblank timestamp mode.
--       */
--      drm->driver->get_scanout_position = NULL;
--      drm->driver->get_vblank_timestamp = NULL;
--
-       vc4_crtc = devm_kzalloc(dev, sizeof(*vc4_crtc), GFP_KERNEL);
-       if (!vc4_crtc)
-               return -ENOMEM;
-       crtc = &vc4_crtc->base;
--      firmware_node = of_parse_phandle(dev->of_node, "brcm,firmware", 0);
--      vc4->firmware = rpi_firmware_get(firmware_node);
--      if (!vc4->firmware) {
--              DRM_DEBUG("Failed to get Raspberry Pi firmware reference.\n");
--              return -EPROBE_DEFER;
--      }
--      of_node_put(firmware_node);
--
--      /* Map the SMI interrupt reg */
--      vc4_crtc->regs = vc4_ioremap_regs(pdev, 0);
--      if (IS_ERR(vc4_crtc->regs))
--              return PTR_ERR(vc4_crtc->regs);
-+      vc4_crtc->display_number = display_ref;
-       /* Blank the firmware provided framebuffer */
-       rpi_firmware_property(vc4->firmware,
-                             RPI_FIRMWARE_FRAMEBUFFER_BLANK,
-                             &blank, sizeof(blank));
--      primary_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_PRIMARY, 0);
-+      primary_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_PRIMARY,
-+                                          display_ref,
-+                                          0 + (display_idx * PLANES_PER_CRTC)
-+                                         );
-       if (IS_ERR(primary_plane)) {
-               dev_err(dev, "failed to construct primary plane\n");
-               ret = PTR_ERR(primary_plane);
-               goto err;
-       }
--      overlay_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_OVERLAY, 1);
-+      overlay_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_OVERLAY,
-+                                          display_ref,
-+                                          1 + (display_idx * PLANES_PER_CRTC)
-+                                         );
-       if (IS_ERR(overlay_plane)) {
-               dev_err(dev, "failed to construct overlay plane\n");
-               ret = PTR_ERR(overlay_plane);
-               goto err;
-       }
--      cursor_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_CURSOR, 2);
-+      cursor_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_CURSOR,
-+                                         display_ref,
-+                                         2 + (display_idx * PLANES_PER_CRTC)
-+                                        );
-       if (IS_ERR(cursor_plane)) {
-               dev_err(dev, "failed to construct cursor plane\n");
-               ret = PTR_ERR(cursor_plane);
-@@ -923,13 +920,6 @@ static int vc4_fkms_bind(struct device *
-               goto err_destroy_encoder;
-       }
--      writel(0, vc4_crtc->regs + SMICS);
--      ret = devm_request_irq(dev, platform_get_irq(pdev, 0),
--                             vc4_crtc_irq_handler, 0, "vc4 firmware kms",
--                             vc4_crtc);
--      if (ret)
--              goto err_destroy_connector;
--
-       ret = rpi_firmware_property(vc4->firmware,
-                                   RPI_FIRMWARE_FRAMEBUFFER_GET_OVERSCAN,
-                                   &vc4_crtc->overscan,
-@@ -939,7 +929,7 @@ static int vc4_fkms_bind(struct device *
-               memset(&vc4_crtc->overscan, 0, sizeof(vc4_crtc->overscan));
-       }
--      platform_set_drvdata(pdev, vc4_crtc);
-+      *ret_crtc = vc4_crtc;
-       return 0;
-@@ -956,17 +946,91 @@ err:
-       return ret;
- }
-+static int vc4_fkms_bind(struct device *dev, struct device *master, void *data)
-+{
-+      struct platform_device *pdev = to_platform_device(dev);
-+      struct drm_device *drm = dev_get_drvdata(master);
-+      struct vc4_dev *vc4 = to_vc4_dev(drm);
-+      struct device_node *firmware_node;
-+      struct vc4_crtc **crtc_list;
-+      u32 num_displays, display_num;
-+      int ret;
-+      const u32 display_num_lookup[] = {2, 7, 1};
-+
-+      vc4->firmware_kms = true;
-+
-+      /* firmware kms doesn't have precise a scanoutpos implementation, so
-+       * we can't do the precise vblank timestamp mode.
-+       */
-+      drm->driver->get_scanout_position = NULL;
-+      drm->driver->get_vblank_timestamp = NULL;
-+
-+      firmware_node = of_parse_phandle(dev->of_node, "brcm,firmware", 0);
-+      vc4->firmware = rpi_firmware_get(firmware_node);
-+      if (!vc4->firmware) {
-+              DRM_DEBUG("Failed to get Raspberry Pi firmware reference.\n");
-+              return -EPROBE_DEFER;
-+      }
-+      of_node_put(firmware_node);
-+
-+      ret = rpi_firmware_property(vc4->firmware,
-+                                  RPI_FIRMWARE_FRAMEBUFFER_GET_NUM_DISPLAYS,
-+                                  &num_displays, sizeof(u32));
-+
-+      /* If we fail to get the number of displays, or it returns 0, then
-+       * assume old firmware that doesn't have the mailbox call, so just
-+       * set one display
-+       */
-+      if (ret || num_displays == 0) {
-+              num_displays = 1;
-+              DRM_WARN("Unable to determine number of displays's. Assuming 1\n");
-+              ret = 0;
-+      }
-+
-+      /* Allocate a list, with space for a NULL on the end */
-+      crtc_list = devm_kzalloc(dev, sizeof(crtc_list) * (num_displays + 1),
-+                               GFP_KERNEL);
-+      if (!crtc_list)
-+              return -ENOMEM;
-+
-+      for (display_num = 0; display_num < num_displays; display_num++) {
-+              ret = vc4_fkms_create_screen(dev, drm, display_num,
-+                                           display_num_lookup[display_num],
-+                                           &crtc_list[display_num]);
-+              if (ret)
-+                      DRM_ERROR("Oh dear, failed to create display %u\n",
-+                                display_num);
-+      }
-+
-+      /* Map the SMI interrupt reg */
-+      crtc_list[0]->regs = vc4_ioremap_regs(pdev, 0);
-+      if (IS_ERR(crtc_list[0]->regs))
-+              DRM_ERROR("Oh dear, failed to map registers\n");
-+
-+      writel(0, crtc_list[0]->regs + SMICS);
-+      ret = devm_request_irq(dev, platform_get_irq(pdev, 0),
-+                             vc4_crtc_irq_handler, 0, "vc4 firmware kms",
-+                             crtc_list);
-+      if (ret)
-+              DRM_ERROR("Oh dear, failed to register IRQ\n");
-+
-+      platform_set_drvdata(pdev, crtc_list);
-+
-+      return 0;
-+}
-+
- static void vc4_fkms_unbind(struct device *dev, struct device *master,
-                           void *data)
- {
--      struct drm_device *drm = dev_get_drvdata(master);
-       struct platform_device *pdev = to_platform_device(dev);
--      struct vc4_crtc *vc4_crtc = dev_get_drvdata(dev);
-+      struct vc4_crtc **crtc_list = dev_get_drvdata(dev);
-+      int i;
--      vc4_fkms_connector_destroy(vc4_crtc->connector);
--      vc4_fkms_encoder_destroy(vc4_crtc->encoder);
--      drm_atomic_helper_shutdown(drm);
--      drm_crtc_cleanup(&vc4_crtc->base);
-+      for (i = 0; crtc_list[i]; i++) {
-+              vc4_fkms_connector_destroy(crtc_list[i]->connector);
-+              vc4_fkms_encoder_destroy(crtc_list[i]->encoder);
-+              drm_crtc_cleanup(&crtc_list[i]->base);
-+      }
-       platform_set_drvdata(pdev, NULL);
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0235-drm-vc4-Remove-now-unused-structure.patch b/target/linux/bcm27xx/patches-5.4/950-0235-drm-vc4-Remove-now-unused-structure.patch
new file mode 100644 (file)
index 0000000..e6e4950
--- /dev/null
@@ -0,0 +1,41 @@
+From dc19d8552bc0b6e0261fe7d28be81fe808a659e8 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 5 Apr 2019 17:24:20 +0100
+Subject: [PATCH] drm: vc4: Remove now unused structure.
+
+Cleaning up structure that was unused after
+fbb59a2 drm: vc4: Add an overlay plane to vc4-firmware-kms
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 19 -------------------
+ 1 file changed, 19 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -70,25 +70,6 @@ struct mailbox_set_plane {
+       struct set_plane plane;
+ };
+-struct fb_alloc_tags {
+-      struct rpi_firmware_property_tag_header tag1;
+-      u32 xres, yres;
+-      struct rpi_firmware_property_tag_header tag2;
+-      u32 xres_virtual, yres_virtual;
+-      struct rpi_firmware_property_tag_header tag3;
+-      u32 bpp;
+-      struct rpi_firmware_property_tag_header tag4;
+-      u32 xoffset, yoffset;
+-      struct rpi_firmware_property_tag_header tag5;
+-      u32 base, screen_size;
+-      struct rpi_firmware_property_tag_header tag6;
+-      u32 pitch;
+-      struct rpi_firmware_property_tag_header tag7;
+-      u32 alpha_mode;
+-      struct rpi_firmware_property_tag_header tag8;
+-      u32 layer;
+-};
+-
+ struct mailbox_blank_display {
+       struct rpi_firmware_property_tag_header tag1;
+       u32 display;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0236-drm-vc4-Fix-build-warning.patch b/target/linux/bcm27xx/patches-5.4/950-0236-drm-vc4-Fix-build-warning.patch
deleted file mode 100644 (file)
index 6324628..0000000
+++ /dev/null
@@ -1,21 +0,0 @@
-From a06b826c199e6de39d4e91e41e8347a5629bb54a Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 5 Apr 2019 17:21:56 +0100
-Subject: [PATCH] drm: vc4: Fix build warning
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 2 --
- 1 file changed, 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -933,8 +933,6 @@ static int vc4_fkms_create_screen(struct
-       return 0;
--err_destroy_connector:
--      vc4_fkms_connector_destroy(vc4_crtc->connector);
- err_destroy_encoder:
-       vc4_fkms_encoder_destroy(vc4_crtc->encoder);
-       list_for_each_entry_safe(destroy_plane, temp,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0236-drm-vc4-Query-the-display-ID-for-each-display-in-FKM.patch b/target/linux/bcm27xx/patches-5.4/950-0236-drm-vc4-Query-the-display-ID-for-each-display-in-FKM.patch
new file mode 100644 (file)
index 0000000..6e463e7
--- /dev/null
@@ -0,0 +1,58 @@
+From 5357e5991f09f78e945b3adcc5db0ebfa1766dc1 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 9 Apr 2019 12:37:28 +0100
+Subject: [PATCH] drm: vc4: Query the display ID for each display in
+ FKMS
+
+Replace the hard coded list of display IDs for a mailbox call
+that returns the display ID for each display that has been
+detected.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c     | 16 +++++++++++++---
+ include/soc/bcm2835/raspberrypi-firmware.h |  1 +
+ 2 files changed, 14 insertions(+), 3 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -944,7 +944,7 @@ static int vc4_fkms_bind(struct device *
+       struct vc4_crtc **crtc_list;
+       u32 num_displays, display_num;
+       int ret;
+-      const u32 display_num_lookup[] = {2, 7, 1};
++      u32 display_id;
+       vc4->firmware_kms = true;
+@@ -983,8 +983,18 @@ static int vc4_fkms_bind(struct device *
+               return -ENOMEM;
+       for (display_num = 0; display_num < num_displays; display_num++) {
+-              ret = vc4_fkms_create_screen(dev, drm, display_num,
+-                                           display_num_lookup[display_num],
++              display_id = display_num;
++              ret = rpi_firmware_property(vc4->firmware,
++                                          RPI_FIRMWARE_FRAMEBUFFER_GET_DISPLAY_ID,
++                                          &display_id, sizeof(display_id));
++              /* FIXME: Determine the correct error handling here.
++               * Should we fail to create the one "screen" but keep the
++               * others, or fail the whole thing?
++               */
++              if (ret)
++                      DRM_ERROR("Failed to get display id %u\n", display_num);
++
++              ret = vc4_fkms_create_screen(dev, drm, display_num, display_id,
+                                            &crtc_list[display_num]);
+               if (ret)
+                       DRM_ERROR("Oh dear, failed to create display %u\n",
+--- a/include/soc/bcm2835/raspberrypi-firmware.h
++++ b/include/soc/bcm2835/raspberrypi-firmware.h
+@@ -114,6 +114,7 @@ enum rpi_firmware_property_tag {
+       RPI_FIRMWARE_FRAMEBUFFER_GET_TOUCHBUF =               0x0004000f,
+       RPI_FIRMWARE_FRAMEBUFFER_GET_GPIOVIRTBUF =            0x00040010,
+       RPI_FIRMWARE_FRAMEBUFFER_RELEASE =                    0x00048001,
++      RPI_FIRMWARE_FRAMEBUFFER_GET_DISPLAY_ID =             0x00040016,
+       RPI_FIRMWARE_FRAMEBUFFER_SET_DISPLAY_NUM =            0x00048013,
+       RPI_FIRMWARE_FRAMEBUFFER_GET_NUM_DISPLAYS =           0x00040013,
+       RPI_FIRMWARE_FRAMEBUFFER_GET_DISPLAY_SETTINGS =       0x00040014,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0237-drm-vc4-Select-display-to-blank-during-initialisatio.patch b/target/linux/bcm27xx/patches-5.4/950-0237-drm-vc4-Select-display-to-blank-during-initialisatio.patch
deleted file mode 100644 (file)
index 693292d..0000000
+++ /dev/null
@@ -1,54 +0,0 @@
-From c2666d7b749ade8ed250ab115a71d420c1403b24 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 5 Apr 2019 17:23:15 +0100
-Subject: [PATCH] drm: vc4: Select display to blank during
- initialisation
-
-Otherwise the rainbow splash screen remained in the display list
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 18 ++++++++++++++----
- 1 file changed, 14 insertions(+), 4 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -89,6 +89,13 @@ struct fb_alloc_tags {
-       u32 layer;
- };
-+struct mailbox_blank_display {
-+      struct rpi_firmware_property_tag_header tag1;
-+      u32 display;
-+      struct rpi_firmware_property_tag_header tag2;
-+      u32 blank;
-+};
-+
- static const struct vc_image_format {
-       u32 drm;        /* DRM_FORMAT_* */
-       u32 vc_image;   /* VC_IMAGE_* */
-@@ -855,7 +862,12 @@ static int vc4_fkms_create_screen(struct
-       struct drm_crtc *crtc;
-       struct drm_plane *primary_plane, *overlay_plane, *cursor_plane;
-       struct drm_plane *destroy_plane, *temp;
--      u32 blank = 1;
-+      struct mailbox_blank_display blank = {
-+              .tag1 = {RPI_FIRMWARE_FRAMEBUFFER_SET_DISPLAY_NUM, 4, 0, },
-+              .display = display_idx,
-+              .tag2 = { RPI_FIRMWARE_FRAMEBUFFER_BLANK, 4, 0, },
-+              .blank = 1,
-+      };
-       int ret;
-       vc4_crtc = devm_kzalloc(dev, sizeof(*vc4_crtc), GFP_KERNEL);
-@@ -866,9 +878,7 @@ static int vc4_fkms_create_screen(struct
-       vc4_crtc->display_number = display_ref;
-       /* Blank the firmware provided framebuffer */
--      rpi_firmware_property(vc4->firmware,
--                            RPI_FIRMWARE_FRAMEBUFFER_BLANK,
--                            &blank, sizeof(blank));
-+      rpi_firmware_property_list(vc4->firmware, &blank, sizeof(blank));
-       primary_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_PRIMARY,
-                                           display_ref,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0237-drm-vc4-Set-the-display-number-when-querying-the-dis.patch b/target/linux/bcm27xx/patches-5.4/950-0237-drm-vc4-Set-the-display-number-when-querying-the-dis.patch
new file mode 100644 (file)
index 0000000..7b8efc7
--- /dev/null
@@ -0,0 +1,103 @@
+From d72d6e2388c082ef48d776105ebb285c2d470fa6 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 9 Apr 2019 14:00:07 +0100
+Subject: [PATCH] drm/vc4: Set the display number when querying the
+ display resolution
+
+Without this the two displays got set to the same resolution.
+(Requires a firmware bug fix to work).
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 37 +++++++++++++++++++-------
+ 1 file changed, 27 insertions(+), 10 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -77,6 +77,13 @@ struct mailbox_blank_display {
+       u32 blank;
+ };
++struct mailbox_get_width_height {
++      struct rpi_firmware_property_tag_header tag1;
++      u32 display;
++      struct rpi_firmware_property_tag_header tag2;
++      u32 wh[2];
++};
++
+ static const struct vc_image_format {
+       u32 drm;        /* DRM_FORMAT_* */
+       u32 vc_image;   /* VC_IMAGE_* */
+@@ -194,6 +201,7 @@ struct vc4_fkms_connector {
+        * hook.
+        */
+       struct drm_encoder *encoder;
++      u32 display_idx;
+ };
+ static inline struct vc4_fkms_connector *
+@@ -724,21 +732,27 @@ vc4_fkms_connector_detect(struct drm_con
+ static int vc4_fkms_connector_get_modes(struct drm_connector *connector)
+ {
+       struct drm_device *dev = connector->dev;
++      struct vc4_fkms_connector *fkms_connector =
++              to_vc4_fkms_connector(connector);
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
+-      u32 wh[2] = {0, 0};
+-      int ret;
+       struct drm_display_mode *mode;
++      struct mailbox_get_width_height wh = {
++              .tag1 = {RPI_FIRMWARE_FRAMEBUFFER_SET_DISPLAY_NUM, 4, 0, },
++              .display = fkms_connector->display_idx,
++              .tag2 = { RPI_FIRMWARE_FRAMEBUFFER_GET_PHYSICAL_WIDTH_HEIGHT,
++                        8, 0, },
++      };
++      int ret;
++
++      ret = rpi_firmware_property_list(vc4->firmware, &wh, sizeof(wh));
+-      ret = rpi_firmware_property(vc4->firmware,
+-                                  RPI_FIRMWARE_FRAMEBUFFER_GET_PHYSICAL_WIDTH_HEIGHT,
+-                                  &wh, sizeof(wh));
+       if (ret) {
+               DRM_ERROR("Failed to get screen size: %d (0x%08x 0x%08x)\n",
+-                        ret, wh[0], wh[1]);
++                        ret, wh.wh[0], wh.wh[1]);
+               return 0;
+       }
+-      mode = drm_cvt_mode(dev, wh[0], wh[1], 60 /* vrefresh */,
++      mode = drm_cvt_mode(dev, wh.wh[0], wh.wh[1], 60 /* vrefresh */,
+                           0, 0, false);
+       drm_mode_probed_add(connector, mode);
+@@ -773,8 +787,9 @@ static const struct drm_connector_helper
+       .best_encoder = vc4_fkms_connector_best_encoder,
+ };
+-static struct drm_connector *vc4_fkms_connector_init(struct drm_device *dev,
+-                                                   struct drm_encoder *encoder)
++static struct drm_connector *
++vc4_fkms_connector_init(struct drm_device *dev, struct drm_encoder *encoder,
++                      u32 display_idx)
+ {
+       struct drm_connector *connector = NULL;
+       struct vc4_fkms_connector *fkms_connector;
+@@ -789,6 +804,7 @@ static struct drm_connector *vc4_fkms_co
+       connector = &fkms_connector->base;
+       fkms_connector->encoder = encoder;
++      fkms_connector->display_idx = display_idx;
+       drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
+                          DRM_MODE_CONNECTOR_HDMIA);
+@@ -905,7 +921,8 @@ static int vc4_fkms_create_screen(struct
+       drm_encoder_helper_add(&vc4_encoder->base,
+                              &vc4_fkms_encoder_helper_funcs);
+-      vc4_crtc->connector = vc4_fkms_connector_init(drm, &vc4_encoder->base);
++      vc4_crtc->connector = vc4_fkms_connector_init(drm, &vc4_encoder->base,
++                                                    display_idx);
+       if (IS_ERR(vc4_crtc->connector)) {
+               ret = PTR_ERR(vc4_crtc->connector);
+               goto err_destroy_encoder;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0238-drm-vc4-Need-to-call-drm_crtc_vblank_-on-off-from-vc.patch b/target/linux/bcm27xx/patches-5.4/950-0238-drm-vc4-Need-to-call-drm_crtc_vblank_-on-off-from-vc.patch
new file mode 100644 (file)
index 0000000..635340c
--- /dev/null
@@ -0,0 +1,54 @@
+From 236758b499086e0de280407396550125f1b6647a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 9 Apr 2019 18:14:44 +0100
+Subject: [PATCH] from
+ vc4_crtc_[en|dis]able
+
+vblank needs to be enabled and disabled by the driver to avoid the
+DRM framework complaining in the kernel log.
+
+vc4_fkms_disable_vblank needs to signal that we don't want vblank
+callbacks too.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 7 +++++++
+ 1 file changed, 7 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -21,6 +21,7 @@
+ #include "drm/drm_fourcc.h"
+ #include "drm/drm_probe_helper.h"
+ #include "drm/drm_drv.h"
++#include "drm/drm_vblank.h"
+ #include "linux/clk.h"
+ #include "linux/debugfs.h"
+ #include "drm/drm_fb_cma_helper.h"
+@@ -563,6 +564,8 @@ static void vc4_crtc_mode_set_nofb(struc
+ static void vc4_crtc_disable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
+ {
++      drm_crtc_vblank_off(crtc);
++
+       /* Always turn the planes off on CRTC disable. In DRM, planes
+        * are enabled/disabled through the update/disable hooks
+        * above, and the CRTC enable/disable independently controls
+@@ -578,6 +581,7 @@ static void vc4_crtc_disable(struct drm_
+ static void vc4_crtc_enable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
+ {
++      drm_crtc_vblank_on(crtc);
+       /* Unblank the planes (if they're supposed to be displayed). */
+       if (crtc->primary->state->fb)
+@@ -674,6 +678,9 @@ static int vc4_fkms_enable_vblank(struct
+ static void vc4_fkms_disable_vblank(struct drm_crtc *crtc)
+ {
++      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
++
++      vc4_crtc->vblank_enabled = false;
+ }
+ static const struct drm_crtc_funcs vc4_crtc_funcs = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0238-drm-vc4-Remove-now-unused-structure.patch b/target/linux/bcm27xx/patches-5.4/950-0238-drm-vc4-Remove-now-unused-structure.patch
deleted file mode 100644 (file)
index e6e4950..0000000
+++ /dev/null
@@ -1,41 +0,0 @@
-From dc19d8552bc0b6e0261fe7d28be81fe808a659e8 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 5 Apr 2019 17:24:20 +0100
-Subject: [PATCH] drm: vc4: Remove now unused structure.
-
-Cleaning up structure that was unused after
-fbb59a2 drm: vc4: Add an overlay plane to vc4-firmware-kms
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 19 -------------------
- 1 file changed, 19 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -70,25 +70,6 @@ struct mailbox_set_plane {
-       struct set_plane plane;
- };
--struct fb_alloc_tags {
--      struct rpi_firmware_property_tag_header tag1;
--      u32 xres, yres;
--      struct rpi_firmware_property_tag_header tag2;
--      u32 xres_virtual, yres_virtual;
--      struct rpi_firmware_property_tag_header tag3;
--      u32 bpp;
--      struct rpi_firmware_property_tag_header tag4;
--      u32 xoffset, yoffset;
--      struct rpi_firmware_property_tag_header tag5;
--      u32 base, screen_size;
--      struct rpi_firmware_property_tag_header tag6;
--      u32 pitch;
--      struct rpi_firmware_property_tag_header tag7;
--      u32 alpha_mode;
--      struct rpi_firmware_property_tag_header tag8;
--      u32 layer;
--};
--
- struct mailbox_blank_display {
-       struct rpi_firmware_property_tag_header tag1;
-       u32 display;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0239-drm-vc4-Add-support-for-H-V-flips-on-each-plane-for-.patch b/target/linux/bcm27xx/patches-5.4/950-0239-drm-vc4-Add-support-for-H-V-flips-on-each-plane-for-.patch
new file mode 100644 (file)
index 0000000..43a2ffa
--- /dev/null
@@ -0,0 +1,86 @@
+From 495fd0373ad234c8547697e3a7de1f1724c8498d Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 9 Apr 2019 17:19:51 +0100
+Subject: [PATCH] drm: vc4: Add support for H & V flips on each plane
+ for FKMS
+
+They are near zero cost options for the HVS, therefore they
+may as well be implemented, and it allows us to invert the
+DSI display.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 36 ++++++++++++++++++++++++++
+ 1 file changed, 36 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -64,8 +64,21 @@ struct set_plane {
+       u8 padding;
+       u32 planes[4];  /* DMA address of each plane */
++
++      u32 transform;
+ };
++/* Values for the transform field */
++#define TRANSFORM_NO_ROTATE   0
++#define TRANSFORM_ROTATE_180  BIT(1)
++#define TRANSFORM_FLIP_HRIZ   BIT(16)
++#define TRANSFORM_FLIP_VERT   BIT(17)
++
++#define SUPPORTED_ROTATIONS   (DRM_MODE_ROTATE_0 | \
++                               DRM_MODE_ROTATE_180 | \
++                               DRM_MODE_REFLECT_X | \
++                               DRM_MODE_REFLECT_Y)
++
+ struct mailbox_set_plane {
+       struct rpi_firmware_property_tag_header tag;
+       struct set_plane plane;
+@@ -277,6 +290,7 @@ static void vc4_plane_atomic_update(stru
+       struct vc4_crtc *vc4_crtc = to_vc4_crtc(state->crtc);
+       int num_planes = fb->format->num_planes;
+       struct drm_display_mode *mode = &state->crtc->mode;
++      unsigned int rotation = SUPPORTED_ROTATIONS;
+       mb->plane.vc_image_type = vc_fmt->vc_image;
+       mb->plane.width = fb->width;
+@@ -297,6 +311,24 @@ static void vc4_plane_atomic_update(stru
+       mb->plane.is_vu = vc_fmt->is_vu;
+       mb->plane.planes[0] = bo->paddr + fb->offsets[0];
++      rotation = drm_rotation_simplify(state->rotation, rotation);
++
++      switch (rotation) {
++      default:
++      case DRM_MODE_ROTATE_0:
++              mb->plane.transform = TRANSFORM_NO_ROTATE;
++              break;
++      case DRM_MODE_ROTATE_180:
++              mb->plane.transform = TRANSFORM_ROTATE_180;
++              break;
++      case DRM_MODE_REFLECT_X:
++              mb->plane.transform = TRANSFORM_FLIP_HRIZ;
++              break;
++      case DRM_MODE_REFLECT_Y:
++              mb->plane.transform = TRANSFORM_FLIP_VERT;
++              break;
++      }
++
+       /* FIXME: If the dest rect goes off screen then clip the src rect so we
+        * don't have off-screen pixels.
+        */
+@@ -516,9 +548,13 @@ static struct drm_plane *vc4_fkms_plane_
+                                      formats, num_formats, modifiers,
+                                      type, NULL);
++      /* FIXME: Do we need to be checking return values from all these calls?
++       */
+       drm_plane_helper_add(plane, &vc4_plane_helper_funcs);
+       drm_plane_create_alpha_property(plane);
++      drm_plane_create_rotation_property(plane, DRM_MODE_ROTATE_0,
++                                         SUPPORTED_ROTATIONS);
+       /*
+        * Default frame buffer setup is with FB on -127, and raspistill etc
diff --git a/target/linux/bcm27xx/patches-5.4/950-0239-drm-vc4-Query-the-display-ID-for-each-display-in-FKM.patch b/target/linux/bcm27xx/patches-5.4/950-0239-drm-vc4-Query-the-display-ID-for-each-display-in-FKM.patch
deleted file mode 100644 (file)
index 6e463e7..0000000
+++ /dev/null
@@ -1,58 +0,0 @@
-From 5357e5991f09f78e945b3adcc5db0ebfa1766dc1 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 9 Apr 2019 12:37:28 +0100
-Subject: [PATCH] drm: vc4: Query the display ID for each display in
- FKMS
-
-Replace the hard coded list of display IDs for a mailbox call
-that returns the display ID for each display that has been
-detected.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c     | 16 +++++++++++++---
- include/soc/bcm2835/raspberrypi-firmware.h |  1 +
- 2 files changed, 14 insertions(+), 3 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -944,7 +944,7 @@ static int vc4_fkms_bind(struct device *
-       struct vc4_crtc **crtc_list;
-       u32 num_displays, display_num;
-       int ret;
--      const u32 display_num_lookup[] = {2, 7, 1};
-+      u32 display_id;
-       vc4->firmware_kms = true;
-@@ -983,8 +983,18 @@ static int vc4_fkms_bind(struct device *
-               return -ENOMEM;
-       for (display_num = 0; display_num < num_displays; display_num++) {
--              ret = vc4_fkms_create_screen(dev, drm, display_num,
--                                           display_num_lookup[display_num],
-+              display_id = display_num;
-+              ret = rpi_firmware_property(vc4->firmware,
-+                                          RPI_FIRMWARE_FRAMEBUFFER_GET_DISPLAY_ID,
-+                                          &display_id, sizeof(display_id));
-+              /* FIXME: Determine the correct error handling here.
-+               * Should we fail to create the one "screen" but keep the
-+               * others, or fail the whole thing?
-+               */
-+              if (ret)
-+                      DRM_ERROR("Failed to get display id %u\n", display_num);
-+
-+              ret = vc4_fkms_create_screen(dev, drm, display_num, display_id,
-                                            &crtc_list[display_num]);
-               if (ret)
-                       DRM_ERROR("Oh dear, failed to create display %u\n",
---- a/include/soc/bcm2835/raspberrypi-firmware.h
-+++ b/include/soc/bcm2835/raspberrypi-firmware.h
-@@ -114,6 +114,7 @@ enum rpi_firmware_property_tag {
-       RPI_FIRMWARE_FRAMEBUFFER_GET_TOUCHBUF =               0x0004000f,
-       RPI_FIRMWARE_FRAMEBUFFER_GET_GPIOVIRTBUF =            0x00040010,
-       RPI_FIRMWARE_FRAMEBUFFER_RELEASE =                    0x00048001,
-+      RPI_FIRMWARE_FRAMEBUFFER_GET_DISPLAY_ID =             0x00040016,
-       RPI_FIRMWARE_FRAMEBUFFER_SET_DISPLAY_NUM =            0x00048013,
-       RPI_FIRMWARE_FRAMEBUFFER_GET_NUM_DISPLAYS =           0x00040013,
-       RPI_FIRMWARE_FRAMEBUFFER_GET_DISPLAY_SETTINGS =       0x00040014,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0240-drm-vc4-Remove-unused-vc4_fkms_cancel_page_flip-func.patch b/target/linux/bcm27xx/patches-5.4/950-0240-drm-vc4-Remove-unused-vc4_fkms_cancel_page_flip-func.patch
new file mode 100644 (file)
index 0000000..6740f7f
--- /dev/null
@@ -0,0 +1,56 @@
+From 99d029e3a379efb75725460b03465f80e0111da1 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 10 Apr 2019 17:35:05 +0100
+Subject: [PATCH] drm: vc4: Remove unused vc4_fkms_cancel_page_flip
+ function
+
+"32a3dbe drm/vc4: Nuke preclose hook" removed vc4_cancel_page_flip,
+but vc4_fkms_cancel_page_flip was still be added to with the
+fkms driver, even though it was never called.
+Nuke it too.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_drv.h          |  1 -
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 20 --------------------
+ 2 files changed, 21 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -795,7 +795,6 @@ extern const struct dma_fence_ops vc4_fe
+ /* vc4_firmware_kms.c */
+ extern struct platform_driver vc4_firmware_kms_driver;
+-void vc4_fkms_cancel_page_flip(struct drm_crtc *crtc, struct drm_file *file);
+ /* vc4_gem.c */
+ void vc4_gem_init(struct drm_device *dev);
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -741,26 +741,6 @@ static const struct drm_crtc_helper_func
+       .atomic_flush = vc4_crtc_atomic_flush,
+ };
+-/* Frees the page flip event when the DRM device is closed with the
+- * event still outstanding.
+- */
+-void vc4_fkms_cancel_page_flip(struct drm_crtc *crtc, struct drm_file *file)
+-{
+-      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
+-      struct drm_device *dev = crtc->dev;
+-      unsigned long flags;
+-
+-      spin_lock_irqsave(&dev->event_lock, flags);
+-
+-      if (vc4_crtc->event && vc4_crtc->event->base.file_priv == file) {
+-              kfree(&vc4_crtc->event->base);
+-              drm_crtc_vblank_put(crtc);
+-              vc4_crtc->event = NULL;
+-      }
+-
+-      spin_unlock_irqrestore(&dev->event_lock, flags);
+-}
+-
+ static const struct of_device_id vc4_firmware_kms_dt_match[] = {
+       { .compatible = "raspberrypi,rpi-firmware-kms" },
+       {}
diff --git a/target/linux/bcm27xx/patches-5.4/950-0240-drm-vc4-Set-the-display-number-when-querying-the-dis.patch b/target/linux/bcm27xx/patches-5.4/950-0240-drm-vc4-Set-the-display-number-when-querying-the-dis.patch
deleted file mode 100644 (file)
index 7b8efc7..0000000
+++ /dev/null
@@ -1,103 +0,0 @@
-From d72d6e2388c082ef48d776105ebb285c2d470fa6 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 9 Apr 2019 14:00:07 +0100
-Subject: [PATCH] drm/vc4: Set the display number when querying the
- display resolution
-
-Without this the two displays got set to the same resolution.
-(Requires a firmware bug fix to work).
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 37 +++++++++++++++++++-------
- 1 file changed, 27 insertions(+), 10 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -77,6 +77,13 @@ struct mailbox_blank_display {
-       u32 blank;
- };
-+struct mailbox_get_width_height {
-+      struct rpi_firmware_property_tag_header tag1;
-+      u32 display;
-+      struct rpi_firmware_property_tag_header tag2;
-+      u32 wh[2];
-+};
-+
- static const struct vc_image_format {
-       u32 drm;        /* DRM_FORMAT_* */
-       u32 vc_image;   /* VC_IMAGE_* */
-@@ -194,6 +201,7 @@ struct vc4_fkms_connector {
-        * hook.
-        */
-       struct drm_encoder *encoder;
-+      u32 display_idx;
- };
- static inline struct vc4_fkms_connector *
-@@ -724,21 +732,27 @@ vc4_fkms_connector_detect(struct drm_con
- static int vc4_fkms_connector_get_modes(struct drm_connector *connector)
- {
-       struct drm_device *dev = connector->dev;
-+      struct vc4_fkms_connector *fkms_connector =
-+              to_vc4_fkms_connector(connector);
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
--      u32 wh[2] = {0, 0};
--      int ret;
-       struct drm_display_mode *mode;
-+      struct mailbox_get_width_height wh = {
-+              .tag1 = {RPI_FIRMWARE_FRAMEBUFFER_SET_DISPLAY_NUM, 4, 0, },
-+              .display = fkms_connector->display_idx,
-+              .tag2 = { RPI_FIRMWARE_FRAMEBUFFER_GET_PHYSICAL_WIDTH_HEIGHT,
-+                        8, 0, },
-+      };
-+      int ret;
-+
-+      ret = rpi_firmware_property_list(vc4->firmware, &wh, sizeof(wh));
--      ret = rpi_firmware_property(vc4->firmware,
--                                  RPI_FIRMWARE_FRAMEBUFFER_GET_PHYSICAL_WIDTH_HEIGHT,
--                                  &wh, sizeof(wh));
-       if (ret) {
-               DRM_ERROR("Failed to get screen size: %d (0x%08x 0x%08x)\n",
--                        ret, wh[0], wh[1]);
-+                        ret, wh.wh[0], wh.wh[1]);
-               return 0;
-       }
--      mode = drm_cvt_mode(dev, wh[0], wh[1], 60 /* vrefresh */,
-+      mode = drm_cvt_mode(dev, wh.wh[0], wh.wh[1], 60 /* vrefresh */,
-                           0, 0, false);
-       drm_mode_probed_add(connector, mode);
-@@ -773,8 +787,9 @@ static const struct drm_connector_helper
-       .best_encoder = vc4_fkms_connector_best_encoder,
- };
--static struct drm_connector *vc4_fkms_connector_init(struct drm_device *dev,
--                                                   struct drm_encoder *encoder)
-+static struct drm_connector *
-+vc4_fkms_connector_init(struct drm_device *dev, struct drm_encoder *encoder,
-+                      u32 display_idx)
- {
-       struct drm_connector *connector = NULL;
-       struct vc4_fkms_connector *fkms_connector;
-@@ -789,6 +804,7 @@ static struct drm_connector *vc4_fkms_co
-       connector = &fkms_connector->base;
-       fkms_connector->encoder = encoder;
-+      fkms_connector->display_idx = display_idx;
-       drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
-                          DRM_MODE_CONNECTOR_HDMIA);
-@@ -905,7 +921,8 @@ static int vc4_fkms_create_screen(struct
-       drm_encoder_helper_add(&vc4_encoder->base,
-                              &vc4_fkms_encoder_helper_funcs);
--      vc4_crtc->connector = vc4_fkms_connector_init(drm, &vc4_encoder->base);
-+      vc4_crtc->connector = vc4_fkms_connector_init(drm, &vc4_encoder->base,
-+                                                    display_idx);
-       if (IS_ERR(vc4_crtc->connector)) {
-               ret = PTR_ERR(vc4_crtc->connector);
-               goto err_destroy_encoder;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0241-drm-vc4-Iterate-over-all-planes-in-vc4_crtc_-dis-en-.patch b/target/linux/bcm27xx/patches-5.4/950-0241-drm-vc4-Iterate-over-all-planes-in-vc4_crtc_-dis-en-.patch
new file mode 100644 (file)
index 0000000..05f50cd
--- /dev/null
@@ -0,0 +1,57 @@
+From 016e6e68a119d3f4cae3c148433e2d661c7835be Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 10 Apr 2019 17:42:37 +0100
+Subject: [PATCH] drm: vc4: Iterate over all planes in
+ vc4_crtc_[dis|en]able
+
+Fixes a FIXME where the overlay plane wouldn't be restored.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 20 +++++++++++---------
+ 1 file changed, 11 insertions(+), 9 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -600,6 +600,8 @@ static void vc4_crtc_mode_set_nofb(struc
+ static void vc4_crtc_disable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
+ {
++      struct drm_plane *plane;
++
+       drm_crtc_vblank_off(crtc);
+       /* Always turn the planes off on CRTC disable. In DRM, planes
+@@ -609,23 +611,23 @@ static void vc4_crtc_disable(struct drm_
+        * give us a CRTC-level control for that.
+        */
+-      vc4_plane_atomic_disable(crtc->cursor, crtc->cursor->state);
+-      vc4_plane_atomic_disable(crtc->primary, crtc->primary->state);
+-
+-      /* FIXME: Disable overlay planes */
++      drm_atomic_crtc_for_each_plane(plane, crtc)
++              vc4_plane_atomic_disable(plane, plane->state);
+ }
+ static void vc4_crtc_enable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
+ {
++      struct drm_plane *plane;
++
+       drm_crtc_vblank_on(crtc);
++
+       /* Unblank the planes (if they're supposed to be displayed). */
++      drm_atomic_crtc_for_each_plane(plane, crtc)
++              if (plane->state->fb)
++                      vc4_plane_set_blank(plane, plane->state->visible);
++}
+-      if (crtc->primary->state->fb)
+-              vc4_plane_set_blank(crtc->primary, false);
+-      if (crtc->cursor->state->fb)
+-              vc4_plane_set_blank(crtc->cursor, crtc->cursor->state);
+-      /* FIXME: Enable overlay planes */
+ }
+ static int vc4_crtc_atomic_check(struct drm_crtc *crtc,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0241-drm-vc4-Need-to-call-drm_crtc_vblank_-on-off-from-vc.patch b/target/linux/bcm27xx/patches-5.4/950-0241-drm-vc4-Need-to-call-drm_crtc_vblank_-on-off-from-vc.patch
deleted file mode 100644 (file)
index 635340c..0000000
+++ /dev/null
@@ -1,54 +0,0 @@
-From 236758b499086e0de280407396550125f1b6647a Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 9 Apr 2019 18:14:44 +0100
-Subject: [PATCH] from
- vc4_crtc_[en|dis]able
-
-vblank needs to be enabled and disabled by the driver to avoid the
-DRM framework complaining in the kernel log.
-
-vc4_fkms_disable_vblank needs to signal that we don't want vblank
-callbacks too.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 7 +++++++
- 1 file changed, 7 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -21,6 +21,7 @@
- #include "drm/drm_fourcc.h"
- #include "drm/drm_probe_helper.h"
- #include "drm/drm_drv.h"
-+#include "drm/drm_vblank.h"
- #include "linux/clk.h"
- #include "linux/debugfs.h"
- #include "drm/drm_fb_cma_helper.h"
-@@ -563,6 +564,8 @@ static void vc4_crtc_mode_set_nofb(struc
- static void vc4_crtc_disable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
- {
-+      drm_crtc_vblank_off(crtc);
-+
-       /* Always turn the planes off on CRTC disable. In DRM, planes
-        * are enabled/disabled through the update/disable hooks
-        * above, and the CRTC enable/disable independently controls
-@@ -578,6 +581,7 @@ static void vc4_crtc_disable(struct drm_
- static void vc4_crtc_enable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
- {
-+      drm_crtc_vblank_on(crtc);
-       /* Unblank the planes (if they're supposed to be displayed). */
-       if (crtc->primary->state->fb)
-@@ -674,6 +678,9 @@ static int vc4_fkms_enable_vblank(struct
- static void vc4_fkms_disable_vblank(struct drm_crtc *crtc)
- {
-+      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-+
-+      vc4_crtc->vblank_enabled = false;
- }
- static const struct drm_crtc_funcs vc4_crtc_funcs = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0242-drm-vc4-Add-support-for-H-V-flips-on-each-plane-for-.patch b/target/linux/bcm27xx/patches-5.4/950-0242-drm-vc4-Add-support-for-H-V-flips-on-each-plane-for-.patch
deleted file mode 100644 (file)
index 43a2ffa..0000000
+++ /dev/null
@@ -1,86 +0,0 @@
-From 495fd0373ad234c8547697e3a7de1f1724c8498d Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 9 Apr 2019 17:19:51 +0100
-Subject: [PATCH] drm: vc4: Add support for H & V flips on each plane
- for FKMS
-
-They are near zero cost options for the HVS, therefore they
-may as well be implemented, and it allows us to invert the
-DSI display.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 36 ++++++++++++++++++++++++++
- 1 file changed, 36 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -64,8 +64,21 @@ struct set_plane {
-       u8 padding;
-       u32 planes[4];  /* DMA address of each plane */
-+
-+      u32 transform;
- };
-+/* Values for the transform field */
-+#define TRANSFORM_NO_ROTATE   0
-+#define TRANSFORM_ROTATE_180  BIT(1)
-+#define TRANSFORM_FLIP_HRIZ   BIT(16)
-+#define TRANSFORM_FLIP_VERT   BIT(17)
-+
-+#define SUPPORTED_ROTATIONS   (DRM_MODE_ROTATE_0 | \
-+                               DRM_MODE_ROTATE_180 | \
-+                               DRM_MODE_REFLECT_X | \
-+                               DRM_MODE_REFLECT_Y)
-+
- struct mailbox_set_plane {
-       struct rpi_firmware_property_tag_header tag;
-       struct set_plane plane;
-@@ -277,6 +290,7 @@ static void vc4_plane_atomic_update(stru
-       struct vc4_crtc *vc4_crtc = to_vc4_crtc(state->crtc);
-       int num_planes = fb->format->num_planes;
-       struct drm_display_mode *mode = &state->crtc->mode;
-+      unsigned int rotation = SUPPORTED_ROTATIONS;
-       mb->plane.vc_image_type = vc_fmt->vc_image;
-       mb->plane.width = fb->width;
-@@ -297,6 +311,24 @@ static void vc4_plane_atomic_update(stru
-       mb->plane.is_vu = vc_fmt->is_vu;
-       mb->plane.planes[0] = bo->paddr + fb->offsets[0];
-+      rotation = drm_rotation_simplify(state->rotation, rotation);
-+
-+      switch (rotation) {
-+      default:
-+      case DRM_MODE_ROTATE_0:
-+              mb->plane.transform = TRANSFORM_NO_ROTATE;
-+              break;
-+      case DRM_MODE_ROTATE_180:
-+              mb->plane.transform = TRANSFORM_ROTATE_180;
-+              break;
-+      case DRM_MODE_REFLECT_X:
-+              mb->plane.transform = TRANSFORM_FLIP_HRIZ;
-+              break;
-+      case DRM_MODE_REFLECT_Y:
-+              mb->plane.transform = TRANSFORM_FLIP_VERT;
-+              break;
-+      }
-+
-       /* FIXME: If the dest rect goes off screen then clip the src rect so we
-        * don't have off-screen pixels.
-        */
-@@ -516,9 +548,13 @@ static struct drm_plane *vc4_fkms_plane_
-                                      formats, num_formats, modifiers,
-                                      type, NULL);
-+      /* FIXME: Do we need to be checking return values from all these calls?
-+       */
-       drm_plane_helper_add(plane, &vc4_plane_helper_funcs);
-       drm_plane_create_alpha_property(plane);
-+      drm_plane_create_rotation_property(plane, DRM_MODE_ROTATE_0,
-+                                         SUPPORTED_ROTATIONS);
-       /*
-        * Default frame buffer setup is with FB on -127, and raspistill etc
diff --git a/target/linux/bcm27xx/patches-5.4/950-0242-drm-vc4-Bring-fkms-into-line-with-kms-in-blocking-do.patch b/target/linux/bcm27xx/patches-5.4/950-0242-drm-vc4-Bring-fkms-into-line-with-kms-in-blocking-do.patch
new file mode 100644 (file)
index 0000000..10a655a
--- /dev/null
@@ -0,0 +1,47 @@
+From 9185a16ce8804d35339402c6aec38a528cc2c7a1 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 10 Apr 2019 17:43:57 +0100
+Subject: [PATCH] drm: vc4: Bring fkms into line with kms in blocking
+ doublescan modes
+
+Implement vc4_crtc_mode_valid so that it blocks doublescan modes
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 15 +++++++++++++--
+ 1 file changed, 13 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -627,7 +627,17 @@ static void vc4_crtc_enable(struct drm_c
+                       vc4_plane_set_blank(plane, plane->state->visible);
+ }
++static enum drm_mode_status
++vc4_crtc_mode_valid(struct drm_crtc *crtc, const struct drm_display_mode *mode)
++{
++      /* Do not allow doublescan modes from user space */
++      if (mode->flags & DRM_MODE_FLAG_DBLSCAN) {
++              DRM_DEBUG_KMS("[CRTC:%d] Doublescan mode rejected.\n",
++                            crtc->base.id);
++              return MODE_NO_DBLESCAN;
++      }
++      return MODE_OK;
+ }
+ static int vc4_crtc_atomic_check(struct drm_crtc *crtc,
+@@ -737,10 +747,11 @@ static const struct drm_crtc_funcs vc4_c
+ static const struct drm_crtc_helper_funcs vc4_crtc_helper_funcs = {
+       .mode_set_nofb = vc4_crtc_mode_set_nofb,
+-      .atomic_disable = vc4_crtc_disable,
+-      .atomic_enable = vc4_crtc_enable,
++      .mode_valid = vc4_crtc_mode_valid,
+       .atomic_check = vc4_crtc_atomic_check,
+       .atomic_flush = vc4_crtc_atomic_flush,
++      .atomic_enable = vc4_crtc_enable,
++      .atomic_disable = vc4_crtc_disable,
+ };
+ static const struct of_device_id vc4_firmware_kms_dt_match[] = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0243-drm-vc4-Increase-max_width-height-to-7680.patch b/target/linux/bcm27xx/patches-5.4/950-0243-drm-vc4-Increase-max_width-height-to-7680.patch
new file mode 100644 (file)
index 0000000..69d1470
--- /dev/null
@@ -0,0 +1,27 @@
+From 11801b1f71144478ab6c19a4c309667d340cb9e2 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 29 Apr 2019 18:45:00 +0100
+Subject: [PATCH] drm: vc4: Increase max_width/height to 7680.
+
+There are some limits still being investigated that stop
+us going up to 8192, but 7680 is sufficient for dual 4k
+displays.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_kms.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_kms.c
+@@ -536,8 +536,8 @@ int vc4_kms_load(struct drm_device *dev)
+               return ret;
+       }
+-      dev->mode_config.max_width = 4096;
+-      dev->mode_config.max_height = 4096;
++      dev->mode_config.max_width = 7680;
++      dev->mode_config.max_height = 7680;
+       dev->mode_config.funcs = &vc4_mode_funcs;
+       dev->mode_config.preferred_depth = 24;
+       dev->mode_config.async_page_flip = true;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0243-drm-vc4-Remove-unused-vc4_fkms_cancel_page_flip-func.patch b/target/linux/bcm27xx/patches-5.4/950-0243-drm-vc4-Remove-unused-vc4_fkms_cancel_page_flip-func.patch
deleted file mode 100644 (file)
index 6740f7f..0000000
+++ /dev/null
@@ -1,56 +0,0 @@
-From 99d029e3a379efb75725460b03465f80e0111da1 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 10 Apr 2019 17:35:05 +0100
-Subject: [PATCH] drm: vc4: Remove unused vc4_fkms_cancel_page_flip
- function
-
-"32a3dbe drm/vc4: Nuke preclose hook" removed vc4_cancel_page_flip,
-but vc4_fkms_cancel_page_flip was still be added to with the
-fkms driver, even though it was never called.
-Nuke it too.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_drv.h          |  1 -
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 20 --------------------
- 2 files changed, 21 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -795,7 +795,6 @@ extern const struct dma_fence_ops vc4_fe
- /* vc4_firmware_kms.c */
- extern struct platform_driver vc4_firmware_kms_driver;
--void vc4_fkms_cancel_page_flip(struct drm_crtc *crtc, struct drm_file *file);
- /* vc4_gem.c */
- void vc4_gem_init(struct drm_device *dev);
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -741,26 +741,6 @@ static const struct drm_crtc_helper_func
-       .atomic_flush = vc4_crtc_atomic_flush,
- };
--/* Frees the page flip event when the DRM device is closed with the
-- * event still outstanding.
-- */
--void vc4_fkms_cancel_page_flip(struct drm_crtc *crtc, struct drm_file *file)
--{
--      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
--      struct drm_device *dev = crtc->dev;
--      unsigned long flags;
--
--      spin_lock_irqsave(&dev->event_lock, flags);
--
--      if (vc4_crtc->event && vc4_crtc->event->base.file_priv == file) {
--              kfree(&vc4_crtc->event->base);
--              drm_crtc_vblank_put(crtc);
--              vc4_crtc->event = NULL;
--      }
--
--      spin_unlock_irqrestore(&dev->event_lock, flags);
--}
--
- static const struct of_device_id vc4_firmware_kms_dt_match[] = {
-       { .compatible = "raspberrypi,rpi-firmware-kms" },
-       {}
diff --git a/target/linux/bcm27xx/patches-5.4/950-0244-drm-vc4-FKMS-reads-the-EDID-from-fw-and-supports-mod.patch b/target/linux/bcm27xx/patches-5.4/950-0244-drm-vc4-FKMS-reads-the-EDID-from-fw-and-supports-mod.patch
new file mode 100644 (file)
index 0000000..9240056
--- /dev/null
@@ -0,0 +1,557 @@
+From 52add140c76c0a211ab340ced8e7e1ea8bef9c79 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 9 Apr 2019 18:23:41 +0100
+Subject: [PATCH] drm: vc4: FKMS reads the EDID from fw, and supports
+ mode setting
+
+This extends FKMS to read the EDID from the display, and support
+requesting a particular mode via KMS.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c     | 334 ++++++++++++++++++---
+ include/soc/bcm2835/raspberrypi-firmware.h |   2 +
+ 2 files changed, 302 insertions(+), 34 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -91,11 +91,60 @@ struct mailbox_blank_display {
+       u32 blank;
+ };
+-struct mailbox_get_width_height {
++struct mailbox_get_edid {
+       struct rpi_firmware_property_tag_header tag1;
+-      u32 display;
+-      struct rpi_firmware_property_tag_header tag2;
+-      u32 wh[2];
++      u32 block;
++      u32 display_number;
++      u8 edid[128];
++};
++
++struct set_timings {
++      u8 display;
++      u8 padding;
++      u16 video_id_code;
++
++      u32 clock;              /* in kHz */
++
++      u16 hdisplay;
++      u16 hsync_start;
++
++      u16 hsync_end;
++      u16 htotal;
++
++      u16 hskew;
++      u16 vdisplay;
++
++      u16 vsync_start;
++      u16 vsync_end;
++
++      u16 vtotal;
++      u16 vscan;
++
++      u16 vrefresh;
++      u16 padding2;
++
++      u32 flags;
++#define  TIMINGS_FLAGS_H_SYNC_POS     BIT(0)
++#define  TIMINGS_FLAGS_H_SYNC_NEG     0
++#define  TIMINGS_FLAGS_V_SYNC_POS     BIT(1)
++#define  TIMINGS_FLAGS_V_SYNC_NEG     0
++
++#define TIMINGS_FLAGS_ASPECT_MASK     GENMASK(7, 4)
++#define TIMINGS_FLAGS_ASPECT_NONE     (0 << 4)
++#define TIMINGS_FLAGS_ASPECT_4_3      (1 << 4)
++#define TIMINGS_FLAGS_ASPECT_16_9     (2 << 4)
++#define TIMINGS_FLAGS_ASPECT_64_27    (3 << 4)
++#define TIMINGS_FLAGS_ASPECT_256_135  (4 << 4)
++
++/* Limited range RGB flag. Not set corresponds to full range. */
++#define TIMINGS_FLAGS_RGB_LIMITED     BIT(8)
++/* DVI monitor, therefore disable infoframes. Not set corresponds to HDMI. */
++#define TIMINGS_FLAGS_DVI             BIT(9)
++};
++
++struct mailbox_set_mode {
++      struct rpi_firmware_property_tag_header tag1;
++      struct set_timings timings;
+ };
+ static const struct vc_image_format {
+@@ -189,6 +238,7 @@ struct vc4_crtc {
+       u32 overscan[4];
+       bool vblank_enabled;
+       u32 display_number;
++      u32 display_type;
+ };
+ static inline struct vc4_crtc *to_vc4_crtc(struct drm_crtc *crtc)
+@@ -198,6 +248,8 @@ static inline struct vc4_crtc *to_vc4_cr
+ struct vc4_fkms_encoder {
+       struct drm_encoder base;
++      bool hdmi_monitor;
++      bool rgb_range_selectable;
+ };
+ static inline struct vc4_fkms_encoder *
+@@ -215,7 +267,9 @@ struct vc4_fkms_connector {
+        * hook.
+        */
+       struct drm_encoder *encoder;
+-      u32 display_idx;
++      struct vc4_dev *vc4_dev;
++      u32 display_number;
++      u32 display_type;
+ };
+ static inline struct vc4_fkms_connector *
+@@ -224,6 +278,26 @@ to_vc4_fkms_connector(struct drm_connect
+       return container_of(connector, struct vc4_fkms_connector, base);
+ }
++static u32 vc4_get_display_type(u32 display_number)
++{
++      const u32 display_types[] = {
++              /* The firmware display (DispmanX) IDs map to specific types in
++               * a fixed manner.
++               */
++              DRM_MODE_ENCODER_DSI,   /* MAIN_LCD */
++              DRM_MODE_ENCODER_DSI,   /* AUX_LCD */
++              DRM_MODE_ENCODER_TMDS,  /* HDMI0 */
++              DRM_MODE_ENCODER_TVDAC, /* VEC */
++              DRM_MODE_ENCODER_NONE,  /* FORCE_LCD */
++              DRM_MODE_ENCODER_NONE,  /* FORCE_TV */
++              DRM_MODE_ENCODER_NONE,  /* FORCE_OTHER */
++              DRM_MODE_ENCODER_TMDS,  /* HDMI1 */
++              DRM_MODE_ENCODER_NONE,  /* FORCE_TV2 */
++      };
++      return display_number > ARRAY_SIZE(display_types) - 1 ?
++                      DRM_MODE_ENCODER_NONE : display_types[display_number];
++}
++
+ /* Firmware's structure for making an FB mbox call. */
+ struct fbinfo_s {
+       u32 xres, yres, xres_virtual, yres_virtual;
+@@ -258,10 +332,15 @@ static int vc4_plane_set_blank(struct dr
+                       .plane_id = vc4_plane->mb.plane.plane_id,
+               }
+       };
++      static const char * const plane_types[] = {
++                                                      "overlay",
++                                                      "primary",
++                                                      "cursor"
++                                                };
+       int ret;
+-      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] overlay plane %s",
+-                       plane->base.id, plane->name,
++      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] %s plane %s",
++                       plane->base.id, plane->name, plane_types[plane->type],
+                        blank ? "blank" : "unblank");
+       if (blank)
+@@ -595,13 +674,102 @@ fail:
+ static void vc4_crtc_mode_set_nofb(struct drm_crtc *crtc)
+ {
+-      /* Everyting is handled in the planes. */
++      struct drm_device *dev = crtc->dev;
++      struct vc4_dev *vc4 = to_vc4_dev(dev);
++      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
++      struct drm_display_mode *mode = &crtc->state->adjusted_mode;
++      struct vc4_fkms_encoder *vc4_encoder =
++                                      to_vc4_fkms_encoder(vc4_crtc->encoder);
++      struct mailbox_set_mode mb = {
++              .tag1 = { RPI_FIRMWARE_SET_TIMING,
++                        sizeof(struct set_timings), 0},
++      };
++      union hdmi_infoframe frame;
++      int ret;
++
++      ret = drm_hdmi_avi_infoframe_from_display_mode(&frame.avi, vc4_crtc->connector, mode);
++      if (ret < 0) {
++              DRM_ERROR("couldn't fill AVI infoframe\n");
++              return;
++      }
++
++      DRM_DEBUG_KMS("Setting mode for display num %u mode name %s, clk %d, h(disp %d, start %d, end %d, total %d, skew %d) v(disp %d, start %d, end %d, total %d, scan %d), vrefresh %d, par %u\n",
++                    vc4_crtc->display_number, mode->name, mode->clock,
++                    mode->hdisplay, mode->hsync_start, mode->hsync_end,
++                    mode->htotal, mode->hskew, mode->vdisplay,
++                    mode->vsync_start, mode->vsync_end, mode->vtotal,
++                    mode->vscan, mode->vrefresh, mode->picture_aspect_ratio);
++      mb.timings.display = vc4_crtc->display_number;
++
++      mb.timings.video_id_code = frame.avi.video_code;
++
++      mb.timings.clock = mode->clock;
++      mb.timings.hdisplay = mode->hdisplay;
++      mb.timings.hsync_start = mode->hsync_start;
++      mb.timings.hsync_end = mode->hsync_end;
++      mb.timings.htotal = mode->htotal;
++      mb.timings.hskew = mode->hskew;
++      mb.timings.vdisplay = mode->vdisplay;
++      mb.timings.vsync_start = mode->vsync_start;
++      mb.timings.vsync_end = mode->vsync_end;
++      mb.timings.vtotal = mode->vtotal;
++      mb.timings.vscan = mode->vscan;
++      mb.timings.vrefresh = 0;
++      mb.timings.flags = 0;
++      if (mode->flags & DRM_MODE_FLAG_PHSYNC)
++              mb.timings.flags |= TIMINGS_FLAGS_H_SYNC_POS;
++      if (mode->flags & DRM_MODE_FLAG_PVSYNC)
++              mb.timings.flags |= TIMINGS_FLAGS_V_SYNC_POS;
++
++      switch (frame.avi.picture_aspect) {
++      default:
++      case HDMI_PICTURE_ASPECT_NONE:
++              mode->flags |= TIMINGS_FLAGS_ASPECT_NONE;
++              break;
++      case HDMI_PICTURE_ASPECT_4_3:
++              mode->flags |= TIMINGS_FLAGS_ASPECT_4_3;
++              break;
++      case HDMI_PICTURE_ASPECT_16_9:
++              mode->flags |= TIMINGS_FLAGS_ASPECT_16_9;
++              break;
++      case HDMI_PICTURE_ASPECT_64_27:
++              mode->flags |= TIMINGS_FLAGS_ASPECT_64_27;
++              break;
++      case HDMI_PICTURE_ASPECT_256_135:
++              mode->flags |= TIMINGS_FLAGS_ASPECT_256_135;
++              break;
++      }
++
++      if (!vc4_encoder->hdmi_monitor)
++              mb.timings.flags |= TIMINGS_FLAGS_DVI;
++      else if (drm_default_rgb_quant_range(mode) ==
++                                      HDMI_QUANTIZATION_RANGE_LIMITED)
++              mb.timings.flags |= TIMINGS_FLAGS_RGB_LIMITED;
++
++      /*
++      FIXME: To implement
++      switch(mode->flag & DRM_MODE_FLAG_3D_MASK) {
++      case DRM_MODE_FLAG_3D_NONE:
++      case DRM_MODE_FLAG_3D_FRAME_PACKING:
++      case DRM_MODE_FLAG_3D_FIELD_ALTERNATIVE:
++      case DRM_MODE_FLAG_3D_LINE_ALTERNATIVE:
++      case DRM_MODE_FLAG_3D_SIDE_BY_SIDE_FULL:
++      case DRM_MODE_FLAG_3D_L_DEPTH:
++      case DRM_MODE_FLAG_3D_L_DEPTH_GFX_GFX_DEPTH:
++      case DRM_MODE_FLAG_3D_TOP_AND_BOTTOM:
++      case DRM_MODE_FLAG_3D_SIDE_BY_SIDE_HALF:
++      }
++      */
++
++      ret = rpi_firmware_property_list(vc4->firmware, &mb, sizeof(mb));
+ }
+ static void vc4_crtc_disable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
+ {
+       struct drm_plane *plane;
++      DRM_DEBUG_KMS("[CRTC:%d] vblanks off.\n",
++                    crtc->base.id);
+       drm_crtc_vblank_off(crtc);
+       /* Always turn the planes off on CRTC disable. In DRM, planes
+@@ -619,6 +787,8 @@ static void vc4_crtc_enable(struct drm_c
+ {
+       struct drm_plane *plane;
++      DRM_DEBUG_KMS("[CRTC:%d] vblanks on.\n",
++                    crtc->base.id);
+       drm_crtc_vblank_on(crtc);
+       /* Unblank the planes (if they're supposed to be displayed). */
+@@ -637,12 +807,20 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
+               return MODE_NO_DBLESCAN;
+       }
++      /* Limit the pixel clock until we can get dynamic HDMI 2.0 scrambling
++       * working.
++       */
++      if (mode->clock > 340000)
++              return MODE_CLOCK_HIGH;
++
+       return MODE_OK;
+ }
+ static int vc4_crtc_atomic_check(struct drm_crtc *crtc,
+                                struct drm_crtc_state *state)
+ {
++      DRM_DEBUG_KMS("[CRTC:%d] crtc_atomic_check.\n",
++                    crtc->base.id);
+       return 0;
+ }
+@@ -652,6 +830,8 @@ static void vc4_crtc_atomic_flush(struct
+       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
+       struct drm_device *dev = crtc->dev;
++      DRM_DEBUG_KMS("[CRTC:%d] crtc_atomic_flush.\n",
++                    crtc->base.id);
+       if (crtc->state->event) {
+               unsigned long flags;
+@@ -719,6 +899,8 @@ static int vc4_fkms_enable_vblank(struct
+ {
+       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
++      DRM_DEBUG_KMS("[CRTC:%d] enable_vblank.\n",
++                    crtc->base.id);
+       vc4_crtc->vblank_enabled = true;
+       return 0;
+@@ -728,6 +910,8 @@ static void vc4_fkms_disable_vblank(stru
+ {
+       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
++      DRM_DEBUG_KMS("[CRTC:%d] disable_vblank.\n",
++                    crtc->base.id);
+       vc4_crtc->vblank_enabled = false;
+ }
+@@ -762,36 +946,92 @@ static const struct of_device_id vc4_fir
+ static enum drm_connector_status
+ vc4_fkms_connector_detect(struct drm_connector *connector, bool force)
+ {
++      DRM_DEBUG_KMS("connector detect.\n");
+       return connector_status_connected;
+ }
+-static int vc4_fkms_connector_get_modes(struct drm_connector *connector)
++static int vc4_fkms_get_edid_block(void *data, u8 *buf, unsigned int block,
++                                 size_t len)
+ {
+-      struct drm_device *dev = connector->dev;
+       struct vc4_fkms_connector *fkms_connector =
+-              to_vc4_fkms_connector(connector);
+-      struct vc4_dev *vc4 = to_vc4_dev(dev);
+-      struct drm_display_mode *mode;
+-      struct mailbox_get_width_height wh = {
+-              .tag1 = {RPI_FIRMWARE_FRAMEBUFFER_SET_DISPLAY_NUM, 4, 0, },
+-              .display = fkms_connector->display_idx,
+-              .tag2 = { RPI_FIRMWARE_FRAMEBUFFER_GET_PHYSICAL_WIDTH_HEIGHT,
+-                        8, 0, },
++                                      (struct vc4_fkms_connector *)data;
++      struct vc4_dev *vc4 = fkms_connector->vc4_dev;
++      struct mailbox_get_edid mb = {
++              .tag1 = { RPI_FIRMWARE_GET_EDID_BLOCK_DISPLAY,
++                        128 + 8, 0 },
++              .block = block,
++              .display_number = fkms_connector->display_number,
+       };
+-      int ret;
++      int ret = 0;
++
++      ret = rpi_firmware_property_list(vc4->firmware, &mb, sizeof(mb));
++
++      if (!ret)
++              memcpy(buf, mb.edid, len);
++
++      return ret;
++}
++
++static int vc4_fkms_connector_get_modes(struct drm_connector *connector)
++{
++      struct vc4_fkms_connector *fkms_connector =
++                                      to_vc4_fkms_connector(connector);
++      struct drm_encoder *encoder = fkms_connector->encoder;
++      struct vc4_fkms_encoder *vc4_encoder = to_vc4_fkms_encoder(encoder);
++      int ret = 0;
++      struct edid *edid;
++
++      edid = drm_do_get_edid(connector, vc4_fkms_get_edid_block,
++                             fkms_connector);
++
++      /* FIXME: Can we do CEC?
++       * cec_s_phys_addr_from_edid(vc4->hdmi->cec_adap, edid);
++       * if (!edid)
++       *      return -ENODEV;
++       */
++
++      vc4_encoder->hdmi_monitor = drm_detect_hdmi_monitor(edid);
+-      ret = rpi_firmware_property_list(vc4->firmware, &wh, sizeof(wh));
++      if (edid && edid->input & DRM_EDID_INPUT_DIGITAL) {
++              vc4_encoder->rgb_range_selectable =
++                      drm_rgb_quant_range_selectable(edid);
++      }
++
++      drm_connector_update_edid_property(connector, edid);
++      ret = drm_add_edid_modes(connector, edid);
++      kfree(edid);
++
++      return ret;
++}
++
++/* FIXME: Read LCD mode from the firmware. This is the DSI panel resolution. */
++static const struct drm_display_mode lcd_mode = {
++      DRM_MODE("800x480", DRM_MODE_TYPE_DRIVER | DRM_MODE_TYPE_PREFERRED,
++               25979400 / 1000,
++               800, 800 + 1, 800 + 1 + 2, 800 + 1 + 2 + 46, 0,
++               480, 480 + 7, 480 + 7 + 2, 480 + 7 + 2 + 21, 0,
++               DRM_MODE_FLAG_INTERLACE)
++};
++
++static int vc4_fkms_lcd_connector_get_modes(struct drm_connector *connector)
++{
++      //struct vc4_fkms_connector *fkms_connector =
++      //                              to_vc4_fkms_connector(connector);
++      //struct drm_encoder *encoder = fkms_connector->encoder;
++      //struct vc4_fkms_encoder *vc4_encoder = to_vc4_fkms_encoder(encoder);
++      struct drm_display_mode *mode;
++      //int ret = 0;
+-      if (ret) {
+-              DRM_ERROR("Failed to get screen size: %d (0x%08x 0x%08x)\n",
+-                        ret, wh.wh[0], wh.wh[1]);
+-              return 0;
++      mode = drm_mode_duplicate(connector->dev,
++                                &lcd_mode);
++      if (!mode) {
++              DRM_ERROR("Failed to create a new display mode\n");
++              return -ENOMEM;
+       }
+-      mode = drm_cvt_mode(dev, wh.wh[0], wh.wh[1], 60 /* vrefresh */,
+-                          0, 0, false);
+       drm_mode_probed_add(connector, mode);
++      /* We have one mode */
+       return 1;
+ }
+@@ -800,11 +1040,14 @@ vc4_fkms_connector_best_encoder(struct d
+ {
+       struct vc4_fkms_connector *fkms_connector =
+               to_vc4_fkms_connector(connector);
++      DRM_DEBUG_KMS("best_connector.\n");
+       return fkms_connector->encoder;
+ }
+ static void vc4_fkms_connector_destroy(struct drm_connector *connector)
+ {
++      DRM_DEBUG_KMS("[CONNECTOR:%d] destroy.\n",
++                    connector->base.id);
+       drm_connector_unregister(connector);
+       drm_connector_cleanup(connector);
+ }
+@@ -823,14 +1066,22 @@ static const struct drm_connector_helper
+       .best_encoder = vc4_fkms_connector_best_encoder,
+ };
++static const struct drm_connector_helper_funcs vc4_fkms_lcd_conn_helper_funcs = {
++      .get_modes = vc4_fkms_lcd_connector_get_modes,
++      .best_encoder = vc4_fkms_connector_best_encoder,
++};
++
+ static struct drm_connector *
+ vc4_fkms_connector_init(struct drm_device *dev, struct drm_encoder *encoder,
+-                      u32 display_idx)
++                      u32 display_num)
+ {
+       struct drm_connector *connector = NULL;
+       struct vc4_fkms_connector *fkms_connector;
++      struct vc4_dev *vc4_dev = to_vc4_dev(dev);
+       int ret = 0;
++      DRM_DEBUG_KMS("connector_init, display_num %u\n", display_num);
++
+       fkms_connector = devm_kzalloc(dev->dev, sizeof(*fkms_connector),
+                                     GFP_KERNEL);
+       if (!fkms_connector) {
+@@ -840,11 +1091,21 @@ vc4_fkms_connector_init(struct drm_devic
+       connector = &fkms_connector->base;
+       fkms_connector->encoder = encoder;
+-      fkms_connector->display_idx = display_idx;
+-
+-      drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
+-                         DRM_MODE_CONNECTOR_HDMIA);
+-      drm_connector_helper_add(connector, &vc4_fkms_connector_helper_funcs);
++      fkms_connector->display_number = display_num;
++      fkms_connector->display_type = vc4_get_display_type(display_num);
++      fkms_connector->vc4_dev = vc4_dev;
++
++      if (fkms_connector->display_type == DRM_MODE_ENCODER_DSI) {
++              drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
++                                 DRM_MODE_CONNECTOR_DSI);
++              drm_connector_helper_add(connector,
++                                       &vc4_fkms_lcd_conn_helper_funcs);
++      } else {
++              drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
++                                 DRM_MODE_CONNECTOR_HDMIA);
++              drm_connector_helper_add(connector,
++                                       &vc4_fkms_connector_helper_funcs);
++      }
+       connector->polled = (DRM_CONNECTOR_POLL_CONNECT |
+                            DRM_CONNECTOR_POLL_DISCONNECT);
+@@ -865,6 +1126,7 @@ vc4_fkms_connector_init(struct drm_devic
+ static void vc4_fkms_encoder_destroy(struct drm_encoder *encoder)
+ {
++      DRM_DEBUG_KMS("Encoder_destroy\n");
+       drm_encoder_cleanup(encoder);
+ }
+@@ -874,10 +1136,12 @@ static const struct drm_encoder_funcs vc
+ static void vc4_fkms_encoder_enable(struct drm_encoder *encoder)
+ {
++      DRM_DEBUG_KMS("Encoder_enable\n");
+ }
+ static void vc4_fkms_encoder_disable(struct drm_encoder *encoder)
+ {
++      DRM_DEBUG_KMS("Encoder_disable\n");
+ }
+ static const struct drm_encoder_helper_funcs vc4_fkms_encoder_helper_funcs = {
+@@ -909,6 +1173,7 @@ static int vc4_fkms_create_screen(struct
+       crtc = &vc4_crtc->base;
+       vc4_crtc->display_number = display_ref;
++      vc4_crtc->display_type = vc4_get_display_type(display_ref);
+       /* Blank the firmware provided framebuffer */
+       rpi_firmware_property_list(vc4->firmware, &blank, sizeof(blank));
+@@ -952,13 +1217,14 @@ static int vc4_fkms_create_screen(struct
+               return -ENOMEM;
+       vc4_crtc->encoder = &vc4_encoder->base;
+       vc4_encoder->base.possible_crtcs |= drm_crtc_mask(crtc) ;
++
+       drm_encoder_init(drm, &vc4_encoder->base, &vc4_fkms_encoder_funcs,
+-                       DRM_MODE_ENCODER_TMDS, NULL);
++                       vc4_crtc->display_type, NULL);
+       drm_encoder_helper_add(&vc4_encoder->base,
+                              &vc4_fkms_encoder_helper_funcs);
+       vc4_crtc->connector = vc4_fkms_connector_init(drm, &vc4_encoder->base,
+-                                                    display_idx);
++                                                    display_ref);
+       if (IS_ERR(vc4_crtc->connector)) {
+               ret = PTR_ERR(vc4_crtc->connector);
+               goto err_destroy_encoder;
+--- a/include/soc/bcm2835/raspberrypi-firmware.h
++++ b/include/soc/bcm2835/raspberrypi-firmware.h
+@@ -75,6 +75,7 @@ enum rpi_firmware_property_tag {
+       RPI_FIRMWARE_GET_DISPMANX_RESOURCE_MEM_HANDLE =       0x00030014,
+       RPI_FIRMWARE_GET_EDID_BLOCK =                         0x00030020,
+       RPI_FIRMWARE_GET_CUSTOMER_OTP =                       0x00030021,
++      RPI_FIRMWARE_GET_EDID_BLOCK_DISPLAY =                 0x00030023,
+       RPI_FIRMWARE_GET_DOMAIN_STATE =                       0x00030030,
+       RPI_FIRMWARE_GET_THROTTLED =                          0x00030046,
+       RPI_FIRMWARE_GET_CLOCK_MEASURED =                     0x00030047,
+@@ -149,6 +150,7 @@ enum rpi_firmware_property_tag {
+       RPI_FIRMWARE_VCHIQ_INIT =                             0x00048010,
+       RPI_FIRMWARE_SET_PLANE =                              0x00048015,
++      RPI_FIRMWARE_SET_TIMING =                             0x00048017,
+       RPI_FIRMWARE_GET_COMMAND_LINE =                       0x00050001,
+       RPI_FIRMWARE_GET_DMA_CHANNELS =                       0x00060001,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0244-drm-vc4-Iterate-over-all-planes-in-vc4_crtc_-dis-en-.patch b/target/linux/bcm27xx/patches-5.4/950-0244-drm-vc4-Iterate-over-all-planes-in-vc4_crtc_-dis-en-.patch
deleted file mode 100644 (file)
index 05f50cd..0000000
+++ /dev/null
@@ -1,57 +0,0 @@
-From 016e6e68a119d3f4cae3c148433e2d661c7835be Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 10 Apr 2019 17:42:37 +0100
-Subject: [PATCH] drm: vc4: Iterate over all planes in
- vc4_crtc_[dis|en]able
-
-Fixes a FIXME where the overlay plane wouldn't be restored.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 20 +++++++++++---------
- 1 file changed, 11 insertions(+), 9 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -600,6 +600,8 @@ static void vc4_crtc_mode_set_nofb(struc
- static void vc4_crtc_disable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
- {
-+      struct drm_plane *plane;
-+
-       drm_crtc_vblank_off(crtc);
-       /* Always turn the planes off on CRTC disable. In DRM, planes
-@@ -609,23 +611,23 @@ static void vc4_crtc_disable(struct drm_
-        * give us a CRTC-level control for that.
-        */
--      vc4_plane_atomic_disable(crtc->cursor, crtc->cursor->state);
--      vc4_plane_atomic_disable(crtc->primary, crtc->primary->state);
--
--      /* FIXME: Disable overlay planes */
-+      drm_atomic_crtc_for_each_plane(plane, crtc)
-+              vc4_plane_atomic_disable(plane, plane->state);
- }
- static void vc4_crtc_enable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
- {
-+      struct drm_plane *plane;
-+
-       drm_crtc_vblank_on(crtc);
-+
-       /* Unblank the planes (if they're supposed to be displayed). */
-+      drm_atomic_crtc_for_each_plane(plane, crtc)
-+              if (plane->state->fb)
-+                      vc4_plane_set_blank(plane, plane->state->visible);
-+}
--      if (crtc->primary->state->fb)
--              vc4_plane_set_blank(crtc->primary, false);
--      if (crtc->cursor->state->fb)
--              vc4_plane_set_blank(crtc->cursor, crtc->cursor->state);
--      /* FIXME: Enable overlay planes */
- }
- static int vc4_crtc_atomic_check(struct drm_crtc *crtc,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0245-drm-vc4-Bring-fkms-into-line-with-kms-in-blocking-do.patch b/target/linux/bcm27xx/patches-5.4/950-0245-drm-vc4-Bring-fkms-into-line-with-kms-in-blocking-do.patch
deleted file mode 100644 (file)
index 10a655a..0000000
+++ /dev/null
@@ -1,47 +0,0 @@
-From 9185a16ce8804d35339402c6aec38a528cc2c7a1 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 10 Apr 2019 17:43:57 +0100
-Subject: [PATCH] drm: vc4: Bring fkms into line with kms in blocking
- doublescan modes
-
-Implement vc4_crtc_mode_valid so that it blocks doublescan modes
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 15 +++++++++++++--
- 1 file changed, 13 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -627,7 +627,17 @@ static void vc4_crtc_enable(struct drm_c
-                       vc4_plane_set_blank(plane, plane->state->visible);
- }
-+static enum drm_mode_status
-+vc4_crtc_mode_valid(struct drm_crtc *crtc, const struct drm_display_mode *mode)
-+{
-+      /* Do not allow doublescan modes from user space */
-+      if (mode->flags & DRM_MODE_FLAG_DBLSCAN) {
-+              DRM_DEBUG_KMS("[CRTC:%d] Doublescan mode rejected.\n",
-+                            crtc->base.id);
-+              return MODE_NO_DBLESCAN;
-+      }
-+      return MODE_OK;
- }
- static int vc4_crtc_atomic_check(struct drm_crtc *crtc,
-@@ -737,10 +747,11 @@ static const struct drm_crtc_funcs vc4_c
- static const struct drm_crtc_helper_funcs vc4_crtc_helper_funcs = {
-       .mode_set_nofb = vc4_crtc_mode_set_nofb,
--      .atomic_disable = vc4_crtc_disable,
--      .atomic_enable = vc4_crtc_enable,
-+      .mode_valid = vc4_crtc_mode_valid,
-       .atomic_check = vc4_crtc_atomic_check,
-       .atomic_flush = vc4_crtc_atomic_flush,
-+      .atomic_enable = vc4_crtc_enable,
-+      .atomic_disable = vc4_crtc_disable,
- };
- static const struct of_device_id vc4_firmware_kms_dt_match[] = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0245-drm-vc4-firmware-kms-Remove-incorrect-overscan-suppo.patch b/target/linux/bcm27xx/patches-5.4/950-0245-drm-vc4-firmware-kms-Remove-incorrect-overscan-suppo.patch
new file mode 100644 (file)
index 0000000..2a36579
--- /dev/null
@@ -0,0 +1,55 @@
+From 8848465a8f8934a06891823815c3176e394f5f1c Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 3 May 2019 13:58:03 +0100
+Subject: [PATCH] drm: vc4-firmware-kms: Remove incorrect overscan
+ support.
+
+The overscan support was required for the old mailbox API
+in order to match up the cursor and frame buffer planes.
+With the newer API directly talking to dispmanx there is no
+difference, therefore FKMS does not need to make any
+adjustments.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 15 ---------------
+ 1 file changed, 15 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -235,7 +235,6 @@ struct vc4_crtc {
+       void __iomem *regs;
+       struct drm_pending_vblank_event *event;
+-      u32 overscan[4];
+       bool vblank_enabled;
+       u32 display_number;
+       u32 display_type;
+@@ -471,11 +470,6 @@ static void vc4_plane_atomic_update(stru
+               break;
+       }
+-      if (vc4_crtc) {
+-              mb->plane.dst_x += vc4_crtc->overscan[0];
+-              mb->plane.dst_y += vc4_crtc->overscan[1];
+-      }
+-
+       DRM_DEBUG_ATOMIC("[PLANE:%d:%s] plane update %dx%d@%d +dst(%d,%d, %d,%d) +src(%d,%d, %d,%d) 0x%08x/%08x/%08x/%d, alpha %u zpos %u\n",
+                        plane->base.id, plane->name,
+                        mb->plane.width,
+@@ -1230,15 +1224,6 @@ static int vc4_fkms_create_screen(struct
+               goto err_destroy_encoder;
+       }
+-      ret = rpi_firmware_property(vc4->firmware,
+-                                  RPI_FIRMWARE_FRAMEBUFFER_GET_OVERSCAN,
+-                                  &vc4_crtc->overscan,
+-                                  sizeof(vc4_crtc->overscan));
+-      if (ret) {
+-              DRM_ERROR("Failed to get overscan state: 0x%08x\n", vc4_crtc->overscan[0]);
+-              memset(&vc4_crtc->overscan, 0, sizeof(vc4_crtc->overscan));
+-      }
+-
+       *ret_crtc = vc4_crtc;
+       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0246-drm-vc4-Increase-max_width-height-to-7680.patch b/target/linux/bcm27xx/patches-5.4/950-0246-drm-vc4-Increase-max_width-height-to-7680.patch
deleted file mode 100644 (file)
index 69d1470..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From 11801b1f71144478ab6c19a4c309667d340cb9e2 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 29 Apr 2019 18:45:00 +0100
-Subject: [PATCH] drm: vc4: Increase max_width/height to 7680.
-
-There are some limits still being investigated that stop
-us going up to 8192, but 7680 is sufficient for dual 4k
-displays.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_kms.c | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_kms.c
-@@ -536,8 +536,8 @@ int vc4_kms_load(struct drm_device *dev)
-               return ret;
-       }
--      dev->mode_config.max_width = 4096;
--      dev->mode_config.max_height = 4096;
-+      dev->mode_config.max_width = 7680;
-+      dev->mode_config.max_height = 7680;
-       dev->mode_config.funcs = &vc4_mode_funcs;
-       dev->mode_config.preferred_depth = 24;
-       dev->mode_config.async_page_flip = true;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0246-drm-vc4-Log-flags-in-fkms-mode-set.patch b/target/linux/bcm27xx/patches-5.4/950-0246-drm-vc4-Log-flags-in-fkms-mode-set.patch
new file mode 100644 (file)
index 0000000..caca1c9
--- /dev/null
@@ -0,0 +1,31 @@
+From 0a93d1777bdd640a717a019ab53ab5c231dfa875 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 7 May 2019 12:13:34 +0100
+Subject: [PATCH] drm: vc4: Log flags in fkms mode set
+
+The flags contain info such as limited/full range RGB, aspect
+ratio, and a fwe other useful things.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 5 +++--
+ 1 file changed, 3 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -687,12 +687,13 @@ static void vc4_crtc_mode_set_nofb(struc
+               return;
+       }
+-      DRM_DEBUG_KMS("Setting mode for display num %u mode name %s, clk %d, h(disp %d, start %d, end %d, total %d, skew %d) v(disp %d, start %d, end %d, total %d, scan %d), vrefresh %d, par %u\n",
++      DRM_DEBUG_KMS("Setting mode for display num %u mode name %s, clk %d, h(disp %d, start %d, end %d, total %d, skew %d) v(disp %d, start %d, end %d, total %d, scan %d), vrefresh %d, par %u, flags 0x%04x\n",
+                     vc4_crtc->display_number, mode->name, mode->clock,
+                     mode->hdisplay, mode->hsync_start, mode->hsync_end,
+                     mode->htotal, mode->hskew, mode->vdisplay,
+                     mode->vsync_start, mode->vsync_end, mode->vtotal,
+-                    mode->vscan, mode->vrefresh, mode->picture_aspect_ratio);
++                    mode->vscan, mode->vrefresh, mode->picture_aspect_ratio,
++                    mode->flags);
+       mb.timings.display = vc4_crtc->display_number;
+       mb.timings.video_id_code = frame.avi.video_code;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0247-drm-vc4-FKMS-reads-the-EDID-from-fw-and-supports-mod.patch b/target/linux/bcm27xx/patches-5.4/950-0247-drm-vc4-FKMS-reads-the-EDID-from-fw-and-supports-mod.patch
deleted file mode 100644 (file)
index 9240056..0000000
+++ /dev/null
@@ -1,557 +0,0 @@
-From 52add140c76c0a211ab340ced8e7e1ea8bef9c79 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 9 Apr 2019 18:23:41 +0100
-Subject: [PATCH] drm: vc4: FKMS reads the EDID from fw, and supports
- mode setting
-
-This extends FKMS to read the EDID from the display, and support
-requesting a particular mode via KMS.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c     | 334 ++++++++++++++++++---
- include/soc/bcm2835/raspberrypi-firmware.h |   2 +
- 2 files changed, 302 insertions(+), 34 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -91,11 +91,60 @@ struct mailbox_blank_display {
-       u32 blank;
- };
--struct mailbox_get_width_height {
-+struct mailbox_get_edid {
-       struct rpi_firmware_property_tag_header tag1;
--      u32 display;
--      struct rpi_firmware_property_tag_header tag2;
--      u32 wh[2];
-+      u32 block;
-+      u32 display_number;
-+      u8 edid[128];
-+};
-+
-+struct set_timings {
-+      u8 display;
-+      u8 padding;
-+      u16 video_id_code;
-+
-+      u32 clock;              /* in kHz */
-+
-+      u16 hdisplay;
-+      u16 hsync_start;
-+
-+      u16 hsync_end;
-+      u16 htotal;
-+
-+      u16 hskew;
-+      u16 vdisplay;
-+
-+      u16 vsync_start;
-+      u16 vsync_end;
-+
-+      u16 vtotal;
-+      u16 vscan;
-+
-+      u16 vrefresh;
-+      u16 padding2;
-+
-+      u32 flags;
-+#define  TIMINGS_FLAGS_H_SYNC_POS     BIT(0)
-+#define  TIMINGS_FLAGS_H_SYNC_NEG     0
-+#define  TIMINGS_FLAGS_V_SYNC_POS     BIT(1)
-+#define  TIMINGS_FLAGS_V_SYNC_NEG     0
-+
-+#define TIMINGS_FLAGS_ASPECT_MASK     GENMASK(7, 4)
-+#define TIMINGS_FLAGS_ASPECT_NONE     (0 << 4)
-+#define TIMINGS_FLAGS_ASPECT_4_3      (1 << 4)
-+#define TIMINGS_FLAGS_ASPECT_16_9     (2 << 4)
-+#define TIMINGS_FLAGS_ASPECT_64_27    (3 << 4)
-+#define TIMINGS_FLAGS_ASPECT_256_135  (4 << 4)
-+
-+/* Limited range RGB flag. Not set corresponds to full range. */
-+#define TIMINGS_FLAGS_RGB_LIMITED     BIT(8)
-+/* DVI monitor, therefore disable infoframes. Not set corresponds to HDMI. */
-+#define TIMINGS_FLAGS_DVI             BIT(9)
-+};
-+
-+struct mailbox_set_mode {
-+      struct rpi_firmware_property_tag_header tag1;
-+      struct set_timings timings;
- };
- static const struct vc_image_format {
-@@ -189,6 +238,7 @@ struct vc4_crtc {
-       u32 overscan[4];
-       bool vblank_enabled;
-       u32 display_number;
-+      u32 display_type;
- };
- static inline struct vc4_crtc *to_vc4_crtc(struct drm_crtc *crtc)
-@@ -198,6 +248,8 @@ static inline struct vc4_crtc *to_vc4_cr
- struct vc4_fkms_encoder {
-       struct drm_encoder base;
-+      bool hdmi_monitor;
-+      bool rgb_range_selectable;
- };
- static inline struct vc4_fkms_encoder *
-@@ -215,7 +267,9 @@ struct vc4_fkms_connector {
-        * hook.
-        */
-       struct drm_encoder *encoder;
--      u32 display_idx;
-+      struct vc4_dev *vc4_dev;
-+      u32 display_number;
-+      u32 display_type;
- };
- static inline struct vc4_fkms_connector *
-@@ -224,6 +278,26 @@ to_vc4_fkms_connector(struct drm_connect
-       return container_of(connector, struct vc4_fkms_connector, base);
- }
-+static u32 vc4_get_display_type(u32 display_number)
-+{
-+      const u32 display_types[] = {
-+              /* The firmware display (DispmanX) IDs map to specific types in
-+               * a fixed manner.
-+               */
-+              DRM_MODE_ENCODER_DSI,   /* MAIN_LCD */
-+              DRM_MODE_ENCODER_DSI,   /* AUX_LCD */
-+              DRM_MODE_ENCODER_TMDS,  /* HDMI0 */
-+              DRM_MODE_ENCODER_TVDAC, /* VEC */
-+              DRM_MODE_ENCODER_NONE,  /* FORCE_LCD */
-+              DRM_MODE_ENCODER_NONE,  /* FORCE_TV */
-+              DRM_MODE_ENCODER_NONE,  /* FORCE_OTHER */
-+              DRM_MODE_ENCODER_TMDS,  /* HDMI1 */
-+              DRM_MODE_ENCODER_NONE,  /* FORCE_TV2 */
-+      };
-+      return display_number > ARRAY_SIZE(display_types) - 1 ?
-+                      DRM_MODE_ENCODER_NONE : display_types[display_number];
-+}
-+
- /* Firmware's structure for making an FB mbox call. */
- struct fbinfo_s {
-       u32 xres, yres, xres_virtual, yres_virtual;
-@@ -258,10 +332,15 @@ static int vc4_plane_set_blank(struct dr
-                       .plane_id = vc4_plane->mb.plane.plane_id,
-               }
-       };
-+      static const char * const plane_types[] = {
-+                                                      "overlay",
-+                                                      "primary",
-+                                                      "cursor"
-+                                                };
-       int ret;
--      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] overlay plane %s",
--                       plane->base.id, plane->name,
-+      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] %s plane %s",
-+                       plane->base.id, plane->name, plane_types[plane->type],
-                        blank ? "blank" : "unblank");
-       if (blank)
-@@ -595,13 +674,102 @@ fail:
- static void vc4_crtc_mode_set_nofb(struct drm_crtc *crtc)
- {
--      /* Everyting is handled in the planes. */
-+      struct drm_device *dev = crtc->dev;
-+      struct vc4_dev *vc4 = to_vc4_dev(dev);
-+      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-+      struct drm_display_mode *mode = &crtc->state->adjusted_mode;
-+      struct vc4_fkms_encoder *vc4_encoder =
-+                                      to_vc4_fkms_encoder(vc4_crtc->encoder);
-+      struct mailbox_set_mode mb = {
-+              .tag1 = { RPI_FIRMWARE_SET_TIMING,
-+                        sizeof(struct set_timings), 0},
-+      };
-+      union hdmi_infoframe frame;
-+      int ret;
-+
-+      ret = drm_hdmi_avi_infoframe_from_display_mode(&frame.avi, vc4_crtc->connector, mode);
-+      if (ret < 0) {
-+              DRM_ERROR("couldn't fill AVI infoframe\n");
-+              return;
-+      }
-+
-+      DRM_DEBUG_KMS("Setting mode for display num %u mode name %s, clk %d, h(disp %d, start %d, end %d, total %d, skew %d) v(disp %d, start %d, end %d, total %d, scan %d), vrefresh %d, par %u\n",
-+                    vc4_crtc->display_number, mode->name, mode->clock,
-+                    mode->hdisplay, mode->hsync_start, mode->hsync_end,
-+                    mode->htotal, mode->hskew, mode->vdisplay,
-+                    mode->vsync_start, mode->vsync_end, mode->vtotal,
-+                    mode->vscan, mode->vrefresh, mode->picture_aspect_ratio);
-+      mb.timings.display = vc4_crtc->display_number;
-+
-+      mb.timings.video_id_code = frame.avi.video_code;
-+
-+      mb.timings.clock = mode->clock;
-+      mb.timings.hdisplay = mode->hdisplay;
-+      mb.timings.hsync_start = mode->hsync_start;
-+      mb.timings.hsync_end = mode->hsync_end;
-+      mb.timings.htotal = mode->htotal;
-+      mb.timings.hskew = mode->hskew;
-+      mb.timings.vdisplay = mode->vdisplay;
-+      mb.timings.vsync_start = mode->vsync_start;
-+      mb.timings.vsync_end = mode->vsync_end;
-+      mb.timings.vtotal = mode->vtotal;
-+      mb.timings.vscan = mode->vscan;
-+      mb.timings.vrefresh = 0;
-+      mb.timings.flags = 0;
-+      if (mode->flags & DRM_MODE_FLAG_PHSYNC)
-+              mb.timings.flags |= TIMINGS_FLAGS_H_SYNC_POS;
-+      if (mode->flags & DRM_MODE_FLAG_PVSYNC)
-+              mb.timings.flags |= TIMINGS_FLAGS_V_SYNC_POS;
-+
-+      switch (frame.avi.picture_aspect) {
-+      default:
-+      case HDMI_PICTURE_ASPECT_NONE:
-+              mode->flags |= TIMINGS_FLAGS_ASPECT_NONE;
-+              break;
-+      case HDMI_PICTURE_ASPECT_4_3:
-+              mode->flags |= TIMINGS_FLAGS_ASPECT_4_3;
-+              break;
-+      case HDMI_PICTURE_ASPECT_16_9:
-+              mode->flags |= TIMINGS_FLAGS_ASPECT_16_9;
-+              break;
-+      case HDMI_PICTURE_ASPECT_64_27:
-+              mode->flags |= TIMINGS_FLAGS_ASPECT_64_27;
-+              break;
-+      case HDMI_PICTURE_ASPECT_256_135:
-+              mode->flags |= TIMINGS_FLAGS_ASPECT_256_135;
-+              break;
-+      }
-+
-+      if (!vc4_encoder->hdmi_monitor)
-+              mb.timings.flags |= TIMINGS_FLAGS_DVI;
-+      else if (drm_default_rgb_quant_range(mode) ==
-+                                      HDMI_QUANTIZATION_RANGE_LIMITED)
-+              mb.timings.flags |= TIMINGS_FLAGS_RGB_LIMITED;
-+
-+      /*
-+      FIXME: To implement
-+      switch(mode->flag & DRM_MODE_FLAG_3D_MASK) {
-+      case DRM_MODE_FLAG_3D_NONE:
-+      case DRM_MODE_FLAG_3D_FRAME_PACKING:
-+      case DRM_MODE_FLAG_3D_FIELD_ALTERNATIVE:
-+      case DRM_MODE_FLAG_3D_LINE_ALTERNATIVE:
-+      case DRM_MODE_FLAG_3D_SIDE_BY_SIDE_FULL:
-+      case DRM_MODE_FLAG_3D_L_DEPTH:
-+      case DRM_MODE_FLAG_3D_L_DEPTH_GFX_GFX_DEPTH:
-+      case DRM_MODE_FLAG_3D_TOP_AND_BOTTOM:
-+      case DRM_MODE_FLAG_3D_SIDE_BY_SIDE_HALF:
-+      }
-+      */
-+
-+      ret = rpi_firmware_property_list(vc4->firmware, &mb, sizeof(mb));
- }
- static void vc4_crtc_disable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
- {
-       struct drm_plane *plane;
-+      DRM_DEBUG_KMS("[CRTC:%d] vblanks off.\n",
-+                    crtc->base.id);
-       drm_crtc_vblank_off(crtc);
-       /* Always turn the planes off on CRTC disable. In DRM, planes
-@@ -619,6 +787,8 @@ static void vc4_crtc_enable(struct drm_c
- {
-       struct drm_plane *plane;
-+      DRM_DEBUG_KMS("[CRTC:%d] vblanks on.\n",
-+                    crtc->base.id);
-       drm_crtc_vblank_on(crtc);
-       /* Unblank the planes (if they're supposed to be displayed). */
-@@ -637,12 +807,20 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
-               return MODE_NO_DBLESCAN;
-       }
-+      /* Limit the pixel clock until we can get dynamic HDMI 2.0 scrambling
-+       * working.
-+       */
-+      if (mode->clock > 340000)
-+              return MODE_CLOCK_HIGH;
-+
-       return MODE_OK;
- }
- static int vc4_crtc_atomic_check(struct drm_crtc *crtc,
-                                struct drm_crtc_state *state)
- {
-+      DRM_DEBUG_KMS("[CRTC:%d] crtc_atomic_check.\n",
-+                    crtc->base.id);
-       return 0;
- }
-@@ -652,6 +830,8 @@ static void vc4_crtc_atomic_flush(struct
-       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-       struct drm_device *dev = crtc->dev;
-+      DRM_DEBUG_KMS("[CRTC:%d] crtc_atomic_flush.\n",
-+                    crtc->base.id);
-       if (crtc->state->event) {
-               unsigned long flags;
-@@ -719,6 +899,8 @@ static int vc4_fkms_enable_vblank(struct
- {
-       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-+      DRM_DEBUG_KMS("[CRTC:%d] enable_vblank.\n",
-+                    crtc->base.id);
-       vc4_crtc->vblank_enabled = true;
-       return 0;
-@@ -728,6 +910,8 @@ static void vc4_fkms_disable_vblank(stru
- {
-       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-+      DRM_DEBUG_KMS("[CRTC:%d] disable_vblank.\n",
-+                    crtc->base.id);
-       vc4_crtc->vblank_enabled = false;
- }
-@@ -762,36 +946,92 @@ static const struct of_device_id vc4_fir
- static enum drm_connector_status
- vc4_fkms_connector_detect(struct drm_connector *connector, bool force)
- {
-+      DRM_DEBUG_KMS("connector detect.\n");
-       return connector_status_connected;
- }
--static int vc4_fkms_connector_get_modes(struct drm_connector *connector)
-+static int vc4_fkms_get_edid_block(void *data, u8 *buf, unsigned int block,
-+                                 size_t len)
- {
--      struct drm_device *dev = connector->dev;
-       struct vc4_fkms_connector *fkms_connector =
--              to_vc4_fkms_connector(connector);
--      struct vc4_dev *vc4 = to_vc4_dev(dev);
--      struct drm_display_mode *mode;
--      struct mailbox_get_width_height wh = {
--              .tag1 = {RPI_FIRMWARE_FRAMEBUFFER_SET_DISPLAY_NUM, 4, 0, },
--              .display = fkms_connector->display_idx,
--              .tag2 = { RPI_FIRMWARE_FRAMEBUFFER_GET_PHYSICAL_WIDTH_HEIGHT,
--                        8, 0, },
-+                                      (struct vc4_fkms_connector *)data;
-+      struct vc4_dev *vc4 = fkms_connector->vc4_dev;
-+      struct mailbox_get_edid mb = {
-+              .tag1 = { RPI_FIRMWARE_GET_EDID_BLOCK_DISPLAY,
-+                        128 + 8, 0 },
-+              .block = block,
-+              .display_number = fkms_connector->display_number,
-       };
--      int ret;
-+      int ret = 0;
-+
-+      ret = rpi_firmware_property_list(vc4->firmware, &mb, sizeof(mb));
-+
-+      if (!ret)
-+              memcpy(buf, mb.edid, len);
-+
-+      return ret;
-+}
-+
-+static int vc4_fkms_connector_get_modes(struct drm_connector *connector)
-+{
-+      struct vc4_fkms_connector *fkms_connector =
-+                                      to_vc4_fkms_connector(connector);
-+      struct drm_encoder *encoder = fkms_connector->encoder;
-+      struct vc4_fkms_encoder *vc4_encoder = to_vc4_fkms_encoder(encoder);
-+      int ret = 0;
-+      struct edid *edid;
-+
-+      edid = drm_do_get_edid(connector, vc4_fkms_get_edid_block,
-+                             fkms_connector);
-+
-+      /* FIXME: Can we do CEC?
-+       * cec_s_phys_addr_from_edid(vc4->hdmi->cec_adap, edid);
-+       * if (!edid)
-+       *      return -ENODEV;
-+       */
-+
-+      vc4_encoder->hdmi_monitor = drm_detect_hdmi_monitor(edid);
--      ret = rpi_firmware_property_list(vc4->firmware, &wh, sizeof(wh));
-+      if (edid && edid->input & DRM_EDID_INPUT_DIGITAL) {
-+              vc4_encoder->rgb_range_selectable =
-+                      drm_rgb_quant_range_selectable(edid);
-+      }
-+
-+      drm_connector_update_edid_property(connector, edid);
-+      ret = drm_add_edid_modes(connector, edid);
-+      kfree(edid);
-+
-+      return ret;
-+}
-+
-+/* FIXME: Read LCD mode from the firmware. This is the DSI panel resolution. */
-+static const struct drm_display_mode lcd_mode = {
-+      DRM_MODE("800x480", DRM_MODE_TYPE_DRIVER | DRM_MODE_TYPE_PREFERRED,
-+               25979400 / 1000,
-+               800, 800 + 1, 800 + 1 + 2, 800 + 1 + 2 + 46, 0,
-+               480, 480 + 7, 480 + 7 + 2, 480 + 7 + 2 + 21, 0,
-+               DRM_MODE_FLAG_INTERLACE)
-+};
-+
-+static int vc4_fkms_lcd_connector_get_modes(struct drm_connector *connector)
-+{
-+      //struct vc4_fkms_connector *fkms_connector =
-+      //                              to_vc4_fkms_connector(connector);
-+      //struct drm_encoder *encoder = fkms_connector->encoder;
-+      //struct vc4_fkms_encoder *vc4_encoder = to_vc4_fkms_encoder(encoder);
-+      struct drm_display_mode *mode;
-+      //int ret = 0;
--      if (ret) {
--              DRM_ERROR("Failed to get screen size: %d (0x%08x 0x%08x)\n",
--                        ret, wh.wh[0], wh.wh[1]);
--              return 0;
-+      mode = drm_mode_duplicate(connector->dev,
-+                                &lcd_mode);
-+      if (!mode) {
-+              DRM_ERROR("Failed to create a new display mode\n");
-+              return -ENOMEM;
-       }
--      mode = drm_cvt_mode(dev, wh.wh[0], wh.wh[1], 60 /* vrefresh */,
--                          0, 0, false);
-       drm_mode_probed_add(connector, mode);
-+      /* We have one mode */
-       return 1;
- }
-@@ -800,11 +1040,14 @@ vc4_fkms_connector_best_encoder(struct d
- {
-       struct vc4_fkms_connector *fkms_connector =
-               to_vc4_fkms_connector(connector);
-+      DRM_DEBUG_KMS("best_connector.\n");
-       return fkms_connector->encoder;
- }
- static void vc4_fkms_connector_destroy(struct drm_connector *connector)
- {
-+      DRM_DEBUG_KMS("[CONNECTOR:%d] destroy.\n",
-+                    connector->base.id);
-       drm_connector_unregister(connector);
-       drm_connector_cleanup(connector);
- }
-@@ -823,14 +1066,22 @@ static const struct drm_connector_helper
-       .best_encoder = vc4_fkms_connector_best_encoder,
- };
-+static const struct drm_connector_helper_funcs vc4_fkms_lcd_conn_helper_funcs = {
-+      .get_modes = vc4_fkms_lcd_connector_get_modes,
-+      .best_encoder = vc4_fkms_connector_best_encoder,
-+};
-+
- static struct drm_connector *
- vc4_fkms_connector_init(struct drm_device *dev, struct drm_encoder *encoder,
--                      u32 display_idx)
-+                      u32 display_num)
- {
-       struct drm_connector *connector = NULL;
-       struct vc4_fkms_connector *fkms_connector;
-+      struct vc4_dev *vc4_dev = to_vc4_dev(dev);
-       int ret = 0;
-+      DRM_DEBUG_KMS("connector_init, display_num %u\n", display_num);
-+
-       fkms_connector = devm_kzalloc(dev->dev, sizeof(*fkms_connector),
-                                     GFP_KERNEL);
-       if (!fkms_connector) {
-@@ -840,11 +1091,21 @@ vc4_fkms_connector_init(struct drm_devic
-       connector = &fkms_connector->base;
-       fkms_connector->encoder = encoder;
--      fkms_connector->display_idx = display_idx;
--
--      drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
--                         DRM_MODE_CONNECTOR_HDMIA);
--      drm_connector_helper_add(connector, &vc4_fkms_connector_helper_funcs);
-+      fkms_connector->display_number = display_num;
-+      fkms_connector->display_type = vc4_get_display_type(display_num);
-+      fkms_connector->vc4_dev = vc4_dev;
-+
-+      if (fkms_connector->display_type == DRM_MODE_ENCODER_DSI) {
-+              drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
-+                                 DRM_MODE_CONNECTOR_DSI);
-+              drm_connector_helper_add(connector,
-+                                       &vc4_fkms_lcd_conn_helper_funcs);
-+      } else {
-+              drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
-+                                 DRM_MODE_CONNECTOR_HDMIA);
-+              drm_connector_helper_add(connector,
-+                                       &vc4_fkms_connector_helper_funcs);
-+      }
-       connector->polled = (DRM_CONNECTOR_POLL_CONNECT |
-                            DRM_CONNECTOR_POLL_DISCONNECT);
-@@ -865,6 +1126,7 @@ vc4_fkms_connector_init(struct drm_devic
- static void vc4_fkms_encoder_destroy(struct drm_encoder *encoder)
- {
-+      DRM_DEBUG_KMS("Encoder_destroy\n");
-       drm_encoder_cleanup(encoder);
- }
-@@ -874,10 +1136,12 @@ static const struct drm_encoder_funcs vc
- static void vc4_fkms_encoder_enable(struct drm_encoder *encoder)
- {
-+      DRM_DEBUG_KMS("Encoder_enable\n");
- }
- static void vc4_fkms_encoder_disable(struct drm_encoder *encoder)
- {
-+      DRM_DEBUG_KMS("Encoder_disable\n");
- }
- static const struct drm_encoder_helper_funcs vc4_fkms_encoder_helper_funcs = {
-@@ -909,6 +1173,7 @@ static int vc4_fkms_create_screen(struct
-       crtc = &vc4_crtc->base;
-       vc4_crtc->display_number = display_ref;
-+      vc4_crtc->display_type = vc4_get_display_type(display_ref);
-       /* Blank the firmware provided framebuffer */
-       rpi_firmware_property_list(vc4->firmware, &blank, sizeof(blank));
-@@ -952,13 +1217,14 @@ static int vc4_fkms_create_screen(struct
-               return -ENOMEM;
-       vc4_crtc->encoder = &vc4_encoder->base;
-       vc4_encoder->base.possible_crtcs |= drm_crtc_mask(crtc) ;
-+
-       drm_encoder_init(drm, &vc4_encoder->base, &vc4_fkms_encoder_funcs,
--                       DRM_MODE_ENCODER_TMDS, NULL);
-+                       vc4_crtc->display_type, NULL);
-       drm_encoder_helper_add(&vc4_encoder->base,
-                              &vc4_fkms_encoder_helper_funcs);
-       vc4_crtc->connector = vc4_fkms_connector_init(drm, &vc4_encoder->base,
--                                                    display_idx);
-+                                                    display_ref);
-       if (IS_ERR(vc4_crtc->connector)) {
-               ret = PTR_ERR(vc4_crtc->connector);
-               goto err_destroy_encoder;
---- a/include/soc/bcm2835/raspberrypi-firmware.h
-+++ b/include/soc/bcm2835/raspberrypi-firmware.h
-@@ -75,6 +75,7 @@ enum rpi_firmware_property_tag {
-       RPI_FIRMWARE_GET_DISPMANX_RESOURCE_MEM_HANDLE =       0x00030014,
-       RPI_FIRMWARE_GET_EDID_BLOCK =                         0x00030020,
-       RPI_FIRMWARE_GET_CUSTOMER_OTP =                       0x00030021,
-+      RPI_FIRMWARE_GET_EDID_BLOCK_DISPLAY =                 0x00030023,
-       RPI_FIRMWARE_GET_DOMAIN_STATE =                       0x00030030,
-       RPI_FIRMWARE_GET_THROTTLED =                          0x00030046,
-       RPI_FIRMWARE_GET_CLOCK_MEASURED =                     0x00030047,
-@@ -149,6 +150,7 @@ enum rpi_firmware_property_tag {
-       RPI_FIRMWARE_VCHIQ_INIT =                             0x00048010,
-       RPI_FIRMWARE_SET_PLANE =                              0x00048015,
-+      RPI_FIRMWARE_SET_TIMING =                             0x00048017,
-       RPI_FIRMWARE_GET_COMMAND_LINE =                       0x00050001,
-       RPI_FIRMWARE_GET_DMA_CHANNELS =                       0x00060001,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0247-drm-vc4-firmware-kms-Fix-DSI-display-support.patch b/target/linux/bcm27xx/patches-5.4/950-0247-drm-vc4-firmware-kms-Fix-DSI-display-support.patch
new file mode 100644 (file)
index 0000000..450c156
--- /dev/null
@@ -0,0 +1,25 @@
+From b0f9ee06c9e611592715f9d9d31170d55d2aeded Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 16 May 2019 17:49:42 +0100
+Subject: [PATCH] drm: vc4-firmware-kms: Fix DSI display support
+
+The mode was incorrectly listed as interlaced, which was then
+rejected.
+Correct this and FKMS works with the DSI display.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -1005,7 +1005,7 @@ static const struct drm_display_mode lcd
+                25979400 / 1000,
+                800, 800 + 1, 800 + 1 + 2, 800 + 1 + 2 + 46, 0,
+                480, 480 + 7, 480 + 7 + 2, 480 + 7 + 2 + 21, 0,
+-               DRM_MODE_FLAG_INTERLACE)
++               0)
+ };
+ static int vc4_fkms_lcd_connector_get_modes(struct drm_connector *connector)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0248-drm-vc4-Probe-DPI-DSI-timings-from-the-firmware.patch b/target/linux/bcm27xx/patches-5.4/950-0248-drm-vc4-Probe-DPI-DSI-timings-from-the-firmware.patch
new file mode 100644 (file)
index 0000000..a917540
--- /dev/null
@@ -0,0 +1,138 @@
+From e8f126acd6da4f7d2e0df3c735fccf9971d95254 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 21 May 2019 11:50:00 +0100
+Subject: [PATCH] drm: vc4: Probe DPI/DSI timings from the firmware
+
+For DPI and DSI displays query the firmware as to the configuration
+and add it as the only mode for DRM.
+
+In theory we can add plumbing for setting the DPI/DSI mode from
+KMS, but this is not being added at present as the support frameworks
+aren't present in the firmware.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c     | 64 ++++++++++++++++------
+ include/soc/bcm2835/raspberrypi-firmware.h |  1 +
+ 2 files changed, 49 insertions(+), 16 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -248,7 +248,6 @@ static inline struct vc4_crtc *to_vc4_cr
+ struct vc4_fkms_encoder {
+       struct drm_encoder base;
+       bool hdmi_monitor;
+-      bool rgb_range_selectable;
+ };
+ static inline struct vc4_fkms_encoder *
+@@ -283,7 +282,7 @@ static u32 vc4_get_display_type(u32 disp
+               /* The firmware display (DispmanX) IDs map to specific types in
+                * a fixed manner.
+                */
+-              DRM_MODE_ENCODER_DSI,   /* MAIN_LCD */
++              DRM_MODE_ENCODER_DSI,   /* MAIN_LCD - DSI or DPI */
+               DRM_MODE_ENCODER_DSI,   /* AUX_LCD */
+               DRM_MODE_ENCODER_TMDS,  /* HDMI0 */
+               DRM_MODE_ENCODER_TVDAC, /* VEC */
+@@ -365,7 +364,6 @@ static void vc4_plane_atomic_update(stru
+                                       vc4_get_vc_image_fmt(drm_fmt->format);
+       struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
+       struct mailbox_set_plane *mb = &vc4_plane->mb;
+-      struct vc4_crtc *vc4_crtc = to_vc4_crtc(state->crtc);
+       int num_planes = fb->format->num_planes;
+       struct drm_display_mode *mode = &state->crtc->mode;
+       unsigned int rotation = SUPPORTED_ROTATIONS;
+@@ -987,11 +985,6 @@ static int vc4_fkms_connector_get_modes(
+       vc4_encoder->hdmi_monitor = drm_detect_hdmi_monitor(edid);
+-      if (edid && edid->input & DRM_EDID_INPUT_DIGITAL) {
+-              vc4_encoder->rgb_range_selectable =
+-                      drm_rgb_quant_range_selectable(edid);
+-      }
+-
+       drm_connector_update_edid_property(connector, edid);
+       ret = drm_add_edid_modes(connector, edid);
+       kfree(edid);
+@@ -999,7 +992,9 @@ static int vc4_fkms_connector_get_modes(
+       return ret;
+ }
+-/* FIXME: Read LCD mode from the firmware. This is the DSI panel resolution. */
++/* This is the DSI panel resolution. Use this as a default should the firmware
++ * not respond to our request for the timings.
++ */
+ static const struct drm_display_mode lcd_mode = {
+       DRM_MODE("800x480", DRM_MODE_TYPE_DRIVER | DRM_MODE_TYPE_PREFERRED,
+                25979400 / 1000,
+@@ -1010,15 +1005,52 @@ static const struct drm_display_mode lcd
+ static int vc4_fkms_lcd_connector_get_modes(struct drm_connector *connector)
+ {
+-      //struct vc4_fkms_connector *fkms_connector =
+-      //                              to_vc4_fkms_connector(connector);
+-      //struct drm_encoder *encoder = fkms_connector->encoder;
+-      //struct vc4_fkms_encoder *vc4_encoder = to_vc4_fkms_encoder(encoder);
++      struct vc4_fkms_connector *fkms_connector =
++                                      to_vc4_fkms_connector(connector);
++      struct vc4_dev *vc4 = fkms_connector->vc4_dev;
+       struct drm_display_mode *mode;
+-      //int ret = 0;
++      struct mailbox_set_mode mb = {
++              .tag1 = { RPI_FIRMWARE_GET_DISPLAY_TIMING,
++                        sizeof(struct set_timings), 0},
++              .timings = { .display = fkms_connector->display_number },
++      };
++      struct drm_display_mode fw_mode;
++      int ret = 0;
++
++      ret = rpi_firmware_property_list(vc4->firmware, &mb, sizeof(mb));
++      if (!ret) {
++              /* Equivalent to DRM_MODE macro. */
++              memset(&fw_mode, 0, sizeof(fw_mode));
++              strncpy(fw_mode.name, "LCD_MODE", sizeof(fw_mode.name));
++              fw_mode.status = 0;
++              fw_mode.type = DRM_MODE_TYPE_DRIVER | DRM_MODE_TYPE_PREFERRED;
++              fw_mode.clock = mb.timings.clock;
++              fw_mode.hdisplay = mb.timings.hdisplay;
++              fw_mode.hsync_start = mb.timings.hsync_start;
++              fw_mode.hsync_end = mb.timings.hsync_end;
++              fw_mode.htotal = mb.timings.htotal;
++              fw_mode.hskew = 0;
++              fw_mode.vdisplay = mb.timings.vdisplay;
++              fw_mode.vsync_start = mb.timings.vsync_start;
++              fw_mode.vsync_end = mb.timings.vsync_end;
++              fw_mode.vtotal = mb.timings.vtotal;
++              fw_mode.vscan = mb.timings.vscan;
++              if (mb.timings.flags & TIMINGS_FLAGS_H_SYNC_POS)
++                      fw_mode.flags |= DRM_MODE_FLAG_PHSYNC;
++              else
++                      fw_mode.flags |= DRM_MODE_FLAG_NHSYNC;
++              if (mb.timings.flags & TIMINGS_FLAGS_V_SYNC_POS)
++                      fw_mode.flags |= DRM_MODE_FLAG_PVSYNC;
++              else
++                      fw_mode.flags |= DRM_MODE_FLAG_NVSYNC;
++
++              mode = drm_mode_duplicate(connector->dev,
++                                        &fw_mode);
++      } else {
++              mode = drm_mode_duplicate(connector->dev,
++                                        &lcd_mode);
++      }
+-      mode = drm_mode_duplicate(connector->dev,
+-                                &lcd_mode);
+       if (!mode) {
+               DRM_ERROR("Failed to create a new display mode\n");
+               return -ENOMEM;
+--- a/include/soc/bcm2835/raspberrypi-firmware.h
++++ b/include/soc/bcm2835/raspberrypi-firmware.h
+@@ -150,6 +150,7 @@ enum rpi_firmware_property_tag {
+       RPI_FIRMWARE_VCHIQ_INIT =                             0x00048010,
+       RPI_FIRMWARE_SET_PLANE =                              0x00048015,
++      RPI_FIRMWARE_GET_DISPLAY_TIMING =                     0x00040017,
+       RPI_FIRMWARE_SET_TIMING =                             0x00048017,
+       RPI_FIRMWARE_GET_COMMAND_LINE =                       0x00050001,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0248-drm-vc4-firmware-kms-Remove-incorrect-overscan-suppo.patch b/target/linux/bcm27xx/patches-5.4/950-0248-drm-vc4-firmware-kms-Remove-incorrect-overscan-suppo.patch
deleted file mode 100644 (file)
index 2a36579..0000000
+++ /dev/null
@@ -1,55 +0,0 @@
-From 8848465a8f8934a06891823815c3176e394f5f1c Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 3 May 2019 13:58:03 +0100
-Subject: [PATCH] drm: vc4-firmware-kms: Remove incorrect overscan
- support.
-
-The overscan support was required for the old mailbox API
-in order to match up the cursor and frame buffer planes.
-With the newer API directly talking to dispmanx there is no
-difference, therefore FKMS does not need to make any
-adjustments.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 15 ---------------
- 1 file changed, 15 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -235,7 +235,6 @@ struct vc4_crtc {
-       void __iomem *regs;
-       struct drm_pending_vblank_event *event;
--      u32 overscan[4];
-       bool vblank_enabled;
-       u32 display_number;
-       u32 display_type;
-@@ -471,11 +470,6 @@ static void vc4_plane_atomic_update(stru
-               break;
-       }
--      if (vc4_crtc) {
--              mb->plane.dst_x += vc4_crtc->overscan[0];
--              mb->plane.dst_y += vc4_crtc->overscan[1];
--      }
--
-       DRM_DEBUG_ATOMIC("[PLANE:%d:%s] plane update %dx%d@%d +dst(%d,%d, %d,%d) +src(%d,%d, %d,%d) 0x%08x/%08x/%08x/%d, alpha %u zpos %u\n",
-                        plane->base.id, plane->name,
-                        mb->plane.width,
-@@ -1230,15 +1224,6 @@ static int vc4_fkms_create_screen(struct
-               goto err_destroy_encoder;
-       }
--      ret = rpi_firmware_property(vc4->firmware,
--                                  RPI_FIRMWARE_FRAMEBUFFER_GET_OVERSCAN,
--                                  &vc4_crtc->overscan,
--                                  sizeof(vc4_crtc->overscan));
--      if (ret) {
--              DRM_ERROR("Failed to get overscan state: 0x%08x\n", vc4_crtc->overscan[0]);
--              memset(&vc4_crtc->overscan, 0, sizeof(vc4_crtc->overscan));
--      }
--
-       *ret_crtc = vc4_crtc;
-       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0249-drm-vc4-Log-flags-in-fkms-mode-set.patch b/target/linux/bcm27xx/patches-5.4/950-0249-drm-vc4-Log-flags-in-fkms-mode-set.patch
deleted file mode 100644 (file)
index caca1c9..0000000
+++ /dev/null
@@ -1,31 +0,0 @@
-From 0a93d1777bdd640a717a019ab53ab5c231dfa875 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 7 May 2019 12:13:34 +0100
-Subject: [PATCH] drm: vc4: Log flags in fkms mode set
-
-The flags contain info such as limited/full range RGB, aspect
-ratio, and a fwe other useful things.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 5 +++--
- 1 file changed, 3 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -687,12 +687,13 @@ static void vc4_crtc_mode_set_nofb(struc
-               return;
-       }
--      DRM_DEBUG_KMS("Setting mode for display num %u mode name %s, clk %d, h(disp %d, start %d, end %d, total %d, skew %d) v(disp %d, start %d, end %d, total %d, scan %d), vrefresh %d, par %u\n",
-+      DRM_DEBUG_KMS("Setting mode for display num %u mode name %s, clk %d, h(disp %d, start %d, end %d, total %d, skew %d) v(disp %d, start %d, end %d, total %d, scan %d), vrefresh %d, par %u, flags 0x%04x\n",
-                     vc4_crtc->display_number, mode->name, mode->clock,
-                     mode->hdisplay, mode->hsync_start, mode->hsync_end,
-                     mode->htotal, mode->hskew, mode->vdisplay,
-                     mode->vsync_start, mode->vsync_end, mode->vtotal,
--                    mode->vscan, mode->vrefresh, mode->picture_aspect_ratio);
-+                    mode->vscan, mode->vrefresh, mode->picture_aspect_ratio,
-+                    mode->flags);
-       mb.timings.display = vc4_crtc->display_number;
-       mb.timings.video_id_code = frame.avi.video_code;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0249-drm-vc4-handle-the-case-where-there-are-no-available.patch b/target/linux/bcm27xx/patches-5.4/950-0249-drm-vc4-handle-the-case-where-there-are-no-available.patch
new file mode 100644 (file)
index 0000000..72b205c
--- /dev/null
@@ -0,0 +1,67 @@
+From 1fbbd377bd1c6b4e3342d03091f19089ccb7fcbe Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Tue, 28 May 2019 13:56:06 +0100
+Subject: [PATCH] drm: vc4: handle the case where there are no
+ available displays
+
+It's reasonable for the firmware to return zero as the number of
+attached displays. Handle this case as otherwise drm thinks that
+the DSI panel is attached, which is nonsense.
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 32 +++++++++++++++-----------
+ 1 file changed, 18 insertions(+), 14 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -1303,13 +1303,13 @@ static int vc4_fkms_bind(struct device *
+                                   RPI_FIRMWARE_FRAMEBUFFER_GET_NUM_DISPLAYS,
+                                   &num_displays, sizeof(u32));
+-      /* If we fail to get the number of displays, or it returns 0, then
++      /* If we fail to get the number of displays, then
+        * assume old firmware that doesn't have the mailbox call, so just
+        * set one display
+        */
+-      if (ret || num_displays == 0) {
++      if (ret) {
+               num_displays = 1;
+-              DRM_WARN("Unable to determine number of displays's. Assuming 1\n");
++              DRM_WARN("Unable to determine number of displays - assuming 1\n");
+               ret = 0;
+       }
+@@ -1338,17 +1338,21 @@ static int vc4_fkms_bind(struct device *
+                                 display_num);
+       }
+-      /* Map the SMI interrupt reg */
+-      crtc_list[0]->regs = vc4_ioremap_regs(pdev, 0);
+-      if (IS_ERR(crtc_list[0]->regs))
+-              DRM_ERROR("Oh dear, failed to map registers\n");
+-
+-      writel(0, crtc_list[0]->regs + SMICS);
+-      ret = devm_request_irq(dev, platform_get_irq(pdev, 0),
+-                             vc4_crtc_irq_handler, 0, "vc4 firmware kms",
+-                             crtc_list);
+-      if (ret)
+-              DRM_ERROR("Oh dear, failed to register IRQ\n");
++      if (num_displays > 0) {
++              /* Map the SMI interrupt reg */
++              crtc_list[0]->regs = vc4_ioremap_regs(pdev, 0);
++              if (IS_ERR(crtc_list[0]->regs))
++                      DRM_ERROR("Oh dear, failed to map registers\n");
++
++              writel(0, crtc_list[0]->regs + SMICS);
++              ret = devm_request_irq(dev, platform_get_irq(pdev, 0),
++                                     vc4_crtc_irq_handler, 0,
++                                     "vc4 firmware kms", crtc_list);
++              if (ret)
++                      DRM_ERROR("Oh dear, failed to register IRQ\n");
++      } else {
++              DRM_WARN("No displays found. Consider forcing hotplug if HDMI is attached\n");
++      }
+       platform_set_drvdata(pdev, crtc_list);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0250-drm-vc4-Support-the-VEC-in-FKMS.patch b/target/linux/bcm27xx/patches-5.4/950-0250-drm-vc4-Support-the-VEC-in-FKMS.patch
new file mode 100644 (file)
index 0000000..87aeacd
--- /dev/null
@@ -0,0 +1,62 @@
+From 11a567f1e76ca017f1963027655454b56ab81875 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 24 May 2019 17:59:01 +0100
+Subject: [PATCH] drm/vc4: Support the VEC in FKMS
+
+Extends the DPI/DSI support to also report the VEC output
+which supports interlacing too.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 16 +++++++++++++++-
+ 1 file changed, 15 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -128,6 +128,7 @@ struct set_timings {
+ #define  TIMINGS_FLAGS_H_SYNC_NEG     0
+ #define  TIMINGS_FLAGS_V_SYNC_POS     BIT(1)
+ #define  TIMINGS_FLAGS_V_SYNC_NEG     0
++#define  TIMINGS_FLAGS_INTERLACE      BIT(2)
+ #define TIMINGS_FLAGS_ASPECT_MASK     GENMASK(7, 4)
+ #define TIMINGS_FLAGS_ASPECT_NONE     (0 << 4)
+@@ -1043,6 +1044,12 @@ static int vc4_fkms_lcd_connector_get_mo
+                       fw_mode.flags |= DRM_MODE_FLAG_PVSYNC;
+               else
+                       fw_mode.flags |= DRM_MODE_FLAG_NVSYNC;
++              if (mb.timings.flags & TIMINGS_FLAGS_V_SYNC_POS)
++                      fw_mode.flags |= DRM_MODE_FLAG_PVSYNC;
++              else
++                      fw_mode.flags |= DRM_MODE_FLAG_NVSYNC;
++              if (mb.timings.flags & TIMINGS_FLAGS_INTERLACE)
++                      fw_mode.flags |= DRM_MODE_FLAG_INTERLACE;
+               mode = drm_mode_duplicate(connector->dev,
+                                         &fw_mode);
+@@ -1127,17 +1134,24 @@ vc4_fkms_connector_init(struct drm_devic
+                                  DRM_MODE_CONNECTOR_DSI);
+               drm_connector_helper_add(connector,
+                                        &vc4_fkms_lcd_conn_helper_funcs);
++              connector->interlace_allowed = 0;
++      } else if (fkms_connector->display_type == DRM_MODE_ENCODER_TVDAC) {
++              drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
++                                 DRM_MODE_CONNECTOR_Composite);
++              drm_connector_helper_add(connector,
++                                       &vc4_fkms_lcd_conn_helper_funcs);
++              connector->interlace_allowed = 1;
+       } else {
+               drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
+                                  DRM_MODE_CONNECTOR_HDMIA);
+               drm_connector_helper_add(connector,
+                                        &vc4_fkms_connector_helper_funcs);
++              connector->interlace_allowed = 0;
+       }
+       connector->polled = (DRM_CONNECTOR_POLL_CONNECT |
+                            DRM_CONNECTOR_POLL_DISCONNECT);
+-      connector->interlace_allowed = 0;
+       connector->doublescan_allowed = 0;
+       drm_connector_attach_encoder(connector, encoder);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0250-drm-vc4-firmware-kms-Fix-DSI-display-support.patch b/target/linux/bcm27xx/patches-5.4/950-0250-drm-vc4-firmware-kms-Fix-DSI-display-support.patch
deleted file mode 100644 (file)
index 450c156..0000000
+++ /dev/null
@@ -1,25 +0,0 @@
-From b0f9ee06c9e611592715f9d9d31170d55d2aeded Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 16 May 2019 17:49:42 +0100
-Subject: [PATCH] drm: vc4-firmware-kms: Fix DSI display support
-
-The mode was incorrectly listed as interlaced, which was then
-rejected.
-Correct this and FKMS works with the DSI display.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -1005,7 +1005,7 @@ static const struct drm_display_mode lcd
-                25979400 / 1000,
-                800, 800 + 1, 800 + 1 + 2, 800 + 1 + 2 + 46, 0,
-                480, 480 + 7, 480 + 7 + 2, 480 + 7 + 2 + 21, 0,
--               DRM_MODE_FLAG_INTERLACE)
-+               0)
- };
- static int vc4_fkms_lcd_connector_get_modes(struct drm_connector *connector)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0251-drm-vc4-Fixup-typo-when-setting-HDMI-aspect-ratio.patch b/target/linux/bcm27xx/patches-5.4/950-0251-drm-vc4-Fixup-typo-when-setting-HDMI-aspect-ratio.patch
new file mode 100644 (file)
index 0000000..8008619
--- /dev/null
@@ -0,0 +1,39 @@
+From 06e4e4560800232b0e6628bebc605d234ef1c237 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 7 May 2019 15:00:02 +0100
+Subject: [PATCH] drm: vc4: Fixup typo when setting HDMI aspect ratio
+
+Assignment was to the wrong structure.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 10 +++++-----
+ 1 file changed, 5 insertions(+), 5 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -718,19 +718,19 @@ static void vc4_crtc_mode_set_nofb(struc
+       switch (frame.avi.picture_aspect) {
+       default:
+       case HDMI_PICTURE_ASPECT_NONE:
+-              mode->flags |= TIMINGS_FLAGS_ASPECT_NONE;
++              mb.timings.flags |= TIMINGS_FLAGS_ASPECT_NONE;
+               break;
+       case HDMI_PICTURE_ASPECT_4_3:
+-              mode->flags |= TIMINGS_FLAGS_ASPECT_4_3;
++              mb.timings.flags |= TIMINGS_FLAGS_ASPECT_4_3;
+               break;
+       case HDMI_PICTURE_ASPECT_16_9:
+-              mode->flags |= TIMINGS_FLAGS_ASPECT_16_9;
++              mb.timings.flags |= TIMINGS_FLAGS_ASPECT_16_9;
+               break;
+       case HDMI_PICTURE_ASPECT_64_27:
+-              mode->flags |= TIMINGS_FLAGS_ASPECT_64_27;
++              mb.timings.flags |= TIMINGS_FLAGS_ASPECT_64_27;
+               break;
+       case HDMI_PICTURE_ASPECT_256_135:
+-              mode->flags |= TIMINGS_FLAGS_ASPECT_256_135;
++              mb.timings.flags |= TIMINGS_FLAGS_ASPECT_256_135;
+               break;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0251-drm-vc4-Probe-DPI-DSI-timings-from-the-firmware.patch b/target/linux/bcm27xx/patches-5.4/950-0251-drm-vc4-Probe-DPI-DSI-timings-from-the-firmware.patch
deleted file mode 100644 (file)
index a917540..0000000
+++ /dev/null
@@ -1,138 +0,0 @@
-From e8f126acd6da4f7d2e0df3c735fccf9971d95254 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 21 May 2019 11:50:00 +0100
-Subject: [PATCH] drm: vc4: Probe DPI/DSI timings from the firmware
-
-For DPI and DSI displays query the firmware as to the configuration
-and add it as the only mode for DRM.
-
-In theory we can add plumbing for setting the DPI/DSI mode from
-KMS, but this is not being added at present as the support frameworks
-aren't present in the firmware.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c     | 64 ++++++++++++++++------
- include/soc/bcm2835/raspberrypi-firmware.h |  1 +
- 2 files changed, 49 insertions(+), 16 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -248,7 +248,6 @@ static inline struct vc4_crtc *to_vc4_cr
- struct vc4_fkms_encoder {
-       struct drm_encoder base;
-       bool hdmi_monitor;
--      bool rgb_range_selectable;
- };
- static inline struct vc4_fkms_encoder *
-@@ -283,7 +282,7 @@ static u32 vc4_get_display_type(u32 disp
-               /* The firmware display (DispmanX) IDs map to specific types in
-                * a fixed manner.
-                */
--              DRM_MODE_ENCODER_DSI,   /* MAIN_LCD */
-+              DRM_MODE_ENCODER_DSI,   /* MAIN_LCD - DSI or DPI */
-               DRM_MODE_ENCODER_DSI,   /* AUX_LCD */
-               DRM_MODE_ENCODER_TMDS,  /* HDMI0 */
-               DRM_MODE_ENCODER_TVDAC, /* VEC */
-@@ -365,7 +364,6 @@ static void vc4_plane_atomic_update(stru
-                                       vc4_get_vc_image_fmt(drm_fmt->format);
-       struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
-       struct mailbox_set_plane *mb = &vc4_plane->mb;
--      struct vc4_crtc *vc4_crtc = to_vc4_crtc(state->crtc);
-       int num_planes = fb->format->num_planes;
-       struct drm_display_mode *mode = &state->crtc->mode;
-       unsigned int rotation = SUPPORTED_ROTATIONS;
-@@ -987,11 +985,6 @@ static int vc4_fkms_connector_get_modes(
-       vc4_encoder->hdmi_monitor = drm_detect_hdmi_monitor(edid);
--      if (edid && edid->input & DRM_EDID_INPUT_DIGITAL) {
--              vc4_encoder->rgb_range_selectable =
--                      drm_rgb_quant_range_selectable(edid);
--      }
--
-       drm_connector_update_edid_property(connector, edid);
-       ret = drm_add_edid_modes(connector, edid);
-       kfree(edid);
-@@ -999,7 +992,9 @@ static int vc4_fkms_connector_get_modes(
-       return ret;
- }
--/* FIXME: Read LCD mode from the firmware. This is the DSI panel resolution. */
-+/* This is the DSI panel resolution. Use this as a default should the firmware
-+ * not respond to our request for the timings.
-+ */
- static const struct drm_display_mode lcd_mode = {
-       DRM_MODE("800x480", DRM_MODE_TYPE_DRIVER | DRM_MODE_TYPE_PREFERRED,
-                25979400 / 1000,
-@@ -1010,15 +1005,52 @@ static const struct drm_display_mode lcd
- static int vc4_fkms_lcd_connector_get_modes(struct drm_connector *connector)
- {
--      //struct vc4_fkms_connector *fkms_connector =
--      //                              to_vc4_fkms_connector(connector);
--      //struct drm_encoder *encoder = fkms_connector->encoder;
--      //struct vc4_fkms_encoder *vc4_encoder = to_vc4_fkms_encoder(encoder);
-+      struct vc4_fkms_connector *fkms_connector =
-+                                      to_vc4_fkms_connector(connector);
-+      struct vc4_dev *vc4 = fkms_connector->vc4_dev;
-       struct drm_display_mode *mode;
--      //int ret = 0;
-+      struct mailbox_set_mode mb = {
-+              .tag1 = { RPI_FIRMWARE_GET_DISPLAY_TIMING,
-+                        sizeof(struct set_timings), 0},
-+              .timings = { .display = fkms_connector->display_number },
-+      };
-+      struct drm_display_mode fw_mode;
-+      int ret = 0;
-+
-+      ret = rpi_firmware_property_list(vc4->firmware, &mb, sizeof(mb));
-+      if (!ret) {
-+              /* Equivalent to DRM_MODE macro. */
-+              memset(&fw_mode, 0, sizeof(fw_mode));
-+              strncpy(fw_mode.name, "LCD_MODE", sizeof(fw_mode.name));
-+              fw_mode.status = 0;
-+              fw_mode.type = DRM_MODE_TYPE_DRIVER | DRM_MODE_TYPE_PREFERRED;
-+              fw_mode.clock = mb.timings.clock;
-+              fw_mode.hdisplay = mb.timings.hdisplay;
-+              fw_mode.hsync_start = mb.timings.hsync_start;
-+              fw_mode.hsync_end = mb.timings.hsync_end;
-+              fw_mode.htotal = mb.timings.htotal;
-+              fw_mode.hskew = 0;
-+              fw_mode.vdisplay = mb.timings.vdisplay;
-+              fw_mode.vsync_start = mb.timings.vsync_start;
-+              fw_mode.vsync_end = mb.timings.vsync_end;
-+              fw_mode.vtotal = mb.timings.vtotal;
-+              fw_mode.vscan = mb.timings.vscan;
-+              if (mb.timings.flags & TIMINGS_FLAGS_H_SYNC_POS)
-+                      fw_mode.flags |= DRM_MODE_FLAG_PHSYNC;
-+              else
-+                      fw_mode.flags |= DRM_MODE_FLAG_NHSYNC;
-+              if (mb.timings.flags & TIMINGS_FLAGS_V_SYNC_POS)
-+                      fw_mode.flags |= DRM_MODE_FLAG_PVSYNC;
-+              else
-+                      fw_mode.flags |= DRM_MODE_FLAG_NVSYNC;
-+
-+              mode = drm_mode_duplicate(connector->dev,
-+                                        &fw_mode);
-+      } else {
-+              mode = drm_mode_duplicate(connector->dev,
-+                                        &lcd_mode);
-+      }
--      mode = drm_mode_duplicate(connector->dev,
--                                &lcd_mode);
-       if (!mode) {
-               DRM_ERROR("Failed to create a new display mode\n");
-               return -ENOMEM;
---- a/include/soc/bcm2835/raspberrypi-firmware.h
-+++ b/include/soc/bcm2835/raspberrypi-firmware.h
-@@ -150,6 +150,7 @@ enum rpi_firmware_property_tag {
-       RPI_FIRMWARE_VCHIQ_INIT =                             0x00048010,
-       RPI_FIRMWARE_SET_PLANE =                              0x00048015,
-+      RPI_FIRMWARE_GET_DISPLAY_TIMING =                     0x00040017,
-       RPI_FIRMWARE_SET_TIMING =                             0x00048017,
-       RPI_FIRMWARE_GET_COMMAND_LINE =                       0x00050001,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0252-drm-vc4-Correct-SAND-support-for-FKMS.patch b/target/linux/bcm27xx/patches-5.4/950-0252-drm-vc4-Correct-SAND-support-for-FKMS.patch
new file mode 100644 (file)
index 0000000..dcf57e0
--- /dev/null
@@ -0,0 +1,40 @@
+From 46c612d0248ba6e0c8c236cf1839b0c2ccecee01 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 29 May 2019 15:44:11 +0100
+Subject: [PATCH] drm/vc4: Correct SAND support for FKMS.
+
+It was accepting NV21 which doesn't map through, but
+also wasn't advertising the modifier so nothing would know
+to request it.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -546,7 +546,6 @@ static bool vc4_fkms_format_mod_supporte
+                       return false;
+               }
+       case DRM_FORMAT_NV12:
+-      case DRM_FORMAT_NV21:
+               switch (fourcc_mod_broadcom_mod(modifier)) {
+               case DRM_FORMAT_MOD_LINEAR:
+               case DRM_FORMAT_MOD_BROADCOM_SAND128:
+@@ -554,6 +553,7 @@ static bool vc4_fkms_format_mod_supporte
+               default:
+                       return false;
+               }
++      case DRM_FORMAT_NV21:
+       case DRM_FORMAT_RGB888:
+       case DRM_FORMAT_BGR888:
+       case DRM_FORMAT_YUV422:
+@@ -600,6 +600,7 @@ static struct drm_plane *vc4_fkms_plane_
+                * would prefer to scan out linear (less bus traffic).
+                */
+               DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED,
++              DRM_FORMAT_MOD_BROADCOM_SAND128,
+               DRM_FORMAT_MOD_INVALID,
+       };
+       int i;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0252-drm-vc4-handle-the-case-where-there-are-no-available.patch b/target/linux/bcm27xx/patches-5.4/950-0252-drm-vc4-handle-the-case-where-there-are-no-available.patch
deleted file mode 100644 (file)
index 72b205c..0000000
+++ /dev/null
@@ -1,67 +0,0 @@
-From 1fbbd377bd1c6b4e3342d03091f19089ccb7fcbe Mon Sep 17 00:00:00 2001
-From: Jonathan Bell <jonathan@raspberrypi.org>
-Date: Tue, 28 May 2019 13:56:06 +0100
-Subject: [PATCH] drm: vc4: handle the case where there are no
- available displays
-
-It's reasonable for the firmware to return zero as the number of
-attached displays. Handle this case as otherwise drm thinks that
-the DSI panel is attached, which is nonsense.
-
-Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 32 +++++++++++++++-----------
- 1 file changed, 18 insertions(+), 14 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -1303,13 +1303,13 @@ static int vc4_fkms_bind(struct device *
-                                   RPI_FIRMWARE_FRAMEBUFFER_GET_NUM_DISPLAYS,
-                                   &num_displays, sizeof(u32));
--      /* If we fail to get the number of displays, or it returns 0, then
-+      /* If we fail to get the number of displays, then
-        * assume old firmware that doesn't have the mailbox call, so just
-        * set one display
-        */
--      if (ret || num_displays == 0) {
-+      if (ret) {
-               num_displays = 1;
--              DRM_WARN("Unable to determine number of displays's. Assuming 1\n");
-+              DRM_WARN("Unable to determine number of displays - assuming 1\n");
-               ret = 0;
-       }
-@@ -1338,17 +1338,21 @@ static int vc4_fkms_bind(struct device *
-                                 display_num);
-       }
--      /* Map the SMI interrupt reg */
--      crtc_list[0]->regs = vc4_ioremap_regs(pdev, 0);
--      if (IS_ERR(crtc_list[0]->regs))
--              DRM_ERROR("Oh dear, failed to map registers\n");
--
--      writel(0, crtc_list[0]->regs + SMICS);
--      ret = devm_request_irq(dev, platform_get_irq(pdev, 0),
--                             vc4_crtc_irq_handler, 0, "vc4 firmware kms",
--                             crtc_list);
--      if (ret)
--              DRM_ERROR("Oh dear, failed to register IRQ\n");
-+      if (num_displays > 0) {
-+              /* Map the SMI interrupt reg */
-+              crtc_list[0]->regs = vc4_ioremap_regs(pdev, 0);
-+              if (IS_ERR(crtc_list[0]->regs))
-+                      DRM_ERROR("Oh dear, failed to map registers\n");
-+
-+              writel(0, crtc_list[0]->regs + SMICS);
-+              ret = devm_request_irq(dev, platform_get_irq(pdev, 0),
-+                                     vc4_crtc_irq_handler, 0,
-+                                     "vc4 firmware kms", crtc_list);
-+              if (ret)
-+                      DRM_ERROR("Oh dear, failed to register IRQ\n");
-+      } else {
-+              DRM_WARN("No displays found. Consider forcing hotplug if HDMI is attached\n");
-+      }
-       platform_set_drvdata(pdev, crtc_list);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0253-drm-vc4-Support-the-VEC-in-FKMS.patch b/target/linux/bcm27xx/patches-5.4/950-0253-drm-vc4-Support-the-VEC-in-FKMS.patch
deleted file mode 100644 (file)
index 87aeacd..0000000
+++ /dev/null
@@ -1,62 +0,0 @@
-From 11a567f1e76ca017f1963027655454b56ab81875 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 24 May 2019 17:59:01 +0100
-Subject: [PATCH] drm/vc4: Support the VEC in FKMS
-
-Extends the DPI/DSI support to also report the VEC output
-which supports interlacing too.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 16 +++++++++++++++-
- 1 file changed, 15 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -128,6 +128,7 @@ struct set_timings {
- #define  TIMINGS_FLAGS_H_SYNC_NEG     0
- #define  TIMINGS_FLAGS_V_SYNC_POS     BIT(1)
- #define  TIMINGS_FLAGS_V_SYNC_NEG     0
-+#define  TIMINGS_FLAGS_INTERLACE      BIT(2)
- #define TIMINGS_FLAGS_ASPECT_MASK     GENMASK(7, 4)
- #define TIMINGS_FLAGS_ASPECT_NONE     (0 << 4)
-@@ -1043,6 +1044,12 @@ static int vc4_fkms_lcd_connector_get_mo
-                       fw_mode.flags |= DRM_MODE_FLAG_PVSYNC;
-               else
-                       fw_mode.flags |= DRM_MODE_FLAG_NVSYNC;
-+              if (mb.timings.flags & TIMINGS_FLAGS_V_SYNC_POS)
-+                      fw_mode.flags |= DRM_MODE_FLAG_PVSYNC;
-+              else
-+                      fw_mode.flags |= DRM_MODE_FLAG_NVSYNC;
-+              if (mb.timings.flags & TIMINGS_FLAGS_INTERLACE)
-+                      fw_mode.flags |= DRM_MODE_FLAG_INTERLACE;
-               mode = drm_mode_duplicate(connector->dev,
-                                         &fw_mode);
-@@ -1127,17 +1134,24 @@ vc4_fkms_connector_init(struct drm_devic
-                                  DRM_MODE_CONNECTOR_DSI);
-               drm_connector_helper_add(connector,
-                                        &vc4_fkms_lcd_conn_helper_funcs);
-+              connector->interlace_allowed = 0;
-+      } else if (fkms_connector->display_type == DRM_MODE_ENCODER_TVDAC) {
-+              drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
-+                                 DRM_MODE_CONNECTOR_Composite);
-+              drm_connector_helper_add(connector,
-+                                       &vc4_fkms_lcd_conn_helper_funcs);
-+              connector->interlace_allowed = 1;
-       } else {
-               drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
-                                  DRM_MODE_CONNECTOR_HDMIA);
-               drm_connector_helper_add(connector,
-                                        &vc4_fkms_connector_helper_funcs);
-+              connector->interlace_allowed = 0;
-       }
-       connector->polled = (DRM_CONNECTOR_POLL_CONNECT |
-                            DRM_CONNECTOR_POLL_DISCONNECT);
--      connector->interlace_allowed = 0;
-       connector->doublescan_allowed = 0;
-       drm_connector_attach_encoder(connector, encoder);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0253-drm-vc4-fkms-to-query-the-VPU-for-HDMI-clock-limits.patch b/target/linux/bcm27xx/patches-5.4/950-0253-drm-vc4-fkms-to-query-the-VPU-for-HDMI-clock-limits.patch
new file mode 100644 (file)
index 0000000..186a7c8
--- /dev/null
@@ -0,0 +1,134 @@
+From 1a8e3d8e883b9f9b18dff052a9294d4354994992 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 30 May 2019 13:56:15 +0100
+Subject: [PATCH] drm/vc4: fkms to query the VPU for HDMI clock limits
+
+The VPU has configured clocks for 4k (or not) via config.txt,
+and will limit the choice of video modes based on that.
+Make fkms query it for these limits too to avoid selecting modes
+that can not be handled by the current clock setup.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_drv.h              |  1 +
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c     | 48 ++++++++++++++++++++++
+ include/soc/bcm2835/raspberrypi-firmware.h |  1 +
+ 3 files changed, 50 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -81,6 +81,7 @@ struct vc4_dev {
+       struct vc4_dsi *dsi1;
+       struct vc4_vec *vec;
+       struct vc4_txp *txp;
++      struct vc4_fkms *fkms;
+       struct vc4_hang_state *hang_state;
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -32,6 +32,14 @@
+ #include "vc_image_types.h"
+ #include <soc/bcm2835/raspberrypi-firmware.h>
++struct get_display_cfg {
++      u32  max_pixel_clock[2];  //Max pixel clock for each display
++};
++
++struct vc4_fkms {
++      struct get_display_cfg cfg;
++};
++
+ #define PLANES_PER_CRTC               3
+ struct set_plane {
+@@ -795,6 +803,11 @@ static void vc4_crtc_enable(struct drm_c
+ static enum drm_mode_status
+ vc4_crtc_mode_valid(struct drm_crtc *crtc, const struct drm_display_mode *mode)
+ {
++      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
++      struct drm_device *dev = crtc->dev;
++      struct vc4_dev *vc4 = to_vc4_dev(dev);
++      struct vc4_fkms *fkms = vc4->fkms;
++
+       /* Do not allow doublescan modes from user space */
+       if (mode->flags & DRM_MODE_FLAG_DBLSCAN) {
+               DRM_DEBUG_KMS("[CRTC:%d] Doublescan mode rejected.\n",
+@@ -802,6 +815,22 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
+               return MODE_NO_DBLESCAN;
+       }
++      /* Limit the pixel clock based on the HDMI clock limits from the
++       * firmware
++       */
++      switch (vc4_crtc->display_number) {
++      case 2: /* HDMI0 */
++              if (fkms->cfg.max_pixel_clock[0] &&
++                  mode->clock > fkms->cfg.max_pixel_clock[0])
++                      return MODE_CLOCK_HIGH;
++              break;
++      case 7: /* HDMI1 */
++              if (fkms->cfg.max_pixel_clock[1] &&
++                  mode->clock > fkms->cfg.max_pixel_clock[1])
++                      return MODE_CLOCK_HIGH;
++              break;
++      }
++
+       /* Limit the pixel clock until we can get dynamic HDMI 2.0 scrambling
+        * working.
+        */
+@@ -1295,11 +1324,16 @@ static int vc4_fkms_bind(struct device *
+       struct device_node *firmware_node;
+       struct vc4_crtc **crtc_list;
+       u32 num_displays, display_num;
++      struct vc4_fkms *fkms;
+       int ret;
+       u32 display_id;
+       vc4->firmware_kms = true;
++      fkms = devm_kzalloc(dev, sizeof(*fkms), GFP_KERNEL);
++      if (!fkms)
++              return -ENOMEM;
++
+       /* firmware kms doesn't have precise a scanoutpos implementation, so
+        * we can't do the precise vblank timestamp mode.
+        */
+@@ -1328,6 +1362,18 @@ static int vc4_fkms_bind(struct device *
+               ret = 0;
+       }
++      ret = rpi_firmware_property(vc4->firmware,
++                                  RPI_FIRMWARE_GET_DISPLAY_CFG,
++                                  &fkms->cfg, sizeof(fkms->cfg));
++
++      if (ret)
++              return -EINVAL;
++      /* The firmware works in Hz. This will be compared against kHz, so div
++       * 1000 now rather than multiple times later.
++       */
++      fkms->cfg.max_pixel_clock[0] /= 1000;
++      fkms->cfg.max_pixel_clock[1] /= 1000;
++
+       /* Allocate a list, with space for a NULL on the end */
+       crtc_list = devm_kzalloc(dev, sizeof(crtc_list) * (num_displays + 1),
+                                GFP_KERNEL);
+@@ -1369,6 +1415,8 @@ static int vc4_fkms_bind(struct device *
+               DRM_WARN("No displays found. Consider forcing hotplug if HDMI is attached\n");
+       }
++      vc4->fkms = fkms;
++
+       platform_set_drvdata(pdev, crtc_list);
+       return 0;
+--- a/include/soc/bcm2835/raspberrypi-firmware.h
++++ b/include/soc/bcm2835/raspberrypi-firmware.h
+@@ -152,6 +152,7 @@ enum rpi_firmware_property_tag {
+       RPI_FIRMWARE_SET_PLANE =                              0x00048015,
+       RPI_FIRMWARE_GET_DISPLAY_TIMING =                     0x00040017,
+       RPI_FIRMWARE_SET_TIMING =                             0x00048017,
++      RPI_FIRMWARE_GET_DISPLAY_CFG =                        0x00040018,
+       RPI_FIRMWARE_GET_COMMAND_LINE =                       0x00050001,
+       RPI_FIRMWARE_GET_DMA_CHANNELS =                       0x00060001,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0254-drm-vc4-Fixup-typo-when-setting-HDMI-aspect-ratio.patch b/target/linux/bcm27xx/patches-5.4/950-0254-drm-vc4-Fixup-typo-when-setting-HDMI-aspect-ratio.patch
deleted file mode 100644 (file)
index 8008619..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-From 06e4e4560800232b0e6628bebc605d234ef1c237 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 7 May 2019 15:00:02 +0100
-Subject: [PATCH] drm: vc4: Fixup typo when setting HDMI aspect ratio
-
-Assignment was to the wrong structure.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 10 +++++-----
- 1 file changed, 5 insertions(+), 5 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -718,19 +718,19 @@ static void vc4_crtc_mode_set_nofb(struc
-       switch (frame.avi.picture_aspect) {
-       default:
-       case HDMI_PICTURE_ASPECT_NONE:
--              mode->flags |= TIMINGS_FLAGS_ASPECT_NONE;
-+              mb.timings.flags |= TIMINGS_FLAGS_ASPECT_NONE;
-               break;
-       case HDMI_PICTURE_ASPECT_4_3:
--              mode->flags |= TIMINGS_FLAGS_ASPECT_4_3;
-+              mb.timings.flags |= TIMINGS_FLAGS_ASPECT_4_3;
-               break;
-       case HDMI_PICTURE_ASPECT_16_9:
--              mode->flags |= TIMINGS_FLAGS_ASPECT_16_9;
-+              mb.timings.flags |= TIMINGS_FLAGS_ASPECT_16_9;
-               break;
-       case HDMI_PICTURE_ASPECT_64_27:
--              mode->flags |= TIMINGS_FLAGS_ASPECT_64_27;
-+              mb.timings.flags |= TIMINGS_FLAGS_ASPECT_64_27;
-               break;
-       case HDMI_PICTURE_ASPECT_256_135:
--              mode->flags |= TIMINGS_FLAGS_ASPECT_256_135;
-+              mb.timings.flags |= TIMINGS_FLAGS_ASPECT_256_135;
-               break;
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0254-drm-vc4-Max-resolution-of-7680-is-conditional-on-bei.patch b/target/linux/bcm27xx/patches-5.4/950-0254-drm-vc4-Max-resolution-of-7680-is-conditional-on-bei.patch
new file mode 100644 (file)
index 0000000..63931bf
--- /dev/null
@@ -0,0 +1,46 @@
+From 2767f778820bd7392688512ec2996943a586db6e Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 30 May 2019 15:55:15 +0100
+Subject: [PATCH] drm/vc4: Max resolution of 7680 is conditional on
+ being Pi4
+
+The max resolution had been increased from 2048 to 7680 for all
+platforms. This code is common with Pi0-3 which have a max render
+target for GL of 2048, therefore the increased resolution has to
+be conditional on the platform.
+Switch based on whether the bcm2835-v3d node is found, as that is
+not present on Pi4. (There is a potential configuration on Pi0-3
+with no v3d, but this is very unlikely).
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_kms.c | 11 +++++++++--
+ 1 file changed, 9 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_kms.c
+@@ -18,6 +18,7 @@
+ #include <drm/drm_plane_helper.h>
+ #include <drm/drm_probe_helper.h>
+ #include <drm/drm_vblank.h>
++#include <drm/drm_drv.h>
+ #include "vc4_drv.h"
+ #include "vc4_regs.h"
+@@ -536,8 +537,14 @@ int vc4_kms_load(struct drm_device *dev)
+               return ret;
+       }
+-      dev->mode_config.max_width = 7680;
+-      dev->mode_config.max_height = 7680;
++      if (!drm_core_check_feature(dev, DRIVER_RENDER)) {
++              /* No V3D as part of vc4. Assume this is Pi4. */
++              dev->mode_config.max_width = 7680;
++              dev->mode_config.max_height = 7680;
++      } else {
++              dev->mode_config.max_width = 2048;
++              dev->mode_config.max_height = 2048;
++      }
+       dev->mode_config.funcs = &vc4_mode_funcs;
+       dev->mode_config.preferred_depth = 24;
+       dev->mode_config.async_page_flip = true;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0255-drm-vc4-Correct-SAND-support-for-FKMS.patch b/target/linux/bcm27xx/patches-5.4/950-0255-drm-vc4-Correct-SAND-support-for-FKMS.patch
deleted file mode 100644 (file)
index dcf57e0..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-From 46c612d0248ba6e0c8c236cf1839b0c2ccecee01 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 29 May 2019 15:44:11 +0100
-Subject: [PATCH] drm/vc4: Correct SAND support for FKMS.
-
-It was accepting NV21 which doesn't map through, but
-also wasn't advertising the modifier so nothing would know
-to request it.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -546,7 +546,6 @@ static bool vc4_fkms_format_mod_supporte
-                       return false;
-               }
-       case DRM_FORMAT_NV12:
--      case DRM_FORMAT_NV21:
-               switch (fourcc_mod_broadcom_mod(modifier)) {
-               case DRM_FORMAT_MOD_LINEAR:
-               case DRM_FORMAT_MOD_BROADCOM_SAND128:
-@@ -554,6 +553,7 @@ static bool vc4_fkms_format_mod_supporte
-               default:
-                       return false;
-               }
-+      case DRM_FORMAT_NV21:
-       case DRM_FORMAT_RGB888:
-       case DRM_FORMAT_BGR888:
-       case DRM_FORMAT_YUV422:
-@@ -600,6 +600,7 @@ static struct drm_plane *vc4_fkms_plane_
-                * would prefer to scan out linear (less bus traffic).
-                */
-               DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED,
-+              DRM_FORMAT_MOD_BROADCOM_SAND128,
-               DRM_FORMAT_MOD_INVALID,
-       };
-       int i;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0255-drm-vc4-Fix-T-format-modifiers-in-FKMS.patch b/target/linux/bcm27xx/patches-5.4/950-0255-drm-vc4-Fix-T-format-modifiers-in-FKMS.patch
new file mode 100644 (file)
index 0000000..5d6171c
--- /dev/null
@@ -0,0 +1,28 @@
+From 82551f68e9f9dea1f22d9cdfdf361bf054f6900c Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 7 Jun 2019 11:31:21 +0100
+Subject: [PATCH] drm/vc4: Fix T-format modifiers in FKMS.
+
+The wrong vc_image formats were being checked for in the switch
+statement. Correct these.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -460,10 +460,10 @@ static void vc4_plane_atomic_update(stru
+       switch (fb->modifier) {
+       case DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED:
+               switch (mb->plane.vc_image_type) {
+-              case VC_IMAGE_RGBX32:
++              case VC_IMAGE_XRGB8888:
+                       mb->plane.vc_image_type = VC_IMAGE_TF_RGBX32;
+                       break;
+-              case VC_IMAGE_RGBA32:
++              case VC_IMAGE_ARGB8888:
+                       mb->plane.vc_image_type = VC_IMAGE_TF_RGBA32;
+                       break;
+               case VC_IMAGE_RGB565:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0256-drm-vc4-Remove-340MHz-clock-limit-from-FKMS-now-scra.patch b/target/linux/bcm27xx/patches-5.4/950-0256-drm-vc4-Remove-340MHz-clock-limit-from-FKMS-now-scra.patch
new file mode 100644 (file)
index 0000000..06e073b
--- /dev/null
@@ -0,0 +1,29 @@
+From 44c7ff7864d931759efd307ef641f522c0a5bbdb Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 10 Jun 2019 16:32:51 +0100
+Subject: [PATCH] drm/vc4: Remove 340MHz clock limit from FKMS now
+ scrambling issues resolved
+
+Firmware TMDS scrambling is now being correctly configured, so
+we can use it.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 6 ------
+ 1 file changed, 6 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -831,12 +831,6 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
+               break;
+       }
+-      /* Limit the pixel clock until we can get dynamic HDMI 2.0 scrambling
+-       * working.
+-       */
+-      if (mode->clock > 340000)
+-              return MODE_CLOCK_HIGH;
+-
+       return MODE_OK;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0256-drm-vc4-fkms-to-query-the-VPU-for-HDMI-clock-limits.patch b/target/linux/bcm27xx/patches-5.4/950-0256-drm-vc4-fkms-to-query-the-VPU-for-HDMI-clock-limits.patch
deleted file mode 100644 (file)
index 186a7c8..0000000
+++ /dev/null
@@ -1,134 +0,0 @@
-From 1a8e3d8e883b9f9b18dff052a9294d4354994992 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 30 May 2019 13:56:15 +0100
-Subject: [PATCH] drm/vc4: fkms to query the VPU for HDMI clock limits
-
-The VPU has configured clocks for 4k (or not) via config.txt,
-and will limit the choice of video modes based on that.
-Make fkms query it for these limits too to avoid selecting modes
-that can not be handled by the current clock setup.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_drv.h              |  1 +
- drivers/gpu/drm/vc4/vc4_firmware_kms.c     | 48 ++++++++++++++++++++++
- include/soc/bcm2835/raspberrypi-firmware.h |  1 +
- 3 files changed, 50 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -81,6 +81,7 @@ struct vc4_dev {
-       struct vc4_dsi *dsi1;
-       struct vc4_vec *vec;
-       struct vc4_txp *txp;
-+      struct vc4_fkms *fkms;
-       struct vc4_hang_state *hang_state;
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -32,6 +32,14 @@
- #include "vc_image_types.h"
- #include <soc/bcm2835/raspberrypi-firmware.h>
-+struct get_display_cfg {
-+      u32  max_pixel_clock[2];  //Max pixel clock for each display
-+};
-+
-+struct vc4_fkms {
-+      struct get_display_cfg cfg;
-+};
-+
- #define PLANES_PER_CRTC               3
- struct set_plane {
-@@ -795,6 +803,11 @@ static void vc4_crtc_enable(struct drm_c
- static enum drm_mode_status
- vc4_crtc_mode_valid(struct drm_crtc *crtc, const struct drm_display_mode *mode)
- {
-+      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-+      struct drm_device *dev = crtc->dev;
-+      struct vc4_dev *vc4 = to_vc4_dev(dev);
-+      struct vc4_fkms *fkms = vc4->fkms;
-+
-       /* Do not allow doublescan modes from user space */
-       if (mode->flags & DRM_MODE_FLAG_DBLSCAN) {
-               DRM_DEBUG_KMS("[CRTC:%d] Doublescan mode rejected.\n",
-@@ -802,6 +815,22 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
-               return MODE_NO_DBLESCAN;
-       }
-+      /* Limit the pixel clock based on the HDMI clock limits from the
-+       * firmware
-+       */
-+      switch (vc4_crtc->display_number) {
-+      case 2: /* HDMI0 */
-+              if (fkms->cfg.max_pixel_clock[0] &&
-+                  mode->clock > fkms->cfg.max_pixel_clock[0])
-+                      return MODE_CLOCK_HIGH;
-+              break;
-+      case 7: /* HDMI1 */
-+              if (fkms->cfg.max_pixel_clock[1] &&
-+                  mode->clock > fkms->cfg.max_pixel_clock[1])
-+                      return MODE_CLOCK_HIGH;
-+              break;
-+      }
-+
-       /* Limit the pixel clock until we can get dynamic HDMI 2.0 scrambling
-        * working.
-        */
-@@ -1295,11 +1324,16 @@ static int vc4_fkms_bind(struct device *
-       struct device_node *firmware_node;
-       struct vc4_crtc **crtc_list;
-       u32 num_displays, display_num;
-+      struct vc4_fkms *fkms;
-       int ret;
-       u32 display_id;
-       vc4->firmware_kms = true;
-+      fkms = devm_kzalloc(dev, sizeof(*fkms), GFP_KERNEL);
-+      if (!fkms)
-+              return -ENOMEM;
-+
-       /* firmware kms doesn't have precise a scanoutpos implementation, so
-        * we can't do the precise vblank timestamp mode.
-        */
-@@ -1328,6 +1362,18 @@ static int vc4_fkms_bind(struct device *
-               ret = 0;
-       }
-+      ret = rpi_firmware_property(vc4->firmware,
-+                                  RPI_FIRMWARE_GET_DISPLAY_CFG,
-+                                  &fkms->cfg, sizeof(fkms->cfg));
-+
-+      if (ret)
-+              return -EINVAL;
-+      /* The firmware works in Hz. This will be compared against kHz, so div
-+       * 1000 now rather than multiple times later.
-+       */
-+      fkms->cfg.max_pixel_clock[0] /= 1000;
-+      fkms->cfg.max_pixel_clock[1] /= 1000;
-+
-       /* Allocate a list, with space for a NULL on the end */
-       crtc_list = devm_kzalloc(dev, sizeof(crtc_list) * (num_displays + 1),
-                                GFP_KERNEL);
-@@ -1369,6 +1415,8 @@ static int vc4_fkms_bind(struct device *
-               DRM_WARN("No displays found. Consider forcing hotplug if HDMI is attached\n");
-       }
-+      vc4->fkms = fkms;
-+
-       platform_set_drvdata(pdev, crtc_list);
-       return 0;
---- a/include/soc/bcm2835/raspberrypi-firmware.h
-+++ b/include/soc/bcm2835/raspberrypi-firmware.h
-@@ -152,6 +152,7 @@ enum rpi_firmware_property_tag {
-       RPI_FIRMWARE_SET_PLANE =                              0x00048015,
-       RPI_FIRMWARE_GET_DISPLAY_TIMING =                     0x00040017,
-       RPI_FIRMWARE_SET_TIMING =                             0x00048017,
-+      RPI_FIRMWARE_GET_DISPLAY_CFG =                        0x00040018,
-       RPI_FIRMWARE_GET_COMMAND_LINE =                       0x00050001,
-       RPI_FIRMWARE_GET_DMA_CHANNELS =                       0x00060001,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0257-drm-vc4-Add-status-of-which-display-is-updated-throu.patch b/target/linux/bcm27xx/patches-5.4/950-0257-drm-vc4-Add-status-of-which-display-is-updated-throu.patch
new file mode 100644 (file)
index 0000000..8dd4caa
--- /dev/null
@@ -0,0 +1,85 @@
+From e399911ab20b650a031d883554180463804ac3f7 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 4 Jun 2019 12:14:30 +0100
+Subject: [PATCH] drm: vc4: Add status of which display is updated
+ through vblank
+
+Previously multiple  displays were slaved off the same SMI
+interrupt, triggered by HVS channel 1 (HDMI0).
+This doesn't work if you only have a DPI or DSI screen (HVS channel
+0), and gives slightly erroneous results with dual HDMI as the
+events for HDMI1 are incorrect.
+
+Use SMIDSW0 and SMIDSW1 registers to denote which display has
+triggered the vblank.
+Handling should be backwards compatible with older firmware.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 41 ++++++++++++++++++++++----
+ 1 file changed, 36 insertions(+), 5 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -233,8 +233,13 @@ static const struct vc_image_format *vc4
+  * hardware, which has only this one register.
+  */
+ #define SMICS 0x0
++#define SMIDSW0 0x14
++#define SMIDSW1 0x1C
+ #define SMICS_INTERRUPTS (BIT(9) | BIT(10) | BIT(11))
++/* Flag to denote that the firmware is giving multiple display callbacks */
++#define SMI_NEW 0xabcd0000
++
+ #define vc4_crtc vc4_kms_crtc
+ #define to_vc4_crtc to_vc4_kms_crtc
+ struct vc4_crtc {
+@@ -885,16 +890,42 @@ static irqreturn_t vc4_crtc_irq_handler(
+       int i;
+       u32 stat = readl(crtc_list[0]->regs + SMICS);
+       irqreturn_t ret = IRQ_NONE;
++      u32 chan;
+       if (stat & SMICS_INTERRUPTS) {
+               writel(0, crtc_list[0]->regs + SMICS);
+-              for (i = 0; crtc_list[i]; i++) {
+-                      if (crtc_list[i]->vblank_enabled)
+-                              drm_crtc_handle_vblank(&crtc_list[i]->base);
+-                      vc4_crtc_handle_page_flip(crtc_list[i]);
+-                      ret = IRQ_HANDLED;
++              chan = readl(crtc_list[0]->regs + SMIDSW0);
++
++              if ((chan & 0xFFFF0000) != SMI_NEW) {
++                      /* Older firmware. Treat the one interrupt as vblank/
++                       * complete for all crtcs.
++                       */
++                      for (i = 0; crtc_list[i]; i++) {
++                              if (crtc_list[i]->vblank_enabled)
++                                      drm_crtc_handle_vblank(&crtc_list[i]->base);
++                              vc4_crtc_handle_page_flip(crtc_list[i]);
++                      }
++              } else {
++                      if (chan & 1) {
++                              writel(SMI_NEW, crtc_list[0]->regs + SMIDSW0);
++                              if (crtc_list[0]->vblank_enabled)
++                                      drm_crtc_handle_vblank(&crtc_list[0]->base);
++                              vc4_crtc_handle_page_flip(crtc_list[0]);
++                      }
++
++                      /* Check for the secondary display too */
++                      chan = readl(crtc_list[0]->regs + SMIDSW1);
++
++                      if (chan & 1) {
++                              writel(SMI_NEW, crtc_list[0]->regs + SMIDSW1);
++                              if (crtc_list[1]->vblank_enabled)
++                                      drm_crtc_handle_vblank(&crtc_list[1]->base);
++                              vc4_crtc_handle_page_flip(crtc_list[1]);
++                      }
+               }
++
++              ret = IRQ_HANDLED;
+       }
+       return ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0257-drm-vc4-Max-resolution-of-7680-is-conditional-on-bei.patch b/target/linux/bcm27xx/patches-5.4/950-0257-drm-vc4-Max-resolution-of-7680-is-conditional-on-bei.patch
deleted file mode 100644 (file)
index 63931bf..0000000
+++ /dev/null
@@ -1,46 +0,0 @@
-From 2767f778820bd7392688512ec2996943a586db6e Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 30 May 2019 15:55:15 +0100
-Subject: [PATCH] drm/vc4: Max resolution of 7680 is conditional on
- being Pi4
-
-The max resolution had been increased from 2048 to 7680 for all
-platforms. This code is common with Pi0-3 which have a max render
-target for GL of 2048, therefore the increased resolution has to
-be conditional on the platform.
-Switch based on whether the bcm2835-v3d node is found, as that is
-not present on Pi4. (There is a potential configuration on Pi0-3
-with no v3d, but this is very unlikely).
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_kms.c | 11 +++++++++--
- 1 file changed, 9 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_kms.c
-@@ -18,6 +18,7 @@
- #include <drm/drm_plane_helper.h>
- #include <drm/drm_probe_helper.h>
- #include <drm/drm_vblank.h>
-+#include <drm/drm_drv.h>
- #include "vc4_drv.h"
- #include "vc4_regs.h"
-@@ -536,8 +537,14 @@ int vc4_kms_load(struct drm_device *dev)
-               return ret;
-       }
--      dev->mode_config.max_width = 7680;
--      dev->mode_config.max_height = 7680;
-+      if (!drm_core_check_feature(dev, DRIVER_RENDER)) {
-+              /* No V3D as part of vc4. Assume this is Pi4. */
-+              dev->mode_config.max_width = 7680;
-+              dev->mode_config.max_height = 7680;
-+      } else {
-+              dev->mode_config.max_width = 2048;
-+              dev->mode_config.max_height = 2048;
-+      }
-       dev->mode_config.funcs = &vc4_mode_funcs;
-       dev->mode_config.preferred_depth = 24;
-       dev->mode_config.async_page_flip = true;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0258-drm-vc4-Fix-T-format-modifiers-in-FKMS.patch b/target/linux/bcm27xx/patches-5.4/950-0258-drm-vc4-Fix-T-format-modifiers-in-FKMS.patch
deleted file mode 100644 (file)
index 5d6171c..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From 82551f68e9f9dea1f22d9cdfdf361bf054f6900c Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 7 Jun 2019 11:31:21 +0100
-Subject: [PATCH] drm/vc4: Fix T-format modifiers in FKMS.
-
-The wrong vc_image formats were being checked for in the switch
-statement. Correct these.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -460,10 +460,10 @@ static void vc4_plane_atomic_update(stru
-       switch (fb->modifier) {
-       case DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED:
-               switch (mb->plane.vc_image_type) {
--              case VC_IMAGE_RGBX32:
-+              case VC_IMAGE_XRGB8888:
-                       mb->plane.vc_image_type = VC_IMAGE_TF_RGBX32;
-                       break;
--              case VC_IMAGE_RGBA32:
-+              case VC_IMAGE_ARGB8888:
-                       mb->plane.vc_image_type = VC_IMAGE_TF_RGBA32;
-                       break;
-               case VC_IMAGE_RGB565:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0258-drm-vc4-In-FKMS-look-at-the-modifiers-correctly-for-.patch b/target/linux/bcm27xx/patches-5.4/950-0258-drm-vc4-In-FKMS-look-at-the-modifiers-correctly-for-.patch
new file mode 100644 (file)
index 0000000..38b12e0
--- /dev/null
@@ -0,0 +1,36 @@
+From aa6061c2db8adbe0e75890cfc6f56b800b9995df Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 12 Jun 2019 17:13:21 +0100
+Subject: [PATCH] drm/vc4: In FKMS look at the modifiers correctly for
+ SAND
+
+Incorrect masking was used in the switch for the modifier,
+therefore for SAND (which puts the column pitch in the
+modifier) it didn't match.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 5 ++++-
+ 1 file changed, 4 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -462,7 +462,7 @@ static void vc4_plane_atomic_update(stru
+       }
+       mb->plane.planes[3] = 0;
+-      switch (fb->modifier) {
++      switch (fourcc_mod_broadcom_mod(fb->modifier)) {
+       case DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED:
+               switch (mb->plane.vc_image_type) {
+               case VC_IMAGE_XRGB8888:
+@@ -478,6 +478,9 @@ static void vc4_plane_atomic_update(stru
+               break;
+       case DRM_FORMAT_MOD_BROADCOM_SAND128:
+               mb->plane.vc_image_type = VC_IMAGE_YUV_UV;
++              /* Note that the column pitch is passed across in lines, not
++               * bytes.
++               */
+               mb->plane.pitch = fourcc_mod_broadcom_param(fb->modifier);
+               break;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0259-drm-vc4-Limit-fkms-to-modes-85Hz.patch b/target/linux/bcm27xx/patches-5.4/950-0259-drm-vc4-Limit-fkms-to-modes-85Hz.patch
new file mode 100644 (file)
index 0000000..128ac5d
--- /dev/null
@@ -0,0 +1,26 @@
+From 9f9d67a11cfd6318d2bd1321090c0950242cfa25 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 18 Jun 2019 21:37:45 +0100
+Subject: [PATCH] drm/vc4: Limit fkms to modes <= 85Hz
+
+Selecting 1080p100 and 120 has very limited gain, but don't want
+to block VGA85 and similar.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -823,6 +823,10 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
+               return MODE_NO_DBLESCAN;
+       }
++      /* Disable refresh rates > 85Hz as limited gain from them */
++      if (drm_mode_vrefresh(mode) > 85)
++              return MODE_BAD_VVALUE;
++
+       /* Limit the pixel clock based on the HDMI clock limits from the
+        * firmware
+        */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0259-drm-vc4-Remove-340MHz-clock-limit-from-FKMS-now-scra.patch b/target/linux/bcm27xx/patches-5.4/950-0259-drm-vc4-Remove-340MHz-clock-limit-from-FKMS-now-scra.patch
deleted file mode 100644 (file)
index 06e073b..0000000
+++ /dev/null
@@ -1,29 +0,0 @@
-From 44c7ff7864d931759efd307ef641f522c0a5bbdb Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 10 Jun 2019 16:32:51 +0100
-Subject: [PATCH] drm/vc4: Remove 340MHz clock limit from FKMS now
- scrambling issues resolved
-
-Firmware TMDS scrambling is now being correctly configured, so
-we can use it.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 6 ------
- 1 file changed, 6 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -831,12 +831,6 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
-               break;
-       }
--      /* Limit the pixel clock until we can get dynamic HDMI 2.0 scrambling
--       * working.
--       */
--      if (mode->clock > 340000)
--              return MODE_CLOCK_HIGH;
--
-       return MODE_OK;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0260-drm-vc4-Add-status-of-which-display-is-updated-throu.patch b/target/linux/bcm27xx/patches-5.4/950-0260-drm-vc4-Add-status-of-which-display-is-updated-throu.patch
deleted file mode 100644 (file)
index 8dd4caa..0000000
+++ /dev/null
@@ -1,85 +0,0 @@
-From e399911ab20b650a031d883554180463804ac3f7 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 4 Jun 2019 12:14:30 +0100
-Subject: [PATCH] drm: vc4: Add status of which display is updated
- through vblank
-
-Previously multiple  displays were slaved off the same SMI
-interrupt, triggered by HVS channel 1 (HDMI0).
-This doesn't work if you only have a DPI or DSI screen (HVS channel
-0), and gives slightly erroneous results with dual HDMI as the
-events for HDMI1 are incorrect.
-
-Use SMIDSW0 and SMIDSW1 registers to denote which display has
-triggered the vblank.
-Handling should be backwards compatible with older firmware.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 41 ++++++++++++++++++++++----
- 1 file changed, 36 insertions(+), 5 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -233,8 +233,13 @@ static const struct vc_image_format *vc4
-  * hardware, which has only this one register.
-  */
- #define SMICS 0x0
-+#define SMIDSW0 0x14
-+#define SMIDSW1 0x1C
- #define SMICS_INTERRUPTS (BIT(9) | BIT(10) | BIT(11))
-+/* Flag to denote that the firmware is giving multiple display callbacks */
-+#define SMI_NEW 0xabcd0000
-+
- #define vc4_crtc vc4_kms_crtc
- #define to_vc4_crtc to_vc4_kms_crtc
- struct vc4_crtc {
-@@ -885,16 +890,42 @@ static irqreturn_t vc4_crtc_irq_handler(
-       int i;
-       u32 stat = readl(crtc_list[0]->regs + SMICS);
-       irqreturn_t ret = IRQ_NONE;
-+      u32 chan;
-       if (stat & SMICS_INTERRUPTS) {
-               writel(0, crtc_list[0]->regs + SMICS);
--              for (i = 0; crtc_list[i]; i++) {
--                      if (crtc_list[i]->vblank_enabled)
--                              drm_crtc_handle_vblank(&crtc_list[i]->base);
--                      vc4_crtc_handle_page_flip(crtc_list[i]);
--                      ret = IRQ_HANDLED;
-+              chan = readl(crtc_list[0]->regs + SMIDSW0);
-+
-+              if ((chan & 0xFFFF0000) != SMI_NEW) {
-+                      /* Older firmware. Treat the one interrupt as vblank/
-+                       * complete for all crtcs.
-+                       */
-+                      for (i = 0; crtc_list[i]; i++) {
-+                              if (crtc_list[i]->vblank_enabled)
-+                                      drm_crtc_handle_vblank(&crtc_list[i]->base);
-+                              vc4_crtc_handle_page_flip(crtc_list[i]);
-+                      }
-+              } else {
-+                      if (chan & 1) {
-+                              writel(SMI_NEW, crtc_list[0]->regs + SMIDSW0);
-+                              if (crtc_list[0]->vblank_enabled)
-+                                      drm_crtc_handle_vblank(&crtc_list[0]->base);
-+                              vc4_crtc_handle_page_flip(crtc_list[0]);
-+                      }
-+
-+                      /* Check for the secondary display too */
-+                      chan = readl(crtc_list[0]->regs + SMIDSW1);
-+
-+                      if (chan & 1) {
-+                              writel(SMI_NEW, crtc_list[0]->regs + SMIDSW1);
-+                              if (crtc_list[1]->vblank_enabled)
-+                                      drm_crtc_handle_vblank(&crtc_list[1]->base);
-+                              vc4_crtc_handle_page_flip(crtc_list[1]);
-+                      }
-               }
-+
-+              ret = IRQ_HANDLED;
-       }
-       return ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0260-drm-vc4-Ignore-HVS-unless-initialised.patch b/target/linux/bcm27xx/patches-5.4/950-0260-drm-vc4-Ignore-HVS-unless-initialised.patch
new file mode 100644 (file)
index 0000000..f17f4be
--- /dev/null
@@ -0,0 +1,43 @@
+From cad68ea70d649cff90102022c5d161bf84e4ed16 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Fri, 19 Jul 2019 14:29:28 +0100
+Subject: [PATCH] drm/vc4: Ignore HVS unless initialised
+
+An upstream commit to report HVS underruns causes VC4 in firmware KMS
+mode to cross into the HVS side, where it crashes due to a NULL hvs
+pointer.
+
+Make the underrun masking conditional on the hvs pointer being
+initialised.
+
+Fixes: 531a1b622da9 ("drm/vc4: Report HVS underrun errors")
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 3 ++-
+ drivers/gpu/drm/vc4/vc4_kms.c  | 2 +-
+ 2 files changed, 3 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -801,7 +801,8 @@ static void vc4_crtc_handle_page_flip(st
+                * the CRTC and encoder already reconfigured, leading to
+                * underruns. This can be seen when reconfiguring the CRTC.
+                */
+-              vc4_hvs_unmask_underrun(dev, vc4_crtc->channel);
++              if (vc4->hvs)
++                      vc4_hvs_unmask_underrun(dev, vc4_crtc->channel);
+       }
+       spin_unlock_irqrestore(&dev->event_lock, flags);
+ }
+--- a/drivers/gpu/drm/vc4/vc4_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_kms.c
+@@ -156,7 +156,7 @@ vc4_atomic_complete_commit(struct drm_at
+       struct vc4_crtc *vc4_crtc;
+       int i;
+-      for (i = 0; i < dev->mode_config.num_crtc; i++) {
++      for (i = 0; vc4->hvs && i < dev->mode_config.num_crtc; i++) {
+               if (!state->crtcs[i].ptr || !state->crtcs[i].commit)
+                       continue;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0261-drm-vc4-In-FKMS-look-at-the-modifiers-correctly-for-.patch b/target/linux/bcm27xx/patches-5.4/950-0261-drm-vc4-In-FKMS-look-at-the-modifiers-correctly-for-.patch
deleted file mode 100644 (file)
index 38b12e0..0000000
+++ /dev/null
@@ -1,36 +0,0 @@
-From aa6061c2db8adbe0e75890cfc6f56b800b9995df Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 12 Jun 2019 17:13:21 +0100
-Subject: [PATCH] drm/vc4: In FKMS look at the modifiers correctly for
- SAND
-
-Incorrect masking was used in the switch for the modifier,
-therefore for SAND (which puts the column pitch in the
-modifier) it didn't match.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 5 ++++-
- 1 file changed, 4 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -462,7 +462,7 @@ static void vc4_plane_atomic_update(stru
-       }
-       mb->plane.planes[3] = 0;
--      switch (fb->modifier) {
-+      switch (fourcc_mod_broadcom_mod(fb->modifier)) {
-       case DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED:
-               switch (mb->plane.vc_image_type) {
-               case VC_IMAGE_XRGB8888:
-@@ -478,6 +478,9 @@ static void vc4_plane_atomic_update(stru
-               break;
-       case DRM_FORMAT_MOD_BROADCOM_SAND128:
-               mb->plane.vc_image_type = VC_IMAGE_YUV_UV;
-+              /* Note that the column pitch is passed across in lines, not
-+               * bytes.
-+               */
-               mb->plane.pitch = fourcc_mod_broadcom_param(fb->modifier);
-               break;
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0261-drm-vc4_dsi-Fix-DMA-channel-and-memory-leak-in-vc4-3.patch b/target/linux/bcm27xx/patches-5.4/950-0261-drm-vc4_dsi-Fix-DMA-channel-and-memory-leak-in-vc4-3.patch
new file mode 100644 (file)
index 0000000..9fd7968
--- /dev/null
@@ -0,0 +1,135 @@
+From cbda8e6de54f8a0f194e990f53e1cfad642af1be Mon Sep 17 00:00:00 2001
+From: Chris Miller <chris@mesl2.co.uk>
+Date: Wed, 26 Jun 2019 10:40:30 +0100
+Subject: [PATCH] drm: vc4_dsi: Fix DMA channel and memory leak in vc4
+ (#3012)
+
+Signed-off-by: Chris G Miller <chris@creative-electronics.net>
+---
+ drivers/gpu/drm/vc4/vc4_dsi.c | 35 ++++++++++++++++++++++++-----------
+ 1 file changed, 24 insertions(+), 11 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_dsi.c
++++ b/drivers/gpu/drm/vc4/vc4_dsi.c
+@@ -1485,9 +1485,11 @@ static int vc4_dsi_bind(struct device *d
+       /* DSI1 has a broken AXI slave that doesn't respond to writes
+        * from the ARM.  It does handle writes from the DMA engine,
+        * so set up a channel for talking to it.
++       * Where possible managed resource providers are used, but the DMA channel
++       * must - if acquired - be explicitly released prior to taking an error exit path.
+        */
+       if (dsi->port == 1) {
+-              dsi->reg_dma_mem = dma_alloc_coherent(dev, 4,
++              dsi->reg_dma_mem = dmam_alloc_coherent(dev, 4,
+                                                     &dsi->reg_dma_paddr,
+                                                     GFP_KERNEL);
+               if (!dsi->reg_dma_mem) {
+@@ -1506,6 +1508,8 @@ static int vc4_dsi_bind(struct device *d
+                       return ret;
+               }
++              /* From here on, any error exits must release the dma channel */
++
+               /* Get the physical address of the device's registers.  The
+                * struct resource for the regs gives us the bus address
+                * instead.
+@@ -1532,7 +1536,7 @@ static int vc4_dsi_bind(struct device *d
+       if (ret) {
+               if (ret != -EPROBE_DEFER)
+                       dev_err(dev, "Failed to get interrupt: %d\n", ret);
+-              return ret;
++              goto rel_dma_exit;
+       }
+       dsi->escape_clock = devm_clk_get(dev, "escape");
+@@ -1540,7 +1544,7 @@ static int vc4_dsi_bind(struct device *d
+               ret = PTR_ERR(dsi->escape_clock);
+               if (ret != -EPROBE_DEFER)
+                       dev_err(dev, "Failed to get escape clock: %d\n", ret);
+-              return ret;
++              goto rel_dma_exit;
+       }
+       dsi->pll_phy_clock = devm_clk_get(dev, "phy");
+@@ -1548,7 +1552,7 @@ static int vc4_dsi_bind(struct device *d
+               ret = PTR_ERR(dsi->pll_phy_clock);
+               if (ret != -EPROBE_DEFER)
+                       dev_err(dev, "Failed to get phy clock: %d\n", ret);
+-              return ret;
++              goto rel_dma_exit;
+       }
+       dsi->pixel_clock = devm_clk_get(dev, "pixel");
+@@ -1556,7 +1560,7 @@ static int vc4_dsi_bind(struct device *d
+               ret = PTR_ERR(dsi->pixel_clock);
+               if (ret != -EPROBE_DEFER)
+                       dev_err(dev, "Failed to get pixel clock: %d\n", ret);
+-              return ret;
++              goto rel_dma_exit;
+       }
+       ret = drm_of_find_panel_or_bridge(dev->of_node, 0, 0,
+@@ -1571,26 +1575,28 @@ static int vc4_dsi_bind(struct device *d
+               if (ret == -ENODEV)
+                       return 0;
+-              return ret;
++              goto rel_dma_exit;
+       }
+       if (panel) {
+               dsi->bridge = devm_drm_panel_bridge_add(dev, panel,
+                                                       DRM_MODE_CONNECTOR_DSI);
+-              if (IS_ERR(dsi->bridge))
+-                      return PTR_ERR(dsi->bridge);
++              if (IS_ERR(dsi->bridge)){
++                      ret = PTR_ERR(dsi->bridge);
++                      goto rel_dma_exit;
++              }
+       }
+       /* The esc clock rate is supposed to always be 100Mhz. */
+       ret = clk_set_rate(dsi->escape_clock, 100 * 1000000);
+       if (ret) {
+               dev_err(dev, "Failed to set esc clock: %d\n", ret);
+-              return ret;
++              goto rel_dma_exit;
+       }
+       ret = vc4_dsi_init_phy_clocks(dsi);
+       if (ret)
+-              return ret;
++              goto rel_dma_exit;
+       if (dsi->port == 1)
+               vc4->dsi1 = dsi;
+@@ -1602,7 +1608,7 @@ static int vc4_dsi_bind(struct device *d
+       ret = drm_bridge_attach(dsi->encoder, dsi->bridge, NULL);
+       if (ret) {
+               dev_err(dev, "bridge attach failed: %d\n", ret);
+-              return ret;
++              goto rel_dma_exit;
+       }
+       /* Disable the atomic helper calls into the bridge.  We
+        * manually call the bridge pre_enable / enable / etc. calls
+@@ -1619,6 +1625,11 @@ static int vc4_dsi_bind(struct device *d
+       pm_runtime_enable(dev);
+       return 0;
++
++rel_dma_exit:
++      dma_release_channel(dsi->reg_dma_chan);
++
++      return ret;
+ }
+ static void vc4_dsi_unbind(struct device *dev, struct device *master,
+@@ -1633,6 +1644,8 @@ static void vc4_dsi_unbind(struct device
+       vc4_dsi_encoder_destroy(dsi->encoder);
++      dma_release_channel(dsi->reg_dma_chan);
++
+       if (dsi->port == 1)
+               vc4->dsi1 = NULL;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0262-drm-vc4-Add-support-for-color-encoding-on-YUV-planes.patch b/target/linux/bcm27xx/patches-5.4/950-0262-drm-vc4-Add-support-for-color-encoding-on-YUV-planes.patch
new file mode 100644 (file)
index 0000000..619eb81
--- /dev/null
@@ -0,0 +1,110 @@
+From 07f4c75cfa18cedfd192010c50cd62921b5a00ed Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 24 Jun 2019 02:29:40 +0100
+Subject: [PATCH] drm/vc4: Add support for color encoding on YUV planes
+
+Adds signalling for BT601/709/2020, and limited/full range
+(on BT601).
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 32 +++++++++++++++++++++++++-
+ drivers/gpu/drm/vc4/vc_image_types.h   | 28 ++++++++++++++++++++++
+ 2 files changed, 59 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -69,7 +69,7 @@ struct set_plane {
+       u8 alpha;
+       u8 num_planes;
+       u8 is_vu;
+-      u8 padding;
++      u8 color_encoding;
+       u32 planes[4];  /* DMA address of each plane */
+@@ -456,6 +456,28 @@ static void vc4_plane_atomic_update(stru
+               if (num_planes == 3 &&
+                   (fb->offsets[2] - fb->offsets[1]) == fb->pitches[1])
+                       mb->plane.vc_image_type = VC_IMAGE_YUV420_S;
++
++              switch (state->color_encoding) {
++              default:
++              case DRM_COLOR_YCBCR_BT601:
++                      if (state->color_range == DRM_COLOR_YCBCR_LIMITED_RANGE)
++                              mb->plane.color_encoding =
++                                              VC_IMAGE_YUVINFO_CSC_ITUR_BT601;
++                      else
++                              mb->plane.color_encoding =
++                                              VC_IMAGE_YUVINFO_CSC_JPEG_JFIF;
++                      break;
++              case DRM_COLOR_YCBCR_BT709:
++                      /* Currently no support for a full range BT709 */
++                      mb->plane.color_encoding =
++                                              VC_IMAGE_YUVINFO_CSC_ITUR_BT709;
++                      break;
++              case DRM_COLOR_YCBCR_BT2020:
++                      /* Currently no support for a full range BT2020 */
++                      mb->plane.color_encoding =
++                                      VC_IMAGE_YUVINFO_CSC_REC_2020;
++                      break;
++              }
+       } else {
+               mb->plane.planes[1] = 0;
+               mb->plane.planes[2] = 0;
+@@ -644,6 +666,14 @@ static struct drm_plane *vc4_fkms_plane_
+       drm_plane_create_alpha_property(plane);
+       drm_plane_create_rotation_property(plane, DRM_MODE_ROTATE_0,
+                                          SUPPORTED_ROTATIONS);
++      drm_plane_create_color_properties(plane,
++                                        BIT(DRM_COLOR_YCBCR_BT601) |
++                                        BIT(DRM_COLOR_YCBCR_BT709) |
++                                        BIT(DRM_COLOR_YCBCR_BT2020),
++                                        BIT(DRM_COLOR_YCBCR_LIMITED_RANGE) |
++                                        BIT(DRM_COLOR_YCBCR_FULL_RANGE),
++                                        DRM_COLOR_YCBCR_BT709,
++                                        DRM_COLOR_YCBCR_LIMITED_RANGE);
+       /*
+        * Default frame buffer setup is with FB on -127, and raspistill etc
+--- a/drivers/gpu/drm/vc4/vc_image_types.h
++++ b/drivers/gpu/drm/vc4/vc_image_types.h
+@@ -4,6 +4,8 @@
+  *
+  * Values taken from vc_image_types.h released by Broadcom at
+  * https://github.com/raspberrypi/userland/blob/master/interface/vctypes/vc_image_types.h
++ * and vc_image_structs.h at
++ * https://github.com/raspberrypi/userland/blob/master/interface/vctypes/vc_image_structs.h
+  *
+  * This program is free software; you can redistribute it and/or modify
+  * it under the terms of the GNU General Public License version 2 as
+@@ -141,3 +143,29 @@ enum {
+       VC_IMAGE_MAX,     /* bounds for error checking */
+       VC_IMAGE_FORCE_ENUM_16BIT = 0xffff,
+ };
++
++enum {
++      /* Unknown or unset - defaults to BT601 interstitial */
++      VC_IMAGE_YUVINFO_UNSPECIFIED    = 0,
++
++      /* colour-space conversions data [4 bits] */
++
++      /* ITU-R BT.601-5 [SDTV] (compatible with VideoCore-II) */
++      VC_IMAGE_YUVINFO_CSC_ITUR_BT601      = 1,
++      /* ITU-R BT.709-3 [HDTV] */
++      VC_IMAGE_YUVINFO_CSC_ITUR_BT709      = 2,
++      /* JPEG JFIF */
++      VC_IMAGE_YUVINFO_CSC_JPEG_JFIF       = 3,
++      /* Title 47 Code of Federal Regulations (2003) 73.682 (a) (20) */
++      VC_IMAGE_YUVINFO_CSC_FCC             = 4,
++      /* Society of Motion Picture and Television Engineers 240M (1999) */
++      VC_IMAGE_YUVINFO_CSC_SMPTE_240M      = 5,
++      /* ITU-R BT.470-2 System M */
++      VC_IMAGE_YUVINFO_CSC_ITUR_BT470_2_M  = 6,
++      /* ITU-R BT.470-2 System B,G */
++      VC_IMAGE_YUVINFO_CSC_ITUR_BT470_2_BG = 7,
++      /* JPEG JFIF, but with 16..255 luma */
++      VC_IMAGE_YUVINFO_CSC_JPEG_JFIF_Y16_255 = 8,
++      /* Rec 2020 */
++      VC_IMAGE_YUVINFO_CSC_REC_2020        = 9,
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0262-drm-vc4-Limit-fkms-to-modes-85Hz.patch b/target/linux/bcm27xx/patches-5.4/950-0262-drm-vc4-Limit-fkms-to-modes-85Hz.patch
deleted file mode 100644 (file)
index 128ac5d..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From 9f9d67a11cfd6318d2bd1321090c0950242cfa25 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 18 Jun 2019 21:37:45 +0100
-Subject: [PATCH] drm/vc4: Limit fkms to modes <= 85Hz
-
-Selecting 1080p100 and 120 has very limited gain, but don't want
-to block VGA85 and similar.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 4 ++++
- 1 file changed, 4 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -823,6 +823,10 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
-               return MODE_NO_DBLESCAN;
-       }
-+      /* Disable refresh rates > 85Hz as limited gain from them */
-+      if (drm_mode_vrefresh(mode) > 85)
-+              return MODE_BAD_VVALUE;
-+
-       /* Limit the pixel clock based on the HDMI clock limits from the
-        * firmware
-        */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0263-drm-vc4-Ignore-HVS-unless-initialised.patch b/target/linux/bcm27xx/patches-5.4/950-0263-drm-vc4-Ignore-HVS-unless-initialised.patch
deleted file mode 100644 (file)
index f17f4be..0000000
+++ /dev/null
@@ -1,43 +0,0 @@
-From cad68ea70d649cff90102022c5d161bf84e4ed16 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Fri, 19 Jul 2019 14:29:28 +0100
-Subject: [PATCH] drm/vc4: Ignore HVS unless initialised
-
-An upstream commit to report HVS underruns causes VC4 in firmware KMS
-mode to cross into the HVS side, where it crashes due to a NULL hvs
-pointer.
-
-Make the underrun masking conditional on the hvs pointer being
-initialised.
-
-Fixes: 531a1b622da9 ("drm/vc4: Report HVS underrun errors")
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 3 ++-
- drivers/gpu/drm/vc4/vc4_kms.c  | 2 +-
- 2 files changed, 3 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -801,7 +801,8 @@ static void vc4_crtc_handle_page_flip(st
-                * the CRTC and encoder already reconfigured, leading to
-                * underruns. This can be seen when reconfiguring the CRTC.
-                */
--              vc4_hvs_unmask_underrun(dev, vc4_crtc->channel);
-+              if (vc4->hvs)
-+                      vc4_hvs_unmask_underrun(dev, vc4_crtc->channel);
-       }
-       spin_unlock_irqrestore(&dev->event_lock, flags);
- }
---- a/drivers/gpu/drm/vc4/vc4_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_kms.c
-@@ -156,7 +156,7 @@ vc4_atomic_complete_commit(struct drm_at
-       struct vc4_crtc *vc4_crtc;
-       int i;
--      for (i = 0; i < dev->mode_config.num_crtc; i++) {
-+      for (i = 0; vc4->hvs && i < dev->mode_config.num_crtc; i++) {
-               if (!state->crtcs[i].ptr || !state->crtcs[i].commit)
-                       continue;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0263-tty-amba-pl011-Make-TX-optimisation-conditional.patch b/target/linux/bcm27xx/patches-5.4/950-0263-tty-amba-pl011-Make-TX-optimisation-conditional.patch
new file mode 100644 (file)
index 0000000..58829c9
--- /dev/null
@@ -0,0 +1,85 @@
+From 76e24a2218069fadb28c0c2f5d813302ad5f85a3 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Thu, 11 Jul 2019 13:13:39 +0100
+Subject: [PATCH] tty: amba-pl011: Make TX optimisation conditional
+
+pl011_tx_chars takes a "from_irq" parameter to reduce the number of
+register accesses. When from_irq is true the function assumes that the
+FIFO is half empty and writes up to half a FIFO's worth of bytes
+without polling the FIFO status register, the reasoning being that
+the function is being called as a result of the TX interrupt being
+raised. This logic would work were it not for the fact that
+pl011_rx_chars, called from pl011_int before pl011_tx_chars, releases
+the spinlock before calling tty_flip_buffer_push.
+
+A user thread writing to the UART claims the spinlock and ultimately
+calls pl011_tx_chars with from_irq set to false. This reverts to the
+older logic that polls the FIFO status register before sending every
+byte. If this happen on an SMP system during the section of the IRQ
+handler where the spinlock has been released, then by the time the TX
+interrupt handler is called, the FIFO may already be full, and any
+further writes are likely to be lost.
+
+The fix involves adding a per-port flag that is true iff running from
+within the interrupt handler and the spinlock has not yet been released.
+This flag is then used as the value for the from_irq parameter of
+pl011_tx_chars, causing polling to be used in the unsafe case.
+
+Fixes: 1e84d22322ce ("serial/amba-pl011: Refactor and simplify TX FIFO handling")
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/tty/serial/amba-pl011.c | 7 ++++++-
+ 1 file changed, 6 insertions(+), 1 deletion(-)
+
+--- a/drivers/tty/serial/amba-pl011.c
++++ b/drivers/tty/serial/amba-pl011.c
+@@ -270,6 +270,7 @@ struct uart_amba_port {
+       unsigned int            old_cr;         /* state during shutdown */
+       unsigned int            fixed_baud;     /* vendor-set fixed baud rate */
+       char                    type[12];
++      bool                    irq_locked;     /* in irq, unreleased lock */
+ #ifdef CONFIG_DMA_ENGINE
+       /* DMA stuff */
+       bool                    using_tx_dma;
+@@ -816,6 +817,7 @@ __acquires(&uap->port.lock)
+       if (!uap->using_tx_dma)
+               return;
++      uap->irq_locked = 0;
+       dmaengine_terminate_async(uap->dmatx.chan);
+       if (uap->dmatx.queued) {
+@@ -942,6 +944,7 @@ static void pl011_dma_rx_chars(struct ua
+               fifotaken = pl011_fifo_to_tty(uap);
+       }
++      uap->irq_locked = 0;
+       spin_unlock(&uap->port.lock);
+       dev_vdbg(uap->port.dev,
+                "Took %d chars from DMA buffer and %d chars from the FIFO\n",
+@@ -1350,6 +1353,7 @@ __acquires(&uap->port.lock)
+ {
+       pl011_fifo_to_tty(uap);
++      uap->irq_locked = 0;
+       spin_unlock(&uap->port.lock);
+       tty_flip_buffer_push(&uap->port.state->port);
+       /*
+@@ -1485,6 +1489,7 @@ static irqreturn_t pl011_int(int irq, vo
+       int handled = 0;
+       spin_lock_irqsave(&uap->port.lock, flags);
++      uap->irq_locked = 1;
+       status = pl011_read(uap, REG_RIS) & uap->im;
+       if (status) {
+               do {
+@@ -1504,7 +1509,7 @@ static irqreturn_t pl011_int(int irq, vo
+                                     UART011_CTSMIS|UART011_RIMIS))
+                               pl011_modem_status(uap);
+                       if (status & UART011_TXIS)
+-                              pl011_tx_chars(uap, true);
++                              pl011_tx_chars(uap, uap->irq_locked);
+                       if (pass_counter-- == 0)
+                               break;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0264-drm-vc4_dsi-Fix-DMA-channel-and-memory-leak-in-vc4-3.patch b/target/linux/bcm27xx/patches-5.4/950-0264-drm-vc4_dsi-Fix-DMA-channel-and-memory-leak-in-vc4-3.patch
deleted file mode 100644 (file)
index 9fd7968..0000000
+++ /dev/null
@@ -1,135 +0,0 @@
-From cbda8e6de54f8a0f194e990f53e1cfad642af1be Mon Sep 17 00:00:00 2001
-From: Chris Miller <chris@mesl2.co.uk>
-Date: Wed, 26 Jun 2019 10:40:30 +0100
-Subject: [PATCH] drm: vc4_dsi: Fix DMA channel and memory leak in vc4
- (#3012)
-
-Signed-off-by: Chris G Miller <chris@creative-electronics.net>
----
- drivers/gpu/drm/vc4/vc4_dsi.c | 35 ++++++++++++++++++++++++-----------
- 1 file changed, 24 insertions(+), 11 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_dsi.c
-+++ b/drivers/gpu/drm/vc4/vc4_dsi.c
-@@ -1485,9 +1485,11 @@ static int vc4_dsi_bind(struct device *d
-       /* DSI1 has a broken AXI slave that doesn't respond to writes
-        * from the ARM.  It does handle writes from the DMA engine,
-        * so set up a channel for talking to it.
-+       * Where possible managed resource providers are used, but the DMA channel
-+       * must - if acquired - be explicitly released prior to taking an error exit path.
-        */
-       if (dsi->port == 1) {
--              dsi->reg_dma_mem = dma_alloc_coherent(dev, 4,
-+              dsi->reg_dma_mem = dmam_alloc_coherent(dev, 4,
-                                                     &dsi->reg_dma_paddr,
-                                                     GFP_KERNEL);
-               if (!dsi->reg_dma_mem) {
-@@ -1506,6 +1508,8 @@ static int vc4_dsi_bind(struct device *d
-                       return ret;
-               }
-+              /* From here on, any error exits must release the dma channel */
-+
-               /* Get the physical address of the device's registers.  The
-                * struct resource for the regs gives us the bus address
-                * instead.
-@@ -1532,7 +1536,7 @@ static int vc4_dsi_bind(struct device *d
-       if (ret) {
-               if (ret != -EPROBE_DEFER)
-                       dev_err(dev, "Failed to get interrupt: %d\n", ret);
--              return ret;
-+              goto rel_dma_exit;
-       }
-       dsi->escape_clock = devm_clk_get(dev, "escape");
-@@ -1540,7 +1544,7 @@ static int vc4_dsi_bind(struct device *d
-               ret = PTR_ERR(dsi->escape_clock);
-               if (ret != -EPROBE_DEFER)
-                       dev_err(dev, "Failed to get escape clock: %d\n", ret);
--              return ret;
-+              goto rel_dma_exit;
-       }
-       dsi->pll_phy_clock = devm_clk_get(dev, "phy");
-@@ -1548,7 +1552,7 @@ static int vc4_dsi_bind(struct device *d
-               ret = PTR_ERR(dsi->pll_phy_clock);
-               if (ret != -EPROBE_DEFER)
-                       dev_err(dev, "Failed to get phy clock: %d\n", ret);
--              return ret;
-+              goto rel_dma_exit;
-       }
-       dsi->pixel_clock = devm_clk_get(dev, "pixel");
-@@ -1556,7 +1560,7 @@ static int vc4_dsi_bind(struct device *d
-               ret = PTR_ERR(dsi->pixel_clock);
-               if (ret != -EPROBE_DEFER)
-                       dev_err(dev, "Failed to get pixel clock: %d\n", ret);
--              return ret;
-+              goto rel_dma_exit;
-       }
-       ret = drm_of_find_panel_or_bridge(dev->of_node, 0, 0,
-@@ -1571,26 +1575,28 @@ static int vc4_dsi_bind(struct device *d
-               if (ret == -ENODEV)
-                       return 0;
--              return ret;
-+              goto rel_dma_exit;
-       }
-       if (panel) {
-               dsi->bridge = devm_drm_panel_bridge_add(dev, panel,
-                                                       DRM_MODE_CONNECTOR_DSI);
--              if (IS_ERR(dsi->bridge))
--                      return PTR_ERR(dsi->bridge);
-+              if (IS_ERR(dsi->bridge)){
-+                      ret = PTR_ERR(dsi->bridge);
-+                      goto rel_dma_exit;
-+              }
-       }
-       /* The esc clock rate is supposed to always be 100Mhz. */
-       ret = clk_set_rate(dsi->escape_clock, 100 * 1000000);
-       if (ret) {
-               dev_err(dev, "Failed to set esc clock: %d\n", ret);
--              return ret;
-+              goto rel_dma_exit;
-       }
-       ret = vc4_dsi_init_phy_clocks(dsi);
-       if (ret)
--              return ret;
-+              goto rel_dma_exit;
-       if (dsi->port == 1)
-               vc4->dsi1 = dsi;
-@@ -1602,7 +1608,7 @@ static int vc4_dsi_bind(struct device *d
-       ret = drm_bridge_attach(dsi->encoder, dsi->bridge, NULL);
-       if (ret) {
-               dev_err(dev, "bridge attach failed: %d\n", ret);
--              return ret;
-+              goto rel_dma_exit;
-       }
-       /* Disable the atomic helper calls into the bridge.  We
-        * manually call the bridge pre_enable / enable / etc. calls
-@@ -1619,6 +1625,11 @@ static int vc4_dsi_bind(struct device *d
-       pm_runtime_enable(dev);
-       return 0;
-+
-+rel_dma_exit:
-+      dma_release_channel(dsi->reg_dma_chan);
-+
-+      return ret;
- }
- static void vc4_dsi_unbind(struct device *dev, struct device *master,
-@@ -1633,6 +1644,8 @@ static void vc4_dsi_unbind(struct device
-       vc4_dsi_encoder_destroy(dsi->encoder);
-+      dma_release_channel(dsi->reg_dma_chan);
-+
-       if (dsi->port == 1)
-               vc4->dsi1 = NULL;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0264-xhci-add-quirk-for-host-controllers-that-don-t-updat.patch b/target/linux/bcm27xx/patches-5.4/950-0264-xhci-add-quirk-for-host-controllers-that-don-t-updat.patch
new file mode 100644 (file)
index 0000000..a125fdc
--- /dev/null
@@ -0,0 +1,90 @@
+From 7bb9b7d36fa457a9fc463108d1228fd318891da4 Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Thu, 11 Jul 2019 17:55:43 +0100
+Subject: [PATCH] xhci: add quirk for host controllers that don't
+ update endpoint DCS
+
+Seen on a VLI VL805 PCIe to USB controller. For non-stream endpoints
+at least, if the xHC halts on a particular TRB due to an error then
+the DCS field in the Out Endpoint Context maintained by the hardware
+is not updated with the current cycle state.
+
+Using the quirk XHCI_EP_CTX_BROKEN_DCS and instead fetch the DCS bit
+from the TRB that the xHC stopped on.
+
+See: https://github.com/raspberrypi/linux/issues/3060
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
+---
+ drivers/usb/host/xhci-pci.c  |  4 +++-
+ drivers/usb/host/xhci-ring.c | 26 +++++++++++++++++++++++++-
+ drivers/usb/host/xhci.h      |  1 +
+ 3 files changed, 29 insertions(+), 2 deletions(-)
+
+--- a/drivers/usb/host/xhci-pci.c
++++ b/drivers/usb/host/xhci-pci.c
+@@ -255,8 +255,10 @@ static void xhci_pci_quirks(struct devic
+               xhci->quirks |= XHCI_BROKEN_STREAMS;
+       if (pdev->vendor == PCI_VENDOR_ID_VIA &&
+-                      pdev->device == 0x3483)
++                      pdev->device == 0x3483) {
+               xhci->quirks |= XHCI_LPM_SUPPORT;
++              xhci->quirks |= XHCI_EP_CTX_BROKEN_DCS;
++      }
+       if (pdev->vendor == PCI_VENDOR_ID_ASMEDIA &&
+               pdev->device == PCI_DEVICE_ID_ASMEDIA_1042_XHCI)
+--- a/drivers/usb/host/xhci-ring.c
++++ b/drivers/usb/host/xhci-ring.c
+@@ -527,7 +527,10 @@ void xhci_find_new_dequeue_state(struct
+       struct xhci_virt_ep *ep = &dev->eps[ep_index];
+       struct xhci_ring *ep_ring;
+       struct xhci_segment *new_seg;
++      struct xhci_segment *halted_seg = NULL;
+       union xhci_trb *new_deq;
++      union xhci_trb *halted_trb;
++      int index = 0;
+       dma_addr_t addr;
+       u64 hw_dequeue;
+       bool cycle_found = false;
+@@ -565,7 +568,28 @@ void xhci_find_new_dequeue_state(struct
+       hw_dequeue = xhci_get_hw_deq(xhci, dev, ep_index, stream_id);
+       new_seg = ep_ring->deq_seg;
+       new_deq = ep_ring->dequeue;
+-      state->new_cycle_state = hw_dequeue & 0x1;
++
++      /*
++       * Quirk: xHC write-back of the DCS field in the hardware dequeue
++       * pointer is wrong - use the cycle state of the TRB pointed to by
++       * the dequeue pointer.
++       */
++      if (xhci->quirks & XHCI_EP_CTX_BROKEN_DCS &&
++          !(ep->ep_state & EP_HAS_STREAMS))
++              halted_seg = trb_in_td(xhci, cur_td->start_seg,
++                                     cur_td->first_trb, cur_td->last_trb,
++                                     hw_dequeue & ~0xf, false);
++      if (halted_seg) {
++              index = ((dma_addr_t)(hw_dequeue & ~0xf) - halted_seg->dma) /
++                       sizeof(*halted_trb);
++              halted_trb = &halted_seg->trbs[index];
++              state->new_cycle_state = halted_trb->generic.field[3] & 0x1;
++              xhci_dbg(xhci, "Endpoint DCS = %d TRB index = %d cycle = %d\n",
++                       (u8)(hw_dequeue & 0x1), index,
++                       state->new_cycle_state);
++      } else {
++              state->new_cycle_state = hw_dequeue & 0x1;
++      }
+       state->stream_id = stream_id;
+       /*
+--- a/drivers/usb/host/xhci.h
++++ b/drivers/usb/host/xhci.h
+@@ -1873,6 +1873,7 @@ struct xhci_hcd {
+ #define XHCI_DEFAULT_PM_RUNTIME_ALLOW BIT_ULL(33)
+ #define XHCI_RESET_PLL_ON_DISCONNECT  BIT_ULL(34)
+ #define XHCI_SNPS_BROKEN_SUSPEND    BIT_ULL(35)
++#define XHCI_EP_CTX_BROKEN_DCS        BIT_ULL(36)
+ #define XHCI_SKIP_PHY_INIT    BIT_ULL(37)
+ #define XHCI_DISABLE_SPARSE   BIT_ULL(38)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0265-drm-vc4-Add-support-for-color-encoding-on-YUV-planes.patch b/target/linux/bcm27xx/patches-5.4/950-0265-drm-vc4-Add-support-for-color-encoding-on-YUV-planes.patch
deleted file mode 100644 (file)
index 619eb81..0000000
+++ /dev/null
@@ -1,110 +0,0 @@
-From 07f4c75cfa18cedfd192010c50cd62921b5a00ed Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 24 Jun 2019 02:29:40 +0100
-Subject: [PATCH] drm/vc4: Add support for color encoding on YUV planes
-
-Adds signalling for BT601/709/2020, and limited/full range
-(on BT601).
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 32 +++++++++++++++++++++++++-
- drivers/gpu/drm/vc4/vc_image_types.h   | 28 ++++++++++++++++++++++
- 2 files changed, 59 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -69,7 +69,7 @@ struct set_plane {
-       u8 alpha;
-       u8 num_planes;
-       u8 is_vu;
--      u8 padding;
-+      u8 color_encoding;
-       u32 planes[4];  /* DMA address of each plane */
-@@ -456,6 +456,28 @@ static void vc4_plane_atomic_update(stru
-               if (num_planes == 3 &&
-                   (fb->offsets[2] - fb->offsets[1]) == fb->pitches[1])
-                       mb->plane.vc_image_type = VC_IMAGE_YUV420_S;
-+
-+              switch (state->color_encoding) {
-+              default:
-+              case DRM_COLOR_YCBCR_BT601:
-+                      if (state->color_range == DRM_COLOR_YCBCR_LIMITED_RANGE)
-+                              mb->plane.color_encoding =
-+                                              VC_IMAGE_YUVINFO_CSC_ITUR_BT601;
-+                      else
-+                              mb->plane.color_encoding =
-+                                              VC_IMAGE_YUVINFO_CSC_JPEG_JFIF;
-+                      break;
-+              case DRM_COLOR_YCBCR_BT709:
-+                      /* Currently no support for a full range BT709 */
-+                      mb->plane.color_encoding =
-+                                              VC_IMAGE_YUVINFO_CSC_ITUR_BT709;
-+                      break;
-+              case DRM_COLOR_YCBCR_BT2020:
-+                      /* Currently no support for a full range BT2020 */
-+                      mb->plane.color_encoding =
-+                                      VC_IMAGE_YUVINFO_CSC_REC_2020;
-+                      break;
-+              }
-       } else {
-               mb->plane.planes[1] = 0;
-               mb->plane.planes[2] = 0;
-@@ -644,6 +666,14 @@ static struct drm_plane *vc4_fkms_plane_
-       drm_plane_create_alpha_property(plane);
-       drm_plane_create_rotation_property(plane, DRM_MODE_ROTATE_0,
-                                          SUPPORTED_ROTATIONS);
-+      drm_plane_create_color_properties(plane,
-+                                        BIT(DRM_COLOR_YCBCR_BT601) |
-+                                        BIT(DRM_COLOR_YCBCR_BT709) |
-+                                        BIT(DRM_COLOR_YCBCR_BT2020),
-+                                        BIT(DRM_COLOR_YCBCR_LIMITED_RANGE) |
-+                                        BIT(DRM_COLOR_YCBCR_FULL_RANGE),
-+                                        DRM_COLOR_YCBCR_BT709,
-+                                        DRM_COLOR_YCBCR_LIMITED_RANGE);
-       /*
-        * Default frame buffer setup is with FB on -127, and raspistill etc
---- a/drivers/gpu/drm/vc4/vc_image_types.h
-+++ b/drivers/gpu/drm/vc4/vc_image_types.h
-@@ -4,6 +4,8 @@
-  *
-  * Values taken from vc_image_types.h released by Broadcom at
-  * https://github.com/raspberrypi/userland/blob/master/interface/vctypes/vc_image_types.h
-+ * and vc_image_structs.h at
-+ * https://github.com/raspberrypi/userland/blob/master/interface/vctypes/vc_image_structs.h
-  *
-  * This program is free software; you can redistribute it and/or modify
-  * it under the terms of the GNU General Public License version 2 as
-@@ -141,3 +143,29 @@ enum {
-       VC_IMAGE_MAX,     /* bounds for error checking */
-       VC_IMAGE_FORCE_ENUM_16BIT = 0xffff,
- };
-+
-+enum {
-+      /* Unknown or unset - defaults to BT601 interstitial */
-+      VC_IMAGE_YUVINFO_UNSPECIFIED    = 0,
-+
-+      /* colour-space conversions data [4 bits] */
-+
-+      /* ITU-R BT.601-5 [SDTV] (compatible with VideoCore-II) */
-+      VC_IMAGE_YUVINFO_CSC_ITUR_BT601      = 1,
-+      /* ITU-R BT.709-3 [HDTV] */
-+      VC_IMAGE_YUVINFO_CSC_ITUR_BT709      = 2,
-+      /* JPEG JFIF */
-+      VC_IMAGE_YUVINFO_CSC_JPEG_JFIF       = 3,
-+      /* Title 47 Code of Federal Regulations (2003) 73.682 (a) (20) */
-+      VC_IMAGE_YUVINFO_CSC_FCC             = 4,
-+      /* Society of Motion Picture and Television Engineers 240M (1999) */
-+      VC_IMAGE_YUVINFO_CSC_SMPTE_240M      = 5,
-+      /* ITU-R BT.470-2 System M */
-+      VC_IMAGE_YUVINFO_CSC_ITUR_BT470_2_M  = 6,
-+      /* ITU-R BT.470-2 System B,G */
-+      VC_IMAGE_YUVINFO_CSC_ITUR_BT470_2_BG = 7,
-+      /* JPEG JFIF, but with 16..255 luma */
-+      VC_IMAGE_YUVINFO_CSC_JPEG_JFIF_Y16_255 = 8,
-+      /* Rec 2020 */
-+      VC_IMAGE_YUVINFO_CSC_REC_2020        = 9,
-+};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0265-i2c-bcm2835-Set-clock-stretch-timeout-to-35ms.patch b/target/linux/bcm27xx/patches-5.4/950-0265-i2c-bcm2835-Set-clock-stretch-timeout-to-35ms.patch
new file mode 100644 (file)
index 0000000..a3bc6c9
--- /dev/null
@@ -0,0 +1,47 @@
+From 9c64858d41cc65982aaf36866ffa8e04b9792718 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Fri, 12 Jul 2019 15:38:35 +0100
+Subject: [PATCH] i2c: bcm2835: Set clock-stretch timeout to 35ms
+
+The BCM2835 I2C blocks have a register to set the clock-stretch
+timeout - how long the device is allowed to hold SCL low - in bus
+cycles. The current driver doesn't write to the register, therefore
+the default value of 64 cycles is being used for all devices.
+
+Set the timeout to the value recommended for SMBus - 35ms.
+
+See: https://github.com/raspberrypi/linux/issues/3064
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/i2c/busses/i2c-bcm2835.c | 12 ++++++++++++
+ 1 file changed, 12 insertions(+)
+
+--- a/drivers/i2c/busses/i2c-bcm2835.c
++++ b/drivers/i2c/busses/i2c-bcm2835.c
+@@ -188,6 +188,7 @@ static int clk_bcm2835_i2c_set_rate(stru
+ {
+       struct clk_bcm2835_i2c *div = to_clk_bcm2835_i2c(hw);
+       u32 redl, fedl;
++      u32 clk_tout;
+       u32 divider = clk_bcm2835_i2c_calc_divider(rate, parent_rate);
+       if (divider == -EINVAL)
+@@ -211,6 +212,17 @@ static int clk_bcm2835_i2c_set_rate(stru
+       bcm2835_i2c_writel(div->i2c_dev, BCM2835_I2C_DEL,
+                          (fedl << BCM2835_I2C_FEDL_SHIFT) |
+                          (redl << BCM2835_I2C_REDL_SHIFT));
++
++      /*
++       * Set the clock stretch timeout to the SMBUs-recommended 35ms.
++       */
++      if (rate > 0xffff*1000/35)
++          clk_tout = 0xffff;
++      else
++          clk_tout = 35*rate/1000;
++
++      bcm2835_i2c_writel(div->i2c_dev, BCM2835_I2C_CLKT, clk_tout);
++
+       return 0;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0266-staging-vc04_services-fix-compiling-in-separate-dire.patch b/target/linux/bcm27xx/patches-5.4/950-0266-staging-vc04_services-fix-compiling-in-separate-dire.patch
new file mode 100644 (file)
index 0000000..b3d57dc
--- /dev/null
@@ -0,0 +1,28 @@
+From 11076f57f802d2bdec3f1861ba27ce5554a710ca Mon Sep 17 00:00:00 2001
+From: =?UTF-8?q?Marek=20Beh=C3=BAn?= <marek.behun@nic.cz>
+Date: Sat, 3 Aug 2019 14:34:59 +0200
+Subject: [PATCH] staging: vc04_services: fix compiling in separate
+ directory
+MIME-Version: 1.0
+Content-Type: text/plain; charset=UTF-8
+Content-Transfer-Encoding: 8bit
+
+The vc04_services Makefiles do not respect the O=path argument
+correctly: include paths in CFLAGS are given relatively to object path,
+not source path. Compiling in a separate directory yields #include
+errors.
+
+Signed-off-by: Marek Behún <marek.behun@nic.cz>
+---
+ drivers/staging/vc04_services/bcm2835-codec/Makefile | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/Makefile
++++ b/drivers/staging/vc04_services/bcm2835-codec/Makefile
+@@ -4,5 +4,5 @@ bcm2835-codec-objs := bcm2835-v4l2-codec
+ obj-$(CONFIG_VIDEO_CODEC_BCM2835) += bcm2835-codec.o
+ ccflags-y += \
+-      -Idrivers/staging/vc04_services \
++      -I$(srctree)/drivers/staging/vc04_services \
+       -D__VCCOREVER__=0x04000000
diff --git a/target/linux/bcm27xx/patches-5.4/950-0266-tty-amba-pl011-Make-TX-optimisation-conditional.patch b/target/linux/bcm27xx/patches-5.4/950-0266-tty-amba-pl011-Make-TX-optimisation-conditional.patch
deleted file mode 100644 (file)
index 58829c9..0000000
+++ /dev/null
@@ -1,85 +0,0 @@
-From 76e24a2218069fadb28c0c2f5d813302ad5f85a3 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Thu, 11 Jul 2019 13:13:39 +0100
-Subject: [PATCH] tty: amba-pl011: Make TX optimisation conditional
-
-pl011_tx_chars takes a "from_irq" parameter to reduce the number of
-register accesses. When from_irq is true the function assumes that the
-FIFO is half empty and writes up to half a FIFO's worth of bytes
-without polling the FIFO status register, the reasoning being that
-the function is being called as a result of the TX interrupt being
-raised. This logic would work were it not for the fact that
-pl011_rx_chars, called from pl011_int before pl011_tx_chars, releases
-the spinlock before calling tty_flip_buffer_push.
-
-A user thread writing to the UART claims the spinlock and ultimately
-calls pl011_tx_chars with from_irq set to false. This reverts to the
-older logic that polls the FIFO status register before sending every
-byte. If this happen on an SMP system during the section of the IRQ
-handler where the spinlock has been released, then by the time the TX
-interrupt handler is called, the FIFO may already be full, and any
-further writes are likely to be lost.
-
-The fix involves adding a per-port flag that is true iff running from
-within the interrupt handler and the spinlock has not yet been released.
-This flag is then used as the value for the from_irq parameter of
-pl011_tx_chars, causing polling to be used in the unsafe case.
-
-Fixes: 1e84d22322ce ("serial/amba-pl011: Refactor and simplify TX FIFO handling")
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/tty/serial/amba-pl011.c | 7 ++++++-
- 1 file changed, 6 insertions(+), 1 deletion(-)
-
---- a/drivers/tty/serial/amba-pl011.c
-+++ b/drivers/tty/serial/amba-pl011.c
-@@ -270,6 +270,7 @@ struct uart_amba_port {
-       unsigned int            old_cr;         /* state during shutdown */
-       unsigned int            fixed_baud;     /* vendor-set fixed baud rate */
-       char                    type[12];
-+      bool                    irq_locked;     /* in irq, unreleased lock */
- #ifdef CONFIG_DMA_ENGINE
-       /* DMA stuff */
-       bool                    using_tx_dma;
-@@ -816,6 +817,7 @@ __acquires(&uap->port.lock)
-       if (!uap->using_tx_dma)
-               return;
-+      uap->irq_locked = 0;
-       dmaengine_terminate_async(uap->dmatx.chan);
-       if (uap->dmatx.queued) {
-@@ -942,6 +944,7 @@ static void pl011_dma_rx_chars(struct ua
-               fifotaken = pl011_fifo_to_tty(uap);
-       }
-+      uap->irq_locked = 0;
-       spin_unlock(&uap->port.lock);
-       dev_vdbg(uap->port.dev,
-                "Took %d chars from DMA buffer and %d chars from the FIFO\n",
-@@ -1350,6 +1353,7 @@ __acquires(&uap->port.lock)
- {
-       pl011_fifo_to_tty(uap);
-+      uap->irq_locked = 0;
-       spin_unlock(&uap->port.lock);
-       tty_flip_buffer_push(&uap->port.state->port);
-       /*
-@@ -1485,6 +1489,7 @@ static irqreturn_t pl011_int(int irq, vo
-       int handled = 0;
-       spin_lock_irqsave(&uap->port.lock, flags);
-+      uap->irq_locked = 1;
-       status = pl011_read(uap, REG_RIS) & uap->im;
-       if (status) {
-               do {
-@@ -1504,7 +1509,7 @@ static irqreturn_t pl011_int(int irq, vo
-                                     UART011_CTSMIS|UART011_RIMIS))
-                               pl011_modem_status(uap);
-                       if (status & UART011_TXIS)
--                              pl011_tx_chars(uap, true);
-+                              pl011_tx_chars(uap, uap->irq_locked);
-                       if (pass_counter-- == 0)
-                               break;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0267-clk-bcm2835-Avoid-null-pointer-exception.patch b/target/linux/bcm27xx/patches-5.4/950-0267-clk-bcm2835-Avoid-null-pointer-exception.patch
new file mode 100644 (file)
index 0000000..8a529af
--- /dev/null
@@ -0,0 +1,29 @@
+From 7316a9e5720c2f4112a96b32c4ec78d94a44adcf Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Tue, 6 Aug 2019 15:23:14 +0100
+Subject: [PATCH] clk-bcm2835: Avoid null pointer exception
+
+clk_desc_array[BCM2835_PLLB] doesn't exist so we dereference null when iterating
+
+Signed-off-by: popcornmix <popcornmix@gmail.com>
+---
+ drivers/clk/bcm/clk-bcm2835.c | 8 +++++---
+ 1 file changed, 5 insertions(+), 3 deletions(-)
+
+--- a/drivers/clk/bcm/clk-bcm2835.c
++++ b/drivers/clk/bcm/clk-bcm2835.c
+@@ -2280,9 +2280,11 @@ static bool bcm2835_clk_is_claimed(const
+       int i;
+       for (i = 0; i < ARRAY_SIZE(clk_desc_array); i++) {
+-              const char *clk_name = *(const char **)(clk_desc_array[i].data);
+-              if (!strcmp(name, clk_name))
+-                  return bcm2835_clk_claimed[i];
++              if (clk_desc_array[i].data) {
++                      const char *clk_name = *(const char **)(clk_desc_array[i].data);
++                      if (!strcmp(name, clk_name))
++                              return bcm2835_clk_claimed[i];
++              }
+       }
+       return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0267-xhci-add-quirk-for-host-controllers-that-don-t-updat.patch b/target/linux/bcm27xx/patches-5.4/950-0267-xhci-add-quirk-for-host-controllers-that-don-t-updat.patch
deleted file mode 100644 (file)
index a125fdc..0000000
+++ /dev/null
@@ -1,90 +0,0 @@
-From 7bb9b7d36fa457a9fc463108d1228fd318891da4 Mon Sep 17 00:00:00 2001
-From: Jonathan Bell <jonathan@raspberrypi.org>
-Date: Thu, 11 Jul 2019 17:55:43 +0100
-Subject: [PATCH] xhci: add quirk for host controllers that don't
- update endpoint DCS
-
-Seen on a VLI VL805 PCIe to USB controller. For non-stream endpoints
-at least, if the xHC halts on a particular TRB due to an error then
-the DCS field in the Out Endpoint Context maintained by the hardware
-is not updated with the current cycle state.
-
-Using the quirk XHCI_EP_CTX_BROKEN_DCS and instead fetch the DCS bit
-from the TRB that the xHC stopped on.
-
-See: https://github.com/raspberrypi/linux/issues/3060
-
-Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
----
- drivers/usb/host/xhci-pci.c  |  4 +++-
- drivers/usb/host/xhci-ring.c | 26 +++++++++++++++++++++++++-
- drivers/usb/host/xhci.h      |  1 +
- 3 files changed, 29 insertions(+), 2 deletions(-)
-
---- a/drivers/usb/host/xhci-pci.c
-+++ b/drivers/usb/host/xhci-pci.c
-@@ -255,8 +255,10 @@ static void xhci_pci_quirks(struct devic
-               xhci->quirks |= XHCI_BROKEN_STREAMS;
-       if (pdev->vendor == PCI_VENDOR_ID_VIA &&
--                      pdev->device == 0x3483)
-+                      pdev->device == 0x3483) {
-               xhci->quirks |= XHCI_LPM_SUPPORT;
-+              xhci->quirks |= XHCI_EP_CTX_BROKEN_DCS;
-+      }
-       if (pdev->vendor == PCI_VENDOR_ID_ASMEDIA &&
-               pdev->device == PCI_DEVICE_ID_ASMEDIA_1042_XHCI)
---- a/drivers/usb/host/xhci-ring.c
-+++ b/drivers/usb/host/xhci-ring.c
-@@ -527,7 +527,10 @@ void xhci_find_new_dequeue_state(struct
-       struct xhci_virt_ep *ep = &dev->eps[ep_index];
-       struct xhci_ring *ep_ring;
-       struct xhci_segment *new_seg;
-+      struct xhci_segment *halted_seg = NULL;
-       union xhci_trb *new_deq;
-+      union xhci_trb *halted_trb;
-+      int index = 0;
-       dma_addr_t addr;
-       u64 hw_dequeue;
-       bool cycle_found = false;
-@@ -565,7 +568,28 @@ void xhci_find_new_dequeue_state(struct
-       hw_dequeue = xhci_get_hw_deq(xhci, dev, ep_index, stream_id);
-       new_seg = ep_ring->deq_seg;
-       new_deq = ep_ring->dequeue;
--      state->new_cycle_state = hw_dequeue & 0x1;
-+
-+      /*
-+       * Quirk: xHC write-back of the DCS field in the hardware dequeue
-+       * pointer is wrong - use the cycle state of the TRB pointed to by
-+       * the dequeue pointer.
-+       */
-+      if (xhci->quirks & XHCI_EP_CTX_BROKEN_DCS &&
-+          !(ep->ep_state & EP_HAS_STREAMS))
-+              halted_seg = trb_in_td(xhci, cur_td->start_seg,
-+                                     cur_td->first_trb, cur_td->last_trb,
-+                                     hw_dequeue & ~0xf, false);
-+      if (halted_seg) {
-+              index = ((dma_addr_t)(hw_dequeue & ~0xf) - halted_seg->dma) /
-+                       sizeof(*halted_trb);
-+              halted_trb = &halted_seg->trbs[index];
-+              state->new_cycle_state = halted_trb->generic.field[3] & 0x1;
-+              xhci_dbg(xhci, "Endpoint DCS = %d TRB index = %d cycle = %d\n",
-+                       (u8)(hw_dequeue & 0x1), index,
-+                       state->new_cycle_state);
-+      } else {
-+              state->new_cycle_state = hw_dequeue & 0x1;
-+      }
-       state->stream_id = stream_id;
-       /*
---- a/drivers/usb/host/xhci.h
-+++ b/drivers/usb/host/xhci.h
-@@ -1873,6 +1873,7 @@ struct xhci_hcd {
- #define XHCI_DEFAULT_PM_RUNTIME_ALLOW BIT_ULL(33)
- #define XHCI_RESET_PLL_ON_DISCONNECT  BIT_ULL(34)
- #define XHCI_SNPS_BROKEN_SUSPEND    BIT_ULL(35)
-+#define XHCI_EP_CTX_BROKEN_DCS        BIT_ULL(36)
- #define XHCI_SKIP_PHY_INIT    BIT_ULL(37)
- #define XHCI_DISABLE_SPARSE   BIT_ULL(38)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0268-drm-vc4-Prevent-load-tracking-from-breaking-FKMS.patch b/target/linux/bcm27xx/patches-5.4/950-0268-drm-vc4-Prevent-load-tracking-from-breaking-FKMS.patch
new file mode 100644 (file)
index 0000000..e4f178d
--- /dev/null
@@ -0,0 +1,74 @@
+From 907dc84e0c7208b79ad57e0e2a7964dbc9155f50 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Thu, 15 Aug 2019 08:39:08 +0100
+Subject: [PATCH] drm/vc4: Prevent load tracking from breaking FKMS
+
+Firmware KMS uses a mixture of VC4 processing and dedicated code. The
+load tracking support in VC4 assumes it is dealing with vc4_plane_state
+objects when up-casting with container_of, but FKMS uses unadorned
+drm_plane_state structures causing the VC4 code to read off the end
+into random portions of memory. Work around the problem in a minimally-
+invasive way by over-allocating the FKMS plane state structures to be
+large enough to contain a vc4_plane_state, filling the remainder with
+zeroes.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 34 ++++++++++++++++++++++++--
+ 1 file changed, 32 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -561,6 +561,20 @@ static int vc4_plane_atomic_check(struct
+       return 0;
+ }
++/* Called during init to allocate the plane's atomic state. */
++static void vc4_plane_reset(struct drm_plane *plane)
++{
++      struct vc4_plane_state *vc4_state;
++
++      WARN_ON(plane->state);
++
++      vc4_state = kzalloc(sizeof(*vc4_state), GFP_KERNEL);
++      if (!vc4_state)
++              return;
++
++      __drm_atomic_helper_plane_reset(plane, &vc4_state->base);
++}
++
+ static void vc4_plane_destroy(struct drm_plane *plane)
+ {
+       drm_plane_cleanup(plane);
+@@ -602,13 +616,29 @@ static bool vc4_fkms_format_mod_supporte
+       }
+ }
++static struct drm_plane_state *vc4_plane_duplicate_state(struct drm_plane *plane)
++{
++      struct vc4_plane_state *vc4_state;
++
++      if (WARN_ON(!plane->state))
++              return NULL;
++
++      vc4_state = kzalloc(sizeof(*vc4_state), GFP_KERNEL);
++      if (!vc4_state)
++              return NULL;
++
++      __drm_atomic_helper_plane_duplicate_state(plane, &vc4_state->base);
++
++      return &vc4_state->base;
++}
++
+ static const struct drm_plane_funcs vc4_plane_funcs = {
+       .update_plane = drm_atomic_helper_update_plane,
+       .disable_plane = drm_atomic_helper_disable_plane,
+       .destroy = vc4_plane_destroy,
+       .set_property = NULL,
+-      .reset = drm_atomic_helper_plane_reset,
+-      .atomic_duplicate_state = drm_atomic_helper_plane_duplicate_state,
++      .reset = vc4_plane_reset,
++      .atomic_duplicate_state = vc4_plane_duplicate_state,
+       .atomic_destroy_state = drm_atomic_helper_plane_destroy_state,
+       .format_mod_supported = vc4_fkms_format_mod_supported,
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0268-i2c-bcm2835-Set-clock-stretch-timeout-to-35ms.patch b/target/linux/bcm27xx/patches-5.4/950-0268-i2c-bcm2835-Set-clock-stretch-timeout-to-35ms.patch
deleted file mode 100644 (file)
index a3bc6c9..0000000
+++ /dev/null
@@ -1,47 +0,0 @@
-From 9c64858d41cc65982aaf36866ffa8e04b9792718 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Fri, 12 Jul 2019 15:38:35 +0100
-Subject: [PATCH] i2c: bcm2835: Set clock-stretch timeout to 35ms
-
-The BCM2835 I2C blocks have a register to set the clock-stretch
-timeout - how long the device is allowed to hold SCL low - in bus
-cycles. The current driver doesn't write to the register, therefore
-the default value of 64 cycles is being used for all devices.
-
-Set the timeout to the value recommended for SMBus - 35ms.
-
-See: https://github.com/raspberrypi/linux/issues/3064
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/i2c/busses/i2c-bcm2835.c | 12 ++++++++++++
- 1 file changed, 12 insertions(+)
-
---- a/drivers/i2c/busses/i2c-bcm2835.c
-+++ b/drivers/i2c/busses/i2c-bcm2835.c
-@@ -188,6 +188,7 @@ static int clk_bcm2835_i2c_set_rate(stru
- {
-       struct clk_bcm2835_i2c *div = to_clk_bcm2835_i2c(hw);
-       u32 redl, fedl;
-+      u32 clk_tout;
-       u32 divider = clk_bcm2835_i2c_calc_divider(rate, parent_rate);
-       if (divider == -EINVAL)
-@@ -211,6 +212,17 @@ static int clk_bcm2835_i2c_set_rate(stru
-       bcm2835_i2c_writel(div->i2c_dev, BCM2835_I2C_DEL,
-                          (fedl << BCM2835_I2C_FEDL_SHIFT) |
-                          (redl << BCM2835_I2C_REDL_SHIFT));
-+
-+      /*
-+       * Set the clock stretch timeout to the SMBUs-recommended 35ms.
-+       */
-+      if (rate > 0xffff*1000/35)
-+          clk_tout = 0xffff;
-+      else
-+          clk_tout = 35*rate/1000;
-+
-+      bcm2835_i2c_writel(div->i2c_dev, BCM2835_I2C_CLKT, clk_tout);
-+
-       return 0;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0269-drm-v3d-HACK-gut-runtime-pm-for-now.patch b/target/linux/bcm27xx/patches-5.4/950-0269-drm-v3d-HACK-gut-runtime-pm-for-now.patch
new file mode 100644 (file)
index 0000000..ed69f2d
--- /dev/null
@@ -0,0 +1,109 @@
+From ba05797f8e3578398a1ab6c835f6b100d4f46edb Mon Sep 17 00:00:00 2001
+From: Eric Anholt <eric@anholt.net>
+Date: Mon, 14 Jan 2019 15:13:17 -0800
+Subject: [PATCH] drm/v3d: HACK: gut runtime pm for now.
+
+Something is still unstable -- on starting a new glxgears from an idle
+X11, I get an MMU violation in high addresses.  The CTS also failed
+quite quickly.  With this, CTS progresses for an hour before OOMing
+(allocating some big buffers when my board only has 600MB available to
+Linux)
+
+Signed-off-by: Eric Anholt <eric@anholt.net>
+---
+ drivers/gpu/drm/v3d/v3d_debugfs.c | 16 +---------------
+ drivers/gpu/drm/v3d/v3d_drv.c     |  9 ---------
+ 2 files changed, 1 insertion(+), 24 deletions(-)
+
+--- a/drivers/gpu/drm/v3d/v3d_debugfs.c
++++ b/drivers/gpu/drm/v3d/v3d_debugfs.c
+@@ -4,7 +4,6 @@
+ #include <linux/circ_buf.h>
+ #include <linux/ctype.h>
+ #include <linux/debugfs.h>
+-#include <linux/pm_runtime.h>
+ #include <linux/seq_file.h>
+ #include <drm/drm_debugfs.h>
+@@ -130,11 +129,8 @@ static int v3d_v3d_debugfs_ident(struct
+       struct drm_device *dev = node->minor->dev;
+       struct v3d_dev *v3d = to_v3d_dev(dev);
+       u32 ident0, ident1, ident2, ident3, cores;
+-      int ret, core;
++      int core;
+-      ret = pm_runtime_get_sync(v3d->dev);
+-      if (ret < 0)
+-              return ret;
+       ident0 = V3D_READ(V3D_HUB_IDENT0);
+       ident1 = V3D_READ(V3D_HUB_IDENT1);
+@@ -187,9 +183,6 @@ static int v3d_v3d_debugfs_ident(struct
+                          (misccfg & V3D_MISCCFG_OVRTMUOUT) != 0);
+       }
+-      pm_runtime_mark_last_busy(v3d->dev);
+-      pm_runtime_put_autosuspend(v3d->dev);
+-
+       return 0;
+ }
+@@ -217,11 +210,6 @@ static int v3d_measure_clock(struct seq_
+       uint32_t cycles;
+       int core = 0;
+       int measure_ms = 1000;
+-      int ret;
+-
+-      ret = pm_runtime_get_sync(v3d->dev);
+-      if (ret < 0)
+-              return ret;
+       if (v3d->ver >= 40) {
+               V3D_CORE_WRITE(core, V3D_V4_PCTR_0_SRC_0_3,
+@@ -245,8 +233,6 @@ static int v3d_measure_clock(struct seq_
+                  cycles / (measure_ms * 1000),
+                  (cycles / (measure_ms * 100)) % 10);
+-      pm_runtime_mark_last_busy(v3d->dev);
+-      pm_runtime_put_autosuspend(v3d->dev);
+       return 0;
+ }
+--- a/drivers/gpu/drm/v3d/v3d_drv.c
++++ b/drivers/gpu/drm/v3d/v3d_drv.c
+@@ -78,7 +78,6 @@ static int v3d_get_param_ioctl(struct dr
+ {
+       struct v3d_dev *v3d = to_v3d_dev(dev);
+       struct drm_v3d_get_param *args = data;
+-      int ret;
+       static const u32 reg_map[] = {
+               [DRM_V3D_PARAM_V3D_UIFCFG] = V3D_HUB_UIFCFG,
+               [DRM_V3D_PARAM_V3D_HUB_IDENT1] = V3D_HUB_IDENT1,
+@@ -104,17 +103,12 @@ static int v3d_get_param_ioctl(struct dr
+               if (args->value != 0)
+                       return -EINVAL;
+-              ret = pm_runtime_get_sync(v3d->dev);
+-              if (ret < 0)
+-                      return ret;
+               if (args->param >= DRM_V3D_PARAM_V3D_CORE0_IDENT0 &&
+                   args->param <= DRM_V3D_PARAM_V3D_CORE0_IDENT2) {
+                       args->value = V3D_CORE_READ(0, offset);
+               } else {
+                       args->value = V3D_READ(offset);
+               }
+-              pm_runtime_mark_last_busy(v3d->dev);
+-              pm_runtime_put_autosuspend(v3d->dev);
+               return 0;
+       }
+@@ -302,9 +296,6 @@ static int v3d_platform_drm_probe(struct
+               goto dev_free;
+       }
+-      pm_runtime_use_autosuspend(dev);
+-      pm_runtime_set_autosuspend_delay(dev, 50);
+-      pm_runtime_enable(dev);
+       ret = drm_dev_init(&v3d->drm, &v3d_drm_driver, dev);
+       if (ret)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0269-staging-vc04_services-fix-compiling-in-separate-dire.patch b/target/linux/bcm27xx/patches-5.4/950-0269-staging-vc04_services-fix-compiling-in-separate-dire.patch
deleted file mode 100644 (file)
index b3d57dc..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From 11076f57f802d2bdec3f1861ba27ce5554a710ca Mon Sep 17 00:00:00 2001
-From: =?UTF-8?q?Marek=20Beh=C3=BAn?= <marek.behun@nic.cz>
-Date: Sat, 3 Aug 2019 14:34:59 +0200
-Subject: [PATCH] staging: vc04_services: fix compiling in separate
- directory
-MIME-Version: 1.0
-Content-Type: text/plain; charset=UTF-8
-Content-Transfer-Encoding: 8bit
-
-The vc04_services Makefiles do not respect the O=path argument
-correctly: include paths in CFLAGS are given relatively to object path,
-not source path. Compiling in a separate directory yields #include
-errors.
-
-Signed-off-by: Marek Behún <marek.behun@nic.cz>
----
- drivers/staging/vc04_services/bcm2835-codec/Makefile | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/Makefile
-+++ b/drivers/staging/vc04_services/bcm2835-codec/Makefile
-@@ -4,5 +4,5 @@ bcm2835-codec-objs := bcm2835-v4l2-codec
- obj-$(CONFIG_VIDEO_CODEC_BCM2835) += bcm2835-codec.o
- ccflags-y += \
--      -Idrivers/staging/vc04_services \
-+      -I$(srctree)/drivers/staging/vc04_services \
-       -D__VCCOREVER__=0x04000000
diff --git a/target/linux/bcm27xx/patches-5.4/950-0270-clk-bcm2835-Avoid-null-pointer-exception.patch b/target/linux/bcm27xx/patches-5.4/950-0270-clk-bcm2835-Avoid-null-pointer-exception.patch
deleted file mode 100644 (file)
index 8a529af..0000000
+++ /dev/null
@@ -1,29 +0,0 @@
-From 7316a9e5720c2f4112a96b32c4ec78d94a44adcf Mon Sep 17 00:00:00 2001
-From: popcornmix <popcornmix@gmail.com>
-Date: Tue, 6 Aug 2019 15:23:14 +0100
-Subject: [PATCH] clk-bcm2835: Avoid null pointer exception
-
-clk_desc_array[BCM2835_PLLB] doesn't exist so we dereference null when iterating
-
-Signed-off-by: popcornmix <popcornmix@gmail.com>
----
- drivers/clk/bcm/clk-bcm2835.c | 8 +++++---
- 1 file changed, 5 insertions(+), 3 deletions(-)
-
---- a/drivers/clk/bcm/clk-bcm2835.c
-+++ b/drivers/clk/bcm/clk-bcm2835.c
-@@ -2280,9 +2280,11 @@ static bool bcm2835_clk_is_claimed(const
-       int i;
-       for (i = 0; i < ARRAY_SIZE(clk_desc_array); i++) {
--              const char *clk_name = *(const char **)(clk_desc_array[i].data);
--              if (!strcmp(name, clk_name))
--                  return bcm2835_clk_claimed[i];
-+              if (clk_desc_array[i].data) {
-+                      const char *clk_name = *(const char **)(clk_desc_array[i].data);
-+                      if (!strcmp(name, clk_name))
-+                              return bcm2835_clk_claimed[i];
-+              }
-       }
-       return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0270-drm-v3d-Clock-V3D-down-when-not-in-use.patch b/target/linux/bcm27xx/patches-5.4/950-0270-drm-v3d-Clock-V3D-down-when-not-in-use.patch
new file mode 100644 (file)
index 0000000..782cc19
--- /dev/null
@@ -0,0 +1,161 @@
+From ca8579839f0ebf0ffe73d1135284363b2155e712 Mon Sep 17 00:00:00 2001
+From: Eric Anholt <eric@anholt.net>
+Date: Thu, 2 May 2019 13:22:53 -0700
+Subject: [PATCH] drm/v3d: Clock V3D down when not in use.
+
+My various attempts at re-enabling runtime PM have failed, so just
+crank the clock down when V3D is idle to reduce power consumption.
+
+Signed-off-by: Eric Anholt <eric@anholt.net>
+---
+ drivers/gpu/drm/v3d/v3d_drv.c | 18 ++++++++++++
+ drivers/gpu/drm/v3d/v3d_drv.h |  6 ++++
+ drivers/gpu/drm/v3d/v3d_gem.c | 53 +++++++++++++++++++++++++++++++----
+ 3 files changed, 72 insertions(+), 5 deletions(-)
+
+--- a/drivers/gpu/drm/v3d/v3d_drv.c
++++ b/drivers/gpu/drm/v3d/v3d_drv.c
+@@ -282,6 +282,21 @@ static int v3d_platform_drm_probe(struct
+               }
+       }
++      v3d->clk = devm_clk_get(dev, NULL);
++      if (IS_ERR(v3d->clk)) {
++              if (ret != -EPROBE_DEFER)
++                      dev_err(dev, "Failed to get clock\n");
++              goto dev_free;
++      }
++      v3d->clk_up_rate = clk_get_rate(v3d->clk);
++      /* For downclocking, drop it to the minimum frequency we can get from
++       * the CPRMAN clock generator dividing off our parent.  The divider is
++       * 4 bits, but ask for just higher than that so that rounding doesn't
++       * make cprman reject our rate.
++       */
++      v3d->clk_down_rate =
++              (clk_get_rate(clk_get_parent(v3d->clk)) / (1 << 4)) + 10000;
++
+       if (v3d->ver < 41) {
+               ret = map_regs(v3d, &v3d->gca_regs, "gca");
+               if (ret)
+@@ -316,6 +331,9 @@ static int v3d_platform_drm_probe(struct
+       if (ret)
+               goto irq_disable;
++      ret = clk_set_rate(v3d->clk, v3d->clk_down_rate);
++      WARN_ON_ONCE(ret != 0);
++
+       return 0;
+ irq_disable:
+--- a/drivers/gpu/drm/v3d/v3d_drv.h
++++ b/drivers/gpu/drm/v3d/v3d_drv.h
+@@ -54,6 +54,12 @@ struct v3d_dev {
+       void __iomem *bridge_regs;
+       void __iomem *gca_regs;
+       struct clk *clk;
++      struct delayed_work clk_down_work;
++      unsigned long clk_up_rate, clk_down_rate;
++      struct mutex clk_lock;
++      u32 clk_refcount;
++      bool clk_up;
++
+       struct reset_control *reset;
+       /* Virtual and DMA addresses of the single shared page table. */
+--- a/drivers/gpu/drm/v3d/v3d_gem.c
++++ b/drivers/gpu/drm/v3d/v3d_gem.c
+@@ -4,6 +4,7 @@
+ #include <linux/device.h>
+ #include <linux/dma-mapping.h>
+ #include <linux/io.h>
++#include <linux/clk.h>
+ #include <linux/module.h>
+ #include <linux/platform_device.h>
+ #include <linux/pm_runtime.h>
+@@ -19,6 +20,47 @@
+ #include "v3d_trace.h"
+ static void
++v3d_clock_down_work(struct work_struct *work)
++{
++      struct v3d_dev *v3d =
++              container_of(work, struct v3d_dev, clk_down_work.work);
++      int ret;
++
++      ret = clk_set_rate(v3d->clk, v3d->clk_down_rate);
++      v3d->clk_up = false;
++      WARN_ON_ONCE(ret != 0);
++}
++
++static void
++v3d_clock_up_get(struct v3d_dev *v3d)
++{
++      mutex_lock(&v3d->clk_lock);
++      if (v3d->clk_refcount++ == 0) {
++              cancel_delayed_work_sync(&v3d->clk_down_work);
++              if (!v3d->clk_up)  {
++                      int ret;
++
++                      ret = clk_set_rate(v3d->clk, v3d->clk_up_rate);
++                      WARN_ON_ONCE(ret != 0);
++                      v3d->clk_up = true;
++              }
++      }
++      mutex_unlock(&v3d->clk_lock);
++}
++
++static void
++v3d_clock_up_put(struct v3d_dev *v3d)
++{
++      mutex_lock(&v3d->clk_lock);
++      if (--v3d->clk_refcount == 0) {
++              schedule_delayed_work(&v3d->clk_down_work,
++                                    msecs_to_jiffies(100));
++      }
++      mutex_unlock(&v3d->clk_lock);
++}
++
++
++static void
+ v3d_init_core(struct v3d_dev *v3d, int core)
+ {
+       /* Set OVRTMUOUT, which means that the texture sampler uniform
+@@ -354,6 +396,7 @@ v3d_job_free(struct kref *ref)
+       struct v3d_job *job = container_of(ref, struct v3d_job, refcount);
+       unsigned long index;
+       struct dma_fence *fence;
++      struct v3d_dev *v3d = job->v3d;
+       int i;
+       for (i = 0; i < job->bo_count; i++) {
+@@ -367,11 +410,7 @@ v3d_job_free(struct kref *ref)
+       }
+       xa_destroy(&job->deps);
+-      dma_fence_put(job->irq_fence);
+-      dma_fence_put(job->done_fence);
+-
+-      pm_runtime_mark_last_busy(job->v3d->dev);
+-      pm_runtime_put_autosuspend(job->v3d->dev);
++      v3d_clock_up_put(v3d);
+       kfree(job);
+ }
+@@ -453,6 +492,7 @@ v3d_job_init(struct v3d_dev *v3d, struct
+       if (ret)
+               goto fail;
++      v3d_clock_up_get(v3d);
+       kref_init(&job->refcount);
+       return 0;
+@@ -841,6 +881,9 @@ v3d_gem_init(struct drm_device *dev)
+       mutex_init(&v3d->sched_lock);
+       mutex_init(&v3d->cache_clean_lock);
++      mutex_init(&v3d->clk_lock);
++      INIT_DELAYED_WORK(&v3d->clk_down_work, v3d_clock_down_work);
++
+       /* Note: We don't allocate address 0.  Various bits of HW
+        * treat 0 as special, such as the occlusion query counters
+        * where 0 means "disabled".
diff --git a/target/linux/bcm27xx/patches-5.4/950-0271-According-to-5713-pdf-doc-CLOCK_CTRL-is-a-readonly-s.patch b/target/linux/bcm27xx/patches-5.4/950-0271-According-to-5713-pdf-doc-CLOCK_CTRL-is-a-readonly-s.patch
new file mode 100644 (file)
index 0000000..267725d
--- /dev/null
@@ -0,0 +1,31 @@
+From 638f29943041f9205486a03587b7bd9e64799b2a Mon Sep 17 00:00:00 2001
+From: Hermann Lauer <hlauer@seba.iwr.uni-heidelberg.de>
+Date: Thu, 8 Aug 2019 15:40:37 +0200
+Subject: [PATCH] According to 5713 pdf doc CLOCK_CTRL is a readonly
+ status register, and it behaves so. Remove useless setting
+
+---
+ sound/soc/codecs/tas5713.c | 5 +----
+ 1 file changed, 1 insertion(+), 4 deletions(-)
+
+--- a/sound/soc/codecs/tas5713.c
++++ b/sound/soc/codecs/tas5713.c
+@@ -190,10 +190,6 @@ static int tas5713_probe(struct snd_soc_
+       ret = snd_soc_component_write(component, TAS5713_ERROR_STATUS, 0x00);
+       if (ret < 0) return ret;
+-      // Clock mode: 44/48kHz, MCLK=64xfs
+-      ret = snd_soc_component_write(component, TAS5713_CLOCK_CTRL, 0x60);
+-      if (ret < 0) return ret;
+-
+       // I2S 24bit
+       ret = snd_soc_component_write(component, TAS5713_SERIAL_DATA_INTERFACE, 0x05);
+       if (ret < 0) return ret;
+@@ -257,6 +253,7 @@ static bool tas5713_reg_volatile(struct
+       switch (reg) {
+               case TAS5713_DEVICE_ID:
+               case TAS5713_ERROR_STATUS:
++              case TAS5713_CLOCK_CTRL:
+                       return true;
+       default:
+                       return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0271-drm-vc4-Prevent-load-tracking-from-breaking-FKMS.patch b/target/linux/bcm27xx/patches-5.4/950-0271-drm-vc4-Prevent-load-tracking-from-breaking-FKMS.patch
deleted file mode 100644 (file)
index e4f178d..0000000
+++ /dev/null
@@ -1,74 +0,0 @@
-From 907dc84e0c7208b79ad57e0e2a7964dbc9155f50 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Thu, 15 Aug 2019 08:39:08 +0100
-Subject: [PATCH] drm/vc4: Prevent load tracking from breaking FKMS
-
-Firmware KMS uses a mixture of VC4 processing and dedicated code. The
-load tracking support in VC4 assumes it is dealing with vc4_plane_state
-objects when up-casting with container_of, but FKMS uses unadorned
-drm_plane_state structures causing the VC4 code to read off the end
-into random portions of memory. Work around the problem in a minimally-
-invasive way by over-allocating the FKMS plane state structures to be
-large enough to contain a vc4_plane_state, filling the remainder with
-zeroes.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 34 ++++++++++++++++++++++++--
- 1 file changed, 32 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -561,6 +561,20 @@ static int vc4_plane_atomic_check(struct
-       return 0;
- }
-+/* Called during init to allocate the plane's atomic state. */
-+static void vc4_plane_reset(struct drm_plane *plane)
-+{
-+      struct vc4_plane_state *vc4_state;
-+
-+      WARN_ON(plane->state);
-+
-+      vc4_state = kzalloc(sizeof(*vc4_state), GFP_KERNEL);
-+      if (!vc4_state)
-+              return;
-+
-+      __drm_atomic_helper_plane_reset(plane, &vc4_state->base);
-+}
-+
- static void vc4_plane_destroy(struct drm_plane *plane)
- {
-       drm_plane_cleanup(plane);
-@@ -602,13 +616,29 @@ static bool vc4_fkms_format_mod_supporte
-       }
- }
-+static struct drm_plane_state *vc4_plane_duplicate_state(struct drm_plane *plane)
-+{
-+      struct vc4_plane_state *vc4_state;
-+
-+      if (WARN_ON(!plane->state))
-+              return NULL;
-+
-+      vc4_state = kzalloc(sizeof(*vc4_state), GFP_KERNEL);
-+      if (!vc4_state)
-+              return NULL;
-+
-+      __drm_atomic_helper_plane_duplicate_state(plane, &vc4_state->base);
-+
-+      return &vc4_state->base;
-+}
-+
- static const struct drm_plane_funcs vc4_plane_funcs = {
-       .update_plane = drm_atomic_helper_update_plane,
-       .disable_plane = drm_atomic_helper_disable_plane,
-       .destroy = vc4_plane_destroy,
-       .set_property = NULL,
--      .reset = drm_atomic_helper_plane_reset,
--      .atomic_duplicate_state = drm_atomic_helper_plane_duplicate_state,
-+      .reset = vc4_plane_reset,
-+      .atomic_duplicate_state = vc4_plane_duplicate_state,
-       .atomic_destroy_state = drm_atomic_helper_plane_destroy_state,
-       .format_mod_supported = vc4_fkms_format_mod_supported,
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0272-drm-v3d-HACK-gut-runtime-pm-for-now.patch b/target/linux/bcm27xx/patches-5.4/950-0272-drm-v3d-HACK-gut-runtime-pm-for-now.patch
deleted file mode 100644 (file)
index ed69f2d..0000000
+++ /dev/null
@@ -1,109 +0,0 @@
-From ba05797f8e3578398a1ab6c835f6b100d4f46edb Mon Sep 17 00:00:00 2001
-From: Eric Anholt <eric@anholt.net>
-Date: Mon, 14 Jan 2019 15:13:17 -0800
-Subject: [PATCH] drm/v3d: HACK: gut runtime pm for now.
-
-Something is still unstable -- on starting a new glxgears from an idle
-X11, I get an MMU violation in high addresses.  The CTS also failed
-quite quickly.  With this, CTS progresses for an hour before OOMing
-(allocating some big buffers when my board only has 600MB available to
-Linux)
-
-Signed-off-by: Eric Anholt <eric@anholt.net>
----
- drivers/gpu/drm/v3d/v3d_debugfs.c | 16 +---------------
- drivers/gpu/drm/v3d/v3d_drv.c     |  9 ---------
- 2 files changed, 1 insertion(+), 24 deletions(-)
-
---- a/drivers/gpu/drm/v3d/v3d_debugfs.c
-+++ b/drivers/gpu/drm/v3d/v3d_debugfs.c
-@@ -4,7 +4,6 @@
- #include <linux/circ_buf.h>
- #include <linux/ctype.h>
- #include <linux/debugfs.h>
--#include <linux/pm_runtime.h>
- #include <linux/seq_file.h>
- #include <drm/drm_debugfs.h>
-@@ -130,11 +129,8 @@ static int v3d_v3d_debugfs_ident(struct
-       struct drm_device *dev = node->minor->dev;
-       struct v3d_dev *v3d = to_v3d_dev(dev);
-       u32 ident0, ident1, ident2, ident3, cores;
--      int ret, core;
-+      int core;
--      ret = pm_runtime_get_sync(v3d->dev);
--      if (ret < 0)
--              return ret;
-       ident0 = V3D_READ(V3D_HUB_IDENT0);
-       ident1 = V3D_READ(V3D_HUB_IDENT1);
-@@ -187,9 +183,6 @@ static int v3d_v3d_debugfs_ident(struct
-                          (misccfg & V3D_MISCCFG_OVRTMUOUT) != 0);
-       }
--      pm_runtime_mark_last_busy(v3d->dev);
--      pm_runtime_put_autosuspend(v3d->dev);
--
-       return 0;
- }
-@@ -217,11 +210,6 @@ static int v3d_measure_clock(struct seq_
-       uint32_t cycles;
-       int core = 0;
-       int measure_ms = 1000;
--      int ret;
--
--      ret = pm_runtime_get_sync(v3d->dev);
--      if (ret < 0)
--              return ret;
-       if (v3d->ver >= 40) {
-               V3D_CORE_WRITE(core, V3D_V4_PCTR_0_SRC_0_3,
-@@ -245,8 +233,6 @@ static int v3d_measure_clock(struct seq_
-                  cycles / (measure_ms * 1000),
-                  (cycles / (measure_ms * 100)) % 10);
--      pm_runtime_mark_last_busy(v3d->dev);
--      pm_runtime_put_autosuspend(v3d->dev);
-       return 0;
- }
---- a/drivers/gpu/drm/v3d/v3d_drv.c
-+++ b/drivers/gpu/drm/v3d/v3d_drv.c
-@@ -78,7 +78,6 @@ static int v3d_get_param_ioctl(struct dr
- {
-       struct v3d_dev *v3d = to_v3d_dev(dev);
-       struct drm_v3d_get_param *args = data;
--      int ret;
-       static const u32 reg_map[] = {
-               [DRM_V3D_PARAM_V3D_UIFCFG] = V3D_HUB_UIFCFG,
-               [DRM_V3D_PARAM_V3D_HUB_IDENT1] = V3D_HUB_IDENT1,
-@@ -104,17 +103,12 @@ static int v3d_get_param_ioctl(struct dr
-               if (args->value != 0)
-                       return -EINVAL;
--              ret = pm_runtime_get_sync(v3d->dev);
--              if (ret < 0)
--                      return ret;
-               if (args->param >= DRM_V3D_PARAM_V3D_CORE0_IDENT0 &&
-                   args->param <= DRM_V3D_PARAM_V3D_CORE0_IDENT2) {
-                       args->value = V3D_CORE_READ(0, offset);
-               } else {
-                       args->value = V3D_READ(offset);
-               }
--              pm_runtime_mark_last_busy(v3d->dev);
--              pm_runtime_put_autosuspend(v3d->dev);
-               return 0;
-       }
-@@ -302,9 +296,6 @@ static int v3d_platform_drm_probe(struct
-               goto dev_free;
-       }
--      pm_runtime_use_autosuspend(dev);
--      pm_runtime_set_autosuspend_delay(dev, 50);
--      pm_runtime_enable(dev);
-       ret = drm_dev_init(&v3d->drm, &v3d_drm_driver, dev);
-       if (ret)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0272-drm-vc4-Query-firmware-for-custom-HDMI-mode.patch b/target/linux/bcm27xx/patches-5.4/950-0272-drm-vc4-Query-firmware-for-custom-HDMI-mode.patch
new file mode 100644 (file)
index 0000000..b5358ce
--- /dev/null
@@ -0,0 +1,181 @@
+From 6402d9c21c9b144d528c3248607589db94ecbce0 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 3 Jul 2019 17:44:53 +0100
+Subject: [PATCH] drm/vc4: Query firmware for custom HDMI mode
+
+Allow custom HDMI modes to be specified from config.txt,
+and these then override EDID parsing.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 130 ++++++++++++++-----------
+ 1 file changed, 75 insertions(+), 55 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -1066,6 +1066,56 @@ vc4_fkms_connector_detect(struct drm_con
+       return connector_status_connected;
+ }
++/* Queries the firmware to populate a drm_mode structure for this display */
++static int vc4_fkms_get_fw_mode(struct vc4_fkms_connector *fkms_connector,
++                              struct drm_display_mode *mode)
++{
++      struct vc4_dev *vc4 = fkms_connector->vc4_dev;
++      struct set_timings timings = { 0 };
++      int ret;
++
++      timings.display = fkms_connector->display_number;
++
++      ret = rpi_firmware_property(vc4->firmware,
++                                  RPI_FIRMWARE_GET_DISPLAY_TIMING, &timings,
++                                  sizeof(timings));
++      if (ret || !timings.clock)
++              /* No mode returned - abort */
++              return -1;
++
++      /* Equivalent to DRM_MODE macro. */
++      memset(mode, 0, sizeof(*mode));
++      strncpy(mode->name, "FIXED_MODE", sizeof(mode->name));
++      mode->status = 0;
++      mode->type = DRM_MODE_TYPE_DRIVER | DRM_MODE_TYPE_PREFERRED;
++      mode->clock = timings.clock;
++      mode->hdisplay = timings.hdisplay;
++      mode->hsync_start = timings.hsync_start;
++      mode->hsync_end = timings.hsync_end;
++      mode->htotal = timings.htotal;
++      mode->hskew = 0;
++      mode->vdisplay = timings.vdisplay;
++      mode->vsync_start = timings.vsync_start;
++      mode->vsync_end = timings.vsync_end;
++      mode->vtotal = timings.vtotal;
++      mode->vscan = timings.vscan;
++
++      if (timings.flags & TIMINGS_FLAGS_H_SYNC_POS)
++              mode->flags |= DRM_MODE_FLAG_PHSYNC;
++      else
++              mode->flags |= DRM_MODE_FLAG_NHSYNC;
++
++      if (timings.flags & TIMINGS_FLAGS_V_SYNC_POS)
++              mode->flags |= DRM_MODE_FLAG_PVSYNC;
++      else
++              mode->flags |= DRM_MODE_FLAG_NVSYNC;
++
++      if (timings.flags & TIMINGS_FLAGS_INTERLACE)
++              mode->flags |= DRM_MODE_FLAG_INTERLACE;
++
++      return 0;
++}
++
+ static int vc4_fkms_get_edid_block(void *data, u8 *buf, unsigned int block,
+                                  size_t len)
+ {
+@@ -1094,25 +1144,35 @@ static int vc4_fkms_connector_get_modes(
+                                       to_vc4_fkms_connector(connector);
+       struct drm_encoder *encoder = fkms_connector->encoder;
+       struct vc4_fkms_encoder *vc4_encoder = to_vc4_fkms_encoder(encoder);
+-      int ret = 0;
++      struct drm_display_mode fw_mode;
++      struct drm_display_mode *mode;
+       struct edid *edid;
++      int num_modes;
+-      edid = drm_do_get_edid(connector, vc4_fkms_get_edid_block,
+-                             fkms_connector);
++      if (!vc4_fkms_get_fw_mode(fkms_connector, &fw_mode)) {
++              drm_mode_debug_printmodeline(&fw_mode);
++              mode = drm_mode_duplicate(connector->dev,
++                                        &fw_mode);
++              drm_mode_probed_add(connector, mode);
++              num_modes = 1;  /* 1 mode */
++      } else {
++              edid = drm_do_get_edid(connector, vc4_fkms_get_edid_block,
++                                     fkms_connector);
+-      /* FIXME: Can we do CEC?
+-       * cec_s_phys_addr_from_edid(vc4->hdmi->cec_adap, edid);
+-       * if (!edid)
+-       *      return -ENODEV;
+-       */
+-
+-      vc4_encoder->hdmi_monitor = drm_detect_hdmi_monitor(edid);
+-
+-      drm_connector_update_edid_property(connector, edid);
+-      ret = drm_add_edid_modes(connector, edid);
+-      kfree(edid);
++              /* FIXME: Can we do CEC?
++               * cec_s_phys_addr_from_edid(vc4->hdmi->cec_adap, edid);
++               * if (!edid)
++               *      return -ENODEV;
++               */
++
++              vc4_encoder->hdmi_monitor = drm_detect_hdmi_monitor(edid);
++
++              drm_connector_update_edid_property(connector, edid);
++              num_modes = drm_add_edid_modes(connector, edid);
++              kfree(edid);
++      }
+-      return ret;
++      return num_modes;
+ }
+ /* This is the DSI panel resolution. Use this as a default should the firmware
+@@ -1130,55 +1190,15 @@ static int vc4_fkms_lcd_connector_get_mo
+ {
+       struct vc4_fkms_connector *fkms_connector =
+                                       to_vc4_fkms_connector(connector);
+-      struct vc4_dev *vc4 = fkms_connector->vc4_dev;
+       struct drm_display_mode *mode;
+-      struct mailbox_set_mode mb = {
+-              .tag1 = { RPI_FIRMWARE_GET_DISPLAY_TIMING,
+-                        sizeof(struct set_timings), 0},
+-              .timings = { .display = fkms_connector->display_number },
+-      };
+       struct drm_display_mode fw_mode;
+-      int ret = 0;
+-
+-      ret = rpi_firmware_property_list(vc4->firmware, &mb, sizeof(mb));
+-      if (!ret) {
+-              /* Equivalent to DRM_MODE macro. */
+-              memset(&fw_mode, 0, sizeof(fw_mode));
+-              strncpy(fw_mode.name, "LCD_MODE", sizeof(fw_mode.name));
+-              fw_mode.status = 0;
+-              fw_mode.type = DRM_MODE_TYPE_DRIVER | DRM_MODE_TYPE_PREFERRED;
+-              fw_mode.clock = mb.timings.clock;
+-              fw_mode.hdisplay = mb.timings.hdisplay;
+-              fw_mode.hsync_start = mb.timings.hsync_start;
+-              fw_mode.hsync_end = mb.timings.hsync_end;
+-              fw_mode.htotal = mb.timings.htotal;
+-              fw_mode.hskew = 0;
+-              fw_mode.vdisplay = mb.timings.vdisplay;
+-              fw_mode.vsync_start = mb.timings.vsync_start;
+-              fw_mode.vsync_end = mb.timings.vsync_end;
+-              fw_mode.vtotal = mb.timings.vtotal;
+-              fw_mode.vscan = mb.timings.vscan;
+-              if (mb.timings.flags & TIMINGS_FLAGS_H_SYNC_POS)
+-                      fw_mode.flags |= DRM_MODE_FLAG_PHSYNC;
+-              else
+-                      fw_mode.flags |= DRM_MODE_FLAG_NHSYNC;
+-              if (mb.timings.flags & TIMINGS_FLAGS_V_SYNC_POS)
+-                      fw_mode.flags |= DRM_MODE_FLAG_PVSYNC;
+-              else
+-                      fw_mode.flags |= DRM_MODE_FLAG_NVSYNC;
+-              if (mb.timings.flags & TIMINGS_FLAGS_V_SYNC_POS)
+-                      fw_mode.flags |= DRM_MODE_FLAG_PVSYNC;
+-              else
+-                      fw_mode.flags |= DRM_MODE_FLAG_NVSYNC;
+-              if (mb.timings.flags & TIMINGS_FLAGS_INTERLACE)
+-                      fw_mode.flags |= DRM_MODE_FLAG_INTERLACE;
++      if (!vc4_fkms_get_fw_mode(fkms_connector, &fw_mode) && fw_mode.clock)
+               mode = drm_mode_duplicate(connector->dev,
+                                         &fw_mode);
+-      } else {
++      else
+               mode = drm_mode_duplicate(connector->dev,
+                                         &lcd_mode);
+-      }
+       if (!mode) {
+               DRM_ERROR("Failed to create a new display mode\n");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0273-drm-v3d-Clock-V3D-down-when-not-in-use.patch b/target/linux/bcm27xx/patches-5.4/950-0273-drm-v3d-Clock-V3D-down-when-not-in-use.patch
deleted file mode 100644 (file)
index 782cc19..0000000
+++ /dev/null
@@ -1,161 +0,0 @@
-From ca8579839f0ebf0ffe73d1135284363b2155e712 Mon Sep 17 00:00:00 2001
-From: Eric Anholt <eric@anholt.net>
-Date: Thu, 2 May 2019 13:22:53 -0700
-Subject: [PATCH] drm/v3d: Clock V3D down when not in use.
-
-My various attempts at re-enabling runtime PM have failed, so just
-crank the clock down when V3D is idle to reduce power consumption.
-
-Signed-off-by: Eric Anholt <eric@anholt.net>
----
- drivers/gpu/drm/v3d/v3d_drv.c | 18 ++++++++++++
- drivers/gpu/drm/v3d/v3d_drv.h |  6 ++++
- drivers/gpu/drm/v3d/v3d_gem.c | 53 +++++++++++++++++++++++++++++++----
- 3 files changed, 72 insertions(+), 5 deletions(-)
-
---- a/drivers/gpu/drm/v3d/v3d_drv.c
-+++ b/drivers/gpu/drm/v3d/v3d_drv.c
-@@ -282,6 +282,21 @@ static int v3d_platform_drm_probe(struct
-               }
-       }
-+      v3d->clk = devm_clk_get(dev, NULL);
-+      if (IS_ERR(v3d->clk)) {
-+              if (ret != -EPROBE_DEFER)
-+                      dev_err(dev, "Failed to get clock\n");
-+              goto dev_free;
-+      }
-+      v3d->clk_up_rate = clk_get_rate(v3d->clk);
-+      /* For downclocking, drop it to the minimum frequency we can get from
-+       * the CPRMAN clock generator dividing off our parent.  The divider is
-+       * 4 bits, but ask for just higher than that so that rounding doesn't
-+       * make cprman reject our rate.
-+       */
-+      v3d->clk_down_rate =
-+              (clk_get_rate(clk_get_parent(v3d->clk)) / (1 << 4)) + 10000;
-+
-       if (v3d->ver < 41) {
-               ret = map_regs(v3d, &v3d->gca_regs, "gca");
-               if (ret)
-@@ -316,6 +331,9 @@ static int v3d_platform_drm_probe(struct
-       if (ret)
-               goto irq_disable;
-+      ret = clk_set_rate(v3d->clk, v3d->clk_down_rate);
-+      WARN_ON_ONCE(ret != 0);
-+
-       return 0;
- irq_disable:
---- a/drivers/gpu/drm/v3d/v3d_drv.h
-+++ b/drivers/gpu/drm/v3d/v3d_drv.h
-@@ -54,6 +54,12 @@ struct v3d_dev {
-       void __iomem *bridge_regs;
-       void __iomem *gca_regs;
-       struct clk *clk;
-+      struct delayed_work clk_down_work;
-+      unsigned long clk_up_rate, clk_down_rate;
-+      struct mutex clk_lock;
-+      u32 clk_refcount;
-+      bool clk_up;
-+
-       struct reset_control *reset;
-       /* Virtual and DMA addresses of the single shared page table. */
---- a/drivers/gpu/drm/v3d/v3d_gem.c
-+++ b/drivers/gpu/drm/v3d/v3d_gem.c
-@@ -4,6 +4,7 @@
- #include <linux/device.h>
- #include <linux/dma-mapping.h>
- #include <linux/io.h>
-+#include <linux/clk.h>
- #include <linux/module.h>
- #include <linux/platform_device.h>
- #include <linux/pm_runtime.h>
-@@ -19,6 +20,47 @@
- #include "v3d_trace.h"
- static void
-+v3d_clock_down_work(struct work_struct *work)
-+{
-+      struct v3d_dev *v3d =
-+              container_of(work, struct v3d_dev, clk_down_work.work);
-+      int ret;
-+
-+      ret = clk_set_rate(v3d->clk, v3d->clk_down_rate);
-+      v3d->clk_up = false;
-+      WARN_ON_ONCE(ret != 0);
-+}
-+
-+static void
-+v3d_clock_up_get(struct v3d_dev *v3d)
-+{
-+      mutex_lock(&v3d->clk_lock);
-+      if (v3d->clk_refcount++ == 0) {
-+              cancel_delayed_work_sync(&v3d->clk_down_work);
-+              if (!v3d->clk_up)  {
-+                      int ret;
-+
-+                      ret = clk_set_rate(v3d->clk, v3d->clk_up_rate);
-+                      WARN_ON_ONCE(ret != 0);
-+                      v3d->clk_up = true;
-+              }
-+      }
-+      mutex_unlock(&v3d->clk_lock);
-+}
-+
-+static void
-+v3d_clock_up_put(struct v3d_dev *v3d)
-+{
-+      mutex_lock(&v3d->clk_lock);
-+      if (--v3d->clk_refcount == 0) {
-+              schedule_delayed_work(&v3d->clk_down_work,
-+                                    msecs_to_jiffies(100));
-+      }
-+      mutex_unlock(&v3d->clk_lock);
-+}
-+
-+
-+static void
- v3d_init_core(struct v3d_dev *v3d, int core)
- {
-       /* Set OVRTMUOUT, which means that the texture sampler uniform
-@@ -354,6 +396,7 @@ v3d_job_free(struct kref *ref)
-       struct v3d_job *job = container_of(ref, struct v3d_job, refcount);
-       unsigned long index;
-       struct dma_fence *fence;
-+      struct v3d_dev *v3d = job->v3d;
-       int i;
-       for (i = 0; i < job->bo_count; i++) {
-@@ -367,11 +410,7 @@ v3d_job_free(struct kref *ref)
-       }
-       xa_destroy(&job->deps);
--      dma_fence_put(job->irq_fence);
--      dma_fence_put(job->done_fence);
--
--      pm_runtime_mark_last_busy(job->v3d->dev);
--      pm_runtime_put_autosuspend(job->v3d->dev);
-+      v3d_clock_up_put(v3d);
-       kfree(job);
- }
-@@ -453,6 +492,7 @@ v3d_job_init(struct v3d_dev *v3d, struct
-       if (ret)
-               goto fail;
-+      v3d_clock_up_get(v3d);
-       kref_init(&job->refcount);
-       return 0;
-@@ -841,6 +881,9 @@ v3d_gem_init(struct drm_device *dev)
-       mutex_init(&v3d->sched_lock);
-       mutex_init(&v3d->cache_clean_lock);
-+      mutex_init(&v3d->clk_lock);
-+      INIT_DELAYED_WORK(&v3d->clk_down_work, v3d_clock_down_work);
-+
-       /* Note: We don't allocate address 0.  Various bits of HW
-        * treat 0 as special, such as the occlusion query counters
-        * where 0 means "disabled".
diff --git a/target/linux/bcm27xx/patches-5.4/950-0273-drm-vc4-Pass-the-drm-vrefresh-to-the-firmware-on-mod.patch b/target/linux/bcm27xx/patches-5.4/950-0273-drm-vc4-Pass-the-drm-vrefresh-to-the-firmware-on-mod.patch
new file mode 100644 (file)
index 0000000..ce73e34
--- /dev/null
@@ -0,0 +1,37 @@
+From f146d9a60f197fbf868be7eece68ff5cc58af4ff Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 11 Jul 2019 15:12:05 +0100
+Subject: [PATCH] drm/vc4: Pass the drm vrefresh to the firmware on
+ mode set
+
+More for completeness than need, but use drm_mode_vrefresh
+to compute the vrefresh value, and pass that down to the
+firmware on mode set.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 6 +++---
+ 1 file changed, 3 insertions(+), 3 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -768,8 +768,8 @@ static void vc4_crtc_mode_set_nofb(struc
+                     mode->hdisplay, mode->hsync_start, mode->hsync_end,
+                     mode->htotal, mode->hskew, mode->vdisplay,
+                     mode->vsync_start, mode->vsync_end, mode->vtotal,
+-                    mode->vscan, mode->vrefresh, mode->picture_aspect_ratio,
+-                    mode->flags);
++                    mode->vscan, drm_mode_vrefresh(mode),
++                    mode->picture_aspect_ratio, mode->flags);
+       mb.timings.display = vc4_crtc->display_number;
+       mb.timings.video_id_code = frame.avi.video_code;
+@@ -785,7 +785,7 @@ static void vc4_crtc_mode_set_nofb(struc
+       mb.timings.vsync_end = mode->vsync_end;
+       mb.timings.vtotal = mode->vtotal;
+       mb.timings.vscan = mode->vscan;
+-      mb.timings.vrefresh = 0;
++      mb.timings.vrefresh = drm_mode_vrefresh(mode);
+       mb.timings.flags = 0;
+       if (mode->flags & DRM_MODE_FLAG_PHSYNC)
+               mb.timings.flags |= TIMINGS_FLAGS_H_SYNC_POS;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0274-According-to-5713-pdf-doc-CLOCK_CTRL-is-a-readonly-s.patch b/target/linux/bcm27xx/patches-5.4/950-0274-According-to-5713-pdf-doc-CLOCK_CTRL-is-a-readonly-s.patch
deleted file mode 100644 (file)
index 267725d..0000000
+++ /dev/null
@@ -1,31 +0,0 @@
-From 638f29943041f9205486a03587b7bd9e64799b2a Mon Sep 17 00:00:00 2001
-From: Hermann Lauer <hlauer@seba.iwr.uni-heidelberg.de>
-Date: Thu, 8 Aug 2019 15:40:37 +0200
-Subject: [PATCH] According to 5713 pdf doc CLOCK_CTRL is a readonly
- status register, and it behaves so. Remove useless setting
-
----
- sound/soc/codecs/tas5713.c | 5 +----
- 1 file changed, 1 insertion(+), 4 deletions(-)
-
---- a/sound/soc/codecs/tas5713.c
-+++ b/sound/soc/codecs/tas5713.c
-@@ -190,10 +190,6 @@ static int tas5713_probe(struct snd_soc_
-       ret = snd_soc_component_write(component, TAS5713_ERROR_STATUS, 0x00);
-       if (ret < 0) return ret;
--      // Clock mode: 44/48kHz, MCLK=64xfs
--      ret = snd_soc_component_write(component, TAS5713_CLOCK_CTRL, 0x60);
--      if (ret < 0) return ret;
--
-       // I2S 24bit
-       ret = snd_soc_component_write(component, TAS5713_SERIAL_DATA_INTERFACE, 0x05);
-       if (ret < 0) return ret;
-@@ -257,6 +253,7 @@ static bool tas5713_reg_volatile(struct
-       switch (reg) {
-               case TAS5713_DEVICE_ID:
-               case TAS5713_ERROR_STATUS:
-+              case TAS5713_CLOCK_CTRL:
-                       return true;
-       default:
-                       return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0274-drm-vc4-Add-support-for-margins-to-fkms.patch b/target/linux/bcm27xx/patches-5.4/950-0274-drm-vc4-Add-support-for-margins-to-fkms.patch
new file mode 100644 (file)
index 0000000..968eb3f
--- /dev/null
@@ -0,0 +1,328 @@
+From 8a7170d2ad05ae00733e0535b281ce2e682c6f65 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 19 Jul 2019 15:35:13 +0100
+Subject: [PATCH] drm/vc4: Add support for margins to fkms
+
+Allows for overscan to be configured under FKMS.
+NB This is rescaling the planes, not reducing the size of the
+display mode.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 241 +++++++++++++++++++------
+ 1 file changed, 190 insertions(+), 51 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -259,6 +259,23 @@ static inline struct vc4_crtc *to_vc4_cr
+       return container_of(crtc, struct vc4_crtc, base);
+ }
++struct vc4_crtc_state {
++      struct drm_crtc_state base;
++
++      struct {
++              unsigned int left;
++              unsigned int right;
++              unsigned int top;
++              unsigned int bottom;
++      } margins;
++};
++
++static inline struct vc4_crtc_state *
++to_vc4_crtc_state(struct drm_crtc_state *crtc_state)
++{
++      return (struct vc4_crtc_state *)crtc_state;
++}
++
+ struct vc4_fkms_encoder {
+       struct drm_encoder base;
+       bool hdmi_monitor;
+@@ -367,17 +384,127 @@ static int vc4_plane_set_blank(struct dr
+       return ret;
+ }
++static void vc4_fkms_crtc_get_margins(struct drm_crtc_state *state,
++                                    unsigned int *left, unsigned int *right,
++                                    unsigned int *top, unsigned int *bottom)
++{
++      struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(state);
++      struct drm_connector_state *conn_state;
++      struct drm_connector *conn;
++      int i;
++
++      *left = vc4_state->margins.left;
++      *right = vc4_state->margins.right;
++      *top = vc4_state->margins.top;
++      *bottom = vc4_state->margins.bottom;
++
++      /* We have to interate over all new connector states because
++       * vc4_fkms_crtc_get_margins() might be called before
++       * vc4_fkms_crtc_atomic_check() which means margins info in
++       * vc4_crtc_state might be outdated.
++       */
++      for_each_new_connector_in_state(state->state, conn, conn_state, i) {
++              if (conn_state->crtc != state->crtc)
++                      continue;
++
++              *left = conn_state->tv.margins.left;
++              *right = conn_state->tv.margins.right;
++              *top = conn_state->tv.margins.top;
++              *bottom = conn_state->tv.margins.bottom;
++              break;
++      }
++}
++
++static int vc4_fkms_margins_adj(struct drm_plane_state *pstate,
++                              struct set_plane *plane)
++{
++      unsigned int left, right, top, bottom;
++      int adjhdisplay, adjvdisplay;
++      struct drm_crtc_state *crtc_state;
++
++      crtc_state = drm_atomic_get_new_crtc_state(pstate->state,
++                                                 pstate->crtc);
++
++      vc4_fkms_crtc_get_margins(crtc_state, &left, &right, &top, &bottom);
++
++      if (!left && !right && !top && !bottom)
++              return 0;
++
++      if (left + right >= crtc_state->mode.hdisplay ||
++          top + bottom >= crtc_state->mode.vdisplay)
++              return -EINVAL;
++
++      adjhdisplay = crtc_state->mode.hdisplay - (left + right);
++      plane->dst_x = DIV_ROUND_CLOSEST(plane->dst_x * adjhdisplay,
++                                       (int)crtc_state->mode.hdisplay);
++      plane->dst_x += left;
++      if (plane->dst_x > (int)(crtc_state->mode.hdisplay - left))
++              plane->dst_x = crtc_state->mode.hdisplay - left;
++
++      adjvdisplay = crtc_state->mode.vdisplay - (top + bottom);
++      plane->dst_y = DIV_ROUND_CLOSEST(plane->dst_y * adjvdisplay,
++                                       (int)crtc_state->mode.vdisplay);
++      plane->dst_y += top;
++      if (plane->dst_y > (int)(crtc_state->mode.vdisplay - top))
++              plane->dst_y = crtc_state->mode.vdisplay - top;
++
++      plane->dst_w = DIV_ROUND_CLOSEST(plane->dst_w * adjhdisplay,
++                                       crtc_state->mode.hdisplay);
++      plane->dst_h = DIV_ROUND_CLOSEST(plane->dst_h * adjvdisplay,
++                                       crtc_state->mode.vdisplay);
++
++      if (!plane->dst_w || !plane->dst_h)
++              return -EINVAL;
++
++      return 0;
++}
++
+ static void vc4_plane_atomic_update(struct drm_plane *plane,
+                                   struct drm_plane_state *old_state)
+ {
+       struct drm_plane_state *state = plane->state;
++
++      /*
++       * Do NOT set now, as we haven't checked if the crtc is active or not.
++       * Set from vc4_plane_set_blank instead.
++       *
++       * If the CRTC is on (or going to be on) and we're enabled,
++       * then unblank.  Otherwise, stay blank until CRTC enable.
++       */
++      if (state->crtc->state->active)
++              vc4_plane_set_blank(plane, false);
++}
++
++static void vc4_plane_atomic_disable(struct drm_plane *plane,
++                                   struct drm_plane_state *old_state)
++{
++      struct drm_plane_state *state = plane->state;
++      struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
++
++      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] plane disable %dx%d@%d +%d,%d\n",
++                       plane->base.id, plane->name,
++                       state->crtc_w,
++                       state->crtc_h,
++                       vc4_plane->mb.plane.vc_image_type,
++                       state->crtc_x,
++                       state->crtc_y);
++      vc4_plane_set_blank(plane, true);
++}
++
++static bool plane_enabled(struct drm_plane_state *state)
++{
++      return state->fb && state->crtc;
++}
++
++static int vc4_plane_to_mb(struct drm_plane *plane,
++                         struct mailbox_set_plane *mb,
++                         struct drm_plane_state *state)
++{
+       struct drm_framebuffer *fb = state->fb;
+       struct drm_gem_cma_object *bo = drm_fb_cma_get_gem_obj(fb, 0);
+       const struct drm_format_info *drm_fmt = fb->format;
+       const struct vc_image_format *vc_fmt =
+                                       vc4_get_vc_image_fmt(drm_fmt->format);
+-      struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
+-      struct mailbox_set_plane *mb = &vc4_plane->mb;
+       int num_planes = fb->format->num_planes;
+       struct drm_display_mode *mode = &state->crtc->mode;
+       unsigned int rotation = SUPPORTED_ROTATIONS;
+@@ -419,25 +546,7 @@ static void vc4_plane_atomic_update(stru
+               break;
+       }
+-      /* FIXME: If the dest rect goes off screen then clip the src rect so we
+-       * don't have off-screen pixels.
+-       */
+-      if (plane->type == DRM_PLANE_TYPE_CURSOR) {
+-              /* There is no scaling on the cursor plane, therefore the calcs
+-               * to alter the source crop as the cursor goes off the screen
+-               * are simple.
+-               */
+-              if (mb->plane.dst_x + mb->plane.dst_w > mode->hdisplay) {
+-                      mb->plane.dst_w = mode->hdisplay - mb->plane.dst_x;
+-                      mb->plane.src_w = (mode->hdisplay - mb->plane.dst_x)
+-                                                                      << 16;
+-              }
+-              if (mb->plane.dst_y + mb->plane.dst_h > mode->vdisplay) {
+-                      mb->plane.dst_h = mode->vdisplay - mb->plane.dst_y;
+-                      mb->plane.src_h = (mode->vdisplay - mb->plane.dst_y)
+-                                                                      << 16;
+-              }
+-      }
++      vc4_fkms_margins_adj(state, &mb->plane);
+       if (num_planes > 1) {
+               /* Assume this must be YUV */
+@@ -527,38 +636,19 @@ static void vc4_plane_atomic_update(stru
+                        state->alpha,
+                        state->normalized_zpos);
+-      /*
+-       * Do NOT set now, as we haven't checked if the crtc is active or not.
+-       * Set from vc4_plane_set_blank instead.
+-       *
+-       * If the CRTC is on (or going to be on) and we're enabled,
+-       * then unblank.  Otherwise, stay blank until CRTC enable.
+-       */
+-      if (state->crtc->state->active)
+-              vc4_plane_set_blank(plane, false);
++      return 0;
+ }
+-static void vc4_plane_atomic_disable(struct drm_plane *plane,
+-                                   struct drm_plane_state *old_state)
++static int vc4_plane_atomic_check(struct drm_plane *plane,
++                                struct drm_plane_state *state)
+ {
+-      //struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
+-      struct drm_plane_state *state = plane->state;
+       struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
+-      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] plane disable %dx%d@%d +%d,%d\n",
+-                       plane->base.id, plane->name,
+-                       state->crtc_w,
+-                       state->crtc_h,
+-                       vc4_plane->mb.plane.vc_image_type,
+-                       state->crtc_x,
+-                       state->crtc_y);
+-      vc4_plane_set_blank(plane, true);
+-}
++      if (!plane_enabled(state))
++              return 0;
++
++      return vc4_plane_to_mb(plane, &vc4_plane->mb, state);
+-static int vc4_plane_atomic_check(struct drm_plane *plane,
+-                                struct drm_plane_state *state)
+-{
+-      return 0;
+ }
+ /* Called during init to allocate the plane's atomic state. */
+@@ -909,8 +999,23 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
+ static int vc4_crtc_atomic_check(struct drm_crtc *crtc,
+                                struct drm_crtc_state *state)
+ {
+-      DRM_DEBUG_KMS("[CRTC:%d] crtc_atomic_check.\n",
+-                    crtc->base.id);
++      struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(state);
++      struct drm_connector *conn;
++      struct drm_connector_state *conn_state;
++      int i;
++
++      DRM_DEBUG_KMS("[CRTC:%d] crtc_atomic_check.\n", crtc->base.id);
++
++      for_each_new_connector_in_state(state->state, conn, conn_state, i) {
++              if (conn_state->crtc != crtc)
++                      continue;
++
++              vc4_state->margins.left = conn_state->tv.margins.left;
++              vc4_state->margins.right = conn_state->tv.margins.right;
++              vc4_state->margins.top = conn_state->tv.margins.top;
++              vc4_state->margins.bottom = conn_state->tv.margins.bottom;
++              break;
++      }
+       return 0;
+ }
+@@ -1011,6 +1116,33 @@ static int vc4_page_flip(struct drm_crtc
+       return drm_atomic_helper_page_flip(crtc, fb, event, flags, ctx);
+ }
++static struct drm_crtc_state *
++vc4_crtc_duplicate_state(struct drm_crtc *crtc)
++{
++      struct vc4_crtc_state *vc4_state, *old_vc4_state;
++
++      vc4_state = kzalloc(sizeof(*vc4_state), GFP_KERNEL);
++      if (!vc4_state)
++              return NULL;
++
++      old_vc4_state = to_vc4_crtc_state(crtc->state);
++      vc4_state->margins = old_vc4_state->margins;
++
++      __drm_atomic_helper_crtc_duplicate_state(crtc, &vc4_state->base);
++      return &vc4_state->base;
++}
++
++static void
++vc4_crtc_reset(struct drm_crtc *crtc)
++{
++      if (crtc->state)
++              __drm_atomic_helper_crtc_destroy_state(crtc->state);
++
++      crtc->state = kzalloc(sizeof(*crtc->state), GFP_KERNEL);
++      if (crtc->state)
++              crtc->state->crtc = crtc;
++}
++
+ static int vc4_fkms_enable_vblank(struct drm_crtc *crtc)
+ {
+       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
+@@ -1038,8 +1170,8 @@ static const struct drm_crtc_funcs vc4_c
+       .set_property = NULL,
+       .cursor_set = NULL, /* handled by drm_mode_cursor_universal */
+       .cursor_move = NULL, /* handled by drm_mode_cursor_universal */
+-      .reset = drm_atomic_helper_crtc_reset,
+-      .atomic_duplicate_state = drm_atomic_helper_crtc_duplicate_state,
++      .reset = vc4_crtc_reset,
++      .atomic_duplicate_state = vc4_crtc_duplicate_state,
+       .atomic_destroy_state = drm_atomic_helper_crtc_destroy_state,
+       .enable_vblank = vc4_fkms_enable_vblank,
+       .disable_vblank = vc4_fkms_disable_vblank,
+@@ -1291,6 +1423,13 @@ vc4_fkms_connector_init(struct drm_devic
+               connector->interlace_allowed = 0;
+       }
++      /* Create and attach TV margin props to this connector. */
++      ret = drm_mode_create_tv_margin_properties(dev);
++      if (ret)
++              return ERR_PTR(ret);
++
++      drm_connector_attach_tv_margin_properties(connector);
++
+       connector->polled = (DRM_CONNECTOR_POLL_CONNECT |
+                            DRM_CONNECTOR_POLL_DISCONNECT);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0275-drm-vc4-Ensure-zpos-is-always-initialised.patch b/target/linux/bcm27xx/patches-5.4/950-0275-drm-vc4-Ensure-zpos-is-always-initialised.patch
new file mode 100644 (file)
index 0000000..32a13d9
--- /dev/null
@@ -0,0 +1,26 @@
+From 9ab46d940789f74980d18715f5715992559ea857 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 19 Jul 2019 17:49:00 +0100
+Subject: [PATCH] drm/vc4: Ensure zpos is always initialised
+
+The compiler is warning that default_zpos can be used
+uninitialised as there is no default case to catch all plane
+types.
+No other plane types should ever be presented to vc4_fkms_plane_init,
+but add a default case regardless.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -804,6 +804,7 @@ static struct drm_plane *vc4_fkms_plane_
+        * other layers as requested by KMS.
+        */
+       switch (type) {
++      default:
+       case DRM_PLANE_TYPE_PRIMARY:
+               default_zpos = 0;
+               break;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0275-drm-vc4-Query-firmware-for-custom-HDMI-mode.patch b/target/linux/bcm27xx/patches-5.4/950-0275-drm-vc4-Query-firmware-for-custom-HDMI-mode.patch
deleted file mode 100644 (file)
index b5358ce..0000000
+++ /dev/null
@@ -1,181 +0,0 @@
-From 6402d9c21c9b144d528c3248607589db94ecbce0 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 3 Jul 2019 17:44:53 +0100
-Subject: [PATCH] drm/vc4: Query firmware for custom HDMI mode
-
-Allow custom HDMI modes to be specified from config.txt,
-and these then override EDID parsing.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 130 ++++++++++++++-----------
- 1 file changed, 75 insertions(+), 55 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -1066,6 +1066,56 @@ vc4_fkms_connector_detect(struct drm_con
-       return connector_status_connected;
- }
-+/* Queries the firmware to populate a drm_mode structure for this display */
-+static int vc4_fkms_get_fw_mode(struct vc4_fkms_connector *fkms_connector,
-+                              struct drm_display_mode *mode)
-+{
-+      struct vc4_dev *vc4 = fkms_connector->vc4_dev;
-+      struct set_timings timings = { 0 };
-+      int ret;
-+
-+      timings.display = fkms_connector->display_number;
-+
-+      ret = rpi_firmware_property(vc4->firmware,
-+                                  RPI_FIRMWARE_GET_DISPLAY_TIMING, &timings,
-+                                  sizeof(timings));
-+      if (ret || !timings.clock)
-+              /* No mode returned - abort */
-+              return -1;
-+
-+      /* Equivalent to DRM_MODE macro. */
-+      memset(mode, 0, sizeof(*mode));
-+      strncpy(mode->name, "FIXED_MODE", sizeof(mode->name));
-+      mode->status = 0;
-+      mode->type = DRM_MODE_TYPE_DRIVER | DRM_MODE_TYPE_PREFERRED;
-+      mode->clock = timings.clock;
-+      mode->hdisplay = timings.hdisplay;
-+      mode->hsync_start = timings.hsync_start;
-+      mode->hsync_end = timings.hsync_end;
-+      mode->htotal = timings.htotal;
-+      mode->hskew = 0;
-+      mode->vdisplay = timings.vdisplay;
-+      mode->vsync_start = timings.vsync_start;
-+      mode->vsync_end = timings.vsync_end;
-+      mode->vtotal = timings.vtotal;
-+      mode->vscan = timings.vscan;
-+
-+      if (timings.flags & TIMINGS_FLAGS_H_SYNC_POS)
-+              mode->flags |= DRM_MODE_FLAG_PHSYNC;
-+      else
-+              mode->flags |= DRM_MODE_FLAG_NHSYNC;
-+
-+      if (timings.flags & TIMINGS_FLAGS_V_SYNC_POS)
-+              mode->flags |= DRM_MODE_FLAG_PVSYNC;
-+      else
-+              mode->flags |= DRM_MODE_FLAG_NVSYNC;
-+
-+      if (timings.flags & TIMINGS_FLAGS_INTERLACE)
-+              mode->flags |= DRM_MODE_FLAG_INTERLACE;
-+
-+      return 0;
-+}
-+
- static int vc4_fkms_get_edid_block(void *data, u8 *buf, unsigned int block,
-                                  size_t len)
- {
-@@ -1094,25 +1144,35 @@ static int vc4_fkms_connector_get_modes(
-                                       to_vc4_fkms_connector(connector);
-       struct drm_encoder *encoder = fkms_connector->encoder;
-       struct vc4_fkms_encoder *vc4_encoder = to_vc4_fkms_encoder(encoder);
--      int ret = 0;
-+      struct drm_display_mode fw_mode;
-+      struct drm_display_mode *mode;
-       struct edid *edid;
-+      int num_modes;
--      edid = drm_do_get_edid(connector, vc4_fkms_get_edid_block,
--                             fkms_connector);
-+      if (!vc4_fkms_get_fw_mode(fkms_connector, &fw_mode)) {
-+              drm_mode_debug_printmodeline(&fw_mode);
-+              mode = drm_mode_duplicate(connector->dev,
-+                                        &fw_mode);
-+              drm_mode_probed_add(connector, mode);
-+              num_modes = 1;  /* 1 mode */
-+      } else {
-+              edid = drm_do_get_edid(connector, vc4_fkms_get_edid_block,
-+                                     fkms_connector);
--      /* FIXME: Can we do CEC?
--       * cec_s_phys_addr_from_edid(vc4->hdmi->cec_adap, edid);
--       * if (!edid)
--       *      return -ENODEV;
--       */
--
--      vc4_encoder->hdmi_monitor = drm_detect_hdmi_monitor(edid);
--
--      drm_connector_update_edid_property(connector, edid);
--      ret = drm_add_edid_modes(connector, edid);
--      kfree(edid);
-+              /* FIXME: Can we do CEC?
-+               * cec_s_phys_addr_from_edid(vc4->hdmi->cec_adap, edid);
-+               * if (!edid)
-+               *      return -ENODEV;
-+               */
-+
-+              vc4_encoder->hdmi_monitor = drm_detect_hdmi_monitor(edid);
-+
-+              drm_connector_update_edid_property(connector, edid);
-+              num_modes = drm_add_edid_modes(connector, edid);
-+              kfree(edid);
-+      }
--      return ret;
-+      return num_modes;
- }
- /* This is the DSI panel resolution. Use this as a default should the firmware
-@@ -1130,55 +1190,15 @@ static int vc4_fkms_lcd_connector_get_mo
- {
-       struct vc4_fkms_connector *fkms_connector =
-                                       to_vc4_fkms_connector(connector);
--      struct vc4_dev *vc4 = fkms_connector->vc4_dev;
-       struct drm_display_mode *mode;
--      struct mailbox_set_mode mb = {
--              .tag1 = { RPI_FIRMWARE_GET_DISPLAY_TIMING,
--                        sizeof(struct set_timings), 0},
--              .timings = { .display = fkms_connector->display_number },
--      };
-       struct drm_display_mode fw_mode;
--      int ret = 0;
--
--      ret = rpi_firmware_property_list(vc4->firmware, &mb, sizeof(mb));
--      if (!ret) {
--              /* Equivalent to DRM_MODE macro. */
--              memset(&fw_mode, 0, sizeof(fw_mode));
--              strncpy(fw_mode.name, "LCD_MODE", sizeof(fw_mode.name));
--              fw_mode.status = 0;
--              fw_mode.type = DRM_MODE_TYPE_DRIVER | DRM_MODE_TYPE_PREFERRED;
--              fw_mode.clock = mb.timings.clock;
--              fw_mode.hdisplay = mb.timings.hdisplay;
--              fw_mode.hsync_start = mb.timings.hsync_start;
--              fw_mode.hsync_end = mb.timings.hsync_end;
--              fw_mode.htotal = mb.timings.htotal;
--              fw_mode.hskew = 0;
--              fw_mode.vdisplay = mb.timings.vdisplay;
--              fw_mode.vsync_start = mb.timings.vsync_start;
--              fw_mode.vsync_end = mb.timings.vsync_end;
--              fw_mode.vtotal = mb.timings.vtotal;
--              fw_mode.vscan = mb.timings.vscan;
--              if (mb.timings.flags & TIMINGS_FLAGS_H_SYNC_POS)
--                      fw_mode.flags |= DRM_MODE_FLAG_PHSYNC;
--              else
--                      fw_mode.flags |= DRM_MODE_FLAG_NHSYNC;
--              if (mb.timings.flags & TIMINGS_FLAGS_V_SYNC_POS)
--                      fw_mode.flags |= DRM_MODE_FLAG_PVSYNC;
--              else
--                      fw_mode.flags |= DRM_MODE_FLAG_NVSYNC;
--              if (mb.timings.flags & TIMINGS_FLAGS_V_SYNC_POS)
--                      fw_mode.flags |= DRM_MODE_FLAG_PVSYNC;
--              else
--                      fw_mode.flags |= DRM_MODE_FLAG_NVSYNC;
--              if (mb.timings.flags & TIMINGS_FLAGS_INTERLACE)
--                      fw_mode.flags |= DRM_MODE_FLAG_INTERLACE;
-+      if (!vc4_fkms_get_fw_mode(fkms_connector, &fw_mode) && fw_mode.clock)
-               mode = drm_mode_duplicate(connector->dev,
-                                         &fw_mode);
--      } else {
-+      else
-               mode = drm_mode_duplicate(connector->dev,
-                                         &lcd_mode);
--      }
-       if (!mode) {
-               DRM_ERROR("Failed to create a new display mode\n");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0276-adds-the-Hifiberry-DAC-ADC-PRO-version.patch b/target/linux/bcm27xx/patches-5.4/950-0276-adds-the-Hifiberry-DAC-ADC-PRO-version.patch
new file mode 100644 (file)
index 0000000..ed6d6db
--- /dev/null
@@ -0,0 +1,598 @@
+From 41c059c841d40bebc358e1c9e7f30c62b2fe3b37 Mon Sep 17 00:00:00 2001
+From: Joerg Schambacher <joscha@schambacher.com>
+Date: Tue, 23 Jul 2019 16:57:35 +0200
+Subject: [PATCH] adds the Hifiberry DAC+ADC PRO version
+
+This adds the driver for the DAC+ADC PRO version of the Hifiberry soundcard with software controlled PCM1863 ADC
+Signed-off-by: Joerg Schambacher joerg@i2audio.com
+---
+ sound/soc/bcm/Kconfig                   |   9 +
+ sound/soc/bcm/Makefile                  |   2 +
+ sound/soc/bcm/hifiberry_dacplusadcpro.c | 538 ++++++++++++++++++++++++
+ 3 files changed, 549 insertions(+)
+ create mode 100644 sound/soc/bcm/hifiberry_dacplusadcpro.c
+
+--- a/sound/soc/bcm/Kconfig
++++ b/sound/soc/bcm/Kconfig
+@@ -38,6 +38,7 @@ config SND_BCM2708_SOC_HIFIBERRY_DACPLUS
+         tristate "Support for HifiBerry DAC+"
+         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
+         select SND_SOC_PCM512x
++        select COMMON_CLK_HIFIBERRY_DACPRO
+         help
+          Say Y or M if you want to add support for HifiBerry DAC+.
+@@ -50,6 +51,14 @@ config SND_BCM2708_SOC_HIFIBERRY_DACPLUS
+         help
+          Say Y or M if you want to add support for HifiBerry DAC+ADC.
++config SND_BCM2708_SOC_HIFIBERRY_DACPLUSADCPRO
++        tristate "Support for HifiBerry DAC+ADC PRO"
++        depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
++        select SND_SOC_PCM512x_I2C
++      select SND_SOC_PCM186X_I2C
++        help
++         Say Y or M if you want to add support for HifiBerry DAC+ADC PRO.
++
+ config SND_BCM2708_SOC_HIFIBERRY_DIGI
+         tristate "Support for HifiBerry Digi"
+         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
+--- a/sound/soc/bcm/Makefile
++++ b/sound/soc/bcm/Makefile
+@@ -15,6 +15,7 @@ snd-soc-googlevoicehat-codec-objs := goo
+ # BCM2708 Machine Support
+ snd-soc-hifiberry-dacplus-objs := hifiberry_dacplus.o
+ snd-soc-hifiberry-dacplusadc-objs := hifiberry_dacplusadc.o
++snd-soc-hifiberry-dacplusadcpro-objs := hifiberry_dacplusadcpro.o
+ snd-soc-justboom-dac-objs := justboom-dac.o
+ snd-soc-rpi-cirrus-objs := rpi-cirrus.o
+ snd-soc-rpi-proto-objs := rpi-proto.o
+@@ -39,6 +40,7 @@ snd-soc-rpi-wm8804-soundcard-objs := rpi
+ obj-$(CONFIG_SND_BCM2708_SOC_GOOGLEVOICEHAT_SOUNDCARD)  += snd-soc-googlevoicehat-codec.o
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUS) += snd-soc-hifiberry-dacplus.o
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC) += snd-soc-hifiberry-dacplusadc.o
++obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADCPRO) += snd-soc-hifiberry-dacplusadcpro.o
+ obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_DAC) += snd-soc-justboom-dac.o
+ obj-$(CONFIG_SND_BCM2708_SOC_RPI_CIRRUS) += snd-soc-rpi-cirrus.o
+ obj-$(CONFIG_SND_BCM2708_SOC_RPI_PROTO) += snd-soc-rpi-proto.o
+--- /dev/null
++++ b/sound/soc/bcm/hifiberry_dacplusadcpro.c
+@@ -0,0 +1,538 @@
++/*
++ * ASoC Driver for HiFiBerry DAC+ / DAC Pro with ADC PRO Version (SW control)
++ *
++ * Author:    Daniel Matuschek, Stuart MacLean <stuart@hifiberry.com>
++ *            Copyright 2014-2015
++ *            based on code by Florian Meier <florian.meier@koalo.de>
++ *            ADC added by Joerg Schambacher <joerg@i2audio.com>
++ *            Copyright 2018-19
++ *
++ * This program is free software; you can redistribute it and/or
++ * modify it under the terms of the GNU General Public License
++ * version 2 as published by the Free Software Foundation.
++ *
++ * This program is distributed in the hope that it will be useful, but
++ * WITHOUT ANY WARRANTY; without even the implied warranty of
++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
++ * General Public License for more details.
++ */
++
++#include <linux/module.h>
++#include <linux/platform_device.h>
++#include <linux/kernel.h>
++#include <linux/clk.h>
++#include <linux/kernel.h>
++#include <linux/module.h>
++#include <linux/of.h>
++#include <linux/slab.h>
++#include <linux/delay.h>
++
++#include <sound/core.h>
++#include <sound/pcm.h>
++#include <sound/pcm_params.h>
++#include <sound/soc.h>
++#include <sound/jack.h>
++#include <sound/tlv.h>
++
++#include "../codecs/pcm512x.h"
++#include "../codecs/pcm186x.h"
++
++#define HIFIBERRY_DACPRO_NOCLOCK 0
++#define HIFIBERRY_DACPRO_CLK44EN 1
++#define HIFIBERRY_DACPRO_CLK48EN 2
++
++struct pcm512x_priv {
++      struct regmap *regmap;
++      struct clk *sclk;
++};
++
++/* Clock rate of CLK44EN attached to GPIO6 pin */
++#define CLK_44EN_RATE 22579200UL
++/* Clock rate of CLK48EN attached to GPIO3 pin */
++#define CLK_48EN_RATE 24576000UL
++
++static bool slave;
++static bool snd_rpi_hifiberry_is_dacpro;
++static bool digital_gain_0db_limit = true;
++
++static const unsigned int pcm186x_adc_input_channel_sel_value[] = {
++      0x00, 0x01, 0x02, 0x03, 0x10
++};
++
++static const char * const pcm186x_adcl_input_channel_sel_text[] = {
++      "No Select",
++      "VINL1[SE]",                                    /* Default for ADCL */
++      "VINL2[SE]",
++      "VINL2[SE] + VINL1[SE]",
++      "{VIN1P, VIN1M}[DIFF]"
++};
++
++static const char * const pcm186x_adcr_input_channel_sel_text[] = {
++      "No Select",
++      "VINR1[SE]",                                    /* Default for ADCR */
++      "VINR2[SE]",
++      "VINR2[SE] + VINR1[SE]",
++      "{VIN2P, VIN2M}[DIFF]"
++};
++
++static const struct soc_enum pcm186x_adc_input_channel_sel[] = {
++      SOC_VALUE_ENUM_SINGLE(PCM186X_ADC1_INPUT_SEL_L, 0,
++                            PCM186X_ADC_INPUT_SEL_MASK,
++                            ARRAY_SIZE(pcm186x_adcl_input_channel_sel_text),
++                            pcm186x_adcl_input_channel_sel_text,
++                            pcm186x_adc_input_channel_sel_value),
++      SOC_VALUE_ENUM_SINGLE(PCM186X_ADC1_INPUT_SEL_R, 0,
++                            PCM186X_ADC_INPUT_SEL_MASK,
++                            ARRAY_SIZE(pcm186x_adcr_input_channel_sel_text),
++                            pcm186x_adcr_input_channel_sel_text,
++                            pcm186x_adc_input_channel_sel_value),
++};
++
++static const unsigned int pcm186x_mic_bias_sel_value[] = {
++      0x00, 0x01, 0x11
++};
++
++static const char * const pcm186x_mic_bias_sel_text[] = {
++      "Mic Bias off",
++      "Mic Bias on",
++      "Mic Bias with Bypass Resistor"
++};
++
++static const struct soc_enum pcm186x_mic_bias_sel[] = {
++      SOC_VALUE_ENUM_SINGLE(PCM186X_MIC_BIAS_CTRL, 0,
++                            GENMASK(4, 0),
++                            ARRAY_SIZE(pcm186x_mic_bias_sel_text),
++                            pcm186x_mic_bias_sel_text,
++                            pcm186x_mic_bias_sel_value),
++};
++
++static const unsigned int pcm186x_gain_sel_value[] = {
++      0xe8, 0xe9, 0xea, 0xeb, 0xec, 0xed, 0xee, 0xef,
++      0xf0, 0xf1, 0xf2, 0xf3, 0xf4, 0xf5, 0xf6, 0xf7,
++      0xf8, 0xf9, 0xfa, 0xfb, 0xfc, 0xfd, 0xfe, 0xff,
++      0x00, 0x01, 0x02, 0x03, 0x04, 0x05, 0x06, 0x07,
++      0x08, 0x09, 0x0a, 0x0b, 0x0c, 0x0d, 0x0e, 0x0f,
++      0x10, 0x11, 0x12, 0x13, 0x14, 0x15, 0x16, 0x17,
++      0x18, 0x19, 0x1a, 0x1b, 0x1c, 0x1d, 0x1e, 0x1f,
++      0x20, 0x21, 0x22, 0x23, 0x24, 0x25, 0x26, 0x27,
++      0x28, 0x29, 0x2a, 0x2b, 0x2c, 0x2d, 0x2e, 0x2f,
++      0x30, 0x31, 0x32, 0x33, 0x34, 0x35, 0x36, 0x37,
++      0x38, 0x39, 0x3a, 0x3b, 0x3c, 0x3d, 0x3e, 0x3f,
++      0x40, 0x41, 0x42, 0x43, 0x44, 0x45, 0x46, 0x47,
++      0x48, 0x49, 0x4a, 0x4b, 0x4c, 0x4d, 0x4e, 0x4f,
++      0x50
++};
++
++static const char * const pcm186x_gain_sel_text[] = {
++      "-12.0dB", "-11.5dB", "-11.0dB", "-10.5dB", "-10.0dB", "-9.5dB",
++      "-9.0dB", "-8.5dB", "-8.0dB", "-7.5dB", "-7.0dB", "-6.5dB",
++      "-6.0dB", "-5.5dB", "-5.0dB", "-4.5dB", "-4.0dB", "-3.5dB",
++      "-3.0dB", "-2.5dB", "-2.0dB", "-1.5dB", "-1.0dB", "-0.5dB",
++      "0.0dB", "0.5dB", "1.0dB", "1.5dB", "2.0dB", "2.5dB",
++      "3.0dB", "3.5dB", "4.0dB", "4.5dB", "5.0dB", "5.5dB",
++      "6.0dB", "6.5dB", "7.0dB", "7.5dB", "8.0dB", "8.5dB",
++      "9.0dB", "9.5dB", "10.0dB", "10.5dB", "11.0dB", "11.5dB",
++      "12.0dB", "12.5dB", "13.0dB", "13.5dB", "14.0dB", "14.5dB",
++      "15.0dB", "15.5dB", "16.0dB", "16.5dB", "17.0dB", "17.5dB",
++      "18.0dB", "18.5dB", "19.0dB", "19.5dB", "20.0dB", "20.5dB",
++      "21.0dB", "21.5dB", "22.0dB", "22.5dB", "23.0dB", "23.5dB",
++      "24.0dB", "24.5dB", "25.0dB", "25.5dB", "26.0dB", "26.5dB",
++      "27.0dB", "27.5dB", "28.0dB", "28.5dB", "29.0dB", "29.5dB",
++      "30.0dB", "30.5dB", "31.0dB", "31.5dB", "32.0dB", "32.5dB",
++      "33.0dB", "33.5dB", "34.0dB", "34.5dB", "35.0dB", "35.5dB",
++      "36.0dB", "36.5dB", "37.0dB", "37.5dB", "38.0dB", "38.5dB",
++      "39.0dB", "39.5dB", "40.0dB"};
++
++static const struct soc_enum pcm186x_gain_sel[] = {
++      SOC_VALUE_ENUM_SINGLE(PCM186X_PGA_VAL_CH1_L, 0,
++                            0xff,
++                            ARRAY_SIZE(pcm186x_gain_sel_text),
++                            pcm186x_gain_sel_text,
++                            pcm186x_gain_sel_value),
++      SOC_VALUE_ENUM_SINGLE(PCM186X_PGA_VAL_CH1_R, 0,
++                            0xff,
++                            ARRAY_SIZE(pcm186x_gain_sel_text),
++                            pcm186x_gain_sel_text,
++                            pcm186x_gain_sel_value),
++};
++
++static const struct snd_kcontrol_new pcm1863_snd_controls_card[] = {
++      SOC_ENUM("ADC Left Input", pcm186x_adc_input_channel_sel[0]),
++      SOC_ENUM("ADC Right Input", pcm186x_adc_input_channel_sel[1]),
++      SOC_ENUM("ADC Mic Bias", pcm186x_mic_bias_sel),
++      SOC_ENUM("PGA Gain Left", pcm186x_gain_sel[0]),
++      SOC_ENUM("PGA Gain Right", pcm186x_gain_sel[1]),
++};
++
++static int pcm1863_add_controls(struct snd_soc_component *component)
++{
++      snd_soc_add_component_controls(component,
++                      pcm1863_snd_controls_card,
++                      ARRAY_SIZE(pcm1863_snd_controls_card));
++      return 0;
++}
++
++static void snd_rpi_hifiberry_dacplusadcpro_select_clk(
++                                      struct snd_soc_component *component, int clk_id)
++{
++      switch (clk_id) {
++      case HIFIBERRY_DACPRO_NOCLOCK:
++              snd_soc_component_update_bits(component,
++                              PCM512x_GPIO_CONTROL_1, 0x24, 0x00);
++              break;
++      case HIFIBERRY_DACPRO_CLK44EN:
++              snd_soc_component_update_bits(component,
++                              PCM512x_GPIO_CONTROL_1, 0x24, 0x20);
++              break;
++      case HIFIBERRY_DACPRO_CLK48EN:
++              snd_soc_component_update_bits(component,
++                              PCM512x_GPIO_CONTROL_1, 0x24, 0x04);
++              break;
++      }
++}
++
++static void snd_rpi_hifiberry_dacplusadcpro_clk_gpio(struct snd_soc_component *component)
++{
++      snd_soc_component_update_bits(component, PCM512x_GPIO_EN, 0x24, 0x24);
++      snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_3, 0x0f, 0x02);
++      snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_6, 0x0f, 0x02);
++}
++
++static bool snd_rpi_hifiberry_dacplusadcpro_is_sclk(struct snd_soc_component *component)
++{
++      unsigned int sck;
++
++      snd_soc_component_read(component, PCM512x_RATE_DET_4, &sck);
++      return (!(sck & 0x40));
++}
++
++static bool snd_rpi_hifiberry_dacplusadcpro_is_sclk_sleep(
++      struct snd_soc_component *component)
++{
++      msleep(2);
++      return snd_rpi_hifiberry_dacplusadcpro_is_sclk(component);
++}
++
++static bool snd_rpi_hifiberry_dacplusadcpro_is_pro_card(struct snd_soc_component *component)
++{
++      bool isClk44EN, isClk48En, isNoClk;
++
++      snd_rpi_hifiberry_dacplusadcpro_clk_gpio(component);
++
++      snd_rpi_hifiberry_dacplusadcpro_select_clk(component, HIFIBERRY_DACPRO_CLK44EN);
++      isClk44EN = snd_rpi_hifiberry_dacplusadcpro_is_sclk_sleep(component);
++
++      snd_rpi_hifiberry_dacplusadcpro_select_clk(component, HIFIBERRY_DACPRO_NOCLOCK);
++      isNoClk = snd_rpi_hifiberry_dacplusadcpro_is_sclk_sleep(component);
++
++      snd_rpi_hifiberry_dacplusadcpro_select_clk(component, HIFIBERRY_DACPRO_CLK48EN);
++      isClk48En = snd_rpi_hifiberry_dacplusadcpro_is_sclk_sleep(component);
++
++      return (isClk44EN && isClk48En && !isNoClk);
++}
++
++static int snd_rpi_hifiberry_dacplusadcpro_clk_for_rate(int sample_rate)
++{
++      int type;
++
++      switch (sample_rate) {
++      case 11025:
++      case 22050:
++      case 44100:
++      case 88200:
++      case 176400:
++      case 352800:
++              type = HIFIBERRY_DACPRO_CLK44EN;
++              break;
++      default:
++              type = HIFIBERRY_DACPRO_CLK48EN;
++              break;
++      }
++      return type;
++}
++
++static void snd_rpi_hifiberry_dacplusadcpro_set_sclk(struct snd_soc_component *component,
++      int sample_rate)
++{
++      struct pcm512x_priv *pcm512x = snd_soc_component_get_drvdata(component);
++
++      if (!IS_ERR(pcm512x->sclk)) {
++              int ctype;
++
++              ctype = snd_rpi_hifiberry_dacplusadcpro_clk_for_rate(sample_rate);
++              clk_set_rate(pcm512x->sclk, (ctype == HIFIBERRY_DACPRO_CLK44EN)
++                      ? CLK_44EN_RATE : CLK_48EN_RATE);
++              snd_rpi_hifiberry_dacplusadcpro_select_clk(component, ctype);
++      }
++}
++
++static int snd_rpi_hifiberry_dacplusadcpro_init(struct snd_soc_pcm_runtime *rtd)
++{
++      struct snd_soc_component *dac = rtd->codec_dais[0]->component;
++      struct snd_soc_component *adc = rtd->codec_dais[1]->component;
++      struct snd_soc_dai_driver *adc_driver = rtd->codec_dais[1]->driver;
++      struct pcm512x_priv *priv;
++      int ret;
++
++      if (slave)
++              snd_rpi_hifiberry_is_dacpro = false;
++      else
++              snd_rpi_hifiberry_is_dacpro =
++                              snd_rpi_hifiberry_dacplusadcpro_is_pro_card(dac);
++
++      if (snd_rpi_hifiberry_is_dacpro) {
++              struct snd_soc_dai_link *dai = rtd->dai_link;
++
++              dai->name = "HiFiBerry DAC+ADC Pro";
++              dai->stream_name = "HiFiBerry DAC+ADC Pro HiFi";
++
++              // set DAC DAI configuration
++              ret = snd_soc_dai_set_fmt(rtd->codec_dais[0],
++                              SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF
++                      | SND_SOC_DAIFMT_CBM_CFM);
++              if (ret < 0)
++                      return ret;
++
++              // set ADC DAI configuration
++              ret = snd_soc_dai_set_fmt(rtd->codec_dais[1],
++                              SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF
++                      | SND_SOC_DAIFMT_CBS_CFS);
++              if (ret < 0)
++                      return ret;
++
++              // set CPU DAI configuration
++              ret = snd_soc_dai_set_fmt(rtd->cpu_dai,
++                      SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF | SND_SOC_DAIFMT_CBS_CFS);
++              if (ret < 0)
++                      return ret;
++
++              snd_soc_component_update_bits(dac, PCM512x_BCLK_LRCLK_CFG, 0x31, 0x11);
++              snd_soc_component_update_bits(dac, PCM512x_MASTER_MODE, 0x03, 0x03);
++              snd_soc_component_update_bits(dac, PCM512x_MASTER_CLKDIV_2, 0x7f, 63);
++      } else {
++              priv = snd_soc_component_get_drvdata(dac);
++              priv->sclk = ERR_PTR(-ENOENT);
++      }
++
++      /* disable 24bit mode as long as I2S module does not have sign extension fixed */
++      adc_driver->capture.formats = SNDRV_PCM_FMTBIT_S32_LE | SNDRV_PCM_FMTBIT_S16_LE;
++
++      snd_soc_component_update_bits(dac, PCM512x_GPIO_EN, 0x08, 0x08);
++      snd_soc_component_update_bits(dac, PCM512x_GPIO_OUTPUT_4, 0x0f, 0x02);
++      snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
++
++      ret = pcm1863_add_controls(adc);
++      if (ret < 0)
++              dev_warn(rtd->dev, "Failed to add pcm1863 controls: %d\n",
++              ret);
++
++      /* set GPIO2 to output, GPIO3 input */
++      snd_soc_component_write(adc, PCM186X_GPIO3_2_CTRL, 0x00);
++      snd_soc_component_write(adc, PCM186X_GPIO3_2_DIR_CTRL, 0x04);
++      snd_soc_component_update_bits(adc, PCM186X_GPIO_IN_OUT, 0x40, 0x40);
++
++      if (digital_gain_0db_limit) {
++              int ret;
++              struct snd_soc_card *card = rtd->card;
++
++              ret = snd_soc_limit_volume(card, "Digital Playback Volume", 207);
++              if (ret < 0)
++                      dev_warn(card->dev, "Failed to set volume limit: %d\n", ret);
++      }
++
++      return 0;
++}
++
++static int snd_rpi_hifiberry_dacplusadcpro_update_rate_den(
++      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params)
++{
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++      struct snd_soc_component *component = rtd->codec_dais[0]->component; /* only use DAC */
++      struct pcm512x_priv *pcm512x = snd_soc_component_get_drvdata(component);
++      struct snd_ratnum *rats_no_pll;
++      unsigned int num = 0, den = 0;
++      int err;
++
++      rats_no_pll = devm_kzalloc(rtd->dev, sizeof(*rats_no_pll), GFP_KERNEL);
++      if (!rats_no_pll)
++              return -ENOMEM;
++
++      rats_no_pll->num = clk_get_rate(pcm512x->sclk) / 64;
++      rats_no_pll->den_min = 1;
++      rats_no_pll->den_max = 128;
++      rats_no_pll->den_step = 1;
++
++      err = snd_interval_ratnum(hw_param_interval(params,
++              SNDRV_PCM_HW_PARAM_RATE), 1, rats_no_pll, &num, &den);
++      if (err >= 0 && den) {
++              params->rate_num = num;
++              params->rate_den = den;
++      }
++
++      devm_kfree(rtd->dev, rats_no_pll);
++      return 0;
++}
++
++static int snd_rpi_hifiberry_dacplusadcpro_hw_params(
++      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params)
++{
++      int ret = 0;
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++      int channels = params_channels(params);
++      int width = 32;
++      struct snd_soc_component *dac = rtd->codec_dais[0]->component;
++
++      if (snd_rpi_hifiberry_is_dacpro) {
++
++              width = snd_pcm_format_physical_width(params_format(params));
++
++              snd_rpi_hifiberry_dacplusadcpro_set_sclk(dac,
++                      params_rate(params));
++
++              ret = snd_rpi_hifiberry_dacplusadcpro_update_rate_den(
++                      substream, params);
++              if (ret)
++                      return ret;
++      }
++
++      ret = snd_soc_dai_set_tdm_slot(rtd->cpu_dai, 0x03, 0x03,
++              channels, width);
++      if (ret)
++              return ret;
++      ret = snd_soc_dai_set_tdm_slot(rtd->codec_dais[0], 0x03, 0x03,
++              channels, width);
++      if (ret)
++              return ret;
++      ret = snd_soc_dai_set_tdm_slot(rtd->codec_dais[1], 0x03, 0x03,
++              channels, width);
++      return ret;
++}
++
++static int snd_rpi_hifiberry_dacplusadcpro_startup(
++      struct snd_pcm_substream *substream)
++{
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++      struct snd_soc_component *dac = rtd->codec_dais[0]->component;
++      struct snd_soc_component *adc = rtd->codec_dais[1]->component;
++
++      /* switch on respective LED */
++      if (!substream->stream)
++              snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
++      else
++              snd_soc_component_update_bits(adc, PCM186X_GPIO_IN_OUT, 0x40, 0x40);
++      return 0;
++}
++
++static void snd_rpi_hifiberry_dacplusadcpro_shutdown(
++      struct snd_pcm_substream *substream)
++{
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++      struct snd_soc_component *dac = rtd->codec_dais[0]->component;
++      struct snd_soc_component *adc = rtd->codec_dais[1]->component;
++
++      /* switch off respective LED */
++      if (!substream->stream)
++              snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x00);
++      else
++              snd_soc_component_update_bits(adc, PCM186X_GPIO_IN_OUT, 0x40, 0x00);
++}
++
++
++/* machine stream operations */
++static struct snd_soc_ops snd_rpi_hifiberry_dacplusadcpro_ops = {
++      .hw_params = snd_rpi_hifiberry_dacplusadcpro_hw_params,
++      .startup = snd_rpi_hifiberry_dacplusadcpro_startup,
++      .shutdown = snd_rpi_hifiberry_dacplusadcpro_shutdown,
++};
++
++static struct snd_soc_dai_link_component snd_rpi_hifiberry_dacplusadcpro_codecs[] = {
++      {
++              .name           = "pcm512x.1-004d",
++              .dai_name       = "pcm512x-hifi",
++      },
++      {
++              .name           = "pcm186x.1-004a",
++              .dai_name       = "pcm1863-aif",
++      },
++};
++
++static struct snd_soc_dai_link snd_rpi_hifiberry_dacplusadcpro_dai[] = {
++{
++      .name           = "HiFiBerry DAC+ADC PRO",
++      .stream_name    = "HiFiBerry DAC+ADC PRO HiFi",
++      .cpu_dai_name   = "bcm2708-i2s.0",
++      .platform_name  = "bcm2708-i2s.0",
++      .codecs         = snd_rpi_hifiberry_dacplusadcpro_codecs,
++      .num_codecs     = 2,
++      .dai_fmt        = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF |
++                              SND_SOC_DAIFMT_CBS_CFS,
++      .ops            = &snd_rpi_hifiberry_dacplusadcpro_ops,
++      .init           = snd_rpi_hifiberry_dacplusadcpro_init,
++},
++};
++
++/* audio machine driver */
++static struct snd_soc_card snd_rpi_hifiberry_dacplusadcpro = {
++      .name         = "snd_rpi_hifiberry_dacplusadcpro",
++      .driver_name  = "HifiberryDacpAdcPro",
++      .owner        = THIS_MODULE,
++      .dai_link     = snd_rpi_hifiberry_dacplusadcpro_dai,
++      .num_links    = ARRAY_SIZE(snd_rpi_hifiberry_dacplusadcpro_dai),
++};
++
++static int snd_rpi_hifiberry_dacplusadcpro_probe(struct platform_device *pdev)
++{
++      int ret = 0, i = 0;
++      struct snd_soc_card *card = &snd_rpi_hifiberry_dacplusadcpro;
++
++      snd_rpi_hifiberry_dacplusadcpro.dev = &pdev->dev;
++      if (pdev->dev.of_node) {
++              struct device_node *i2s_node;
++              struct snd_soc_dai_link *dai;
++
++              dai = &snd_rpi_hifiberry_dacplusadcpro_dai[0];
++              i2s_node = of_parse_phandle(pdev->dev.of_node,
++                      "i2s-controller", 0);
++              if (i2s_node) {
++                      for (i = 0; i < card->num_links; i++) {
++                              dai->cpu_dai_name = NULL;
++                              dai->cpu_of_node = i2s_node;
++                              dai->platform_name = NULL;
++                              dai->platform_of_node = i2s_node;
++                      }
++              }
++      }
++      digital_gain_0db_limit = !of_property_read_bool(
++              pdev->dev.of_node, "hifiberry-dacplusadcpro,24db_digital_gain");
++      slave = of_property_read_bool(pdev->dev.of_node,
++                                      "hifiberry-dacplusadcpro,slave");
++      ret = snd_soc_register_card(&snd_rpi_hifiberry_dacplusadcpro);
++      if (ret && ret != -EPROBE_DEFER)
++              dev_err(&pdev->dev,
++                      "snd_soc_register_card() failed: %d\n", ret);
++
++      return ret;
++}
++
++static const struct of_device_id snd_rpi_hifiberry_dacplusadcpro_of_match[] = {
++      { .compatible = "hifiberry,hifiberry-dacplusadcpro", },
++      {},
++};
++
++MODULE_DEVICE_TABLE(of, snd_rpi_hifiberry_dacplusadcpro_of_match);
++
++static struct platform_driver snd_rpi_hifiberry_dacplusadcpro_driver = {
++      .driver = {
++              .name   = "snd-rpi-hifiberry-dacplusadcpro",
++              .owner  = THIS_MODULE,
++              .of_match_table = snd_rpi_hifiberry_dacplusadcpro_of_match,
++      },
++      .probe          = snd_rpi_hifiberry_dacplusadcpro_probe,
++};
++
++module_platform_driver(snd_rpi_hifiberry_dacplusadcpro_driver);
++
++MODULE_AUTHOR("Joerg Schambacher <joerg@i2audio.com>");
++MODULE_AUTHOR("Daniel Matuschek <daniel@hifiberry.com>");
++MODULE_DESCRIPTION("ASoC Driver for HiFiBerry DAC+ADC");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0276-drm-vc4-Pass-the-drm-vrefresh-to-the-firmware-on-mod.patch b/target/linux/bcm27xx/patches-5.4/950-0276-drm-vc4-Pass-the-drm-vrefresh-to-the-firmware-on-mod.patch
deleted file mode 100644 (file)
index ce73e34..0000000
+++ /dev/null
@@ -1,37 +0,0 @@
-From f146d9a60f197fbf868be7eece68ff5cc58af4ff Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 11 Jul 2019 15:12:05 +0100
-Subject: [PATCH] drm/vc4: Pass the drm vrefresh to the firmware on
- mode set
-
-More for completeness than need, but use drm_mode_vrefresh
-to compute the vrefresh value, and pass that down to the
-firmware on mode set.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 6 +++---
- 1 file changed, 3 insertions(+), 3 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -768,8 +768,8 @@ static void vc4_crtc_mode_set_nofb(struc
-                     mode->hdisplay, mode->hsync_start, mode->hsync_end,
-                     mode->htotal, mode->hskew, mode->vdisplay,
-                     mode->vsync_start, mode->vsync_end, mode->vtotal,
--                    mode->vscan, mode->vrefresh, mode->picture_aspect_ratio,
--                    mode->flags);
-+                    mode->vscan, drm_mode_vrefresh(mode),
-+                    mode->picture_aspect_ratio, mode->flags);
-       mb.timings.display = vc4_crtc->display_number;
-       mb.timings.video_id_code = frame.avi.video_code;
-@@ -785,7 +785,7 @@ static void vc4_crtc_mode_set_nofb(struc
-       mb.timings.vsync_end = mode->vsync_end;
-       mb.timings.vtotal = mode->vtotal;
-       mb.timings.vscan = mode->vscan;
--      mb.timings.vrefresh = 0;
-+      mb.timings.vrefresh = drm_mode_vrefresh(mode);
-       mb.timings.flags = 0;
-       if (mode->flags & DRM_MODE_FLAG_PHSYNC)
-               mb.timings.flags |= TIMINGS_FLAGS_H_SYNC_POS;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0277-drm-vc4-A-present-but-empty-dmas-disables-audio.patch b/target/linux/bcm27xx/patches-5.4/950-0277-drm-vc4-A-present-but-empty-dmas-disables-audio.patch
new file mode 100644 (file)
index 0000000..b0c5b96
--- /dev/null
@@ -0,0 +1,33 @@
+From 88d5709082671ff2abeddc2a9b4acacbb85b9194 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Wed, 31 Jul 2019 17:36:34 +0100
+Subject: [PATCH] drm/vc4: A present but empty dmas disables audio
+
+Overlays are unable to remove properties in the base DTB, but they
+can overwrite them. Allow a present but empty 'dmas' property
+to also disable the HDMI audio interface.
+
+See: https://github.com/raspberrypi/linux/issues/2489
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 6 ++++--
+ 1 file changed, 4 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1066,10 +1066,12 @@ static int vc4_hdmi_audio_init(struct vc
+       struct device *dev = &hdmi->pdev->dev;
+       const __be32 *addr;
+       int ret;
++      int len;
+-      if (!of_find_property(dev->of_node, "dmas", NULL)) {
++      if (!of_find_property(dev->of_node, "dmas", &len) ||
++          len == 0) {
+               dev_warn(dev,
+-                       "'dmas' DT property is missing, no HDMI audio\n");
++                       "'dmas' DT property is missing or empty, no HDMI audio\n");
+               return 0;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0277-drm-vc4-Add-support-for-margins-to-fkms.patch b/target/linux/bcm27xx/patches-5.4/950-0277-drm-vc4-Add-support-for-margins-to-fkms.patch
deleted file mode 100644 (file)
index 968eb3f..0000000
+++ /dev/null
@@ -1,328 +0,0 @@
-From 8a7170d2ad05ae00733e0535b281ce2e682c6f65 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 19 Jul 2019 15:35:13 +0100
-Subject: [PATCH] drm/vc4: Add support for margins to fkms
-
-Allows for overscan to be configured under FKMS.
-NB This is rescaling the planes, not reducing the size of the
-display mode.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 241 +++++++++++++++++++------
- 1 file changed, 190 insertions(+), 51 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -259,6 +259,23 @@ static inline struct vc4_crtc *to_vc4_cr
-       return container_of(crtc, struct vc4_crtc, base);
- }
-+struct vc4_crtc_state {
-+      struct drm_crtc_state base;
-+
-+      struct {
-+              unsigned int left;
-+              unsigned int right;
-+              unsigned int top;
-+              unsigned int bottom;
-+      } margins;
-+};
-+
-+static inline struct vc4_crtc_state *
-+to_vc4_crtc_state(struct drm_crtc_state *crtc_state)
-+{
-+      return (struct vc4_crtc_state *)crtc_state;
-+}
-+
- struct vc4_fkms_encoder {
-       struct drm_encoder base;
-       bool hdmi_monitor;
-@@ -367,17 +384,127 @@ static int vc4_plane_set_blank(struct dr
-       return ret;
- }
-+static void vc4_fkms_crtc_get_margins(struct drm_crtc_state *state,
-+                                    unsigned int *left, unsigned int *right,
-+                                    unsigned int *top, unsigned int *bottom)
-+{
-+      struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(state);
-+      struct drm_connector_state *conn_state;
-+      struct drm_connector *conn;
-+      int i;
-+
-+      *left = vc4_state->margins.left;
-+      *right = vc4_state->margins.right;
-+      *top = vc4_state->margins.top;
-+      *bottom = vc4_state->margins.bottom;
-+
-+      /* We have to interate over all new connector states because
-+       * vc4_fkms_crtc_get_margins() might be called before
-+       * vc4_fkms_crtc_atomic_check() which means margins info in
-+       * vc4_crtc_state might be outdated.
-+       */
-+      for_each_new_connector_in_state(state->state, conn, conn_state, i) {
-+              if (conn_state->crtc != state->crtc)
-+                      continue;
-+
-+              *left = conn_state->tv.margins.left;
-+              *right = conn_state->tv.margins.right;
-+              *top = conn_state->tv.margins.top;
-+              *bottom = conn_state->tv.margins.bottom;
-+              break;
-+      }
-+}
-+
-+static int vc4_fkms_margins_adj(struct drm_plane_state *pstate,
-+                              struct set_plane *plane)
-+{
-+      unsigned int left, right, top, bottom;
-+      int adjhdisplay, adjvdisplay;
-+      struct drm_crtc_state *crtc_state;
-+
-+      crtc_state = drm_atomic_get_new_crtc_state(pstate->state,
-+                                                 pstate->crtc);
-+
-+      vc4_fkms_crtc_get_margins(crtc_state, &left, &right, &top, &bottom);
-+
-+      if (!left && !right && !top && !bottom)
-+              return 0;
-+
-+      if (left + right >= crtc_state->mode.hdisplay ||
-+          top + bottom >= crtc_state->mode.vdisplay)
-+              return -EINVAL;
-+
-+      adjhdisplay = crtc_state->mode.hdisplay - (left + right);
-+      plane->dst_x = DIV_ROUND_CLOSEST(plane->dst_x * adjhdisplay,
-+                                       (int)crtc_state->mode.hdisplay);
-+      plane->dst_x += left;
-+      if (plane->dst_x > (int)(crtc_state->mode.hdisplay - left))
-+              plane->dst_x = crtc_state->mode.hdisplay - left;
-+
-+      adjvdisplay = crtc_state->mode.vdisplay - (top + bottom);
-+      plane->dst_y = DIV_ROUND_CLOSEST(plane->dst_y * adjvdisplay,
-+                                       (int)crtc_state->mode.vdisplay);
-+      plane->dst_y += top;
-+      if (plane->dst_y > (int)(crtc_state->mode.vdisplay - top))
-+              plane->dst_y = crtc_state->mode.vdisplay - top;
-+
-+      plane->dst_w = DIV_ROUND_CLOSEST(plane->dst_w * adjhdisplay,
-+                                       crtc_state->mode.hdisplay);
-+      plane->dst_h = DIV_ROUND_CLOSEST(plane->dst_h * adjvdisplay,
-+                                       crtc_state->mode.vdisplay);
-+
-+      if (!plane->dst_w || !plane->dst_h)
-+              return -EINVAL;
-+
-+      return 0;
-+}
-+
- static void vc4_plane_atomic_update(struct drm_plane *plane,
-                                   struct drm_plane_state *old_state)
- {
-       struct drm_plane_state *state = plane->state;
-+
-+      /*
-+       * Do NOT set now, as we haven't checked if the crtc is active or not.
-+       * Set from vc4_plane_set_blank instead.
-+       *
-+       * If the CRTC is on (or going to be on) and we're enabled,
-+       * then unblank.  Otherwise, stay blank until CRTC enable.
-+       */
-+      if (state->crtc->state->active)
-+              vc4_plane_set_blank(plane, false);
-+}
-+
-+static void vc4_plane_atomic_disable(struct drm_plane *plane,
-+                                   struct drm_plane_state *old_state)
-+{
-+      struct drm_plane_state *state = plane->state;
-+      struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
-+
-+      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] plane disable %dx%d@%d +%d,%d\n",
-+                       plane->base.id, plane->name,
-+                       state->crtc_w,
-+                       state->crtc_h,
-+                       vc4_plane->mb.plane.vc_image_type,
-+                       state->crtc_x,
-+                       state->crtc_y);
-+      vc4_plane_set_blank(plane, true);
-+}
-+
-+static bool plane_enabled(struct drm_plane_state *state)
-+{
-+      return state->fb && state->crtc;
-+}
-+
-+static int vc4_plane_to_mb(struct drm_plane *plane,
-+                         struct mailbox_set_plane *mb,
-+                         struct drm_plane_state *state)
-+{
-       struct drm_framebuffer *fb = state->fb;
-       struct drm_gem_cma_object *bo = drm_fb_cma_get_gem_obj(fb, 0);
-       const struct drm_format_info *drm_fmt = fb->format;
-       const struct vc_image_format *vc_fmt =
-                                       vc4_get_vc_image_fmt(drm_fmt->format);
--      struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
--      struct mailbox_set_plane *mb = &vc4_plane->mb;
-       int num_planes = fb->format->num_planes;
-       struct drm_display_mode *mode = &state->crtc->mode;
-       unsigned int rotation = SUPPORTED_ROTATIONS;
-@@ -419,25 +546,7 @@ static void vc4_plane_atomic_update(stru
-               break;
-       }
--      /* FIXME: If the dest rect goes off screen then clip the src rect so we
--       * don't have off-screen pixels.
--       */
--      if (plane->type == DRM_PLANE_TYPE_CURSOR) {
--              /* There is no scaling on the cursor plane, therefore the calcs
--               * to alter the source crop as the cursor goes off the screen
--               * are simple.
--               */
--              if (mb->plane.dst_x + mb->plane.dst_w > mode->hdisplay) {
--                      mb->plane.dst_w = mode->hdisplay - mb->plane.dst_x;
--                      mb->plane.src_w = (mode->hdisplay - mb->plane.dst_x)
--                                                                      << 16;
--              }
--              if (mb->plane.dst_y + mb->plane.dst_h > mode->vdisplay) {
--                      mb->plane.dst_h = mode->vdisplay - mb->plane.dst_y;
--                      mb->plane.src_h = (mode->vdisplay - mb->plane.dst_y)
--                                                                      << 16;
--              }
--      }
-+      vc4_fkms_margins_adj(state, &mb->plane);
-       if (num_planes > 1) {
-               /* Assume this must be YUV */
-@@ -527,38 +636,19 @@ static void vc4_plane_atomic_update(stru
-                        state->alpha,
-                        state->normalized_zpos);
--      /*
--       * Do NOT set now, as we haven't checked if the crtc is active or not.
--       * Set from vc4_plane_set_blank instead.
--       *
--       * If the CRTC is on (or going to be on) and we're enabled,
--       * then unblank.  Otherwise, stay blank until CRTC enable.
--       */
--      if (state->crtc->state->active)
--              vc4_plane_set_blank(plane, false);
-+      return 0;
- }
--static void vc4_plane_atomic_disable(struct drm_plane *plane,
--                                   struct drm_plane_state *old_state)
-+static int vc4_plane_atomic_check(struct drm_plane *plane,
-+                                struct drm_plane_state *state)
- {
--      //struct vc4_dev *vc4 = to_vc4_dev(plane->dev);
--      struct drm_plane_state *state = plane->state;
-       struct vc4_fkms_plane *vc4_plane = to_vc4_fkms_plane(plane);
--      DRM_DEBUG_ATOMIC("[PLANE:%d:%s] plane disable %dx%d@%d +%d,%d\n",
--                       plane->base.id, plane->name,
--                       state->crtc_w,
--                       state->crtc_h,
--                       vc4_plane->mb.plane.vc_image_type,
--                       state->crtc_x,
--                       state->crtc_y);
--      vc4_plane_set_blank(plane, true);
--}
-+      if (!plane_enabled(state))
-+              return 0;
-+
-+      return vc4_plane_to_mb(plane, &vc4_plane->mb, state);
--static int vc4_plane_atomic_check(struct drm_plane *plane,
--                                struct drm_plane_state *state)
--{
--      return 0;
- }
- /* Called during init to allocate the plane's atomic state. */
-@@ -909,8 +999,23 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
- static int vc4_crtc_atomic_check(struct drm_crtc *crtc,
-                                struct drm_crtc_state *state)
- {
--      DRM_DEBUG_KMS("[CRTC:%d] crtc_atomic_check.\n",
--                    crtc->base.id);
-+      struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(state);
-+      struct drm_connector *conn;
-+      struct drm_connector_state *conn_state;
-+      int i;
-+
-+      DRM_DEBUG_KMS("[CRTC:%d] crtc_atomic_check.\n", crtc->base.id);
-+
-+      for_each_new_connector_in_state(state->state, conn, conn_state, i) {
-+              if (conn_state->crtc != crtc)
-+                      continue;
-+
-+              vc4_state->margins.left = conn_state->tv.margins.left;
-+              vc4_state->margins.right = conn_state->tv.margins.right;
-+              vc4_state->margins.top = conn_state->tv.margins.top;
-+              vc4_state->margins.bottom = conn_state->tv.margins.bottom;
-+              break;
-+      }
-       return 0;
- }
-@@ -1011,6 +1116,33 @@ static int vc4_page_flip(struct drm_crtc
-       return drm_atomic_helper_page_flip(crtc, fb, event, flags, ctx);
- }
-+static struct drm_crtc_state *
-+vc4_crtc_duplicate_state(struct drm_crtc *crtc)
-+{
-+      struct vc4_crtc_state *vc4_state, *old_vc4_state;
-+
-+      vc4_state = kzalloc(sizeof(*vc4_state), GFP_KERNEL);
-+      if (!vc4_state)
-+              return NULL;
-+
-+      old_vc4_state = to_vc4_crtc_state(crtc->state);
-+      vc4_state->margins = old_vc4_state->margins;
-+
-+      __drm_atomic_helper_crtc_duplicate_state(crtc, &vc4_state->base);
-+      return &vc4_state->base;
-+}
-+
-+static void
-+vc4_crtc_reset(struct drm_crtc *crtc)
-+{
-+      if (crtc->state)
-+              __drm_atomic_helper_crtc_destroy_state(crtc->state);
-+
-+      crtc->state = kzalloc(sizeof(*crtc->state), GFP_KERNEL);
-+      if (crtc->state)
-+              crtc->state->crtc = crtc;
-+}
-+
- static int vc4_fkms_enable_vblank(struct drm_crtc *crtc)
- {
-       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-@@ -1038,8 +1170,8 @@ static const struct drm_crtc_funcs vc4_c
-       .set_property = NULL,
-       .cursor_set = NULL, /* handled by drm_mode_cursor_universal */
-       .cursor_move = NULL, /* handled by drm_mode_cursor_universal */
--      .reset = drm_atomic_helper_crtc_reset,
--      .atomic_duplicate_state = drm_atomic_helper_crtc_duplicate_state,
-+      .reset = vc4_crtc_reset,
-+      .atomic_duplicate_state = vc4_crtc_duplicate_state,
-       .atomic_destroy_state = drm_atomic_helper_crtc_destroy_state,
-       .enable_vblank = vc4_fkms_enable_vblank,
-       .disable_vblank = vc4_fkms_disable_vblank,
-@@ -1291,6 +1423,13 @@ vc4_fkms_connector_init(struct drm_devic
-               connector->interlace_allowed = 0;
-       }
-+      /* Create and attach TV margin props to this connector. */
-+      ret = drm_mode_create_tv_margin_properties(dev);
-+      if (ret)
-+              return ERR_PTR(ret);
-+
-+      drm_connector_attach_tv_margin_properties(connector);
-+
-       connector->polled = (DRM_CONNECTOR_POLL_CONNECT |
-                            DRM_CONNECTOR_POLL_DISCONNECT);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0278-Fixup-FKMS-interrupt-handing-for-non-existent-displa.patch b/target/linux/bcm27xx/patches-5.4/950-0278-Fixup-FKMS-interrupt-handing-for-non-existent-displa.patch
new file mode 100644 (file)
index 0000000..60c9c9b
--- /dev/null
@@ -0,0 +1,39 @@
+From d6baa1bd90e7e68ac69d5378d70174ea67bf35dc Mon Sep 17 00:00:00 2001
+From: James Hughes <james.hughes@raspberrypi.org>
+Date: Mon, 29 Jul 2019 12:02:59 +0100
+Subject: [PATCH] Fixup FKMS interrupt handing for non-existent display
+
+If an errant interrupt flag was received from a non-existent display,
+a NULL pointer access was made. Protect against this by checking if a
+second display is present prior to checking the interrupt flags.
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 17 ++++++++++-------
+ 1 file changed, 10 insertions(+), 7 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -1087,14 +1087,17 @@ static irqreturn_t vc4_crtc_irq_handler(
+                               vc4_crtc_handle_page_flip(crtc_list[0]);
+                       }
+-                      /* Check for the secondary display too */
+-                      chan = readl(crtc_list[0]->regs + SMIDSW1);
++                      if (crtc_list[1]) {
++                              /* Check for the secondary display too */
++                              chan = readl(crtc_list[0]->regs + SMIDSW1);
+-                      if (chan & 1) {
+-                              writel(SMI_NEW, crtc_list[0]->regs + SMIDSW1);
+-                              if (crtc_list[1]->vblank_enabled)
+-                                      drm_crtc_handle_vblank(&crtc_list[1]->base);
+-                              vc4_crtc_handle_page_flip(crtc_list[1]);
++                              if (chan & 1) {
++                                      writel(SMI_NEW, crtc_list[0]->regs + SMIDSW1);
++
++                                      if (crtc_list[1]->vblank_enabled)
++                                              drm_crtc_handle_vblank(&crtc_list[1]->base);
++                                      vc4_crtc_handle_page_flip(crtc_list[1]);
++                              }
+                       }
+               }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0278-drm-vc4-Ensure-zpos-is-always-initialised.patch b/target/linux/bcm27xx/patches-5.4/950-0278-drm-vc4-Ensure-zpos-is-always-initialised.patch
deleted file mode 100644 (file)
index 32a13d9..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From 9ab46d940789f74980d18715f5715992559ea857 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 19 Jul 2019 17:49:00 +0100
-Subject: [PATCH] drm/vc4: Ensure zpos is always initialised
-
-The compiler is warning that default_zpos can be used
-uninitialised as there is no default case to catch all plane
-types.
-No other plane types should ever be presented to vc4_fkms_plane_init,
-but add a default case regardless.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -804,6 +804,7 @@ static struct drm_plane *vc4_fkms_plane_
-        * other layers as requested by KMS.
-        */
-       switch (type) {
-+      default:
-       case DRM_PLANE_TYPE_PRIMARY:
-               default_zpos = 0;
-               break;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0279-adds-the-Hifiberry-DAC-ADC-PRO-version.patch b/target/linux/bcm27xx/patches-5.4/950-0279-adds-the-Hifiberry-DAC-ADC-PRO-version.patch
deleted file mode 100644 (file)
index ed6d6db..0000000
+++ /dev/null
@@ -1,598 +0,0 @@
-From 41c059c841d40bebc358e1c9e7f30c62b2fe3b37 Mon Sep 17 00:00:00 2001
-From: Joerg Schambacher <joscha@schambacher.com>
-Date: Tue, 23 Jul 2019 16:57:35 +0200
-Subject: [PATCH] adds the Hifiberry DAC+ADC PRO version
-
-This adds the driver for the DAC+ADC PRO version of the Hifiberry soundcard with software controlled PCM1863 ADC
-Signed-off-by: Joerg Schambacher joerg@i2audio.com
----
- sound/soc/bcm/Kconfig                   |   9 +
- sound/soc/bcm/Makefile                  |   2 +
- sound/soc/bcm/hifiberry_dacplusadcpro.c | 538 ++++++++++++++++++++++++
- 3 files changed, 549 insertions(+)
- create mode 100644 sound/soc/bcm/hifiberry_dacplusadcpro.c
-
---- a/sound/soc/bcm/Kconfig
-+++ b/sound/soc/bcm/Kconfig
-@@ -38,6 +38,7 @@ config SND_BCM2708_SOC_HIFIBERRY_DACPLUS
-         tristate "Support for HifiBerry DAC+"
-         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
-         select SND_SOC_PCM512x
-+        select COMMON_CLK_HIFIBERRY_DACPRO
-         help
-          Say Y or M if you want to add support for HifiBerry DAC+.
-@@ -50,6 +51,14 @@ config SND_BCM2708_SOC_HIFIBERRY_DACPLUS
-         help
-          Say Y or M if you want to add support for HifiBerry DAC+ADC.
-+config SND_BCM2708_SOC_HIFIBERRY_DACPLUSADCPRO
-+        tristate "Support for HifiBerry DAC+ADC PRO"
-+        depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
-+        select SND_SOC_PCM512x_I2C
-+      select SND_SOC_PCM186X_I2C
-+        help
-+         Say Y or M if you want to add support for HifiBerry DAC+ADC PRO.
-+
- config SND_BCM2708_SOC_HIFIBERRY_DIGI
-         tristate "Support for HifiBerry Digi"
-         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
---- a/sound/soc/bcm/Makefile
-+++ b/sound/soc/bcm/Makefile
-@@ -15,6 +15,7 @@ snd-soc-googlevoicehat-codec-objs := goo
- # BCM2708 Machine Support
- snd-soc-hifiberry-dacplus-objs := hifiberry_dacplus.o
- snd-soc-hifiberry-dacplusadc-objs := hifiberry_dacplusadc.o
-+snd-soc-hifiberry-dacplusadcpro-objs := hifiberry_dacplusadcpro.o
- snd-soc-justboom-dac-objs := justboom-dac.o
- snd-soc-rpi-cirrus-objs := rpi-cirrus.o
- snd-soc-rpi-proto-objs := rpi-proto.o
-@@ -39,6 +40,7 @@ snd-soc-rpi-wm8804-soundcard-objs := rpi
- obj-$(CONFIG_SND_BCM2708_SOC_GOOGLEVOICEHAT_SOUNDCARD)  += snd-soc-googlevoicehat-codec.o
- obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUS) += snd-soc-hifiberry-dacplus.o
- obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC) += snd-soc-hifiberry-dacplusadc.o
-+obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADCPRO) += snd-soc-hifiberry-dacplusadcpro.o
- obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_DAC) += snd-soc-justboom-dac.o
- obj-$(CONFIG_SND_BCM2708_SOC_RPI_CIRRUS) += snd-soc-rpi-cirrus.o
- obj-$(CONFIG_SND_BCM2708_SOC_RPI_PROTO) += snd-soc-rpi-proto.o
---- /dev/null
-+++ b/sound/soc/bcm/hifiberry_dacplusadcpro.c
-@@ -0,0 +1,538 @@
-+/*
-+ * ASoC Driver for HiFiBerry DAC+ / DAC Pro with ADC PRO Version (SW control)
-+ *
-+ * Author:    Daniel Matuschek, Stuart MacLean <stuart@hifiberry.com>
-+ *            Copyright 2014-2015
-+ *            based on code by Florian Meier <florian.meier@koalo.de>
-+ *            ADC added by Joerg Schambacher <joerg@i2audio.com>
-+ *            Copyright 2018-19
-+ *
-+ * This program is free software; you can redistribute it and/or
-+ * modify it under the terms of the GNU General Public License
-+ * version 2 as published by the Free Software Foundation.
-+ *
-+ * This program is distributed in the hope that it will be useful, but
-+ * WITHOUT ANY WARRANTY; without even the implied warranty of
-+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
-+ * General Public License for more details.
-+ */
-+
-+#include <linux/module.h>
-+#include <linux/platform_device.h>
-+#include <linux/kernel.h>
-+#include <linux/clk.h>
-+#include <linux/kernel.h>
-+#include <linux/module.h>
-+#include <linux/of.h>
-+#include <linux/slab.h>
-+#include <linux/delay.h>
-+
-+#include <sound/core.h>
-+#include <sound/pcm.h>
-+#include <sound/pcm_params.h>
-+#include <sound/soc.h>
-+#include <sound/jack.h>
-+#include <sound/tlv.h>
-+
-+#include "../codecs/pcm512x.h"
-+#include "../codecs/pcm186x.h"
-+
-+#define HIFIBERRY_DACPRO_NOCLOCK 0
-+#define HIFIBERRY_DACPRO_CLK44EN 1
-+#define HIFIBERRY_DACPRO_CLK48EN 2
-+
-+struct pcm512x_priv {
-+      struct regmap *regmap;
-+      struct clk *sclk;
-+};
-+
-+/* Clock rate of CLK44EN attached to GPIO6 pin */
-+#define CLK_44EN_RATE 22579200UL
-+/* Clock rate of CLK48EN attached to GPIO3 pin */
-+#define CLK_48EN_RATE 24576000UL
-+
-+static bool slave;
-+static bool snd_rpi_hifiberry_is_dacpro;
-+static bool digital_gain_0db_limit = true;
-+
-+static const unsigned int pcm186x_adc_input_channel_sel_value[] = {
-+      0x00, 0x01, 0x02, 0x03, 0x10
-+};
-+
-+static const char * const pcm186x_adcl_input_channel_sel_text[] = {
-+      "No Select",
-+      "VINL1[SE]",                                    /* Default for ADCL */
-+      "VINL2[SE]",
-+      "VINL2[SE] + VINL1[SE]",
-+      "{VIN1P, VIN1M}[DIFF]"
-+};
-+
-+static const char * const pcm186x_adcr_input_channel_sel_text[] = {
-+      "No Select",
-+      "VINR1[SE]",                                    /* Default for ADCR */
-+      "VINR2[SE]",
-+      "VINR2[SE] + VINR1[SE]",
-+      "{VIN2P, VIN2M}[DIFF]"
-+};
-+
-+static const struct soc_enum pcm186x_adc_input_channel_sel[] = {
-+      SOC_VALUE_ENUM_SINGLE(PCM186X_ADC1_INPUT_SEL_L, 0,
-+                            PCM186X_ADC_INPUT_SEL_MASK,
-+                            ARRAY_SIZE(pcm186x_adcl_input_channel_sel_text),
-+                            pcm186x_adcl_input_channel_sel_text,
-+                            pcm186x_adc_input_channel_sel_value),
-+      SOC_VALUE_ENUM_SINGLE(PCM186X_ADC1_INPUT_SEL_R, 0,
-+                            PCM186X_ADC_INPUT_SEL_MASK,
-+                            ARRAY_SIZE(pcm186x_adcr_input_channel_sel_text),
-+                            pcm186x_adcr_input_channel_sel_text,
-+                            pcm186x_adc_input_channel_sel_value),
-+};
-+
-+static const unsigned int pcm186x_mic_bias_sel_value[] = {
-+      0x00, 0x01, 0x11
-+};
-+
-+static const char * const pcm186x_mic_bias_sel_text[] = {
-+      "Mic Bias off",
-+      "Mic Bias on",
-+      "Mic Bias with Bypass Resistor"
-+};
-+
-+static const struct soc_enum pcm186x_mic_bias_sel[] = {
-+      SOC_VALUE_ENUM_SINGLE(PCM186X_MIC_BIAS_CTRL, 0,
-+                            GENMASK(4, 0),
-+                            ARRAY_SIZE(pcm186x_mic_bias_sel_text),
-+                            pcm186x_mic_bias_sel_text,
-+                            pcm186x_mic_bias_sel_value),
-+};
-+
-+static const unsigned int pcm186x_gain_sel_value[] = {
-+      0xe8, 0xe9, 0xea, 0xeb, 0xec, 0xed, 0xee, 0xef,
-+      0xf0, 0xf1, 0xf2, 0xf3, 0xf4, 0xf5, 0xf6, 0xf7,
-+      0xf8, 0xf9, 0xfa, 0xfb, 0xfc, 0xfd, 0xfe, 0xff,
-+      0x00, 0x01, 0x02, 0x03, 0x04, 0x05, 0x06, 0x07,
-+      0x08, 0x09, 0x0a, 0x0b, 0x0c, 0x0d, 0x0e, 0x0f,
-+      0x10, 0x11, 0x12, 0x13, 0x14, 0x15, 0x16, 0x17,
-+      0x18, 0x19, 0x1a, 0x1b, 0x1c, 0x1d, 0x1e, 0x1f,
-+      0x20, 0x21, 0x22, 0x23, 0x24, 0x25, 0x26, 0x27,
-+      0x28, 0x29, 0x2a, 0x2b, 0x2c, 0x2d, 0x2e, 0x2f,
-+      0x30, 0x31, 0x32, 0x33, 0x34, 0x35, 0x36, 0x37,
-+      0x38, 0x39, 0x3a, 0x3b, 0x3c, 0x3d, 0x3e, 0x3f,
-+      0x40, 0x41, 0x42, 0x43, 0x44, 0x45, 0x46, 0x47,
-+      0x48, 0x49, 0x4a, 0x4b, 0x4c, 0x4d, 0x4e, 0x4f,
-+      0x50
-+};
-+
-+static const char * const pcm186x_gain_sel_text[] = {
-+      "-12.0dB", "-11.5dB", "-11.0dB", "-10.5dB", "-10.0dB", "-9.5dB",
-+      "-9.0dB", "-8.5dB", "-8.0dB", "-7.5dB", "-7.0dB", "-6.5dB",
-+      "-6.0dB", "-5.5dB", "-5.0dB", "-4.5dB", "-4.0dB", "-3.5dB",
-+      "-3.0dB", "-2.5dB", "-2.0dB", "-1.5dB", "-1.0dB", "-0.5dB",
-+      "0.0dB", "0.5dB", "1.0dB", "1.5dB", "2.0dB", "2.5dB",
-+      "3.0dB", "3.5dB", "4.0dB", "4.5dB", "5.0dB", "5.5dB",
-+      "6.0dB", "6.5dB", "7.0dB", "7.5dB", "8.0dB", "8.5dB",
-+      "9.0dB", "9.5dB", "10.0dB", "10.5dB", "11.0dB", "11.5dB",
-+      "12.0dB", "12.5dB", "13.0dB", "13.5dB", "14.0dB", "14.5dB",
-+      "15.0dB", "15.5dB", "16.0dB", "16.5dB", "17.0dB", "17.5dB",
-+      "18.0dB", "18.5dB", "19.0dB", "19.5dB", "20.0dB", "20.5dB",
-+      "21.0dB", "21.5dB", "22.0dB", "22.5dB", "23.0dB", "23.5dB",
-+      "24.0dB", "24.5dB", "25.0dB", "25.5dB", "26.0dB", "26.5dB",
-+      "27.0dB", "27.5dB", "28.0dB", "28.5dB", "29.0dB", "29.5dB",
-+      "30.0dB", "30.5dB", "31.0dB", "31.5dB", "32.0dB", "32.5dB",
-+      "33.0dB", "33.5dB", "34.0dB", "34.5dB", "35.0dB", "35.5dB",
-+      "36.0dB", "36.5dB", "37.0dB", "37.5dB", "38.0dB", "38.5dB",
-+      "39.0dB", "39.5dB", "40.0dB"};
-+
-+static const struct soc_enum pcm186x_gain_sel[] = {
-+      SOC_VALUE_ENUM_SINGLE(PCM186X_PGA_VAL_CH1_L, 0,
-+                            0xff,
-+                            ARRAY_SIZE(pcm186x_gain_sel_text),
-+                            pcm186x_gain_sel_text,
-+                            pcm186x_gain_sel_value),
-+      SOC_VALUE_ENUM_SINGLE(PCM186X_PGA_VAL_CH1_R, 0,
-+                            0xff,
-+                            ARRAY_SIZE(pcm186x_gain_sel_text),
-+                            pcm186x_gain_sel_text,
-+                            pcm186x_gain_sel_value),
-+};
-+
-+static const struct snd_kcontrol_new pcm1863_snd_controls_card[] = {
-+      SOC_ENUM("ADC Left Input", pcm186x_adc_input_channel_sel[0]),
-+      SOC_ENUM("ADC Right Input", pcm186x_adc_input_channel_sel[1]),
-+      SOC_ENUM("ADC Mic Bias", pcm186x_mic_bias_sel),
-+      SOC_ENUM("PGA Gain Left", pcm186x_gain_sel[0]),
-+      SOC_ENUM("PGA Gain Right", pcm186x_gain_sel[1]),
-+};
-+
-+static int pcm1863_add_controls(struct snd_soc_component *component)
-+{
-+      snd_soc_add_component_controls(component,
-+                      pcm1863_snd_controls_card,
-+                      ARRAY_SIZE(pcm1863_snd_controls_card));
-+      return 0;
-+}
-+
-+static void snd_rpi_hifiberry_dacplusadcpro_select_clk(
-+                                      struct snd_soc_component *component, int clk_id)
-+{
-+      switch (clk_id) {
-+      case HIFIBERRY_DACPRO_NOCLOCK:
-+              snd_soc_component_update_bits(component,
-+                              PCM512x_GPIO_CONTROL_1, 0x24, 0x00);
-+              break;
-+      case HIFIBERRY_DACPRO_CLK44EN:
-+              snd_soc_component_update_bits(component,
-+                              PCM512x_GPIO_CONTROL_1, 0x24, 0x20);
-+              break;
-+      case HIFIBERRY_DACPRO_CLK48EN:
-+              snd_soc_component_update_bits(component,
-+                              PCM512x_GPIO_CONTROL_1, 0x24, 0x04);
-+              break;
-+      }
-+}
-+
-+static void snd_rpi_hifiberry_dacplusadcpro_clk_gpio(struct snd_soc_component *component)
-+{
-+      snd_soc_component_update_bits(component, PCM512x_GPIO_EN, 0x24, 0x24);
-+      snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_3, 0x0f, 0x02);
-+      snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_6, 0x0f, 0x02);
-+}
-+
-+static bool snd_rpi_hifiberry_dacplusadcpro_is_sclk(struct snd_soc_component *component)
-+{
-+      unsigned int sck;
-+
-+      snd_soc_component_read(component, PCM512x_RATE_DET_4, &sck);
-+      return (!(sck & 0x40));
-+}
-+
-+static bool snd_rpi_hifiberry_dacplusadcpro_is_sclk_sleep(
-+      struct snd_soc_component *component)
-+{
-+      msleep(2);
-+      return snd_rpi_hifiberry_dacplusadcpro_is_sclk(component);
-+}
-+
-+static bool snd_rpi_hifiberry_dacplusadcpro_is_pro_card(struct snd_soc_component *component)
-+{
-+      bool isClk44EN, isClk48En, isNoClk;
-+
-+      snd_rpi_hifiberry_dacplusadcpro_clk_gpio(component);
-+
-+      snd_rpi_hifiberry_dacplusadcpro_select_clk(component, HIFIBERRY_DACPRO_CLK44EN);
-+      isClk44EN = snd_rpi_hifiberry_dacplusadcpro_is_sclk_sleep(component);
-+
-+      snd_rpi_hifiberry_dacplusadcpro_select_clk(component, HIFIBERRY_DACPRO_NOCLOCK);
-+      isNoClk = snd_rpi_hifiberry_dacplusadcpro_is_sclk_sleep(component);
-+
-+      snd_rpi_hifiberry_dacplusadcpro_select_clk(component, HIFIBERRY_DACPRO_CLK48EN);
-+      isClk48En = snd_rpi_hifiberry_dacplusadcpro_is_sclk_sleep(component);
-+
-+      return (isClk44EN && isClk48En && !isNoClk);
-+}
-+
-+static int snd_rpi_hifiberry_dacplusadcpro_clk_for_rate(int sample_rate)
-+{
-+      int type;
-+
-+      switch (sample_rate) {
-+      case 11025:
-+      case 22050:
-+      case 44100:
-+      case 88200:
-+      case 176400:
-+      case 352800:
-+              type = HIFIBERRY_DACPRO_CLK44EN;
-+              break;
-+      default:
-+              type = HIFIBERRY_DACPRO_CLK48EN;
-+              break;
-+      }
-+      return type;
-+}
-+
-+static void snd_rpi_hifiberry_dacplusadcpro_set_sclk(struct snd_soc_component *component,
-+      int sample_rate)
-+{
-+      struct pcm512x_priv *pcm512x = snd_soc_component_get_drvdata(component);
-+
-+      if (!IS_ERR(pcm512x->sclk)) {
-+              int ctype;
-+
-+              ctype = snd_rpi_hifiberry_dacplusadcpro_clk_for_rate(sample_rate);
-+              clk_set_rate(pcm512x->sclk, (ctype == HIFIBERRY_DACPRO_CLK44EN)
-+                      ? CLK_44EN_RATE : CLK_48EN_RATE);
-+              snd_rpi_hifiberry_dacplusadcpro_select_clk(component, ctype);
-+      }
-+}
-+
-+static int snd_rpi_hifiberry_dacplusadcpro_init(struct snd_soc_pcm_runtime *rtd)
-+{
-+      struct snd_soc_component *dac = rtd->codec_dais[0]->component;
-+      struct snd_soc_component *adc = rtd->codec_dais[1]->component;
-+      struct snd_soc_dai_driver *adc_driver = rtd->codec_dais[1]->driver;
-+      struct pcm512x_priv *priv;
-+      int ret;
-+
-+      if (slave)
-+              snd_rpi_hifiberry_is_dacpro = false;
-+      else
-+              snd_rpi_hifiberry_is_dacpro =
-+                              snd_rpi_hifiberry_dacplusadcpro_is_pro_card(dac);
-+
-+      if (snd_rpi_hifiberry_is_dacpro) {
-+              struct snd_soc_dai_link *dai = rtd->dai_link;
-+
-+              dai->name = "HiFiBerry DAC+ADC Pro";
-+              dai->stream_name = "HiFiBerry DAC+ADC Pro HiFi";
-+
-+              // set DAC DAI configuration
-+              ret = snd_soc_dai_set_fmt(rtd->codec_dais[0],
-+                              SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF
-+                      | SND_SOC_DAIFMT_CBM_CFM);
-+              if (ret < 0)
-+                      return ret;
-+
-+              // set ADC DAI configuration
-+              ret = snd_soc_dai_set_fmt(rtd->codec_dais[1],
-+                              SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF
-+                      | SND_SOC_DAIFMT_CBS_CFS);
-+              if (ret < 0)
-+                      return ret;
-+
-+              // set CPU DAI configuration
-+              ret = snd_soc_dai_set_fmt(rtd->cpu_dai,
-+                      SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF | SND_SOC_DAIFMT_CBS_CFS);
-+              if (ret < 0)
-+                      return ret;
-+
-+              snd_soc_component_update_bits(dac, PCM512x_BCLK_LRCLK_CFG, 0x31, 0x11);
-+              snd_soc_component_update_bits(dac, PCM512x_MASTER_MODE, 0x03, 0x03);
-+              snd_soc_component_update_bits(dac, PCM512x_MASTER_CLKDIV_2, 0x7f, 63);
-+      } else {
-+              priv = snd_soc_component_get_drvdata(dac);
-+              priv->sclk = ERR_PTR(-ENOENT);
-+      }
-+
-+      /* disable 24bit mode as long as I2S module does not have sign extension fixed */
-+      adc_driver->capture.formats = SNDRV_PCM_FMTBIT_S32_LE | SNDRV_PCM_FMTBIT_S16_LE;
-+
-+      snd_soc_component_update_bits(dac, PCM512x_GPIO_EN, 0x08, 0x08);
-+      snd_soc_component_update_bits(dac, PCM512x_GPIO_OUTPUT_4, 0x0f, 0x02);
-+      snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
-+
-+      ret = pcm1863_add_controls(adc);
-+      if (ret < 0)
-+              dev_warn(rtd->dev, "Failed to add pcm1863 controls: %d\n",
-+              ret);
-+
-+      /* set GPIO2 to output, GPIO3 input */
-+      snd_soc_component_write(adc, PCM186X_GPIO3_2_CTRL, 0x00);
-+      snd_soc_component_write(adc, PCM186X_GPIO3_2_DIR_CTRL, 0x04);
-+      snd_soc_component_update_bits(adc, PCM186X_GPIO_IN_OUT, 0x40, 0x40);
-+
-+      if (digital_gain_0db_limit) {
-+              int ret;
-+              struct snd_soc_card *card = rtd->card;
-+
-+              ret = snd_soc_limit_volume(card, "Digital Playback Volume", 207);
-+              if (ret < 0)
-+                      dev_warn(card->dev, "Failed to set volume limit: %d\n", ret);
-+      }
-+
-+      return 0;
-+}
-+
-+static int snd_rpi_hifiberry_dacplusadcpro_update_rate_den(
-+      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params)
-+{
-+      struct snd_soc_pcm_runtime *rtd = substream->private_data;
-+      struct snd_soc_component *component = rtd->codec_dais[0]->component; /* only use DAC */
-+      struct pcm512x_priv *pcm512x = snd_soc_component_get_drvdata(component);
-+      struct snd_ratnum *rats_no_pll;
-+      unsigned int num = 0, den = 0;
-+      int err;
-+
-+      rats_no_pll = devm_kzalloc(rtd->dev, sizeof(*rats_no_pll), GFP_KERNEL);
-+      if (!rats_no_pll)
-+              return -ENOMEM;
-+
-+      rats_no_pll->num = clk_get_rate(pcm512x->sclk) / 64;
-+      rats_no_pll->den_min = 1;
-+      rats_no_pll->den_max = 128;
-+      rats_no_pll->den_step = 1;
-+
-+      err = snd_interval_ratnum(hw_param_interval(params,
-+              SNDRV_PCM_HW_PARAM_RATE), 1, rats_no_pll, &num, &den);
-+      if (err >= 0 && den) {
-+              params->rate_num = num;
-+              params->rate_den = den;
-+      }
-+
-+      devm_kfree(rtd->dev, rats_no_pll);
-+      return 0;
-+}
-+
-+static int snd_rpi_hifiberry_dacplusadcpro_hw_params(
-+      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params)
-+{
-+      int ret = 0;
-+      struct snd_soc_pcm_runtime *rtd = substream->private_data;
-+      int channels = params_channels(params);
-+      int width = 32;
-+      struct snd_soc_component *dac = rtd->codec_dais[0]->component;
-+
-+      if (snd_rpi_hifiberry_is_dacpro) {
-+
-+              width = snd_pcm_format_physical_width(params_format(params));
-+
-+              snd_rpi_hifiberry_dacplusadcpro_set_sclk(dac,
-+                      params_rate(params));
-+
-+              ret = snd_rpi_hifiberry_dacplusadcpro_update_rate_den(
-+                      substream, params);
-+              if (ret)
-+                      return ret;
-+      }
-+
-+      ret = snd_soc_dai_set_tdm_slot(rtd->cpu_dai, 0x03, 0x03,
-+              channels, width);
-+      if (ret)
-+              return ret;
-+      ret = snd_soc_dai_set_tdm_slot(rtd->codec_dais[0], 0x03, 0x03,
-+              channels, width);
-+      if (ret)
-+              return ret;
-+      ret = snd_soc_dai_set_tdm_slot(rtd->codec_dais[1], 0x03, 0x03,
-+              channels, width);
-+      return ret;
-+}
-+
-+static int snd_rpi_hifiberry_dacplusadcpro_startup(
-+      struct snd_pcm_substream *substream)
-+{
-+      struct snd_soc_pcm_runtime *rtd = substream->private_data;
-+      struct snd_soc_component *dac = rtd->codec_dais[0]->component;
-+      struct snd_soc_component *adc = rtd->codec_dais[1]->component;
-+
-+      /* switch on respective LED */
-+      if (!substream->stream)
-+              snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
-+      else
-+              snd_soc_component_update_bits(adc, PCM186X_GPIO_IN_OUT, 0x40, 0x40);
-+      return 0;
-+}
-+
-+static void snd_rpi_hifiberry_dacplusadcpro_shutdown(
-+      struct snd_pcm_substream *substream)
-+{
-+      struct snd_soc_pcm_runtime *rtd = substream->private_data;
-+      struct snd_soc_component *dac = rtd->codec_dais[0]->component;
-+      struct snd_soc_component *adc = rtd->codec_dais[1]->component;
-+
-+      /* switch off respective LED */
-+      if (!substream->stream)
-+              snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x00);
-+      else
-+              snd_soc_component_update_bits(adc, PCM186X_GPIO_IN_OUT, 0x40, 0x00);
-+}
-+
-+
-+/* machine stream operations */
-+static struct snd_soc_ops snd_rpi_hifiberry_dacplusadcpro_ops = {
-+      .hw_params = snd_rpi_hifiberry_dacplusadcpro_hw_params,
-+      .startup = snd_rpi_hifiberry_dacplusadcpro_startup,
-+      .shutdown = snd_rpi_hifiberry_dacplusadcpro_shutdown,
-+};
-+
-+static struct snd_soc_dai_link_component snd_rpi_hifiberry_dacplusadcpro_codecs[] = {
-+      {
-+              .name           = "pcm512x.1-004d",
-+              .dai_name       = "pcm512x-hifi",
-+      },
-+      {
-+              .name           = "pcm186x.1-004a",
-+              .dai_name       = "pcm1863-aif",
-+      },
-+};
-+
-+static struct snd_soc_dai_link snd_rpi_hifiberry_dacplusadcpro_dai[] = {
-+{
-+      .name           = "HiFiBerry DAC+ADC PRO",
-+      .stream_name    = "HiFiBerry DAC+ADC PRO HiFi",
-+      .cpu_dai_name   = "bcm2708-i2s.0",
-+      .platform_name  = "bcm2708-i2s.0",
-+      .codecs         = snd_rpi_hifiberry_dacplusadcpro_codecs,
-+      .num_codecs     = 2,
-+      .dai_fmt        = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF |
-+                              SND_SOC_DAIFMT_CBS_CFS,
-+      .ops            = &snd_rpi_hifiberry_dacplusadcpro_ops,
-+      .init           = snd_rpi_hifiberry_dacplusadcpro_init,
-+},
-+};
-+
-+/* audio machine driver */
-+static struct snd_soc_card snd_rpi_hifiberry_dacplusadcpro = {
-+      .name         = "snd_rpi_hifiberry_dacplusadcpro",
-+      .driver_name  = "HifiberryDacpAdcPro",
-+      .owner        = THIS_MODULE,
-+      .dai_link     = snd_rpi_hifiberry_dacplusadcpro_dai,
-+      .num_links    = ARRAY_SIZE(snd_rpi_hifiberry_dacplusadcpro_dai),
-+};
-+
-+static int snd_rpi_hifiberry_dacplusadcpro_probe(struct platform_device *pdev)
-+{
-+      int ret = 0, i = 0;
-+      struct snd_soc_card *card = &snd_rpi_hifiberry_dacplusadcpro;
-+
-+      snd_rpi_hifiberry_dacplusadcpro.dev = &pdev->dev;
-+      if (pdev->dev.of_node) {
-+              struct device_node *i2s_node;
-+              struct snd_soc_dai_link *dai;
-+
-+              dai = &snd_rpi_hifiberry_dacplusadcpro_dai[0];
-+              i2s_node = of_parse_phandle(pdev->dev.of_node,
-+                      "i2s-controller", 0);
-+              if (i2s_node) {
-+                      for (i = 0; i < card->num_links; i++) {
-+                              dai->cpu_dai_name = NULL;
-+                              dai->cpu_of_node = i2s_node;
-+                              dai->platform_name = NULL;
-+                              dai->platform_of_node = i2s_node;
-+                      }
-+              }
-+      }
-+      digital_gain_0db_limit = !of_property_read_bool(
-+              pdev->dev.of_node, "hifiberry-dacplusadcpro,24db_digital_gain");
-+      slave = of_property_read_bool(pdev->dev.of_node,
-+                                      "hifiberry-dacplusadcpro,slave");
-+      ret = snd_soc_register_card(&snd_rpi_hifiberry_dacplusadcpro);
-+      if (ret && ret != -EPROBE_DEFER)
-+              dev_err(&pdev->dev,
-+                      "snd_soc_register_card() failed: %d\n", ret);
-+
-+      return ret;
-+}
-+
-+static const struct of_device_id snd_rpi_hifiberry_dacplusadcpro_of_match[] = {
-+      { .compatible = "hifiberry,hifiberry-dacplusadcpro", },
-+      {},
-+};
-+
-+MODULE_DEVICE_TABLE(of, snd_rpi_hifiberry_dacplusadcpro_of_match);
-+
-+static struct platform_driver snd_rpi_hifiberry_dacplusadcpro_driver = {
-+      .driver = {
-+              .name   = "snd-rpi-hifiberry-dacplusadcpro",
-+              .owner  = THIS_MODULE,
-+              .of_match_table = snd_rpi_hifiberry_dacplusadcpro_of_match,
-+      },
-+      .probe          = snd_rpi_hifiberry_dacplusadcpro_probe,
-+};
-+
-+module_platform_driver(snd_rpi_hifiberry_dacplusadcpro_driver);
-+
-+MODULE_AUTHOR("Joerg Schambacher <joerg@i2audio.com>");
-+MODULE_AUTHOR("Daniel Matuschek <daniel@hifiberry.com>");
-+MODULE_DESCRIPTION("ASoC Driver for HiFiBerry DAC+ADC");
-+MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0279-drivers-char-add-chardev-for-mmap-ing-the-RPiVid-con.patch b/target/linux/bcm27xx/patches-5.4/950-0279-drivers-char-add-chardev-for-mmap-ing-the-RPiVid-con.patch
new file mode 100644 (file)
index 0000000..3f3c5ea
--- /dev/null
@@ -0,0 +1,387 @@
+From dcf515d36ce574edd773c9c6321b6bbf9724e209 Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Thu, 9 May 2019 14:30:37 +0100
+Subject: [PATCH] drivers: char: add chardev for mmap'ing the RPiVid
+ control registers
+
+Based on the gpiomem driver, allow mapping of the decoder register
+spaces such that userspace can access control/status registers.
+This driver is intended for use with a custom ffmpeg backend accelerator
+prior to a v4l2 driver being written.
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
+---
+ drivers/char/broadcom/Kconfig      |   8 +
+ drivers/char/broadcom/Makefile     |   1 +
+ drivers/char/broadcom/rpivid-mem.c | 286 +++++++++++++++++++++++++++++
+ drivers/mfd/bcm2835-pm.c           |  12 +-
+ drivers/soc/bcm/bcm2835-power.c    |   6 +-
+ include/linux/mfd/bcm2835-pm.h     |   2 +-
+ 6 files changed, 305 insertions(+), 10 deletions(-)
+ create mode 100644 drivers/char/broadcom/rpivid-mem.c
+
+--- a/drivers/char/broadcom/Kconfig
++++ b/drivers/char/broadcom/Kconfig
+@@ -49,3 +49,11 @@ config BCM2835_SMI_DEV
+               This driver provides a character device interface (ioctl + read/write) to
+               Broadcom's Secondary Memory interface. The low-level functionality is provided
+               by the SMI driver itself.
++
++config RPIVID_MEM
++      tristate "Character device driver for the Raspberry Pi RPIVid video decoder hardware"
++      default n
++      help
++              This driver provides a character device interface for memory-map operations
++              so userspace tools can access the control and status registers of the
++              Raspberry Pi RPiVid video decoder hardware.
+--- a/drivers/char/broadcom/Makefile
++++ b/drivers/char/broadcom/Makefile
+@@ -4,3 +4,4 @@ obj-$(CONFIG_BCM_VC_SM)         += vc_sm
+ obj-$(CONFIG_BCM2835_DEVGPIOMEM)+= bcm2835-gpiomem.o
+ obj-$(CONFIG_BCM2835_SMI_DEV) += bcm2835_smi_dev.o
++obj-$(CONFIG_RPIVID_MEM)      += rpivid-mem.o
+--- /dev/null
++++ b/drivers/char/broadcom/rpivid-mem.c
+@@ -0,0 +1,286 @@
++/**
++ * rpivid-mem.c - character device access to the RPiVid decoder registers
++ *
++ * Based on bcm2835-gpiomem.c. Provides IO memory access to the decoder
++ * register blocks such that ffmpeg plugins can access the hardware.
++ *
++ * Jonathan Bell <jonathan@raspberrypi.org>
++ * Copyright (c) 2019, Raspberry Pi (Trading) Ltd.
++ *
++ * Redistribution and use in source and binary forms, with or without
++ * modification, are permitted provided that the following conditions
++ * are met:
++ * 1. Redistributions of source code must retain the above copyright
++ *    notice, this list of conditions, and the following disclaimer,
++ *    without modification.
++ * 2. Redistributions in binary form must reproduce the above copyright
++ *    notice, this list of conditions and the following disclaimer in the
++ *    documentation and/or other materials provided with the distribution.
++ * 3. The names of the above-listed copyright holders may not be used
++ *    to endorse or promote products derived from this software without
++ *    specific prior written permission.
++ *
++ * ALTERNATIVELY, this software may be distributed under the terms of the
++ * GNU General Public License ("GPL") version 2, as published by the Free
++ * Software Foundation.
++ *
++ * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
++ * IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
++ * THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
++ * PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
++ * CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
++ * EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
++ * PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
++ * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
++ * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
++ * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
++ * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
++ */
++
++#include <linux/kernel.h>
++#include <linux/module.h>
++#include <linux/of.h>
++#include <linux/of_device.h>
++#include <linux/platform_device.h>
++#include <linux/mm.h>
++#include <linux/slab.h>
++#include <linux/cdev.h>
++#include <linux/pagemap.h>
++#include <linux/io.h>
++
++#define DRIVER_NAME "rpivid-mem"
++#define DEVICE_MINOR 0
++
++struct rpivid_mem_priv {
++      dev_t devid;
++      struct class *class;
++      struct cdev rpivid_mem_cdev;
++      unsigned long regs_phys;
++      unsigned long mem_window_len;
++      struct device *dev;
++      const char *name;
++};
++
++static int rpivid_mem_open(struct inode *inode, struct file *file)
++{
++      int dev = iminor(inode);
++      int ret = 0;
++      struct rpivid_mem_priv *priv;
++      if (dev != DEVICE_MINOR && dev != DEVICE_MINOR + 1)
++              ret = -ENXIO;
++
++      priv = container_of(inode->i_cdev, struct rpivid_mem_priv,
++                              rpivid_mem_cdev);
++      if (!priv)
++              return -EINVAL;
++      file->private_data = priv;
++      return ret;
++}
++
++static int rpivid_mem_release(struct inode *inode, struct file *file)
++{
++      int dev = iminor(inode);
++      int ret = 0;
++
++      if (dev != DEVICE_MINOR && dev != DEVICE_MINOR + 1)
++              ret = -ENXIO;
++
++      return ret;
++}
++
++static const struct vm_operations_struct rpivid_mem_vm_ops = {
++#ifdef CONFIG_HAVE_IOREMAP_PROT
++      .access = generic_access_phys
++#endif
++};
++
++static int rpivid_mem_mmap(struct file *file, struct vm_area_struct *vma)
++{
++      struct rpivid_mem_priv *priv;
++      unsigned long pages;
++
++      priv = file->private_data;
++      pages = priv->regs_phys >> PAGE_SHIFT;
++      /*
++       * The address decode is far larger than the actual number of registers.
++       * Just map the whole lot in.
++       */
++      vma->vm_page_prot = phys_mem_access_prot(file, pages,
++                                               priv->mem_window_len,
++                                               vma->vm_page_prot);
++      vma->vm_ops = &rpivid_mem_vm_ops;
++      if (remap_pfn_range(vma, vma->vm_start,
++                      pages,
++                      priv->mem_window_len,
++                      vma->vm_page_prot)) {
++              return -EAGAIN;
++      }
++      return 0;
++}
++
++static const struct file_operations
++rpivid_mem_fops = {
++      .owner = THIS_MODULE,
++      .open = rpivid_mem_open,
++      .release = rpivid_mem_release,
++      .mmap = rpivid_mem_mmap,
++};
++
++static const struct of_device_id rpivid_mem_of_match[];
++static int rpivid_mem_probe(struct platform_device *pdev)
++{
++      int err;
++      void *ptr_err;
++      const struct of_device_id *id;
++      struct device *dev = &pdev->dev;
++      struct device *rpivid_mem_dev;
++      struct resource *ioresource;
++      struct rpivid_mem_priv *priv;
++
++
++      /* Allocate buffers and instance data */
++
++      priv = kzalloc(sizeof(struct rpivid_mem_priv), GFP_KERNEL);
++
++      if (!priv) {
++              err = -ENOMEM;
++              goto failed_inst_alloc;
++      }
++      platform_set_drvdata(pdev, priv);
++
++      priv->dev = dev;
++      id = of_match_device(rpivid_mem_of_match, dev);
++      if (!id)
++              return -EINVAL;
++      priv->name = id->data;
++
++      ioresource = platform_get_resource(pdev, IORESOURCE_MEM, 0);
++      if (ioresource) {
++              priv->regs_phys = ioresource->start;
++              priv->mem_window_len = ioresource->end - ioresource->start;
++      } else {
++              dev_err(priv->dev, "failed to get IO resource");
++              err = -ENOENT;
++              goto failed_get_resource;
++      }
++
++      /* Create character device entries */
++
++      err = alloc_chrdev_region(&priv->devid,
++                                DEVICE_MINOR, 2, priv->name);
++      if (err != 0) {
++              dev_err(priv->dev, "unable to allocate device number");
++              goto failed_alloc_chrdev;
++      }
++      cdev_init(&priv->rpivid_mem_cdev, &rpivid_mem_fops);
++      priv->rpivid_mem_cdev.owner = THIS_MODULE;
++      err = cdev_add(&priv->rpivid_mem_cdev, priv->devid, 2);
++      if (err != 0) {
++              dev_err(priv->dev, "unable to register device");
++              goto failed_cdev_add;
++      }
++
++      /* Create sysfs entries */
++
++      priv->class = class_create(THIS_MODULE, priv->name);
++      ptr_err = priv->class;
++      if (IS_ERR(ptr_err))
++              goto failed_class_create;
++
++      rpivid_mem_dev = device_create(priv->class, NULL,
++                                      priv->devid, NULL,
++                                      priv->name);
++      ptr_err = rpivid_mem_dev;
++      if (IS_ERR(ptr_err))
++              goto failed_device_create;
++
++      /* Legacy alias */
++      {
++              char *oldname = kstrdup(priv->name, GFP_KERNEL);
++
++              oldname[1] = 'a';
++              oldname[2] = 'r';
++              oldname[3] = 'g';
++              oldname[4] = 'o';
++              oldname[5] = 'n';
++              (void)device_create(priv->class, NULL, priv->devid + 1, NULL,
++                                     oldname + 1);
++              kfree(oldname);
++      }
++
++      dev_info(priv->dev, "%s initialised: Registers at 0x%08lx length 0x%08lx",
++              priv->name, priv->regs_phys, priv->mem_window_len);
++
++      return 0;
++
++failed_device_create:
++      class_destroy(priv->class);
++failed_class_create:
++      cdev_del(&priv->rpivid_mem_cdev);
++      err = PTR_ERR(ptr_err);
++failed_cdev_add:
++      unregister_chrdev_region(priv->devid, 1);
++failed_alloc_chrdev:
++failed_get_resource:
++      kfree(priv);
++failed_inst_alloc:
++      dev_err(priv->dev, "could not load rpivid_mem");
++      return err;
++}
++
++static int rpivid_mem_remove(struct platform_device *pdev)
++{
++      struct device *dev = &pdev->dev;
++      struct rpivid_mem_priv *priv = platform_get_drvdata(pdev);
++
++      device_destroy(priv->class, priv->devid);
++      class_destroy(priv->class);
++      cdev_del(&priv->rpivid_mem_cdev);
++      unregister_chrdev_region(priv->devid, 1);
++      kfree(priv);
++
++      dev_info(dev, "%s driver removed - OK", priv->name);
++      return 0;
++}
++
++static const struct of_device_id rpivid_mem_of_match[] = {
++      {
++              .compatible = "raspberrypi,rpivid-hevc-decoder",
++              .data = "rpivid-hevcmem",
++      },
++      {
++              .compatible = "raspberrypi,rpivid-h264-decoder",
++              .data = "rpivid-h264mem",
++      },
++      {
++              .compatible = "raspberrypi,rpivid-vp9-decoder",
++              .data = "rpivid-vp9mem",
++      },
++      /* The "intc" is included as this block of hardware contains the
++       * "frame done" status flags.
++       */
++      {
++              .compatible = "raspberrypi,rpivid-local-intc",
++              .data = "rpivid-intcmem",
++      },
++      { /* sentinel */ },
++};
++
++MODULE_DEVICE_TABLE(of, rpivid_mem_of_match);
++
++static struct platform_driver rpivid_mem_driver = {
++      .probe = rpivid_mem_probe,
++      .remove = rpivid_mem_remove,
++      .driver = {
++                 .name = DRIVER_NAME,
++                 .owner = THIS_MODULE,
++                 .of_match_table = rpivid_mem_of_match,
++                 },
++};
++
++module_platform_driver(rpivid_mem_driver);
++
++MODULE_ALIAS("platform:rpivid-mem");
++MODULE_LICENSE("GPL");
++MODULE_DESCRIPTION("Driver for accessing RPiVid decoder registers from userspace");
++MODULE_AUTHOR("Jonathan Bell <jonathan@raspberrypi.org>");
+--- a/drivers/mfd/bcm2835-pm.c
++++ b/drivers/mfd/bcm2835-pm.c
+@@ -50,14 +50,14 @@ static int bcm2835_pm_probe(struct platf
+       if (ret)
+               return ret;
+-      /* Map the ARGON ASB regs if present. */
++      /* Map the RPiVid ASB regs if present. */
+       res = platform_get_resource(pdev, IORESOURCE_MEM, 2);
+       if (res) {
+-              pm->arg_asb = devm_ioremap_resource(dev, res);
+-              if (IS_ERR(pm->arg_asb)) {
+-                      dev_err(dev, "Failed to map ARGON ASB: %ld\n",
+-                              PTR_ERR(pm->arg_asb));
+-                      return PTR_ERR(pm->arg_asb);
++              pm->rpivid_asb = devm_ioremap_resource(dev, res);
++              if (IS_ERR(pm->rpivid_asb)) {
++                      dev_err(dev, "Failed to map RPiVid ASB: %ld\n",
++                              PTR_ERR(pm->rpivid_asb));
++                      return PTR_ERR(pm->rpivid_asb);
+               }
+       }
+--- a/drivers/soc/bcm/bcm2835-power.c
++++ b/drivers/soc/bcm/bcm2835-power.c
+@@ -637,15 +637,15 @@ static int bcm2835_power_probe(struct pl
+       power->base = pm->base;
+       power->asb = pm->asb;
+-      /* 2711 hack: the new ARGON ASB took over V3D, which is our
++      /* 2711 hack: the new RPiVid ASB took over V3D, which is our
+        * only consumer of this driver so far.  The old ASB seems to
+        * still be present with ISP and H264 bits but no V3D, but I
+        * don't know if that's real or not.  The V3D is in the same
+        * place in the new ASB as the old one, so just poke the new
+        * one for now.
+        */
+-      if (pm->arg_asb) {
+-              power->asb = pm->arg_asb;
++      if (pm->rpivid_asb) {
++              power->asb = pm->rpivid_asb;
+               power->is_2711 = true;
+       }
+--- a/include/linux/mfd/bcm2835-pm.h
++++ b/include/linux/mfd/bcm2835-pm.h
+@@ -9,7 +9,7 @@ struct bcm2835_pm {
+       struct device *dev;
+       void __iomem *base;
+       void __iomem *asb;
+-      void __iomem *arg_asb;
++      void __iomem *rpivid_asb;
+ };
+ #endif /* BCM2835_MFD_PM_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0280-drm-vc4-A-present-but-empty-dmas-disables-audio.patch b/target/linux/bcm27xx/patches-5.4/950-0280-drm-vc4-A-present-but-empty-dmas-disables-audio.patch
deleted file mode 100644 (file)
index b0c5b96..0000000
+++ /dev/null
@@ -1,33 +0,0 @@
-From 88d5709082671ff2abeddc2a9b4acacbb85b9194 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Wed, 31 Jul 2019 17:36:34 +0100
-Subject: [PATCH] drm/vc4: A present but empty dmas disables audio
-
-Overlays are unable to remove properties in the base DTB, but they
-can overwrite them. Allow a present but empty 'dmas' property
-to also disable the HDMI audio interface.
-
-See: https://github.com/raspberrypi/linux/issues/2489
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 6 ++++--
- 1 file changed, 4 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1066,10 +1066,12 @@ static int vc4_hdmi_audio_init(struct vc
-       struct device *dev = &hdmi->pdev->dev;
-       const __be32 *addr;
-       int ret;
-+      int len;
--      if (!of_find_property(dev->of_node, "dmas", NULL)) {
-+      if (!of_find_property(dev->of_node, "dmas", &len) ||
-+          len == 0) {
-               dev_warn(dev,
--                       "'dmas' DT property is missing, no HDMI audio\n");
-+                       "'dmas' DT property is missing or empty, no HDMI audio\n");
-               return 0;
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0280-hid-usb-Add-device-quirks-for-Freeway-Airmouse-T3-an.patch b/target/linux/bcm27xx/patches-5.4/950-0280-hid-usb-Add-device-quirks-for-Freeway-Airmouse-T3-an.patch
new file mode 100644 (file)
index 0000000..ee886e3
--- /dev/null
@@ -0,0 +1,63 @@
+From 8f4720ca2ed61fbaf2a3039b510e276d06d6a2fb Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Thu, 1 Aug 2019 16:41:20 +0100
+Subject: [PATCH] hid: usb: Add device quirks for Freeway Airmouse T3
+ and MX3
+
+These wireless mouse/keyboard combo remote control devices specify
+multiple "wheel" events in their report descriptors. The wheel events
+are incorrectly defined and apparently map to accelerometer data, leading
+to spurious mouse scroll events being generated at an extreme rate when
+the device is moved.
+
+As a workaround, use HID_QUIRK_INCREMENT_USAGE_ON_DUPLICATE to mask
+feeding the extra wheel events to the input subsystem.
+
+See: https://github.com/raspberrypi/firmware/issues/1189
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
+---
+ drivers/hid/hid-ids.h    | 6 ++++++
+ drivers/hid/hid-quirks.c | 2 ++
+ 2 files changed, 8 insertions(+)
+
+--- a/drivers/hid/hid-ids.h
++++ b/drivers/hid/hid-ids.h
+@@ -223,6 +223,9 @@
+ #define USB_VENDOR_ID_BAANTO          0x2453
+ #define USB_DEVICE_ID_BAANTO_MT_190W2 0x0100
++#define USB_VENDOR_ID_BEKEN           0x25a7
++#define USB_DEVICE_ID_AIRMOUSE_T3     0x2402
++
+ #define USB_VENDOR_ID_BELKIN          0x050d
+ #define USB_DEVICE_ID_FLIP_KVM                0x3201
+@@ -1254,6 +1257,9 @@
+ #define USB_VENDOR_ID_XAT     0x2505
+ #define USB_DEVICE_ID_XAT_CSR 0x0220
++#define USB_VENDOR_ID_XENTA                   0x1d57
++#define USB_DEVICE_ID_AIRMOUSE_MX3            0xad03
++
+ #define USB_VENDOR_ID_XIN_MO                  0x16c0
+ #define USB_DEVICE_ID_XIN_MO_DUAL_ARCADE      0x05e1
+ #define USB_DEVICE_ID_THT_2P_ARCADE           0x75e1
+--- a/drivers/hid/hid-quirks.c
++++ b/drivers/hid/hid-quirks.c
+@@ -41,6 +41,7 @@ static const struct hid_device_id hid_qu
+       { HID_USB_DEVICE(USB_VENDOR_ID_ATEN, USB_DEVICE_ID_ATEN_CS682), HID_QUIRK_NOGET },
+       { HID_USB_DEVICE(USB_VENDOR_ID_ATEN, USB_DEVICE_ID_ATEN_CS692), HID_QUIRK_NOGET },
+       { HID_USB_DEVICE(USB_VENDOR_ID_ATEN, USB_DEVICE_ID_ATEN_UC100KM), HID_QUIRK_NOGET },
++      { HID_USB_DEVICE(USB_VENDOR_ID_BEKEN, USB_DEVICE_ID_AIRMOUSE_T3), HID_QUIRK_INCREMENT_USAGE_ON_DUPLICATE },
+       { HID_USB_DEVICE(USB_VENDOR_ID_CHICONY, USB_DEVICE_ID_CHICONY_MULTI_TOUCH), HID_QUIRK_MULTI_INPUT },
+       { HID_USB_DEVICE(USB_VENDOR_ID_CHICONY, USB_DEVICE_ID_CHICONY_PIXART_USB_OPTICAL_MOUSE), HID_QUIRK_ALWAYS_POLL },
+       { HID_USB_DEVICE(USB_VENDOR_ID_CHICONY, USB_DEVICE_ID_CHICONY_PIXART_USB_OPTICAL_MOUSE2), HID_QUIRK_ALWAYS_POLL },
+@@ -189,6 +190,7 @@ static const struct hid_device_id hid_qu
+       { HID_USB_DEVICE(USB_VENDOR_ID_WISEGROUP_LTD2, USB_DEVICE_ID_SMARTJOY_DUAL_PLUS), HID_QUIRK_NOGET | HID_QUIRK_MULTI_INPUT },
+       { HID_USB_DEVICE(USB_VENDOR_ID_WISEGROUP, USB_DEVICE_ID_QUAD_USB_JOYPAD), HID_QUIRK_NOGET | HID_QUIRK_MULTI_INPUT },
+       { HID_USB_DEVICE(USB_VENDOR_ID_XIN_MO, USB_DEVICE_ID_XIN_MO_DUAL_ARCADE), HID_QUIRK_MULTI_INPUT },
++      { HID_USB_DEVICE(USB_VENDOR_ID_XENTA, USB_DEVICE_ID_AIRMOUSE_MX3), HID_QUIRK_INCREMENT_USAGE_ON_DUPLICATE },
+       { HID_USB_DEVICE(USB_VENDOR_ID_LOGITECH, USB_DEVICE_ID_LOGITECH_GROUP_AUDIO), HID_QUIRK_NOGET },
+       { 0 }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0281-Fixup-FKMS-interrupt-handing-for-non-existent-displa.patch b/target/linux/bcm27xx/patches-5.4/950-0281-Fixup-FKMS-interrupt-handing-for-non-existent-displa.patch
deleted file mode 100644 (file)
index 60c9c9b..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-From d6baa1bd90e7e68ac69d5378d70174ea67bf35dc Mon Sep 17 00:00:00 2001
-From: James Hughes <james.hughes@raspberrypi.org>
-Date: Mon, 29 Jul 2019 12:02:59 +0100
-Subject: [PATCH] Fixup FKMS interrupt handing for non-existent display
-
-If an errant interrupt flag was received from a non-existent display,
-a NULL pointer access was made. Protect against this by checking if a
-second display is present prior to checking the interrupt flags.
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 17 ++++++++++-------
- 1 file changed, 10 insertions(+), 7 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -1087,14 +1087,17 @@ static irqreturn_t vc4_crtc_irq_handler(
-                               vc4_crtc_handle_page_flip(crtc_list[0]);
-                       }
--                      /* Check for the secondary display too */
--                      chan = readl(crtc_list[0]->regs + SMIDSW1);
-+                      if (crtc_list[1]) {
-+                              /* Check for the secondary display too */
-+                              chan = readl(crtc_list[0]->regs + SMIDSW1);
--                      if (chan & 1) {
--                              writel(SMI_NEW, crtc_list[0]->regs + SMIDSW1);
--                              if (crtc_list[1]->vblank_enabled)
--                                      drm_crtc_handle_vblank(&crtc_list[1]->base);
--                              vc4_crtc_handle_page_flip(crtc_list[1]);
-+                              if (chan & 1) {
-+                                      writel(SMI_NEW, crtc_list[0]->regs + SMIDSW1);
-+
-+                                      if (crtc_list[1]->vblank_enabled)
-+                                              drm_crtc_handle_vblank(&crtc_list[1]->base);
-+                                      vc4_crtc_handle_page_flip(crtc_list[1]);
-+                              }
-                       }
-               }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0281-drm-vc4-Add-Broadcast-RGB-connector-property.patch b/target/linux/bcm27xx/patches-5.4/950-0281-drm-vc4-Add-Broadcast-RGB-connector-property.patch
new file mode 100644 (file)
index 0000000..e221e41
--- /dev/null
@@ -0,0 +1,302 @@
+From 661cefed28f420f7ca6e52882d83a7a321d60256 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 14 Jun 2019 10:12:07 +0100
+Subject: [PATCH] drm/vc4: Add "Broadcast RGB" connector property
+
+Some HDMI monitors do not abide by the full or limited
+(16-235) range RGB flags in the AVI infoframe. This can
+result in images looking washed out (if given limited and
+interpreting as full), or detail disappearing at the extremes
+(given full and interpreting as limited).
+
+Copy the Intel i915 driver's approach of adding an override
+property ("Broadcast RGB") to force one mode or the other.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 190 +++++++++++++++++++++++--
+ 1 file changed, 177 insertions(+), 13 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -287,6 +287,13 @@ to_vc4_fkms_encoder(struct drm_encoder *
+       return container_of(encoder, struct vc4_fkms_encoder, base);
+ }
++/* "Broadcast RGB" property.
++ * Allows overriding of HDMI full or limited range RGB
++ */
++#define VC4_BROADCAST_RGB_AUTO 0
++#define VC4_BROADCAST_RGB_FULL 1
++#define VC4_BROADCAST_RGB_LIMITED 2
++
+ /* VC4 FKMS connector KMS struct */
+ struct vc4_fkms_connector {
+       struct drm_connector base;
+@@ -299,6 +306,8 @@ struct vc4_fkms_connector {
+       struct vc4_dev *vc4_dev;
+       u32 display_number;
+       u32 display_type;
++
++      struct drm_property *broadcast_rgb_property;
+ };
+ static inline struct vc4_fkms_connector *
+@@ -307,6 +316,16 @@ to_vc4_fkms_connector(struct drm_connect
+       return container_of(connector, struct vc4_fkms_connector, base);
+ }
++/* VC4 FKMS connector state */
++struct vc4_fkms_connector_state {
++      struct drm_connector_state base;
++
++      int broadcast_rgb;
++};
++
++#define to_vc4_fkms_connector_state(x) \
++                      container_of(x, struct vc4_fkms_connector_state, base)
++
+ static u32 vc4_get_display_type(u32 display_number)
+ {
+       const u32 display_types[] = {
+@@ -863,8 +882,6 @@ static void vc4_crtc_mode_set_nofb(struc
+                     mode->picture_aspect_ratio, mode->flags);
+       mb.timings.display = vc4_crtc->display_number;
+-      mb.timings.video_id_code = frame.avi.video_code;
+-
+       mb.timings.clock = mode->clock;
+       mb.timings.hdisplay = mode->hdisplay;
+       mb.timings.hsync_start = mode->hsync_start;
+@@ -902,11 +919,30 @@ static void vc4_crtc_mode_set_nofb(struc
+               break;
+       }
+-      if (!vc4_encoder->hdmi_monitor)
++      if (!vc4_encoder->hdmi_monitor) {
+               mb.timings.flags |= TIMINGS_FLAGS_DVI;
+-      else if (drm_default_rgb_quant_range(mode) ==
++              mb.timings.video_id_code = frame.avi.video_code;
++      } else {
++              struct vc4_fkms_connector_state *conn_state =
++                      to_vc4_fkms_connector_state(vc4_crtc->connector->state);
++
++              /* Do not provide a VIC as the HDMI spec requires that we do not
++               * signal the opposite of the defined range in the AVI
++               * infoframe.
++               */
++              mb.timings.video_id_code = 0;
++
++              if (conn_state->broadcast_rgb == VC4_BROADCAST_RGB_AUTO) {
++                      /* See CEA-861-E - 5.1 Default Encoding Parameters */
++                      if (drm_default_rgb_quant_range(mode) ==
+                                       HDMI_QUANTIZATION_RANGE_LIMITED)
+-              mb.timings.flags |= TIMINGS_FLAGS_RGB_LIMITED;
++                              mb.timings.flags |= TIMINGS_FLAGS_RGB_LIMITED;
++              } else {
++                      if (conn_state->broadcast_rgb ==
++                                              VC4_BROADCAST_RGB_LIMITED)
++                              mb.timings.flags |= TIMINGS_FLAGS_RGB_LIMITED;
++              }
++      }
+       /*
+       FIXME: To implement
+@@ -1364,13 +1400,95 @@ static void vc4_fkms_connector_destroy(s
+       drm_connector_cleanup(connector);
+ }
++/**
++ * vc4_connector_duplicate_state - duplicate connector state
++ * @connector: digital connector
++ *
++ * Allocates and returns a copy of the connector state (both common and
++ * digital connector specific) for the specified connector.
++ *
++ * Returns: The newly allocated connector state, or NULL on failure.
++ */
++struct drm_connector_state *
++vc4_connector_duplicate_state(struct drm_connector *connector)
++{
++      struct vc4_fkms_connector_state *state;
++
++      state = kmemdup(connector->state, sizeof(*state), GFP_KERNEL);
++      if (!state)
++              return NULL;
++
++      __drm_atomic_helper_connector_duplicate_state(connector, &state->base);
++      return &state->base;
++}
++
++/**
++ * vc4_connector_atomic_get_property - hook for connector->atomic_get_property.
++ * @connector: Connector to get the property for.
++ * @state: Connector state to retrieve the property from.
++ * @property: Property to retrieve.
++ * @val: Return value for the property.
++ *
++ * Returns the atomic property value for a digital connector.
++ */
++int vc4_connector_atomic_get_property(struct drm_connector *connector,
++                                    const struct drm_connector_state *state,
++                                    struct drm_property *property,
++                                    uint64_t *val)
++{
++      struct vc4_fkms_connector *fkms_connector =
++                                      to_vc4_fkms_connector(connector);
++      struct vc4_fkms_connector_state *vc4_conn_state =
++                                      to_vc4_fkms_connector_state(state);
++
++      if (property == fkms_connector->broadcast_rgb_property) {
++              *val = vc4_conn_state->broadcast_rgb;
++      } else {
++              DRM_DEBUG_ATOMIC("Unknown property [PROP:%d:%s]\n",
++                               property->base.id, property->name);
++              return -EINVAL;
++      }
++
++      return 0;
++}
++
++/**
++ * vc4_connector_atomic_set_property - hook for connector->atomic_set_property.
++ * @connector: Connector to set the property for.
++ * @state: Connector state to set the property on.
++ * @property: Property to set.
++ * @val: New value for the property.
++ *
++ * Sets the atomic property value for a digital connector.
++ */
++int vc4_connector_atomic_set_property(struct drm_connector *connector,
++                                    struct drm_connector_state *state,
++                                    struct drm_property *property,
++                                    uint64_t val)
++{
++      struct vc4_fkms_connector *fkms_connector =
++                                      to_vc4_fkms_connector(connector);
++      struct vc4_fkms_connector_state *vc4_conn_state =
++                                      to_vc4_fkms_connector_state(state);
++
++      if (property == fkms_connector->broadcast_rgb_property) {
++              vc4_conn_state->broadcast_rgb = val;
++              return 0;
++      }
++
++      DRM_DEBUG_ATOMIC("Unknown property [PROP:%d:%s]\n",
++                       property->base.id, property->name);
++      return -EINVAL;
++}
++
+ static const struct drm_connector_funcs vc4_fkms_connector_funcs = {
+       .detect = vc4_fkms_connector_detect,
+       .fill_modes = drm_helper_probe_single_connector_modes,
+       .destroy = vc4_fkms_connector_destroy,
+-      .reset = drm_atomic_helper_connector_reset,
+-      .atomic_duplicate_state = drm_atomic_helper_connector_duplicate_state,
++      .atomic_duplicate_state = vc4_connector_duplicate_state,
+       .atomic_destroy_state = drm_atomic_helper_connector_destroy_state,
++      .atomic_get_property = vc4_connector_atomic_get_property,
++      .atomic_set_property = vc4_connector_atomic_set_property,
+ };
+ static const struct drm_connector_helper_funcs vc4_fkms_connector_helper_funcs = {
+@@ -1383,12 +1501,40 @@ static const struct drm_connector_helper
+       .best_encoder = vc4_fkms_connector_best_encoder,
+ };
++static const struct drm_prop_enum_list broadcast_rgb_names[] = {
++      { VC4_BROADCAST_RGB_AUTO, "Automatic" },
++      { VC4_BROADCAST_RGB_FULL, "Full" },
++      { VC4_BROADCAST_RGB_LIMITED, "Limited 16:235" },
++};
++
++static void
++vc4_attach_broadcast_rgb_property(struct vc4_fkms_connector *fkms_connector)
++{
++      struct drm_device *dev = fkms_connector->base.dev;
++      struct drm_property *prop;
++
++      prop = fkms_connector->broadcast_rgb_property;
++      if (!prop) {
++              prop = drm_property_create_enum(dev, DRM_MODE_PROP_ENUM,
++                                              "Broadcast RGB",
++                                              broadcast_rgb_names,
++                                              ARRAY_SIZE(broadcast_rgb_names));
++              if (!prop)
++                      return;
++
++              fkms_connector->broadcast_rgb_property = prop;
++      }
++
++      drm_object_attach_property(&fkms_connector->base.base, prop, 0);
++}
++
+ static struct drm_connector *
+ vc4_fkms_connector_init(struct drm_device *dev, struct drm_encoder *encoder,
+                       u32 display_num)
+ {
+       struct drm_connector *connector = NULL;
+       struct vc4_fkms_connector *fkms_connector;
++      struct vc4_fkms_connector_state *conn_state = NULL;
+       struct vc4_dev *vc4_dev = to_vc4_dev(dev);
+       int ret = 0;
+@@ -1397,9 +1543,18 @@ vc4_fkms_connector_init(struct drm_devic
+       fkms_connector = devm_kzalloc(dev->dev, sizeof(*fkms_connector),
+                                     GFP_KERNEL);
+       if (!fkms_connector) {
+-              ret = -ENOMEM;
+-              goto fail;
++              return ERR_PTR(-ENOMEM);
++      }
++
++      /*
++       * Allocate enough memory to hold vc4_fkms_connector_state,
++       */
++      conn_state = kzalloc(sizeof(*conn_state), GFP_KERNEL);
++      if (!conn_state) {
++              kfree(fkms_connector);
++              return ERR_PTR(-ENOMEM);
+       }
++
+       connector = &fkms_connector->base;
+       fkms_connector->encoder = encoder;
+@@ -1407,6 +1562,9 @@ vc4_fkms_connector_init(struct drm_devic
+       fkms_connector->display_type = vc4_get_display_type(display_num);
+       fkms_connector->vc4_dev = vc4_dev;
++      __drm_atomic_helper_connector_reset(connector,
++                                          &conn_state->base);
++
+       if (fkms_connector->display_type == DRM_MODE_ENCODER_DSI) {
+               drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
+                                  DRM_MODE_CONNECTOR_DSI);
+@@ -1427,10 +1585,14 @@ vc4_fkms_connector_init(struct drm_devic
+               connector->interlace_allowed = 0;
+       }
+-      /* Create and attach TV margin props to this connector. */
+-      ret = drm_mode_create_tv_margin_properties(dev);
+-      if (ret)
+-              return ERR_PTR(ret);
++      /* Create and attach TV margin props to this connector.
++       * Already done for SDTV outputs.
++       */
++      if (fkms_connector->display_type != DRM_MODE_ENCODER_TVDAC) {
++              ret = drm_mode_create_tv_margin_properties(dev);
++              if (ret)
++                      goto fail;
++      }
+       drm_connector_attach_tv_margin_properties(connector);
+@@ -1439,6 +1601,8 @@ vc4_fkms_connector_init(struct drm_devic
+       connector->doublescan_allowed = 0;
++      vc4_attach_broadcast_rgb_property(fkms_connector);
++
+       drm_connector_attach_encoder(connector, encoder);
+       return connector;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0282-drivers-char-add-chardev-for-mmap-ing-the-RPiVid-con.patch b/target/linux/bcm27xx/patches-5.4/950-0282-drivers-char-add-chardev-for-mmap-ing-the-RPiVid-con.patch
deleted file mode 100644 (file)
index 3f3c5ea..0000000
+++ /dev/null
@@ -1,387 +0,0 @@
-From dcf515d36ce574edd773c9c6321b6bbf9724e209 Mon Sep 17 00:00:00 2001
-From: Jonathan Bell <jonathan@raspberrypi.org>
-Date: Thu, 9 May 2019 14:30:37 +0100
-Subject: [PATCH] drivers: char: add chardev for mmap'ing the RPiVid
- control registers
-
-Based on the gpiomem driver, allow mapping of the decoder register
-spaces such that userspace can access control/status registers.
-This driver is intended for use with a custom ffmpeg backend accelerator
-prior to a v4l2 driver being written.
-
-Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
----
- drivers/char/broadcom/Kconfig      |   8 +
- drivers/char/broadcom/Makefile     |   1 +
- drivers/char/broadcom/rpivid-mem.c | 286 +++++++++++++++++++++++++++++
- drivers/mfd/bcm2835-pm.c           |  12 +-
- drivers/soc/bcm/bcm2835-power.c    |   6 +-
- include/linux/mfd/bcm2835-pm.h     |   2 +-
- 6 files changed, 305 insertions(+), 10 deletions(-)
- create mode 100644 drivers/char/broadcom/rpivid-mem.c
-
---- a/drivers/char/broadcom/Kconfig
-+++ b/drivers/char/broadcom/Kconfig
-@@ -49,3 +49,11 @@ config BCM2835_SMI_DEV
-               This driver provides a character device interface (ioctl + read/write) to
-               Broadcom's Secondary Memory interface. The low-level functionality is provided
-               by the SMI driver itself.
-+
-+config RPIVID_MEM
-+      tristate "Character device driver for the Raspberry Pi RPIVid video decoder hardware"
-+      default n
-+      help
-+              This driver provides a character device interface for memory-map operations
-+              so userspace tools can access the control and status registers of the
-+              Raspberry Pi RPiVid video decoder hardware.
---- a/drivers/char/broadcom/Makefile
-+++ b/drivers/char/broadcom/Makefile
-@@ -4,3 +4,4 @@ obj-$(CONFIG_BCM_VC_SM)         += vc_sm
- obj-$(CONFIG_BCM2835_DEVGPIOMEM)+= bcm2835-gpiomem.o
- obj-$(CONFIG_BCM2835_SMI_DEV) += bcm2835_smi_dev.o
-+obj-$(CONFIG_RPIVID_MEM)      += rpivid-mem.o
---- /dev/null
-+++ b/drivers/char/broadcom/rpivid-mem.c
-@@ -0,0 +1,286 @@
-+/**
-+ * rpivid-mem.c - character device access to the RPiVid decoder registers
-+ *
-+ * Based on bcm2835-gpiomem.c. Provides IO memory access to the decoder
-+ * register blocks such that ffmpeg plugins can access the hardware.
-+ *
-+ * Jonathan Bell <jonathan@raspberrypi.org>
-+ * Copyright (c) 2019, Raspberry Pi (Trading) Ltd.
-+ *
-+ * Redistribution and use in source and binary forms, with or without
-+ * modification, are permitted provided that the following conditions
-+ * are met:
-+ * 1. Redistributions of source code must retain the above copyright
-+ *    notice, this list of conditions, and the following disclaimer,
-+ *    without modification.
-+ * 2. Redistributions in binary form must reproduce the above copyright
-+ *    notice, this list of conditions and the following disclaimer in the
-+ *    documentation and/or other materials provided with the distribution.
-+ * 3. The names of the above-listed copyright holders may not be used
-+ *    to endorse or promote products derived from this software without
-+ *    specific prior written permission.
-+ *
-+ * ALTERNATIVELY, this software may be distributed under the terms of the
-+ * GNU General Public License ("GPL") version 2, as published by the Free
-+ * Software Foundation.
-+ *
-+ * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
-+ * IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-+ * THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-+ * PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
-+ * CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-+ * EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-+ * PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
-+ * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
-+ * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
-+ * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-+ * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-+ */
-+
-+#include <linux/kernel.h>
-+#include <linux/module.h>
-+#include <linux/of.h>
-+#include <linux/of_device.h>
-+#include <linux/platform_device.h>
-+#include <linux/mm.h>
-+#include <linux/slab.h>
-+#include <linux/cdev.h>
-+#include <linux/pagemap.h>
-+#include <linux/io.h>
-+
-+#define DRIVER_NAME "rpivid-mem"
-+#define DEVICE_MINOR 0
-+
-+struct rpivid_mem_priv {
-+      dev_t devid;
-+      struct class *class;
-+      struct cdev rpivid_mem_cdev;
-+      unsigned long regs_phys;
-+      unsigned long mem_window_len;
-+      struct device *dev;
-+      const char *name;
-+};
-+
-+static int rpivid_mem_open(struct inode *inode, struct file *file)
-+{
-+      int dev = iminor(inode);
-+      int ret = 0;
-+      struct rpivid_mem_priv *priv;
-+      if (dev != DEVICE_MINOR && dev != DEVICE_MINOR + 1)
-+              ret = -ENXIO;
-+
-+      priv = container_of(inode->i_cdev, struct rpivid_mem_priv,
-+                              rpivid_mem_cdev);
-+      if (!priv)
-+              return -EINVAL;
-+      file->private_data = priv;
-+      return ret;
-+}
-+
-+static int rpivid_mem_release(struct inode *inode, struct file *file)
-+{
-+      int dev = iminor(inode);
-+      int ret = 0;
-+
-+      if (dev != DEVICE_MINOR && dev != DEVICE_MINOR + 1)
-+              ret = -ENXIO;
-+
-+      return ret;
-+}
-+
-+static const struct vm_operations_struct rpivid_mem_vm_ops = {
-+#ifdef CONFIG_HAVE_IOREMAP_PROT
-+      .access = generic_access_phys
-+#endif
-+};
-+
-+static int rpivid_mem_mmap(struct file *file, struct vm_area_struct *vma)
-+{
-+      struct rpivid_mem_priv *priv;
-+      unsigned long pages;
-+
-+      priv = file->private_data;
-+      pages = priv->regs_phys >> PAGE_SHIFT;
-+      /*
-+       * The address decode is far larger than the actual number of registers.
-+       * Just map the whole lot in.
-+       */
-+      vma->vm_page_prot = phys_mem_access_prot(file, pages,
-+                                               priv->mem_window_len,
-+                                               vma->vm_page_prot);
-+      vma->vm_ops = &rpivid_mem_vm_ops;
-+      if (remap_pfn_range(vma, vma->vm_start,
-+                      pages,
-+                      priv->mem_window_len,
-+                      vma->vm_page_prot)) {
-+              return -EAGAIN;
-+      }
-+      return 0;
-+}
-+
-+static const struct file_operations
-+rpivid_mem_fops = {
-+      .owner = THIS_MODULE,
-+      .open = rpivid_mem_open,
-+      .release = rpivid_mem_release,
-+      .mmap = rpivid_mem_mmap,
-+};
-+
-+static const struct of_device_id rpivid_mem_of_match[];
-+static int rpivid_mem_probe(struct platform_device *pdev)
-+{
-+      int err;
-+      void *ptr_err;
-+      const struct of_device_id *id;
-+      struct device *dev = &pdev->dev;
-+      struct device *rpivid_mem_dev;
-+      struct resource *ioresource;
-+      struct rpivid_mem_priv *priv;
-+
-+
-+      /* Allocate buffers and instance data */
-+
-+      priv = kzalloc(sizeof(struct rpivid_mem_priv), GFP_KERNEL);
-+
-+      if (!priv) {
-+              err = -ENOMEM;
-+              goto failed_inst_alloc;
-+      }
-+      platform_set_drvdata(pdev, priv);
-+
-+      priv->dev = dev;
-+      id = of_match_device(rpivid_mem_of_match, dev);
-+      if (!id)
-+              return -EINVAL;
-+      priv->name = id->data;
-+
-+      ioresource = platform_get_resource(pdev, IORESOURCE_MEM, 0);
-+      if (ioresource) {
-+              priv->regs_phys = ioresource->start;
-+              priv->mem_window_len = ioresource->end - ioresource->start;
-+      } else {
-+              dev_err(priv->dev, "failed to get IO resource");
-+              err = -ENOENT;
-+              goto failed_get_resource;
-+      }
-+
-+      /* Create character device entries */
-+
-+      err = alloc_chrdev_region(&priv->devid,
-+                                DEVICE_MINOR, 2, priv->name);
-+      if (err != 0) {
-+              dev_err(priv->dev, "unable to allocate device number");
-+              goto failed_alloc_chrdev;
-+      }
-+      cdev_init(&priv->rpivid_mem_cdev, &rpivid_mem_fops);
-+      priv->rpivid_mem_cdev.owner = THIS_MODULE;
-+      err = cdev_add(&priv->rpivid_mem_cdev, priv->devid, 2);
-+      if (err != 0) {
-+              dev_err(priv->dev, "unable to register device");
-+              goto failed_cdev_add;
-+      }
-+
-+      /* Create sysfs entries */
-+
-+      priv->class = class_create(THIS_MODULE, priv->name);
-+      ptr_err = priv->class;
-+      if (IS_ERR(ptr_err))
-+              goto failed_class_create;
-+
-+      rpivid_mem_dev = device_create(priv->class, NULL,
-+                                      priv->devid, NULL,
-+                                      priv->name);
-+      ptr_err = rpivid_mem_dev;
-+      if (IS_ERR(ptr_err))
-+              goto failed_device_create;
-+
-+      /* Legacy alias */
-+      {
-+              char *oldname = kstrdup(priv->name, GFP_KERNEL);
-+
-+              oldname[1] = 'a';
-+              oldname[2] = 'r';
-+              oldname[3] = 'g';
-+              oldname[4] = 'o';
-+              oldname[5] = 'n';
-+              (void)device_create(priv->class, NULL, priv->devid + 1, NULL,
-+                                     oldname + 1);
-+              kfree(oldname);
-+      }
-+
-+      dev_info(priv->dev, "%s initialised: Registers at 0x%08lx length 0x%08lx",
-+              priv->name, priv->regs_phys, priv->mem_window_len);
-+
-+      return 0;
-+
-+failed_device_create:
-+      class_destroy(priv->class);
-+failed_class_create:
-+      cdev_del(&priv->rpivid_mem_cdev);
-+      err = PTR_ERR(ptr_err);
-+failed_cdev_add:
-+      unregister_chrdev_region(priv->devid, 1);
-+failed_alloc_chrdev:
-+failed_get_resource:
-+      kfree(priv);
-+failed_inst_alloc:
-+      dev_err(priv->dev, "could not load rpivid_mem");
-+      return err;
-+}
-+
-+static int rpivid_mem_remove(struct platform_device *pdev)
-+{
-+      struct device *dev = &pdev->dev;
-+      struct rpivid_mem_priv *priv = platform_get_drvdata(pdev);
-+
-+      device_destroy(priv->class, priv->devid);
-+      class_destroy(priv->class);
-+      cdev_del(&priv->rpivid_mem_cdev);
-+      unregister_chrdev_region(priv->devid, 1);
-+      kfree(priv);
-+
-+      dev_info(dev, "%s driver removed - OK", priv->name);
-+      return 0;
-+}
-+
-+static const struct of_device_id rpivid_mem_of_match[] = {
-+      {
-+              .compatible = "raspberrypi,rpivid-hevc-decoder",
-+              .data = "rpivid-hevcmem",
-+      },
-+      {
-+              .compatible = "raspberrypi,rpivid-h264-decoder",
-+              .data = "rpivid-h264mem",
-+      },
-+      {
-+              .compatible = "raspberrypi,rpivid-vp9-decoder",
-+              .data = "rpivid-vp9mem",
-+      },
-+      /* The "intc" is included as this block of hardware contains the
-+       * "frame done" status flags.
-+       */
-+      {
-+              .compatible = "raspberrypi,rpivid-local-intc",
-+              .data = "rpivid-intcmem",
-+      },
-+      { /* sentinel */ },
-+};
-+
-+MODULE_DEVICE_TABLE(of, rpivid_mem_of_match);
-+
-+static struct platform_driver rpivid_mem_driver = {
-+      .probe = rpivid_mem_probe,
-+      .remove = rpivid_mem_remove,
-+      .driver = {
-+                 .name = DRIVER_NAME,
-+                 .owner = THIS_MODULE,
-+                 .of_match_table = rpivid_mem_of_match,
-+                 },
-+};
-+
-+module_platform_driver(rpivid_mem_driver);
-+
-+MODULE_ALIAS("platform:rpivid-mem");
-+MODULE_LICENSE("GPL");
-+MODULE_DESCRIPTION("Driver for accessing RPiVid decoder registers from userspace");
-+MODULE_AUTHOR("Jonathan Bell <jonathan@raspberrypi.org>");
---- a/drivers/mfd/bcm2835-pm.c
-+++ b/drivers/mfd/bcm2835-pm.c
-@@ -50,14 +50,14 @@ static int bcm2835_pm_probe(struct platf
-       if (ret)
-               return ret;
--      /* Map the ARGON ASB regs if present. */
-+      /* Map the RPiVid ASB regs if present. */
-       res = platform_get_resource(pdev, IORESOURCE_MEM, 2);
-       if (res) {
--              pm->arg_asb = devm_ioremap_resource(dev, res);
--              if (IS_ERR(pm->arg_asb)) {
--                      dev_err(dev, "Failed to map ARGON ASB: %ld\n",
--                              PTR_ERR(pm->arg_asb));
--                      return PTR_ERR(pm->arg_asb);
-+              pm->rpivid_asb = devm_ioremap_resource(dev, res);
-+              if (IS_ERR(pm->rpivid_asb)) {
-+                      dev_err(dev, "Failed to map RPiVid ASB: %ld\n",
-+                              PTR_ERR(pm->rpivid_asb));
-+                      return PTR_ERR(pm->rpivid_asb);
-               }
-       }
---- a/drivers/soc/bcm/bcm2835-power.c
-+++ b/drivers/soc/bcm/bcm2835-power.c
-@@ -637,15 +637,15 @@ static int bcm2835_power_probe(struct pl
-       power->base = pm->base;
-       power->asb = pm->asb;
--      /* 2711 hack: the new ARGON ASB took over V3D, which is our
-+      /* 2711 hack: the new RPiVid ASB took over V3D, which is our
-        * only consumer of this driver so far.  The old ASB seems to
-        * still be present with ISP and H264 bits but no V3D, but I
-        * don't know if that's real or not.  The V3D is in the same
-        * place in the new ASB as the old one, so just poke the new
-        * one for now.
-        */
--      if (pm->arg_asb) {
--              power->asb = pm->arg_asb;
-+      if (pm->rpivid_asb) {
-+              power->asb = pm->rpivid_asb;
-               power->is_2711 = true;
-       }
---- a/include/linux/mfd/bcm2835-pm.h
-+++ b/include/linux/mfd/bcm2835-pm.h
-@@ -9,7 +9,7 @@ struct bcm2835_pm {
-       struct device *dev;
-       void __iomem *base;
-       void __iomem *asb;
--      void __iomem *arg_asb;
-+      void __iomem *rpivid_asb;
- };
- #endif /* BCM2835_MFD_PM_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0282-drm-vc4-fkms-Set-default-state-margin-at-reset.patch b/target/linux/bcm27xx/patches-5.4/950-0282-drm-vc4-fkms-Set-default-state-margin-at-reset.patch
new file mode 100644 (file)
index 0000000..51664f3
--- /dev/null
@@ -0,0 +1,34 @@
+From 651d4137cc20de6b64edd2302ebd82d8e88121df Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 23 Jul 2019 11:09:26 +0100
+Subject: [PATCH] drm/vc4: fkms: Set default state margin at reset
+
+Now that the TV margins are properly parsed and filled into
+drm_cmdline_mode, we just need to initialise the first state at reset to
+get those values and start using them.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 7 +++++++
+ 1 file changed, 7 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -1481,10 +1481,17 @@ int vc4_connector_atomic_set_property(st
+       return -EINVAL;
+ }
++static void vc4_hdmi_connector_reset(struct drm_connector *connector)
++{
++      drm_atomic_helper_connector_reset(connector);
++      drm_atomic_helper_connector_tv_reset(connector);
++}
++
+ static const struct drm_connector_funcs vc4_fkms_connector_funcs = {
+       .detect = vc4_fkms_connector_detect,
+       .fill_modes = drm_helper_probe_single_connector_modes,
+       .destroy = vc4_fkms_connector_destroy,
++      .reset = vc4_hdmi_connector_reset,
+       .atomic_duplicate_state = vc4_connector_duplicate_state,
+       .atomic_destroy_state = drm_atomic_helper_connector_destroy_state,
+       .atomic_get_property = vc4_connector_atomic_get_property,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0283-hid-usb-Add-device-quirks-for-Freeway-Airmouse-T3-an.patch b/target/linux/bcm27xx/patches-5.4/950-0283-hid-usb-Add-device-quirks-for-Freeway-Airmouse-T3-an.patch
deleted file mode 100644 (file)
index ee886e3..0000000
+++ /dev/null
@@ -1,63 +0,0 @@
-From 8f4720ca2ed61fbaf2a3039b510e276d06d6a2fb Mon Sep 17 00:00:00 2001
-From: Jonathan Bell <jonathan@raspberrypi.org>
-Date: Thu, 1 Aug 2019 16:41:20 +0100
-Subject: [PATCH] hid: usb: Add device quirks for Freeway Airmouse T3
- and MX3
-
-These wireless mouse/keyboard combo remote control devices specify
-multiple "wheel" events in their report descriptors. The wheel events
-are incorrectly defined and apparently map to accelerometer data, leading
-to spurious mouse scroll events being generated at an extreme rate when
-the device is moved.
-
-As a workaround, use HID_QUIRK_INCREMENT_USAGE_ON_DUPLICATE to mask
-feeding the extra wheel events to the input subsystem.
-
-See: https://github.com/raspberrypi/firmware/issues/1189
-
-Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
----
- drivers/hid/hid-ids.h    | 6 ++++++
- drivers/hid/hid-quirks.c | 2 ++
- 2 files changed, 8 insertions(+)
-
---- a/drivers/hid/hid-ids.h
-+++ b/drivers/hid/hid-ids.h
-@@ -223,6 +223,9 @@
- #define USB_VENDOR_ID_BAANTO          0x2453
- #define USB_DEVICE_ID_BAANTO_MT_190W2 0x0100
-+#define USB_VENDOR_ID_BEKEN           0x25a7
-+#define USB_DEVICE_ID_AIRMOUSE_T3     0x2402
-+
- #define USB_VENDOR_ID_BELKIN          0x050d
- #define USB_DEVICE_ID_FLIP_KVM                0x3201
-@@ -1254,6 +1257,9 @@
- #define USB_VENDOR_ID_XAT     0x2505
- #define USB_DEVICE_ID_XAT_CSR 0x0220
-+#define USB_VENDOR_ID_XENTA                   0x1d57
-+#define USB_DEVICE_ID_AIRMOUSE_MX3            0xad03
-+
- #define USB_VENDOR_ID_XIN_MO                  0x16c0
- #define USB_DEVICE_ID_XIN_MO_DUAL_ARCADE      0x05e1
- #define USB_DEVICE_ID_THT_2P_ARCADE           0x75e1
---- a/drivers/hid/hid-quirks.c
-+++ b/drivers/hid/hid-quirks.c
-@@ -41,6 +41,7 @@ static const struct hid_device_id hid_qu
-       { HID_USB_DEVICE(USB_VENDOR_ID_ATEN, USB_DEVICE_ID_ATEN_CS682), HID_QUIRK_NOGET },
-       { HID_USB_DEVICE(USB_VENDOR_ID_ATEN, USB_DEVICE_ID_ATEN_CS692), HID_QUIRK_NOGET },
-       { HID_USB_DEVICE(USB_VENDOR_ID_ATEN, USB_DEVICE_ID_ATEN_UC100KM), HID_QUIRK_NOGET },
-+      { HID_USB_DEVICE(USB_VENDOR_ID_BEKEN, USB_DEVICE_ID_AIRMOUSE_T3), HID_QUIRK_INCREMENT_USAGE_ON_DUPLICATE },
-       { HID_USB_DEVICE(USB_VENDOR_ID_CHICONY, USB_DEVICE_ID_CHICONY_MULTI_TOUCH), HID_QUIRK_MULTI_INPUT },
-       { HID_USB_DEVICE(USB_VENDOR_ID_CHICONY, USB_DEVICE_ID_CHICONY_PIXART_USB_OPTICAL_MOUSE), HID_QUIRK_ALWAYS_POLL },
-       { HID_USB_DEVICE(USB_VENDOR_ID_CHICONY, USB_DEVICE_ID_CHICONY_PIXART_USB_OPTICAL_MOUSE2), HID_QUIRK_ALWAYS_POLL },
-@@ -189,6 +190,7 @@ static const struct hid_device_id hid_qu
-       { HID_USB_DEVICE(USB_VENDOR_ID_WISEGROUP_LTD2, USB_DEVICE_ID_SMARTJOY_DUAL_PLUS), HID_QUIRK_NOGET | HID_QUIRK_MULTI_INPUT },
-       { HID_USB_DEVICE(USB_VENDOR_ID_WISEGROUP, USB_DEVICE_ID_QUAD_USB_JOYPAD), HID_QUIRK_NOGET | HID_QUIRK_MULTI_INPUT },
-       { HID_USB_DEVICE(USB_VENDOR_ID_XIN_MO, USB_DEVICE_ID_XIN_MO_DUAL_ARCADE), HID_QUIRK_MULTI_INPUT },
-+      { HID_USB_DEVICE(USB_VENDOR_ID_XENTA, USB_DEVICE_ID_AIRMOUSE_MX3), HID_QUIRK_INCREMENT_USAGE_ON_DUPLICATE },
-       { HID_USB_DEVICE(USB_VENDOR_ID_LOGITECH, USB_DEVICE_ID_LOGITECH_GROUP_AUDIO), HID_QUIRK_NOGET },
-       { 0 }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0283-staging-bcm2835-codec-switch-to-multi-planar-API.patch b/target/linux/bcm27xx/patches-5.4/950-0283-staging-bcm2835-codec-switch-to-multi-planar-API.patch
new file mode 100644 (file)
index 0000000..c4eab3a
--- /dev/null
@@ -0,0 +1,347 @@
+From 9495e07dac5cb6230838763572f73b863cd72019 Mon Sep 17 00:00:00 2001
+From: Chen-Yu Tsai <wens@csie.org>
+Date: Thu, 18 Jul 2019 17:07:05 +0800
+Subject: [PATCH] staging: bcm2835-codec: switch to multi-planar API
+
+There are two APIs for mem2mem devices, the older single-planar API and
+the newer multi-planar one. Without making things overly complex, the
+driver can only support one or the other. However the userspace libv4l2
+library has a plugin that allows multi-planar API devices to service
+single-planar consumers.
+
+Chromium supports the multi-planar API exclusively, though this is
+currently limited to ChromiumOS. It would be possible to add support
+for generic Linux.
+
+Switching to the multi-planar API would allow usage of both APIs from
+userspace.
+
+Signed-off-by: Chen-Yu Tsai <wens@csie.org>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 141 +++++++++---------
+ 1 file changed, 74 insertions(+), 67 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -504,7 +504,7 @@ static struct bcm2835_codec_fmt *find_fo
+       for (k = 0; k < fmts->num_entries; k++) {
+               fmt = &fmts->list[k];
+-              if (fmt->fourcc == f->fmt.pix.pixelformat)
++              if (fmt->fourcc == f->fmt.pix_mp.pixelformat)
+                       break;
+       }
+       if (k == fmts->num_entries)
+@@ -522,9 +522,9 @@ static struct bcm2835_codec_q_data *get_
+                                              enum v4l2_buf_type type)
+ {
+       switch (type) {
+-      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
++      case V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE:
+               return &ctx->q_data[V4L2_M2M_SRC];
+-      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
++      case V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE:
+               return &ctx->q_data[V4L2_M2M_DST];
+       default:
+               v4l2_err(&ctx->dev->v4l2_dev, "%s: Invalid queue type %u\n",
+@@ -541,9 +541,9 @@ static struct vchiq_mmal_port *get_port_
+               return NULL;
+       switch (type) {
+-      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
++      case V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE:
+               return &ctx->component->input[0];
+-      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
++      case V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE:
+               return &ctx->component->output[0];
+       default:
+               v4l2_err(&ctx->dev->v4l2_dev, "%s: Invalid queue type %u\n",
+@@ -752,7 +752,7 @@ static void handle_fmt_changed(struct bc
+                format->es.video.crop.width, format->es.video.crop.height,
+                format->es.video.color_space);
+-      q_data = get_q_data(ctx, V4L2_BUF_TYPE_VIDEO_CAPTURE);
++      q_data = get_q_data(ctx, V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE);
+       q_data->crop_width = format->es.video.crop.width;
+       q_data->crop_height = format->es.video.crop.height;
+       q_data->bytesperline = format->es.video.crop.width;
+@@ -945,7 +945,7 @@ static int vidioc_querycap(struct file *
+       strncpy(cap->card, MEM2MEM_NAME, sizeof(cap->card) - 1);
+       snprintf(cap->bus_info, sizeof(cap->bus_info), "platform:%s",
+                MEM2MEM_NAME);
+-      cap->device_caps = V4L2_CAP_VIDEO_M2M | V4L2_CAP_STREAMING;
++      cap->device_caps = V4L2_CAP_VIDEO_M2M_MPLANE | V4L2_CAP_STREAMING;
+       cap->capabilities = cap->device_caps | V4L2_CAP_DEVICE_CAPS;
+       return 0;
+ }
+@@ -996,16 +996,20 @@ static int vidioc_g_fmt(struct bcm2835_c
+       q_data = get_q_data(ctx, f->type);
+-      f->fmt.pix.width        = q_data->crop_width;
+-      f->fmt.pix.height       = q_data->height;
+-      f->fmt.pix.field        = V4L2_FIELD_NONE;
+-      f->fmt.pix.pixelformat  = q_data->fmt->fourcc;
+-      f->fmt.pix.bytesperline = q_data->bytesperline;
+-      f->fmt.pix.sizeimage    = q_data->sizeimage;
+-      f->fmt.pix.colorspace   = ctx->colorspace;
+-      f->fmt.pix.xfer_func    = ctx->xfer_func;
+-      f->fmt.pix.ycbcr_enc    = ctx->ycbcr_enc;
+-      f->fmt.pix.quantization = ctx->quant;
++      f->fmt.pix_mp.width                     = q_data->crop_width;
++      f->fmt.pix_mp.height                    = q_data->height;
++      f->fmt.pix_mp.pixelformat               = q_data->fmt->fourcc;
++      f->fmt.pix_mp.field                     = V4L2_FIELD_NONE;
++      f->fmt.pix_mp.colorspace                = ctx->colorspace;
++      f->fmt.pix_mp.plane_fmt[0].sizeimage    = q_data->sizeimage;
++      f->fmt.pix_mp.plane_fmt[0].bytesperline = q_data->bytesperline;
++      f->fmt.pix_mp.num_planes                = 1;
++      f->fmt.pix_mp.ycbcr_enc                 = ctx->ycbcr_enc;
++      f->fmt.pix_mp.quantization              = ctx->quant;
++      f->fmt.pix_mp.xfer_func                 = ctx->xfer_func;
++
++      memset(f->fmt.pix_mp.plane_fmt[0].reserved, 0,
++             sizeof(f->fmt.pix_mp.plane_fmt[0].reserved));
+       return 0;
+ }
+@@ -1029,17 +1033,17 @@ static int vidioc_try_fmt(struct bcm2835
+        * The V4L2 specification requires the driver to correct the format
+        * struct if any of the dimensions is unsupported
+        */
+-      if (f->fmt.pix.width > MAX_W)
+-              f->fmt.pix.width = MAX_W;
+-      if (f->fmt.pix.height > MAX_H)
+-              f->fmt.pix.height = MAX_H;
++      if (f->fmt.pix_mp.width > MAX_W)
++              f->fmt.pix_mp.width = MAX_W;
++      if (f->fmt.pix_mp.height > MAX_H)
++              f->fmt.pix_mp.height = MAX_H;
+       if (!fmt->flags & V4L2_FMT_FLAG_COMPRESSED) {
+               /* Only clip min w/h on capture. Treat 0x0 as unknown. */
+-              if (f->fmt.pix.width < MIN_W)
+-                      f->fmt.pix.width = MIN_W;
+-              if (f->fmt.pix.height < MIN_H)
+-                      f->fmt.pix.height = MIN_H;
++              if (f->fmt.pix_mp.width < MIN_W)
++                      f->fmt.pix_mp.width = MIN_W;
++              if (f->fmt.pix_mp.height < MIN_H)
++                      f->fmt.pix_mp.height = MIN_H;
+               /*
+                * For codecs the buffer must have a vertical alignment of 16
+@@ -1048,16 +1052,18 @@ static int vidioc_try_fmt(struct bcm2835
+                * some of the pixels are active.
+                */
+               if (ctx->dev->role != ISP)
+-                      f->fmt.pix.height = ALIGN(f->fmt.pix.height, 16);
++                      f->fmt.pix_mp.height = ALIGN(f->fmt.pix_mp.height, 16);
+       }
+-      f->fmt.pix.bytesperline = get_bytesperline(f->fmt.pix.width,
+-                                                 fmt);
+-      f->fmt.pix.sizeimage = get_sizeimage(f->fmt.pix.bytesperline,
+-                                           f->fmt.pix.width,
+-                                           f->fmt.pix.height,
+-                                           fmt);
++      f->fmt.pix_mp.num_planes = 1;
++      f->fmt.pix_mp.plane_fmt[0].bytesperline =
++              get_bytesperline(f->fmt.pix_mp.width, fmt);
++      f->fmt.pix_mp.plane_fmt[0].sizeimage =
++              get_sizeimage(f->fmt.pix_mp.plane_fmt[0].bytesperline,
++                            f->fmt.pix_mp.width, f->fmt.pix_mp.height, fmt);
++      memset(f->fmt.pix_mp.plane_fmt[0].reserved, 0,
++             sizeof(f->fmt.pix_mp.plane_fmt[0].reserved));
+-      f->fmt.pix.field = V4L2_FIELD_NONE;
++      f->fmt.pix_mp.field = V4L2_FIELD_NONE;
+       return 0;
+ }
+@@ -1070,8 +1076,8 @@ static int vidioc_try_fmt_vid_cap(struct
+       fmt = find_format(f, ctx->dev, true);
+       if (!fmt) {
+-              f->fmt.pix.pixelformat = get_default_format(ctx->dev,
+-                                                          true)->fourcc;
++              f->fmt.pix_mp.pixelformat = get_default_format(ctx->dev,
++                                                             true)->fourcc;
+               fmt = find_format(f, ctx->dev, true);
+       }
+@@ -1086,13 +1092,13 @@ static int vidioc_try_fmt_vid_out(struct
+       fmt = find_format(f, ctx->dev, false);
+       if (!fmt) {
+-              f->fmt.pix.pixelformat = get_default_format(ctx->dev,
+-                                                          false)->fourcc;
++              f->fmt.pix_mp.pixelformat = get_default_format(ctx->dev,
++                                                             false)->fourcc;
+               fmt = find_format(f, ctx->dev, false);
+       }
+-      if (!f->fmt.pix.colorspace)
+-              f->fmt.pix.colorspace = ctx->colorspace;
++      if (!f->fmt.pix_mp.colorspace)
++              f->fmt.pix_mp.colorspace = ctx->colorspace;
+       return vidioc_try_fmt(ctx, f, fmt);
+ }
+@@ -1106,9 +1112,10 @@ static int vidioc_s_fmt(struct bcm2835_c
+       bool update_capture_port = false;
+       int ret;
+-      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Setting format for type %d, wxh: %dx%d, fmt: %08x, size %u\n",
+-               f->type, f->fmt.pix.width, f->fmt.pix.height,
+-               f->fmt.pix.pixelformat, f->fmt.pix.sizeimage);
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Setting format for type %d, wxh: %dx%d, fmt: %08x, size %u\n",
++               f->type, f->fmt.pix_mp.width, f->fmt.pix_mp.height,
++               f->fmt.pix_mp.pixelformat, f->fmt.pix_mp.plane_fmt[0].sizeimage);
++
+       vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, f->type);
+       if (!vq)
+@@ -1124,9 +1131,9 @@ static int vidioc_s_fmt(struct bcm2835_c
+       }
+       q_data->fmt = find_format(f, ctx->dev,
+-                                f->type == V4L2_BUF_TYPE_VIDEO_CAPTURE);
+-      q_data->crop_width = f->fmt.pix.width;
+-      q_data->height = f->fmt.pix.height;
++                                f->type == V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE);
++      q_data->crop_width = f->fmt.pix_mp.width;
++      q_data->height = f->fmt.pix_mp.height;
+       if (!q_data->selection_set)
+               q_data->crop_height = requested_height;
+@@ -1134,21 +1141,21 @@ static int vidioc_s_fmt(struct bcm2835_c
+        * Copying the behaviour of vicodec which retains a single set of
+        * colorspace parameters for both input and output.
+        */
+-      ctx->colorspace = f->fmt.pix.colorspace;
+-      ctx->xfer_func = f->fmt.pix.xfer_func;
+-      ctx->ycbcr_enc = f->fmt.pix.ycbcr_enc;
+-      ctx->quant = f->fmt.pix.quantization;
++      ctx->colorspace = f->fmt.pix_mp.colorspace;
++      ctx->xfer_func = f->fmt.pix_mp.xfer_func;
++      ctx->ycbcr_enc = f->fmt.pix_mp.ycbcr_enc;
++      ctx->quant = f->fmt.pix_mp.quantization;
+       /* All parameters should have been set correctly by try_fmt */
+-      q_data->bytesperline = f->fmt.pix.bytesperline;
+-      q_data->sizeimage = f->fmt.pix.sizeimage;
++      q_data->bytesperline = f->fmt.pix_mp.plane_fmt[0].bytesperline;
++      q_data->sizeimage = f->fmt.pix_mp.plane_fmt[0].sizeimage;
+       v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Calulated bpl as %u, size %u\n",
+                q_data->bytesperline, q_data->sizeimage);
+       if (ctx->dev->role == DECODE &&
+           q_data->fmt->flags & V4L2_FMT_FLAG_COMPRESSED &&
+-          f->fmt.pix.width && f->fmt.pix.height) {
++          q_data->crop_width && q_data->height) {
+               /*
+                * On the decoder, if provided with a resolution on the input
+                * side, then replicate that to the output side.
+@@ -1165,7 +1172,7 @@ static int vidioc_s_fmt(struct bcm2835_c
+               q_data_dst->height = ALIGN(q_data->crop_height, 16);
+               q_data_dst->bytesperline =
+-                      get_bytesperline(f->fmt.pix.width, q_data_dst->fmt);
++                      get_bytesperline(f->fmt.pix_mp.width, q_data_dst->fmt);
+               q_data_dst->sizeimage = get_sizeimage(q_data_dst->bytesperline,
+                                                     q_data_dst->crop_width,
+                                                     q_data_dst->height,
+@@ -1215,7 +1222,7 @@ static int vidioc_s_fmt(struct bcm2835_c
+ static int vidioc_s_fmt_vid_cap(struct file *file, void *priv,
+                               struct v4l2_format *f)
+ {
+-      unsigned int height = f->fmt.pix.height;
++      unsigned int height = f->fmt.pix_mp.height;
+       int ret;
+       ret = vidioc_try_fmt_vid_cap(file, priv, f);
+@@ -1228,7 +1235,7 @@ static int vidioc_s_fmt_vid_cap(struct f
+ static int vidioc_s_fmt_vid_out(struct file *file, void *priv,
+                               struct v4l2_format *f)
+ {
+-      unsigned int height = f->fmt.pix.height;
++      unsigned int height = f->fmt.pix_mp.height;
+       int ret;
+       ret = vidioc_try_fmt_vid_out(file, priv, f);
+@@ -1244,7 +1251,7 @@ static int vidioc_g_selection(struct fil
+ {
+       struct bcm2835_codec_ctx *ctx = file2ctx(file);
+       struct bcm2835_codec_q_data *q_data;
+-      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE ?
++      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE ?
+                                                               true : false;
+       if ((ctx->dev->role == DECODE && !capture_queue) ||
+@@ -1307,7 +1314,7 @@ static int vidioc_s_selection(struct fil
+ {
+       struct bcm2835_codec_ctx *ctx = file2ctx(file);
+       struct bcm2835_codec_q_data *q_data = NULL;
+-      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE ?
++      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE ?
+                                                               true : false;
+       v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: ctx %p, type %d, q_data %p, target %d, rect x/y %d/%d, w/h %ux%u\n",
+@@ -1368,7 +1375,7 @@ static int vidioc_s_parm(struct file *fi
+ {
+       struct bcm2835_codec_ctx *ctx = file2ctx(file);
+-      if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT)
++      if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE)
+               return -EINVAL;
+       ctx->framerate_num =
+@@ -1739,14 +1746,14 @@ static const struct v4l2_ioctl_ops bcm28
+       .vidioc_querycap        = vidioc_querycap,
+       .vidioc_enum_fmt_vid_cap = vidioc_enum_fmt_vid_cap,
+-      .vidioc_g_fmt_vid_cap   = vidioc_g_fmt_vid_cap,
+-      .vidioc_try_fmt_vid_cap = vidioc_try_fmt_vid_cap,
+-      .vidioc_s_fmt_vid_cap   = vidioc_s_fmt_vid_cap,
++      .vidioc_g_fmt_vid_cap_mplane    = vidioc_g_fmt_vid_cap,
++      .vidioc_try_fmt_vid_cap_mplane  = vidioc_try_fmt_vid_cap,
++      .vidioc_s_fmt_vid_cap_mplane    = vidioc_s_fmt_vid_cap,
+       .vidioc_enum_fmt_vid_out = vidioc_enum_fmt_vid_out,
+-      .vidioc_g_fmt_vid_out   = vidioc_g_fmt_vid_out,
+-      .vidioc_try_fmt_vid_out = vidioc_try_fmt_vid_out,
+-      .vidioc_s_fmt_vid_out   = vidioc_s_fmt_vid_out,
++      .vidioc_g_fmt_vid_out_mplane    = vidioc_g_fmt_vid_out,
++      .vidioc_try_fmt_vid_out_mplane  = vidioc_try_fmt_vid_out,
++      .vidioc_s_fmt_vid_out_mplane    = vidioc_s_fmt_vid_out,
+       .vidioc_reqbufs         = v4l2_m2m_ioctl_reqbufs,
+       .vidioc_querybuf        = v4l2_m2m_ioctl_querybuf,
+@@ -2089,7 +2096,7 @@ static int bcm2835_codec_start_streaming
+               ctx->component_enabled = true;
+       }
+-      if (q->type == V4L2_BUF_TYPE_VIDEO_OUTPUT) {
++      if (q->type == V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE) {
+               /*
+                * Create the EOS buffer.
+                * We only need the MMAL part, and want to NOT attach a memory
+@@ -2216,7 +2223,7 @@ static int queue_init(void *priv, struct
+       struct bcm2835_codec_ctx *ctx = priv;
+       int ret;
+-      src_vq->type = V4L2_BUF_TYPE_VIDEO_OUTPUT;
++      src_vq->type = V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE;
+       src_vq->io_modes = VB2_MMAP | VB2_DMABUF;
+       src_vq->drv_priv = ctx;
+       src_vq->buf_struct_size = sizeof(struct m2m_mmal_buffer);
+@@ -2230,7 +2237,7 @@ static int queue_init(void *priv, struct
+       if (ret)
+               return ret;
+-      dst_vq->type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
++      dst_vq->type = V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE;
+       dst_vq->io_modes = VB2_MMAP | VB2_DMABUF;
+       dst_vq->drv_priv = ctx;
+       dst_vq->buf_struct_size = sizeof(struct m2m_mmal_buffer);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0284-drm-vc4-Add-Broadcast-RGB-connector-property.patch b/target/linux/bcm27xx/patches-5.4/950-0284-drm-vc4-Add-Broadcast-RGB-connector-property.patch
deleted file mode 100644 (file)
index e221e41..0000000
+++ /dev/null
@@ -1,302 +0,0 @@
-From 661cefed28f420f7ca6e52882d83a7a321d60256 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 14 Jun 2019 10:12:07 +0100
-Subject: [PATCH] drm/vc4: Add "Broadcast RGB" connector property
-
-Some HDMI monitors do not abide by the full or limited
-(16-235) range RGB flags in the AVI infoframe. This can
-result in images looking washed out (if given limited and
-interpreting as full), or detail disappearing at the extremes
-(given full and interpreting as limited).
-
-Copy the Intel i915 driver's approach of adding an override
-property ("Broadcast RGB") to force one mode or the other.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 190 +++++++++++++++++++++++--
- 1 file changed, 177 insertions(+), 13 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -287,6 +287,13 @@ to_vc4_fkms_encoder(struct drm_encoder *
-       return container_of(encoder, struct vc4_fkms_encoder, base);
- }
-+/* "Broadcast RGB" property.
-+ * Allows overriding of HDMI full or limited range RGB
-+ */
-+#define VC4_BROADCAST_RGB_AUTO 0
-+#define VC4_BROADCAST_RGB_FULL 1
-+#define VC4_BROADCAST_RGB_LIMITED 2
-+
- /* VC4 FKMS connector KMS struct */
- struct vc4_fkms_connector {
-       struct drm_connector base;
-@@ -299,6 +306,8 @@ struct vc4_fkms_connector {
-       struct vc4_dev *vc4_dev;
-       u32 display_number;
-       u32 display_type;
-+
-+      struct drm_property *broadcast_rgb_property;
- };
- static inline struct vc4_fkms_connector *
-@@ -307,6 +316,16 @@ to_vc4_fkms_connector(struct drm_connect
-       return container_of(connector, struct vc4_fkms_connector, base);
- }
-+/* VC4 FKMS connector state */
-+struct vc4_fkms_connector_state {
-+      struct drm_connector_state base;
-+
-+      int broadcast_rgb;
-+};
-+
-+#define to_vc4_fkms_connector_state(x) \
-+                      container_of(x, struct vc4_fkms_connector_state, base)
-+
- static u32 vc4_get_display_type(u32 display_number)
- {
-       const u32 display_types[] = {
-@@ -863,8 +882,6 @@ static void vc4_crtc_mode_set_nofb(struc
-                     mode->picture_aspect_ratio, mode->flags);
-       mb.timings.display = vc4_crtc->display_number;
--      mb.timings.video_id_code = frame.avi.video_code;
--
-       mb.timings.clock = mode->clock;
-       mb.timings.hdisplay = mode->hdisplay;
-       mb.timings.hsync_start = mode->hsync_start;
-@@ -902,11 +919,30 @@ static void vc4_crtc_mode_set_nofb(struc
-               break;
-       }
--      if (!vc4_encoder->hdmi_monitor)
-+      if (!vc4_encoder->hdmi_monitor) {
-               mb.timings.flags |= TIMINGS_FLAGS_DVI;
--      else if (drm_default_rgb_quant_range(mode) ==
-+              mb.timings.video_id_code = frame.avi.video_code;
-+      } else {
-+              struct vc4_fkms_connector_state *conn_state =
-+                      to_vc4_fkms_connector_state(vc4_crtc->connector->state);
-+
-+              /* Do not provide a VIC as the HDMI spec requires that we do not
-+               * signal the opposite of the defined range in the AVI
-+               * infoframe.
-+               */
-+              mb.timings.video_id_code = 0;
-+
-+              if (conn_state->broadcast_rgb == VC4_BROADCAST_RGB_AUTO) {
-+                      /* See CEA-861-E - 5.1 Default Encoding Parameters */
-+                      if (drm_default_rgb_quant_range(mode) ==
-                                       HDMI_QUANTIZATION_RANGE_LIMITED)
--              mb.timings.flags |= TIMINGS_FLAGS_RGB_LIMITED;
-+                              mb.timings.flags |= TIMINGS_FLAGS_RGB_LIMITED;
-+              } else {
-+                      if (conn_state->broadcast_rgb ==
-+                                              VC4_BROADCAST_RGB_LIMITED)
-+                              mb.timings.flags |= TIMINGS_FLAGS_RGB_LIMITED;
-+              }
-+      }
-       /*
-       FIXME: To implement
-@@ -1364,13 +1400,95 @@ static void vc4_fkms_connector_destroy(s
-       drm_connector_cleanup(connector);
- }
-+/**
-+ * vc4_connector_duplicate_state - duplicate connector state
-+ * @connector: digital connector
-+ *
-+ * Allocates and returns a copy of the connector state (both common and
-+ * digital connector specific) for the specified connector.
-+ *
-+ * Returns: The newly allocated connector state, or NULL on failure.
-+ */
-+struct drm_connector_state *
-+vc4_connector_duplicate_state(struct drm_connector *connector)
-+{
-+      struct vc4_fkms_connector_state *state;
-+
-+      state = kmemdup(connector->state, sizeof(*state), GFP_KERNEL);
-+      if (!state)
-+              return NULL;
-+
-+      __drm_atomic_helper_connector_duplicate_state(connector, &state->base);
-+      return &state->base;
-+}
-+
-+/**
-+ * vc4_connector_atomic_get_property - hook for connector->atomic_get_property.
-+ * @connector: Connector to get the property for.
-+ * @state: Connector state to retrieve the property from.
-+ * @property: Property to retrieve.
-+ * @val: Return value for the property.
-+ *
-+ * Returns the atomic property value for a digital connector.
-+ */
-+int vc4_connector_atomic_get_property(struct drm_connector *connector,
-+                                    const struct drm_connector_state *state,
-+                                    struct drm_property *property,
-+                                    uint64_t *val)
-+{
-+      struct vc4_fkms_connector *fkms_connector =
-+                                      to_vc4_fkms_connector(connector);
-+      struct vc4_fkms_connector_state *vc4_conn_state =
-+                                      to_vc4_fkms_connector_state(state);
-+
-+      if (property == fkms_connector->broadcast_rgb_property) {
-+              *val = vc4_conn_state->broadcast_rgb;
-+      } else {
-+              DRM_DEBUG_ATOMIC("Unknown property [PROP:%d:%s]\n",
-+                               property->base.id, property->name);
-+              return -EINVAL;
-+      }
-+
-+      return 0;
-+}
-+
-+/**
-+ * vc4_connector_atomic_set_property - hook for connector->atomic_set_property.
-+ * @connector: Connector to set the property for.
-+ * @state: Connector state to set the property on.
-+ * @property: Property to set.
-+ * @val: New value for the property.
-+ *
-+ * Sets the atomic property value for a digital connector.
-+ */
-+int vc4_connector_atomic_set_property(struct drm_connector *connector,
-+                                    struct drm_connector_state *state,
-+                                    struct drm_property *property,
-+                                    uint64_t val)
-+{
-+      struct vc4_fkms_connector *fkms_connector =
-+                                      to_vc4_fkms_connector(connector);
-+      struct vc4_fkms_connector_state *vc4_conn_state =
-+                                      to_vc4_fkms_connector_state(state);
-+
-+      if (property == fkms_connector->broadcast_rgb_property) {
-+              vc4_conn_state->broadcast_rgb = val;
-+              return 0;
-+      }
-+
-+      DRM_DEBUG_ATOMIC("Unknown property [PROP:%d:%s]\n",
-+                       property->base.id, property->name);
-+      return -EINVAL;
-+}
-+
- static const struct drm_connector_funcs vc4_fkms_connector_funcs = {
-       .detect = vc4_fkms_connector_detect,
-       .fill_modes = drm_helper_probe_single_connector_modes,
-       .destroy = vc4_fkms_connector_destroy,
--      .reset = drm_atomic_helper_connector_reset,
--      .atomic_duplicate_state = drm_atomic_helper_connector_duplicate_state,
-+      .atomic_duplicate_state = vc4_connector_duplicate_state,
-       .atomic_destroy_state = drm_atomic_helper_connector_destroy_state,
-+      .atomic_get_property = vc4_connector_atomic_get_property,
-+      .atomic_set_property = vc4_connector_atomic_set_property,
- };
- static const struct drm_connector_helper_funcs vc4_fkms_connector_helper_funcs = {
-@@ -1383,12 +1501,40 @@ static const struct drm_connector_helper
-       .best_encoder = vc4_fkms_connector_best_encoder,
- };
-+static const struct drm_prop_enum_list broadcast_rgb_names[] = {
-+      { VC4_BROADCAST_RGB_AUTO, "Automatic" },
-+      { VC4_BROADCAST_RGB_FULL, "Full" },
-+      { VC4_BROADCAST_RGB_LIMITED, "Limited 16:235" },
-+};
-+
-+static void
-+vc4_attach_broadcast_rgb_property(struct vc4_fkms_connector *fkms_connector)
-+{
-+      struct drm_device *dev = fkms_connector->base.dev;
-+      struct drm_property *prop;
-+
-+      prop = fkms_connector->broadcast_rgb_property;
-+      if (!prop) {
-+              prop = drm_property_create_enum(dev, DRM_MODE_PROP_ENUM,
-+                                              "Broadcast RGB",
-+                                              broadcast_rgb_names,
-+                                              ARRAY_SIZE(broadcast_rgb_names));
-+              if (!prop)
-+                      return;
-+
-+              fkms_connector->broadcast_rgb_property = prop;
-+      }
-+
-+      drm_object_attach_property(&fkms_connector->base.base, prop, 0);
-+}
-+
- static struct drm_connector *
- vc4_fkms_connector_init(struct drm_device *dev, struct drm_encoder *encoder,
-                       u32 display_num)
- {
-       struct drm_connector *connector = NULL;
-       struct vc4_fkms_connector *fkms_connector;
-+      struct vc4_fkms_connector_state *conn_state = NULL;
-       struct vc4_dev *vc4_dev = to_vc4_dev(dev);
-       int ret = 0;
-@@ -1397,9 +1543,18 @@ vc4_fkms_connector_init(struct drm_devic
-       fkms_connector = devm_kzalloc(dev->dev, sizeof(*fkms_connector),
-                                     GFP_KERNEL);
-       if (!fkms_connector) {
--              ret = -ENOMEM;
--              goto fail;
-+              return ERR_PTR(-ENOMEM);
-+      }
-+
-+      /*
-+       * Allocate enough memory to hold vc4_fkms_connector_state,
-+       */
-+      conn_state = kzalloc(sizeof(*conn_state), GFP_KERNEL);
-+      if (!conn_state) {
-+              kfree(fkms_connector);
-+              return ERR_PTR(-ENOMEM);
-       }
-+
-       connector = &fkms_connector->base;
-       fkms_connector->encoder = encoder;
-@@ -1407,6 +1562,9 @@ vc4_fkms_connector_init(struct drm_devic
-       fkms_connector->display_type = vc4_get_display_type(display_num);
-       fkms_connector->vc4_dev = vc4_dev;
-+      __drm_atomic_helper_connector_reset(connector,
-+                                          &conn_state->base);
-+
-       if (fkms_connector->display_type == DRM_MODE_ENCODER_DSI) {
-               drm_connector_init(dev, connector, &vc4_fkms_connector_funcs,
-                                  DRM_MODE_CONNECTOR_DSI);
-@@ -1427,10 +1585,14 @@ vc4_fkms_connector_init(struct drm_devic
-               connector->interlace_allowed = 0;
-       }
--      /* Create and attach TV margin props to this connector. */
--      ret = drm_mode_create_tv_margin_properties(dev);
--      if (ret)
--              return ERR_PTR(ret);
-+      /* Create and attach TV margin props to this connector.
-+       * Already done for SDTV outputs.
-+       */
-+      if (fkms_connector->display_type != DRM_MODE_ENCODER_TVDAC) {
-+              ret = drm_mode_create_tv_margin_properties(dev);
-+              if (ret)
-+                      goto fail;
-+      }
-       drm_connector_attach_tv_margin_properties(connector);
-@@ -1439,6 +1601,8 @@ vc4_fkms_connector_init(struct drm_devic
-       connector->doublescan_allowed = 0;
-+      vc4_attach_broadcast_rgb_property(fkms_connector);
-+
-       drm_connector_attach_encoder(connector, encoder);
-       return connector;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0284-staging-bcm2835-codec-implement-V4L2_CID_MIN_BUFFERS.patch b/target/linux/bcm27xx/patches-5.4/950-0284-staging-bcm2835-codec-implement-V4L2_CID_MIN_BUFFERS.patch
new file mode 100644 (file)
index 0000000..6e4af3e
--- /dev/null
@@ -0,0 +1,46 @@
+From 8ffc08d336326d576b84d59135402f08cf2cf41c Mon Sep 17 00:00:00 2001
+From: Chen-Yu Tsai <wens@csie.org>
+Date: Mon, 22 Jul 2019 22:13:30 +0800
+Subject: [PATCH] staging: bcm2835-codec: implement
+ V4L2_CID_MIN_BUFFERS_FOR_CAPTURE
+
+The stateful decoder specification shows an optional step for retrieving
+the miminum number of capture buffers required for the decoder to
+proceed. While not a required parameter, having it makes some
+applications happy.
+
+bcm2835-codec is a little different from other decoder implementations
+in that there is an intermediate format conversion between the hardware
+and V4L2 buffers. The number of capture buffers required is therefore
+independent of the stream and DPB etc.
+
+There are plans to remove the conversion, but it requires a fair amount
+of rework within the firmware. Until that is done, simply return a value
+of 1.
+
+Signed-off-by: Chen-Yu Tsai <wens@csie.org>
+---
+ .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c | 12 ++++++++++++
+ 1 file changed, 12 insertions(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -2357,6 +2357,18 @@ static int bcm2835_codec_open(struct fil
+               }
+               ctx->fh.ctrl_handler = hdl;
+               v4l2_ctrl_handler_setup(hdl);
++      } else if (dev->role == DECODE) {
++              v4l2_ctrl_handler_init(hdl, 1);
++
++              v4l2_ctrl_new_std(hdl, &bcm2835_codec_ctrl_ops,
++                                V4L2_CID_MIN_BUFFERS_FOR_CAPTURE,
++                                1, 1, 1, 1);
++              if (hdl->error) {
++                      rc = hdl->error;
++                      goto free_ctrl_handler;
++              }
++              ctx->fh.ctrl_handler = hdl;
++              v4l2_ctrl_handler_setup(hdl);
+       }
+       ctx->fh.m2m_ctx = v4l2_m2m_ctx_init(dev->m2m_dev, ctx, &queue_init);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0285-drm-vc4-fkms-Set-default-state-margin-at-reset.patch b/target/linux/bcm27xx/patches-5.4/950-0285-drm-vc4-fkms-Set-default-state-margin-at-reset.patch
deleted file mode 100644 (file)
index 51664f3..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From 651d4137cc20de6b64edd2302ebd82d8e88121df Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 23 Jul 2019 11:09:26 +0100
-Subject: [PATCH] drm/vc4: fkms: Set default state margin at reset
-
-Now that the TV margins are properly parsed and filled into
-drm_cmdline_mode, we just need to initialise the first state at reset to
-get those values and start using them.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 7 +++++++
- 1 file changed, 7 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -1481,10 +1481,17 @@ int vc4_connector_atomic_set_property(st
-       return -EINVAL;
- }
-+static void vc4_hdmi_connector_reset(struct drm_connector *connector)
-+{
-+      drm_atomic_helper_connector_reset(connector);
-+      drm_atomic_helper_connector_tv_reset(connector);
-+}
-+
- static const struct drm_connector_funcs vc4_fkms_connector_funcs = {
-       .detect = vc4_fkms_connector_detect,
-       .fill_modes = drm_helper_probe_single_connector_modes,
-       .destroy = vc4_fkms_connector_destroy,
-+      .reset = vc4_hdmi_connector_reset,
-       .atomic_duplicate_state = vc4_connector_duplicate_state,
-       .atomic_destroy_state = drm_atomic_helper_connector_destroy_state,
-       .atomic_get_property = vc4_connector_atomic_get_property,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0285-staging-bcm2835-codec-set-device_caps-in-struct-vide.patch b/target/linux/bcm27xx/patches-5.4/950-0285-staging-bcm2835-codec-set-device_caps-in-struct-vide.patch
new file mode 100644 (file)
index 0000000..a194eab
--- /dev/null
@@ -0,0 +1,40 @@
+From d06677b96fc10122363028dea9ca06e5f9899865 Mon Sep 17 00:00:00 2001
+From: Chen-Yu Tsai <wens@csie.org>
+Date: Mon, 22 Jul 2019 22:20:55 +0800
+Subject: [PATCH] staging: bcm2835-codec: set device_caps in struct
+ video_device
+
+Instead of filling in the struct v4l2_capability device_caps
+field, fill in the struct video_device device_caps field.
+
+That way the V4L2 core knows what the capabilities of the
+video device are.
+
+This is similar to a cleanup series by Hans Verkuil [1].
+
+[1] https://www.spinics.net/lists/linux-media/msg153313.html
+
+Signed-off-by: Chen-Yu Tsai <wens@csie.org>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c   | 3 +--
+ 1 file changed, 1 insertion(+), 2 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -945,8 +945,6 @@ static int vidioc_querycap(struct file *
+       strncpy(cap->card, MEM2MEM_NAME, sizeof(cap->card) - 1);
+       snprintf(cap->bus_info, sizeof(cap->bus_info), "platform:%s",
+                MEM2MEM_NAME);
+-      cap->device_caps = V4L2_CAP_VIDEO_M2M_MPLANE | V4L2_CAP_STREAMING;
+-      cap->capabilities = cap->device_caps | V4L2_CAP_DEVICE_CAPS;
+       return 0;
+ }
+@@ -2600,6 +2598,7 @@ static int bcm2835_codec_create(struct p
+       vfd = &dev->vfd;
+       vfd->lock = &dev->dev_mutex;
+       vfd->v4l2_dev = &dev->v4l2_dev;
++      vfd->device_caps = V4L2_CAP_VIDEO_M2M_MPLANE | V4L2_CAP_STREAMING;
+       switch (role) {
+       case DECODE:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0286-Add-HDMI1-facility-to-the-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0286-Add-HDMI1-facility-to-the-driver.patch
new file mode 100644 (file)
index 0000000..b18d145
--- /dev/null
@@ -0,0 +1,85 @@
+From fb8e73c19c2e153444b34e8d9804371095e92fe0 Mon Sep 17 00:00:00 2001
+From: James Hughes <james.hughes@raspberrypi.org>
+Date: Tue, 16 Jul 2019 12:18:21 +0100
+Subject: [PATCH] Add HDMI1 facility to the driver.
+
+For generic ALSA, all you need is the bcm2835.h change, but
+have also added structures for IEC958 HDMI. Not sure how to
+test those.
+---
+ .../vc04_services/bcm2835-audio/bcm2835.c     | 29 ++++++++++++++++---
+ .../vc04_services/bcm2835-audio/bcm2835.h     |  4 ++-
+ 2 files changed, 28 insertions(+), 5 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
++++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
+@@ -79,7 +79,11 @@ static int bcm2835_audio_alsa_newpcm(str
+       if (err)
+               return err;
+-      err = snd_bcm2835_new_pcm(chip, "bcm2835 IEC958/HDMI", 1, 0, 1, true);
++      err = snd_bcm2835_new_pcm(chip, "bcm2835 IEC958/HDMI", 1, AUDIO_DEST_HDMI0, 1, true);
++      if (err)
++              return err;
++
++      err = snd_bcm2835_new_pcm(chip, "bcm2835 IEC958/HDMI1", 2, AUDIO_DEST_HDMI1, 1, true);
+       if (err)
+               return err;
+@@ -106,7 +110,7 @@ static struct bcm2835_audio_driver bcm28
+       .newctl = snd_bcm2835_new_ctl,
+ };
+-static struct bcm2835_audio_driver bcm2835_audio_hdmi = {
++static struct bcm2835_audio_driver bcm2835_audio_hdmi0 = {
+       .driver = {
+               .name = "bcm2835_hdmi",
+               .owner = THIS_MODULE,
+@@ -116,7 +120,20 @@ static struct bcm2835_audio_driver bcm28
+       .minchannels = 1,
+       .newpcm = bcm2835_audio_simple_newpcm,
+       .newctl = snd_bcm2835_new_hdmi_ctl,
+-      .route = AUDIO_DEST_HDMI
++      .route = AUDIO_DEST_HDMI0
++};
++
++static struct bcm2835_audio_driver bcm2835_audio_hdmi1 = {
++      .driver = {
++              .name = "bcm2835_hdmi",
++              .owner = THIS_MODULE,
++      },
++      .shortname = "bcm2835 HDMI 1",
++      .longname  = "bcm2835 HDMI 1",
++      .minchannels = 1,
++      .newpcm = bcm2835_audio_simple_newpcm,
++      .newctl = snd_bcm2835_new_hdmi_ctl,
++      .route = AUDIO_DEST_HDMI1
+ };
+ static struct bcm2835_audio_driver bcm2835_audio_headphones = {
+@@ -143,7 +160,11 @@ static struct bcm2835_audio_drivers chil
+               .is_enabled = &enable_compat_alsa,
+       },
+       {
+-              .audio_driver = &bcm2835_audio_hdmi,
++              .audio_driver = &bcm2835_audio_hdmi0,
++              .is_enabled = &enable_hdmi,
++      },
++      {
++              .audio_driver = &bcm2835_audio_hdmi1,
+               .is_enabled = &enable_hdmi,
+       },
+       {
+--- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835.h
++++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835.h
+@@ -33,7 +33,9 @@ enum {
+ enum snd_bcm2835_route {
+       AUDIO_DEST_AUTO = 0,
+       AUDIO_DEST_HEADPHONES = 1,
+-      AUDIO_DEST_HDMI = 2,
++      AUDIO_DEST_HDMI = 2,  // for backwards compatibility.
++      AUDIO_DEST_HDMI0 = 2,
++      AUDIO_DEST_HDMI1 = 3,
+       AUDIO_DEST_MAX,
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0286-staging-bcm2835-codec-switch-to-multi-planar-API.patch b/target/linux/bcm27xx/patches-5.4/950-0286-staging-bcm2835-codec-switch-to-multi-planar-API.patch
deleted file mode 100644 (file)
index c4eab3a..0000000
+++ /dev/null
@@ -1,347 +0,0 @@
-From 9495e07dac5cb6230838763572f73b863cd72019 Mon Sep 17 00:00:00 2001
-From: Chen-Yu Tsai <wens@csie.org>
-Date: Thu, 18 Jul 2019 17:07:05 +0800
-Subject: [PATCH] staging: bcm2835-codec: switch to multi-planar API
-
-There are two APIs for mem2mem devices, the older single-planar API and
-the newer multi-planar one. Without making things overly complex, the
-driver can only support one or the other. However the userspace libv4l2
-library has a plugin that allows multi-planar API devices to service
-single-planar consumers.
-
-Chromium supports the multi-planar API exclusively, though this is
-currently limited to ChromiumOS. It would be possible to add support
-for generic Linux.
-
-Switching to the multi-planar API would allow usage of both APIs from
-userspace.
-
-Signed-off-by: Chen-Yu Tsai <wens@csie.org>
----
- .../bcm2835-codec/bcm2835-v4l2-codec.c        | 141 +++++++++---------
- 1 file changed, 74 insertions(+), 67 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -504,7 +504,7 @@ static struct bcm2835_codec_fmt *find_fo
-       for (k = 0; k < fmts->num_entries; k++) {
-               fmt = &fmts->list[k];
--              if (fmt->fourcc == f->fmt.pix.pixelformat)
-+              if (fmt->fourcc == f->fmt.pix_mp.pixelformat)
-                       break;
-       }
-       if (k == fmts->num_entries)
-@@ -522,9 +522,9 @@ static struct bcm2835_codec_q_data *get_
-                                              enum v4l2_buf_type type)
- {
-       switch (type) {
--      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
-+      case V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE:
-               return &ctx->q_data[V4L2_M2M_SRC];
--      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
-+      case V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE:
-               return &ctx->q_data[V4L2_M2M_DST];
-       default:
-               v4l2_err(&ctx->dev->v4l2_dev, "%s: Invalid queue type %u\n",
-@@ -541,9 +541,9 @@ static struct vchiq_mmal_port *get_port_
-               return NULL;
-       switch (type) {
--      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
-+      case V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE:
-               return &ctx->component->input[0];
--      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
-+      case V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE:
-               return &ctx->component->output[0];
-       default:
-               v4l2_err(&ctx->dev->v4l2_dev, "%s: Invalid queue type %u\n",
-@@ -752,7 +752,7 @@ static void handle_fmt_changed(struct bc
-                format->es.video.crop.width, format->es.video.crop.height,
-                format->es.video.color_space);
--      q_data = get_q_data(ctx, V4L2_BUF_TYPE_VIDEO_CAPTURE);
-+      q_data = get_q_data(ctx, V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE);
-       q_data->crop_width = format->es.video.crop.width;
-       q_data->crop_height = format->es.video.crop.height;
-       q_data->bytesperline = format->es.video.crop.width;
-@@ -945,7 +945,7 @@ static int vidioc_querycap(struct file *
-       strncpy(cap->card, MEM2MEM_NAME, sizeof(cap->card) - 1);
-       snprintf(cap->bus_info, sizeof(cap->bus_info), "platform:%s",
-                MEM2MEM_NAME);
--      cap->device_caps = V4L2_CAP_VIDEO_M2M | V4L2_CAP_STREAMING;
-+      cap->device_caps = V4L2_CAP_VIDEO_M2M_MPLANE | V4L2_CAP_STREAMING;
-       cap->capabilities = cap->device_caps | V4L2_CAP_DEVICE_CAPS;
-       return 0;
- }
-@@ -996,16 +996,20 @@ static int vidioc_g_fmt(struct bcm2835_c
-       q_data = get_q_data(ctx, f->type);
--      f->fmt.pix.width        = q_data->crop_width;
--      f->fmt.pix.height       = q_data->height;
--      f->fmt.pix.field        = V4L2_FIELD_NONE;
--      f->fmt.pix.pixelformat  = q_data->fmt->fourcc;
--      f->fmt.pix.bytesperline = q_data->bytesperline;
--      f->fmt.pix.sizeimage    = q_data->sizeimage;
--      f->fmt.pix.colorspace   = ctx->colorspace;
--      f->fmt.pix.xfer_func    = ctx->xfer_func;
--      f->fmt.pix.ycbcr_enc    = ctx->ycbcr_enc;
--      f->fmt.pix.quantization = ctx->quant;
-+      f->fmt.pix_mp.width                     = q_data->crop_width;
-+      f->fmt.pix_mp.height                    = q_data->height;
-+      f->fmt.pix_mp.pixelformat               = q_data->fmt->fourcc;
-+      f->fmt.pix_mp.field                     = V4L2_FIELD_NONE;
-+      f->fmt.pix_mp.colorspace                = ctx->colorspace;
-+      f->fmt.pix_mp.plane_fmt[0].sizeimage    = q_data->sizeimage;
-+      f->fmt.pix_mp.plane_fmt[0].bytesperline = q_data->bytesperline;
-+      f->fmt.pix_mp.num_planes                = 1;
-+      f->fmt.pix_mp.ycbcr_enc                 = ctx->ycbcr_enc;
-+      f->fmt.pix_mp.quantization              = ctx->quant;
-+      f->fmt.pix_mp.xfer_func                 = ctx->xfer_func;
-+
-+      memset(f->fmt.pix_mp.plane_fmt[0].reserved, 0,
-+             sizeof(f->fmt.pix_mp.plane_fmt[0].reserved));
-       return 0;
- }
-@@ -1029,17 +1033,17 @@ static int vidioc_try_fmt(struct bcm2835
-        * The V4L2 specification requires the driver to correct the format
-        * struct if any of the dimensions is unsupported
-        */
--      if (f->fmt.pix.width > MAX_W)
--              f->fmt.pix.width = MAX_W;
--      if (f->fmt.pix.height > MAX_H)
--              f->fmt.pix.height = MAX_H;
-+      if (f->fmt.pix_mp.width > MAX_W)
-+              f->fmt.pix_mp.width = MAX_W;
-+      if (f->fmt.pix_mp.height > MAX_H)
-+              f->fmt.pix_mp.height = MAX_H;
-       if (!fmt->flags & V4L2_FMT_FLAG_COMPRESSED) {
-               /* Only clip min w/h on capture. Treat 0x0 as unknown. */
--              if (f->fmt.pix.width < MIN_W)
--                      f->fmt.pix.width = MIN_W;
--              if (f->fmt.pix.height < MIN_H)
--                      f->fmt.pix.height = MIN_H;
-+              if (f->fmt.pix_mp.width < MIN_W)
-+                      f->fmt.pix_mp.width = MIN_W;
-+              if (f->fmt.pix_mp.height < MIN_H)
-+                      f->fmt.pix_mp.height = MIN_H;
-               /*
-                * For codecs the buffer must have a vertical alignment of 16
-@@ -1048,16 +1052,18 @@ static int vidioc_try_fmt(struct bcm2835
-                * some of the pixels are active.
-                */
-               if (ctx->dev->role != ISP)
--                      f->fmt.pix.height = ALIGN(f->fmt.pix.height, 16);
-+                      f->fmt.pix_mp.height = ALIGN(f->fmt.pix_mp.height, 16);
-       }
--      f->fmt.pix.bytesperline = get_bytesperline(f->fmt.pix.width,
--                                                 fmt);
--      f->fmt.pix.sizeimage = get_sizeimage(f->fmt.pix.bytesperline,
--                                           f->fmt.pix.width,
--                                           f->fmt.pix.height,
--                                           fmt);
-+      f->fmt.pix_mp.num_planes = 1;
-+      f->fmt.pix_mp.plane_fmt[0].bytesperline =
-+              get_bytesperline(f->fmt.pix_mp.width, fmt);
-+      f->fmt.pix_mp.plane_fmt[0].sizeimage =
-+              get_sizeimage(f->fmt.pix_mp.plane_fmt[0].bytesperline,
-+                            f->fmt.pix_mp.width, f->fmt.pix_mp.height, fmt);
-+      memset(f->fmt.pix_mp.plane_fmt[0].reserved, 0,
-+             sizeof(f->fmt.pix_mp.plane_fmt[0].reserved));
--      f->fmt.pix.field = V4L2_FIELD_NONE;
-+      f->fmt.pix_mp.field = V4L2_FIELD_NONE;
-       return 0;
- }
-@@ -1070,8 +1076,8 @@ static int vidioc_try_fmt_vid_cap(struct
-       fmt = find_format(f, ctx->dev, true);
-       if (!fmt) {
--              f->fmt.pix.pixelformat = get_default_format(ctx->dev,
--                                                          true)->fourcc;
-+              f->fmt.pix_mp.pixelformat = get_default_format(ctx->dev,
-+                                                             true)->fourcc;
-               fmt = find_format(f, ctx->dev, true);
-       }
-@@ -1086,13 +1092,13 @@ static int vidioc_try_fmt_vid_out(struct
-       fmt = find_format(f, ctx->dev, false);
-       if (!fmt) {
--              f->fmt.pix.pixelformat = get_default_format(ctx->dev,
--                                                          false)->fourcc;
-+              f->fmt.pix_mp.pixelformat = get_default_format(ctx->dev,
-+                                                             false)->fourcc;
-               fmt = find_format(f, ctx->dev, false);
-       }
--      if (!f->fmt.pix.colorspace)
--              f->fmt.pix.colorspace = ctx->colorspace;
-+      if (!f->fmt.pix_mp.colorspace)
-+              f->fmt.pix_mp.colorspace = ctx->colorspace;
-       return vidioc_try_fmt(ctx, f, fmt);
- }
-@@ -1106,9 +1112,10 @@ static int vidioc_s_fmt(struct bcm2835_c
-       bool update_capture_port = false;
-       int ret;
--      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Setting format for type %d, wxh: %dx%d, fmt: %08x, size %u\n",
--               f->type, f->fmt.pix.width, f->fmt.pix.height,
--               f->fmt.pix.pixelformat, f->fmt.pix.sizeimage);
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Setting format for type %d, wxh: %dx%d, fmt: %08x, size %u\n",
-+               f->type, f->fmt.pix_mp.width, f->fmt.pix_mp.height,
-+               f->fmt.pix_mp.pixelformat, f->fmt.pix_mp.plane_fmt[0].sizeimage);
-+
-       vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, f->type);
-       if (!vq)
-@@ -1124,9 +1131,9 @@ static int vidioc_s_fmt(struct bcm2835_c
-       }
-       q_data->fmt = find_format(f, ctx->dev,
--                                f->type == V4L2_BUF_TYPE_VIDEO_CAPTURE);
--      q_data->crop_width = f->fmt.pix.width;
--      q_data->height = f->fmt.pix.height;
-+                                f->type == V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE);
-+      q_data->crop_width = f->fmt.pix_mp.width;
-+      q_data->height = f->fmt.pix_mp.height;
-       if (!q_data->selection_set)
-               q_data->crop_height = requested_height;
-@@ -1134,21 +1141,21 @@ static int vidioc_s_fmt(struct bcm2835_c
-        * Copying the behaviour of vicodec which retains a single set of
-        * colorspace parameters for both input and output.
-        */
--      ctx->colorspace = f->fmt.pix.colorspace;
--      ctx->xfer_func = f->fmt.pix.xfer_func;
--      ctx->ycbcr_enc = f->fmt.pix.ycbcr_enc;
--      ctx->quant = f->fmt.pix.quantization;
-+      ctx->colorspace = f->fmt.pix_mp.colorspace;
-+      ctx->xfer_func = f->fmt.pix_mp.xfer_func;
-+      ctx->ycbcr_enc = f->fmt.pix_mp.ycbcr_enc;
-+      ctx->quant = f->fmt.pix_mp.quantization;
-       /* All parameters should have been set correctly by try_fmt */
--      q_data->bytesperline = f->fmt.pix.bytesperline;
--      q_data->sizeimage = f->fmt.pix.sizeimage;
-+      q_data->bytesperline = f->fmt.pix_mp.plane_fmt[0].bytesperline;
-+      q_data->sizeimage = f->fmt.pix_mp.plane_fmt[0].sizeimage;
-       v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Calulated bpl as %u, size %u\n",
-                q_data->bytesperline, q_data->sizeimage);
-       if (ctx->dev->role == DECODE &&
-           q_data->fmt->flags & V4L2_FMT_FLAG_COMPRESSED &&
--          f->fmt.pix.width && f->fmt.pix.height) {
-+          q_data->crop_width && q_data->height) {
-               /*
-                * On the decoder, if provided with a resolution on the input
-                * side, then replicate that to the output side.
-@@ -1165,7 +1172,7 @@ static int vidioc_s_fmt(struct bcm2835_c
-               q_data_dst->height = ALIGN(q_data->crop_height, 16);
-               q_data_dst->bytesperline =
--                      get_bytesperline(f->fmt.pix.width, q_data_dst->fmt);
-+                      get_bytesperline(f->fmt.pix_mp.width, q_data_dst->fmt);
-               q_data_dst->sizeimage = get_sizeimage(q_data_dst->bytesperline,
-                                                     q_data_dst->crop_width,
-                                                     q_data_dst->height,
-@@ -1215,7 +1222,7 @@ static int vidioc_s_fmt(struct bcm2835_c
- static int vidioc_s_fmt_vid_cap(struct file *file, void *priv,
-                               struct v4l2_format *f)
- {
--      unsigned int height = f->fmt.pix.height;
-+      unsigned int height = f->fmt.pix_mp.height;
-       int ret;
-       ret = vidioc_try_fmt_vid_cap(file, priv, f);
-@@ -1228,7 +1235,7 @@ static int vidioc_s_fmt_vid_cap(struct f
- static int vidioc_s_fmt_vid_out(struct file *file, void *priv,
-                               struct v4l2_format *f)
- {
--      unsigned int height = f->fmt.pix.height;
-+      unsigned int height = f->fmt.pix_mp.height;
-       int ret;
-       ret = vidioc_try_fmt_vid_out(file, priv, f);
-@@ -1244,7 +1251,7 @@ static int vidioc_g_selection(struct fil
- {
-       struct bcm2835_codec_ctx *ctx = file2ctx(file);
-       struct bcm2835_codec_q_data *q_data;
--      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE ?
-+      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE ?
-                                                               true : false;
-       if ((ctx->dev->role == DECODE && !capture_queue) ||
-@@ -1307,7 +1314,7 @@ static int vidioc_s_selection(struct fil
- {
-       struct bcm2835_codec_ctx *ctx = file2ctx(file);
-       struct bcm2835_codec_q_data *q_data = NULL;
--      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE ?
-+      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE ?
-                                                               true : false;
-       v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: ctx %p, type %d, q_data %p, target %d, rect x/y %d/%d, w/h %ux%u\n",
-@@ -1368,7 +1375,7 @@ static int vidioc_s_parm(struct file *fi
- {
-       struct bcm2835_codec_ctx *ctx = file2ctx(file);
--      if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT)
-+      if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE)
-               return -EINVAL;
-       ctx->framerate_num =
-@@ -1739,14 +1746,14 @@ static const struct v4l2_ioctl_ops bcm28
-       .vidioc_querycap        = vidioc_querycap,
-       .vidioc_enum_fmt_vid_cap = vidioc_enum_fmt_vid_cap,
--      .vidioc_g_fmt_vid_cap   = vidioc_g_fmt_vid_cap,
--      .vidioc_try_fmt_vid_cap = vidioc_try_fmt_vid_cap,
--      .vidioc_s_fmt_vid_cap   = vidioc_s_fmt_vid_cap,
-+      .vidioc_g_fmt_vid_cap_mplane    = vidioc_g_fmt_vid_cap,
-+      .vidioc_try_fmt_vid_cap_mplane  = vidioc_try_fmt_vid_cap,
-+      .vidioc_s_fmt_vid_cap_mplane    = vidioc_s_fmt_vid_cap,
-       .vidioc_enum_fmt_vid_out = vidioc_enum_fmt_vid_out,
--      .vidioc_g_fmt_vid_out   = vidioc_g_fmt_vid_out,
--      .vidioc_try_fmt_vid_out = vidioc_try_fmt_vid_out,
--      .vidioc_s_fmt_vid_out   = vidioc_s_fmt_vid_out,
-+      .vidioc_g_fmt_vid_out_mplane    = vidioc_g_fmt_vid_out,
-+      .vidioc_try_fmt_vid_out_mplane  = vidioc_try_fmt_vid_out,
-+      .vidioc_s_fmt_vid_out_mplane    = vidioc_s_fmt_vid_out,
-       .vidioc_reqbufs         = v4l2_m2m_ioctl_reqbufs,
-       .vidioc_querybuf        = v4l2_m2m_ioctl_querybuf,
-@@ -2089,7 +2096,7 @@ static int bcm2835_codec_start_streaming
-               ctx->component_enabled = true;
-       }
--      if (q->type == V4L2_BUF_TYPE_VIDEO_OUTPUT) {
-+      if (q->type == V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE) {
-               /*
-                * Create the EOS buffer.
-                * We only need the MMAL part, and want to NOT attach a memory
-@@ -2216,7 +2223,7 @@ static int queue_init(void *priv, struct
-       struct bcm2835_codec_ctx *ctx = priv;
-       int ret;
--      src_vq->type = V4L2_BUF_TYPE_VIDEO_OUTPUT;
-+      src_vq->type = V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE;
-       src_vq->io_modes = VB2_MMAP | VB2_DMABUF;
-       src_vq->drv_priv = ctx;
-       src_vq->buf_struct_size = sizeof(struct m2m_mmal_buffer);
-@@ -2230,7 +2237,7 @@ static int queue_init(void *priv, struct
-       if (ret)
-               return ret;
--      dst_vq->type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
-+      dst_vq->type = V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE;
-       dst_vq->io_modes = VB2_MMAP | VB2_DMABUF;
-       dst_vq->drv_priv = ctx;
-       dst_vq->buf_struct_size = sizeof(struct m2m_mmal_buffer);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0287-drm-vc4-Resolve-the-vblank-warnings-on-mode-switchin.patch b/target/linux/bcm27xx/patches-5.4/950-0287-drm-vc4-Resolve-the-vblank-warnings-on-mode-switchin.patch
new file mode 100644 (file)
index 0000000..e50bdee
--- /dev/null
@@ -0,0 +1,100 @@
+From a82d716ab4c2ab5f198f3461e32614defb52c724 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 25 Jul 2019 17:27:44 +0100
+Subject: [PATCH] drm/vc4: Resolve the vblank warnings on mode
+ switching
+
+The details over when and how a driver is to service the
+vblank events are sketchy, and the fkms driver was triggering
+a kernel warning every time the crtc was enabled or disabled.
+
+Copy the event handling as used by the vc4-kms driver slightly
+more closely, and we avoid the warnings.
+
+https://github.com/raspberrypi/linux/issues/3020
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 48 ++++++++++++++++++--------
+ 1 file changed, 33 insertions(+), 15 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -964,6 +964,7 @@ static void vc4_crtc_mode_set_nofb(struc
+ static void vc4_crtc_disable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
+ {
++      struct drm_device *dev = crtc->dev;
+       struct drm_plane *plane;
+       DRM_DEBUG_KMS("[CRTC:%d] vblanks off.\n",
+@@ -979,6 +980,35 @@ static void vc4_crtc_disable(struct drm_
+       drm_atomic_crtc_for_each_plane(plane, crtc)
+               vc4_plane_atomic_disable(plane, plane->state);
++
++      /*
++       * Make sure we issue a vblank event after disabling the CRTC if
++       * someone was waiting it.
++       */
++      if (crtc->state->event) {
++              unsigned long flags;
++
++              spin_lock_irqsave(&dev->event_lock, flags);
++              drm_crtc_send_vblank_event(crtc, crtc->state->event);
++              crtc->state->event = NULL;
++              spin_unlock_irqrestore(&dev->event_lock, flags);
++      }
++}
++
++static void vc4_crtc_consume_event(struct drm_crtc *crtc)
++{
++      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
++      struct drm_device *dev = crtc->dev;
++      unsigned long flags;
++
++      crtc->state->event->pipe = drm_crtc_index(crtc);
++
++      WARN_ON(drm_crtc_vblank_get(crtc) != 0);
++
++      spin_lock_irqsave(&dev->event_lock, flags);
++      vc4_crtc->event = crtc->state->event;
++      crtc->state->event = NULL;
++      spin_unlock_irqrestore(&dev->event_lock, flags);
+ }
+ static void vc4_crtc_enable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
+@@ -988,6 +1018,7 @@ static void vc4_crtc_enable(struct drm_c
+       DRM_DEBUG_KMS("[CRTC:%d] vblanks on.\n",
+                     crtc->base.id);
+       drm_crtc_vblank_on(crtc);
++      vc4_crtc_consume_event(crtc);
+       /* Unblank the planes (if they're supposed to be displayed). */
+       drm_atomic_crtc_for_each_plane(plane, crtc)
+@@ -1059,23 +1090,10 @@ static int vc4_crtc_atomic_check(struct
+ static void vc4_crtc_atomic_flush(struct drm_crtc *crtc,
+                                 struct drm_crtc_state *old_state)
+ {
+-      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
+-      struct drm_device *dev = crtc->dev;
+-
+       DRM_DEBUG_KMS("[CRTC:%d] crtc_atomic_flush.\n",
+                     crtc->base.id);
+-      if (crtc->state->event) {
+-              unsigned long flags;
+-
+-              crtc->state->event->pipe = drm_crtc_index(crtc);
+-
+-              WARN_ON(drm_crtc_vblank_get(crtc) != 0);
+-
+-              spin_lock_irqsave(&dev->event_lock, flags);
+-              vc4_crtc->event = crtc->state->event;
+-              crtc->state->event = NULL;
+-              spin_unlock_irqrestore(&dev->event_lock, flags);
+-      }
++      if (crtc->state->active && old_state->active && crtc->state->event)
++              vc4_crtc_consume_event(crtc);
+ }
+ static void vc4_crtc_handle_page_flip(struct vc4_crtc *vc4_crtc)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0287-staging-bcm2835-codec-implement-V4L2_CID_MIN_BUFFERS.patch b/target/linux/bcm27xx/patches-5.4/950-0287-staging-bcm2835-codec-implement-V4L2_CID_MIN_BUFFERS.patch
deleted file mode 100644 (file)
index 6e4af3e..0000000
+++ /dev/null
@@ -1,46 +0,0 @@
-From 8ffc08d336326d576b84d59135402f08cf2cf41c Mon Sep 17 00:00:00 2001
-From: Chen-Yu Tsai <wens@csie.org>
-Date: Mon, 22 Jul 2019 22:13:30 +0800
-Subject: [PATCH] staging: bcm2835-codec: implement
- V4L2_CID_MIN_BUFFERS_FOR_CAPTURE
-
-The stateful decoder specification shows an optional step for retrieving
-the miminum number of capture buffers required for the decoder to
-proceed. While not a required parameter, having it makes some
-applications happy.
-
-bcm2835-codec is a little different from other decoder implementations
-in that there is an intermediate format conversion between the hardware
-and V4L2 buffers. The number of capture buffers required is therefore
-independent of the stream and DPB etc.
-
-There are plans to remove the conversion, but it requires a fair amount
-of rework within the firmware. Until that is done, simply return a value
-of 1.
-
-Signed-off-by: Chen-Yu Tsai <wens@csie.org>
----
- .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c | 12 ++++++++++++
- 1 file changed, 12 insertions(+)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -2357,6 +2357,18 @@ static int bcm2835_codec_open(struct fil
-               }
-               ctx->fh.ctrl_handler = hdl;
-               v4l2_ctrl_handler_setup(hdl);
-+      } else if (dev->role == DECODE) {
-+              v4l2_ctrl_handler_init(hdl, 1);
-+
-+              v4l2_ctrl_new_std(hdl, &bcm2835_codec_ctrl_ops,
-+                                V4L2_CID_MIN_BUFFERS_FOR_CAPTURE,
-+                                1, 1, 1, 1);
-+              if (hdl->error) {
-+                      rc = hdl->error;
-+                      goto free_ctrl_handler;
-+              }
-+              ctx->fh.ctrl_handler = hdl;
-+              v4l2_ctrl_handler_setup(hdl);
-       }
-       ctx->fh.m2m_ctx = v4l2_m2m_ctx_init(dev->m2m_dev, ctx, &queue_init);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0288-drm-vc4-Remove-unused-mode-variable.patch b/target/linux/bcm27xx/patches-5.4/950-0288-drm-vc4-Remove-unused-mode-variable.patch
new file mode 100644 (file)
index 0000000..e82fc42
--- /dev/null
@@ -0,0 +1,27 @@
+From 71402ae2a97b18f0c03b763a2e1e2800f360d50a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 25 Jul 2019 17:34:29 +0100
+Subject: [PATCH] drm/vc4: Remove unused mode variable
+
+"89d1376 drm/vc4: Add support for margins to fkms" removed
+the requirement for having the mode structure from vc4_plane_to_mb,
+but didn't remove it as a local to the function, causing a
+compiler warning.
+
+Remove the unused variable.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 1 -
+ 1 file changed, 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -525,7 +525,6 @@ static int vc4_plane_to_mb(struct drm_pl
+       const struct vc_image_format *vc_fmt =
+                                       vc4_get_vc_image_fmt(drm_fmt->format);
+       int num_planes = fb->format->num_planes;
+-      struct drm_display_mode *mode = &state->crtc->mode;
+       unsigned int rotation = SUPPORTED_ROTATIONS;
+       mb->plane.vc_image_type = vc_fmt->vc_image;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0288-staging-bcm2835-codec-set-device_caps-in-struct-vide.patch b/target/linux/bcm27xx/patches-5.4/950-0288-staging-bcm2835-codec-set-device_caps-in-struct-vide.patch
deleted file mode 100644 (file)
index a194eab..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-From d06677b96fc10122363028dea9ca06e5f9899865 Mon Sep 17 00:00:00 2001
-From: Chen-Yu Tsai <wens@csie.org>
-Date: Mon, 22 Jul 2019 22:20:55 +0800
-Subject: [PATCH] staging: bcm2835-codec: set device_caps in struct
- video_device
-
-Instead of filling in the struct v4l2_capability device_caps
-field, fill in the struct video_device device_caps field.
-
-That way the V4L2 core knows what the capabilities of the
-video device are.
-
-This is similar to a cleanup series by Hans Verkuil [1].
-
-[1] https://www.spinics.net/lists/linux-media/msg153313.html
-
-Signed-off-by: Chen-Yu Tsai <wens@csie.org>
----
- .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c   | 3 +--
- 1 file changed, 1 insertion(+), 2 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -945,8 +945,6 @@ static int vidioc_querycap(struct file *
-       strncpy(cap->card, MEM2MEM_NAME, sizeof(cap->card) - 1);
-       snprintf(cap->bus_info, sizeof(cap->bus_info), "platform:%s",
-                MEM2MEM_NAME);
--      cap->device_caps = V4L2_CAP_VIDEO_M2M_MPLANE | V4L2_CAP_STREAMING;
--      cap->capabilities = cap->device_caps | V4L2_CAP_DEVICE_CAPS;
-       return 0;
- }
-@@ -2600,6 +2598,7 @@ static int bcm2835_codec_create(struct p
-       vfd = &dev->vfd;
-       vfd->lock = &dev->dev_mutex;
-       vfd->v4l2_dev = &dev->v4l2_dev;
-+      vfd->device_caps = V4L2_CAP_VIDEO_M2M_MPLANE | V4L2_CAP_STREAMING;
-       switch (role) {
-       case DECODE:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0289-Add-HDMI1-facility-to-the-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0289-Add-HDMI1-facility-to-the-driver.patch
deleted file mode 100644 (file)
index b18d145..0000000
+++ /dev/null
@@ -1,85 +0,0 @@
-From fb8e73c19c2e153444b34e8d9804371095e92fe0 Mon Sep 17 00:00:00 2001
-From: James Hughes <james.hughes@raspberrypi.org>
-Date: Tue, 16 Jul 2019 12:18:21 +0100
-Subject: [PATCH] Add HDMI1 facility to the driver.
-
-For generic ALSA, all you need is the bcm2835.h change, but
-have also added structures for IEC958 HDMI. Not sure how to
-test those.
----
- .../vc04_services/bcm2835-audio/bcm2835.c     | 29 ++++++++++++++++---
- .../vc04_services/bcm2835-audio/bcm2835.h     |  4 ++-
- 2 files changed, 28 insertions(+), 5 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
-+++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
-@@ -79,7 +79,11 @@ static int bcm2835_audio_alsa_newpcm(str
-       if (err)
-               return err;
--      err = snd_bcm2835_new_pcm(chip, "bcm2835 IEC958/HDMI", 1, 0, 1, true);
-+      err = snd_bcm2835_new_pcm(chip, "bcm2835 IEC958/HDMI", 1, AUDIO_DEST_HDMI0, 1, true);
-+      if (err)
-+              return err;
-+
-+      err = snd_bcm2835_new_pcm(chip, "bcm2835 IEC958/HDMI1", 2, AUDIO_DEST_HDMI1, 1, true);
-       if (err)
-               return err;
-@@ -106,7 +110,7 @@ static struct bcm2835_audio_driver bcm28
-       .newctl = snd_bcm2835_new_ctl,
- };
--static struct bcm2835_audio_driver bcm2835_audio_hdmi = {
-+static struct bcm2835_audio_driver bcm2835_audio_hdmi0 = {
-       .driver = {
-               .name = "bcm2835_hdmi",
-               .owner = THIS_MODULE,
-@@ -116,7 +120,20 @@ static struct bcm2835_audio_driver bcm28
-       .minchannels = 1,
-       .newpcm = bcm2835_audio_simple_newpcm,
-       .newctl = snd_bcm2835_new_hdmi_ctl,
--      .route = AUDIO_DEST_HDMI
-+      .route = AUDIO_DEST_HDMI0
-+};
-+
-+static struct bcm2835_audio_driver bcm2835_audio_hdmi1 = {
-+      .driver = {
-+              .name = "bcm2835_hdmi",
-+              .owner = THIS_MODULE,
-+      },
-+      .shortname = "bcm2835 HDMI 1",
-+      .longname  = "bcm2835 HDMI 1",
-+      .minchannels = 1,
-+      .newpcm = bcm2835_audio_simple_newpcm,
-+      .newctl = snd_bcm2835_new_hdmi_ctl,
-+      .route = AUDIO_DEST_HDMI1
- };
- static struct bcm2835_audio_driver bcm2835_audio_headphones = {
-@@ -143,7 +160,11 @@ static struct bcm2835_audio_drivers chil
-               .is_enabled = &enable_compat_alsa,
-       },
-       {
--              .audio_driver = &bcm2835_audio_hdmi,
-+              .audio_driver = &bcm2835_audio_hdmi0,
-+              .is_enabled = &enable_hdmi,
-+      },
-+      {
-+              .audio_driver = &bcm2835_audio_hdmi1,
-               .is_enabled = &enable_hdmi,
-       },
-       {
---- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835.h
-+++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835.h
-@@ -33,7 +33,9 @@ enum {
- enum snd_bcm2835_route {
-       AUDIO_DEST_AUTO = 0,
-       AUDIO_DEST_HEADPHONES = 1,
--      AUDIO_DEST_HDMI = 2,
-+      AUDIO_DEST_HDMI = 2,  // for backwards compatibility.
-+      AUDIO_DEST_HDMI0 = 2,
-+      AUDIO_DEST_HDMI1 = 3,
-       AUDIO_DEST_MAX,
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0289-staging-bcm2835-codec-Expand-logging-on-format-setti.patch b/target/linux/bcm27xx/patches-5.4/950-0289-staging-bcm2835-codec-Expand-logging-on-format-setti.patch
new file mode 100644 (file)
index 0000000..d2ebeea
--- /dev/null
@@ -0,0 +1,42 @@
+From cedd3e7ee97213d0d3d0ada2a7df58ad0059372e Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 11 Jul 2019 14:57:09 +0100
+Subject: [PATCH] staging:bcm2835-codec: Expand logging on format
+ setting
+
+Adds some more useful logging during format changed events and
+s_fmt.
+
+Reported by: zillevdr <zillevdr@gmx.de>
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c   | 10 +++++++---
+ 1 file changed, 7 insertions(+), 3 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -753,6 +753,10 @@ static void handle_fmt_changed(struct bc
+                format->es.video.color_space);
+       q_data = get_q_data(ctx, V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE);
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: Format was %ux%u, crop %ux%u\n",
++               __func__, q_data->bytesperline, q_data->height,
++               q_data->crop_width, q_data->crop_height);
++
+       q_data->crop_width = format->es.video.crop.width;
+       q_data->crop_height = format->es.video.crop.height;
+       q_data->bytesperline = format->es.video.crop.width;
+@@ -1110,10 +1114,10 @@ static int vidioc_s_fmt(struct bcm2835_c
+       bool update_capture_port = false;
+       int ret;
+-      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Setting format for type %d, wxh: %dx%d, fmt: %08x, size %u\n",
++      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Setting format for type %d, wxh: %dx%d, fmt: " V4L2_FOURCC_CONV ", size %u\n",
+                f->type, f->fmt.pix_mp.width, f->fmt.pix_mp.height,
+-               f->fmt.pix_mp.pixelformat, f->fmt.pix_mp.plane_fmt[0].sizeimage);
+-
++               V4L2_FOURCC_CONV_ARGS(f->fmt.pix_mp.pixelformat),
++               f->fmt.pix_mp.plane_fmt[0].sizeimage);
+       vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, f->type);
+       if (!vq)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0290-drm-vc4-Resolve-the-vblank-warnings-on-mode-switchin.patch b/target/linux/bcm27xx/patches-5.4/950-0290-drm-vc4-Resolve-the-vblank-warnings-on-mode-switchin.patch
deleted file mode 100644 (file)
index e50bdee..0000000
+++ /dev/null
@@ -1,100 +0,0 @@
-From a82d716ab4c2ab5f198f3461e32614defb52c724 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 25 Jul 2019 17:27:44 +0100
-Subject: [PATCH] drm/vc4: Resolve the vblank warnings on mode
- switching
-
-The details over when and how a driver is to service the
-vblank events are sketchy, and the fkms driver was triggering
-a kernel warning every time the crtc was enabled or disabled.
-
-Copy the event handling as used by the vc4-kms driver slightly
-more closely, and we avoid the warnings.
-
-https://github.com/raspberrypi/linux/issues/3020
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 48 ++++++++++++++++++--------
- 1 file changed, 33 insertions(+), 15 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -964,6 +964,7 @@ static void vc4_crtc_mode_set_nofb(struc
- static void vc4_crtc_disable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
- {
-+      struct drm_device *dev = crtc->dev;
-       struct drm_plane *plane;
-       DRM_DEBUG_KMS("[CRTC:%d] vblanks off.\n",
-@@ -979,6 +980,35 @@ static void vc4_crtc_disable(struct drm_
-       drm_atomic_crtc_for_each_plane(plane, crtc)
-               vc4_plane_atomic_disable(plane, plane->state);
-+
-+      /*
-+       * Make sure we issue a vblank event after disabling the CRTC if
-+       * someone was waiting it.
-+       */
-+      if (crtc->state->event) {
-+              unsigned long flags;
-+
-+              spin_lock_irqsave(&dev->event_lock, flags);
-+              drm_crtc_send_vblank_event(crtc, crtc->state->event);
-+              crtc->state->event = NULL;
-+              spin_unlock_irqrestore(&dev->event_lock, flags);
-+      }
-+}
-+
-+static void vc4_crtc_consume_event(struct drm_crtc *crtc)
-+{
-+      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-+      struct drm_device *dev = crtc->dev;
-+      unsigned long flags;
-+
-+      crtc->state->event->pipe = drm_crtc_index(crtc);
-+
-+      WARN_ON(drm_crtc_vblank_get(crtc) != 0);
-+
-+      spin_lock_irqsave(&dev->event_lock, flags);
-+      vc4_crtc->event = crtc->state->event;
-+      crtc->state->event = NULL;
-+      spin_unlock_irqrestore(&dev->event_lock, flags);
- }
- static void vc4_crtc_enable(struct drm_crtc *crtc, struct drm_crtc_state *old_state)
-@@ -988,6 +1018,7 @@ static void vc4_crtc_enable(struct drm_c
-       DRM_DEBUG_KMS("[CRTC:%d] vblanks on.\n",
-                     crtc->base.id);
-       drm_crtc_vblank_on(crtc);
-+      vc4_crtc_consume_event(crtc);
-       /* Unblank the planes (if they're supposed to be displayed). */
-       drm_atomic_crtc_for_each_plane(plane, crtc)
-@@ -1059,23 +1090,10 @@ static int vc4_crtc_atomic_check(struct
- static void vc4_crtc_atomic_flush(struct drm_crtc *crtc,
-                                 struct drm_crtc_state *old_state)
- {
--      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
--      struct drm_device *dev = crtc->dev;
--
-       DRM_DEBUG_KMS("[CRTC:%d] crtc_atomic_flush.\n",
-                     crtc->base.id);
--      if (crtc->state->event) {
--              unsigned long flags;
--
--              crtc->state->event->pipe = drm_crtc_index(crtc);
--
--              WARN_ON(drm_crtc_vblank_get(crtc) != 0);
--
--              spin_lock_irqsave(&dev->event_lock, flags);
--              vc4_crtc->event = crtc->state->event;
--              crtc->state->event = NULL;
--              spin_unlock_irqrestore(&dev->event_lock, flags);
--      }
-+      if (crtc->state->active && old_state->active && crtc->state->event)
-+              vc4_crtc_consume_event(crtc);
- }
- static void vc4_crtc_handle_page_flip(struct vc4_crtc *vc4_crtc)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0290-staging-bcm2835-codec-Correct-bytesperline-on-format.patch b/target/linux/bcm27xx/patches-5.4/950-0290-staging-bcm2835-codec-Correct-bytesperline-on-format.patch
new file mode 100644 (file)
index 0000000..eaba484
--- /dev/null
@@ -0,0 +1,30 @@
+From eb4cbf0e6893397f00aff0f90dc9d3df75e98b52 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Thu, 11 Jul 2019 14:58:35 +0100
+Subject: [PATCH] staging: bcm2835-codec: Correct bytesperline on
+ format changed
+
+The handling of format changed events incorrectly set bytesperline
+to the cropped width, which ignored padding and formats with
+more than 8bpp.
+Fix these.
+
+Reported by: zillevdr <zillevdr@gmx.de>
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c  | 4 +++-
+ 1 file changed, 3 insertions(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -759,7 +759,9 @@ static void handle_fmt_changed(struct bc
+       q_data->crop_width = format->es.video.crop.width;
+       q_data->crop_height = format->es.video.crop.height;
+-      q_data->bytesperline = format->es.video.crop.width;
++      q_data->bytesperline = get_bytesperline(format->es.video.width,
++                                              q_data->fmt);
++
+       q_data->height = format->es.video.height;
+       q_data->sizeimage = format->buffer_size_min;
+       if (format->es.video.color_space)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0291-drm-vc4-Add-missing-NULL-check-to-vc4_crtc_consume_e.patch b/target/linux/bcm27xx/patches-5.4/950-0291-drm-vc4-Add-missing-NULL-check-to-vc4_crtc_consume_e.patch
new file mode 100644 (file)
index 0000000..f29ba4d
--- /dev/null
@@ -0,0 +1,28 @@
+From e2e9da35d4a598490b73da41eea1db27540339fd Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 7 Aug 2019 11:31:08 +0100
+Subject: [PATCH] drm/vc4: Add missing NULL check to
+ vc4_crtc_consume_event
+
+vc4_crtc_consume_event wasn't checking crtc->state->event was
+set before dereferencing it, leading to an OOPS.
+
+Fixes "a5b534b drm/vc4: Resolve the vblank warnings on mode switching"
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 3 +++
+ 1 file changed, 3 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -1000,6 +1000,9 @@ static void vc4_crtc_consume_event(struc
+       struct drm_device *dev = crtc->dev;
+       unsigned long flags;
++      if (!crtc->state->event)
++              return;
++
+       crtc->state->event->pipe = drm_crtc_index(crtc);
+       WARN_ON(drm_crtc_vblank_get(crtc) != 0);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0291-drm-vc4-Remove-unused-mode-variable.patch b/target/linux/bcm27xx/patches-5.4/950-0291-drm-vc4-Remove-unused-mode-variable.patch
deleted file mode 100644 (file)
index e82fc42..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From 71402ae2a97b18f0c03b763a2e1e2800f360d50a Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 25 Jul 2019 17:34:29 +0100
-Subject: [PATCH] drm/vc4: Remove unused mode variable
-
-"89d1376 drm/vc4: Add support for margins to fkms" removed
-the requirement for having the mode structure from vc4_plane_to_mb,
-but didn't remove it as a local to the function, causing a
-compiler warning.
-
-Remove the unused variable.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 1 -
- 1 file changed, 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -525,7 +525,6 @@ static int vc4_plane_to_mb(struct drm_pl
-       const struct vc_image_format *vc_fmt =
-                                       vc4_get_vc_image_fmt(drm_fmt->format);
-       int num_planes = fb->format->num_planes;
--      struct drm_display_mode *mode = &state->crtc->mode;
-       unsigned int rotation = SUPPORTED_ROTATIONS;
-       mb->plane.vc_image_type = vc_fmt->vc_image;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0292-net-bcmgenet-Workaround-2-for-Pi4-Ethernet-fail.patch b/target/linux/bcm27xx/patches-5.4/950-0292-net-bcmgenet-Workaround-2-for-Pi4-Ethernet-fail.patch
new file mode 100644 (file)
index 0000000..db1866d
--- /dev/null
@@ -0,0 +1,52 @@
+From 128e363e406841fbbd9800199cb093b4737d7cba Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Fri, 9 Aug 2019 08:51:43 +0100
+Subject: [PATCH] net: bcmgenet: Workaround #2 for Pi4 Ethernet fail
+
+Some combinations of Pi 4Bs and Ethernet switches don't reliably get a
+DCHP-assigned IP address, leaving the unit with a self=assigned 169.254
+address. In the failure case, the Pi is left able to receive packets
+but not send them, suggesting that the MAC<->PHY link is getting into
+a bad state.
+
+It has been found empirically that skipping a reset step by the genet
+driver prevents the failures. No downsides have been discovered yet,
+and unlike the forced renegotiation it doesn't increase the time to
+get an IP address, so the workaround is enabled by default; add
+
+  genet.skip_umac_reset=n
+
+to the command line to disable it.
+
+See: https://github.com/raspberrypi/linux/issues/3108
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/net/ethernet/broadcom/genet/bcmgenet.c | 9 +++++++++
+ 1 file changed, 9 insertions(+)
+
+--- a/drivers/net/ethernet/broadcom/genet/bcmgenet.c
++++ b/drivers/net/ethernet/broadcom/genet/bcmgenet.c
+@@ -72,6 +72,10 @@
+ /* Forward declarations */
+ static void bcmgenet_set_rx_mode(struct net_device *dev);
++static bool skip_umac_reset = true;
++module_param(skip_umac_reset, bool, 0444);
++MODULE_PARM_DESC(skip_umac_reset, "Skip UMAC reset step");
++
+ static inline void bcmgenet_writel(u32 value, void __iomem *offset)
+ {
+       /* MIPS chips strapped for BE will automagically configure the
+@@ -1995,6 +1999,11 @@ static void reset_umac(struct bcmgenet_p
+       bcmgenet_rbuf_ctrl_set(priv, 0);
+       udelay(10);
++      if (skip_umac_reset) {
++              pr_warn("Skipping UMAC reset\n");
++              return;
++      }
++
+       /* disable MAC while updating its registers */
+       bcmgenet_umac_writel(priv, 0, UMAC_CMD);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0292-staging-bcm2835-codec-Expand-logging-on-format-setti.patch b/target/linux/bcm27xx/patches-5.4/950-0292-staging-bcm2835-codec-Expand-logging-on-format-setti.patch
deleted file mode 100644 (file)
index d2ebeea..0000000
+++ /dev/null
@@ -1,42 +0,0 @@
-From cedd3e7ee97213d0d3d0ada2a7df58ad0059372e Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 11 Jul 2019 14:57:09 +0100
-Subject: [PATCH] staging:bcm2835-codec: Expand logging on format
- setting
-
-Adds some more useful logging during format changed events and
-s_fmt.
-
-Reported by: zillevdr <zillevdr@gmx.de>
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c   | 10 +++++++---
- 1 file changed, 7 insertions(+), 3 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -753,6 +753,10 @@ static void handle_fmt_changed(struct bc
-                format->es.video.color_space);
-       q_data = get_q_data(ctx, V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE);
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: Format was %ux%u, crop %ux%u\n",
-+               __func__, q_data->bytesperline, q_data->height,
-+               q_data->crop_width, q_data->crop_height);
-+
-       q_data->crop_width = format->es.video.crop.width;
-       q_data->crop_height = format->es.video.crop.height;
-       q_data->bytesperline = format->es.video.crop.width;
-@@ -1110,10 +1114,10 @@ static int vidioc_s_fmt(struct bcm2835_c
-       bool update_capture_port = false;
-       int ret;
--      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Setting format for type %d, wxh: %dx%d, fmt: %08x, size %u\n",
-+      v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "Setting format for type %d, wxh: %dx%d, fmt: " V4L2_FOURCC_CONV ", size %u\n",
-                f->type, f->fmt.pix_mp.width, f->fmt.pix_mp.height,
--               f->fmt.pix_mp.pixelformat, f->fmt.pix_mp.plane_fmt[0].sizeimage);
--
-+               V4L2_FOURCC_CONV_ARGS(f->fmt.pix_mp.pixelformat),
-+               f->fmt.pix_mp.plane_fmt[0].sizeimage);
-       vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, f->type);
-       if (!vq)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0293-staging-bcm2835-codec-Correct-bytesperline-on-format.patch b/target/linux/bcm27xx/patches-5.4/950-0293-staging-bcm2835-codec-Correct-bytesperline-on-format.patch
deleted file mode 100644 (file)
index eaba484..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-From eb4cbf0e6893397f00aff0f90dc9d3df75e98b52 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Thu, 11 Jul 2019 14:58:35 +0100
-Subject: [PATCH] staging: bcm2835-codec: Correct bytesperline on
- format changed
-
-The handling of format changed events incorrectly set bytesperline
-to the cropped width, which ignored padding and formats with
-more than 8bpp.
-Fix these.
-
-Reported by: zillevdr <zillevdr@gmx.de>
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c  | 4 +++-
- 1 file changed, 3 insertions(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -759,7 +759,9 @@ static void handle_fmt_changed(struct bc
-       q_data->crop_width = format->es.video.crop.width;
-       q_data->crop_height = format->es.video.crop.height;
--      q_data->bytesperline = format->es.video.crop.width;
-+      q_data->bytesperline = get_bytesperline(format->es.video.width,
-+                                              q_data->fmt);
-+
-       q_data->height = format->es.video.height;
-       q_data->sizeimage = format->buffer_size_min;
-       if (format->es.video.color_space)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0293-xhci-Use-more-event-ring-segment-table-entries.patch b/target/linux/bcm27xx/patches-5.4/950-0293-xhci-Use-more-event-ring-segment-table-entries.patch
new file mode 100644 (file)
index 0000000..4b4766f
--- /dev/null
@@ -0,0 +1,60 @@
+From 90c56c8f52c912aa6e990e63d953b6dbccea7250 Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Tue, 13 Aug 2019 15:53:29 +0100
+Subject: [PATCH] xhci: Use more event ring segment table entries
+
+Users have reported log spam created by "Event Ring Full" xHC event
+TRBs. These are caused by interrupt latency in conjunction with a very
+busy set of devices on the bus. The errors are benign, but throughput
+will suffer as the xHC will pause processing of transfers until the
+event ring is drained by the kernel. Expand the number of event TRB slots
+available by increasing the number of event ring segments in the ERST.
+
+Controllers have a hardware-defined limit as to the number of ERST
+entries they can process, so make the actual number in use
+min(ERST_MAX_SEGS, hw_max).
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
+---
+ drivers/usb/host/xhci-mem.c | 8 +++++---
+ drivers/usb/host/xhci.h     | 4 ++--
+ 2 files changed, 7 insertions(+), 5 deletions(-)
+
+--- a/drivers/usb/host/xhci-mem.c
++++ b/drivers/usb/host/xhci-mem.c
+@@ -2503,9 +2503,11 @@ int xhci_mem_init(struct xhci_hcd *xhci,
+        * Event ring setup: Allocate a normal ring, but also setup
+        * the event ring segment table (ERST).  Section 4.9.3.
+        */
++      val2 = 1 << HCS_ERST_MAX(xhci->hcs_params2);
++      val2 = min_t(unsigned int, ERST_MAX_SEGS, val2);
+       xhci_dbg_trace(xhci, trace_xhci_dbg_init, "// Allocating event ring");
+-      xhci->event_ring = xhci_ring_alloc(xhci, ERST_NUM_SEGS, 1, TYPE_EVENT,
+-                                      0, flags);
++      xhci->event_ring = xhci_ring_alloc(xhci, val2, 1, TYPE_EVENT,
++                                         0, flags);
+       if (!xhci->event_ring)
+               goto fail;
+       if (xhci_check_trb_in_td_math(xhci) < 0)
+@@ -2518,7 +2520,7 @@ int xhci_mem_init(struct xhci_hcd *xhci,
+       /* set ERST count with the number of entries in the segment table */
+       val = readl(&xhci->ir_set->erst_size);
+       val &= ERST_SIZE_MASK;
+-      val |= ERST_NUM_SEGS;
++      val |= val2;
+       xhci_dbg_trace(xhci, trace_xhci_dbg_init,
+                       "// Write ERST size = %i to ir_set 0 (some bits preserved)",
+                       val);
+--- a/drivers/usb/host/xhci.h
++++ b/drivers/usb/host/xhci.h
+@@ -1649,8 +1649,8 @@ struct urb_priv {
+  * Each segment table entry is 4*32bits long.  1K seems like an ok size:
+  * (1K bytes * 8bytes/bit) / (4*32 bits) = 64 segment entries in the table,
+  * meaning 64 ring segments.
+- * Initial allocated size of the ERST, in number of entries */
+-#define       ERST_NUM_SEGS   1
++ * Maximum number of segments in the ERST */
++#define       ERST_MAX_SEGS   8
+ /* Initial allocated size of the ERST, in number of entries */
+ #define       ERST_SIZE       64
+ /* Initial number of event segment rings allocated */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0294-configs-arm64-bcm2711-Enable-V3D.patch b/target/linux/bcm27xx/patches-5.4/950-0294-configs-arm64-bcm2711-Enable-V3D.patch
new file mode 100644 (file)
index 0000000..f079fcf
--- /dev/null
@@ -0,0 +1,28 @@
+From 4896c33bf9fe61ab62d5f6f93762d7c951a64a49 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Thu, 15 Aug 2019 12:02:34 +0100
+Subject: [PATCH] configs: arm64/bcm2711: Enable V3D
+
+Enable the V3D driver, which depends on BCM2835_POWER.
+
+Originally submitted by GitHub user 'phire' in a slightly different
+form.
+
+See: https://github.com/raspberrypi/linux/pull/3063
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/gpu/drm/v3d/Kconfig          | 2 +-
+ 2 files changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/v3d/Kconfig
++++ b/drivers/gpu/drm/v3d/Kconfig
+@@ -1,7 +1,7 @@
+ # SPDX-License-Identifier: GPL-2.0-only
+ config DRM_V3D
+       tristate "Broadcom V3D 3.x and newer"
+-      depends on ARCH_BCM || ARCH_BCMSTB || COMPILE_TEST
++      depends on ARCH_BCM || ARCH_BCMSTB || ARCH_BCM2835 || COMPILE_TEST
+       depends on DRM
+       depends on COMMON_CLK
+       depends on MMU
diff --git a/target/linux/bcm27xx/patches-5.4/950-0294-drm-vc4-Add-missing-NULL-check-to-vc4_crtc_consume_e.patch b/target/linux/bcm27xx/patches-5.4/950-0294-drm-vc4-Add-missing-NULL-check-to-vc4_crtc_consume_e.patch
deleted file mode 100644 (file)
index f29ba4d..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From e2e9da35d4a598490b73da41eea1db27540339fd Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 7 Aug 2019 11:31:08 +0100
-Subject: [PATCH] drm/vc4: Add missing NULL check to
- vc4_crtc_consume_event
-
-vc4_crtc_consume_event wasn't checking crtc->state->event was
-set before dereferencing it, leading to an OOPS.
-
-Fixes "a5b534b drm/vc4: Resolve the vblank warnings on mode switching"
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 3 +++
- 1 file changed, 3 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -1000,6 +1000,9 @@ static void vc4_crtc_consume_event(struc
-       struct drm_device *dev = crtc->dev;
-       unsigned long flags;
-+      if (!crtc->state->event)
-+              return;
-+
-       crtc->state->event->pipe = drm_crtc_index(crtc);
-       WARN_ON(drm_crtc_vblank_get(crtc) != 0);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0295-net-bcmgenet-Workaround-2-for-Pi4-Ethernet-fail.patch b/target/linux/bcm27xx/patches-5.4/950-0295-net-bcmgenet-Workaround-2-for-Pi4-Ethernet-fail.patch
deleted file mode 100644 (file)
index db1866d..0000000
+++ /dev/null
@@ -1,52 +0,0 @@
-From 128e363e406841fbbd9800199cb093b4737d7cba Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Fri, 9 Aug 2019 08:51:43 +0100
-Subject: [PATCH] net: bcmgenet: Workaround #2 for Pi4 Ethernet fail
-
-Some combinations of Pi 4Bs and Ethernet switches don't reliably get a
-DCHP-assigned IP address, leaving the unit with a self=assigned 169.254
-address. In the failure case, the Pi is left able to receive packets
-but not send them, suggesting that the MAC<->PHY link is getting into
-a bad state.
-
-It has been found empirically that skipping a reset step by the genet
-driver prevents the failures. No downsides have been discovered yet,
-and unlike the forced renegotiation it doesn't increase the time to
-get an IP address, so the workaround is enabled by default; add
-
-  genet.skip_umac_reset=n
-
-to the command line to disable it.
-
-See: https://github.com/raspberrypi/linux/issues/3108
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/net/ethernet/broadcom/genet/bcmgenet.c | 9 +++++++++
- 1 file changed, 9 insertions(+)
-
---- a/drivers/net/ethernet/broadcom/genet/bcmgenet.c
-+++ b/drivers/net/ethernet/broadcom/genet/bcmgenet.c
-@@ -72,6 +72,10 @@
- /* Forward declarations */
- static void bcmgenet_set_rx_mode(struct net_device *dev);
-+static bool skip_umac_reset = true;
-+module_param(skip_umac_reset, bool, 0444);
-+MODULE_PARM_DESC(skip_umac_reset, "Skip UMAC reset step");
-+
- static inline void bcmgenet_writel(u32 value, void __iomem *offset)
- {
-       /* MIPS chips strapped for BE will automagically configure the
-@@ -1995,6 +1999,11 @@ static void reset_umac(struct bcmgenet_p
-       bcmgenet_rbuf_ctrl_set(priv, 0);
-       udelay(10);
-+      if (skip_umac_reset) {
-+              pr_warn("Skipping UMAC reset\n");
-+              return;
-+      }
-+
-       /* disable MAC while updating its registers */
-       bcmgenet_umac_writel(priv, 0, UMAC_CMD);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0295-staging-bcm2835-codec-add-support-for-V4L2_CID_MPEG_.patch b/target/linux/bcm27xx/patches-5.4/950-0295-staging-bcm2835-codec-add-support-for-V4L2_CID_MPEG_.patch
new file mode 100644 (file)
index 0000000..42baf4c
--- /dev/null
@@ -0,0 +1,55 @@
+From f80d87ce56916edf52dce4a311f3d512443ca7f7 Mon Sep 17 00:00:00 2001
+From: Aman Gupta <aman@tmm1.net>
+Date: Thu, 22 Aug 2019 22:31:37 +0000
+Subject: [PATCH] staging: bcm2835-codec: add support for
+ V4L2_CID_MPEG_VIDEO_FORCE_KEY_FRAME
+
+fixes #3171
+
+Signed-off-by: Aman Gupta <aman@tmm1.net>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 19 ++++++++++++++++++-
+ 1 file changed, 18 insertions(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -1587,6 +1587,20 @@ static int bcm2835_codec_s_ctrl(struct v
+               ret = bcm2835_codec_set_level_profile(ctx, ctrl);
+               break;
++      case V4L2_CID_MPEG_VIDEO_FORCE_KEY_FRAME: {
++              u32 mmal_bool = 1;
++
++              if (!ctx->component)
++                      break;
++
++              ret = vchiq_mmal_port_parameter_set(ctx->dev->instance,
++                                                  &ctx->component->output[0],
++                                                  MMAL_PARAMETER_VIDEO_REQUEST_I_FRAME,
++                                                  &mmal_bool,
++                                                  sizeof(mmal_bool));
++              break;
++      }
++
+       default:
+               v4l2_err(&ctx->dev->v4l2_dev, "Invalid control\n");
+               return -EINVAL;
+@@ -2311,7 +2325,7 @@ static int bcm2835_codec_open(struct fil
+       hdl = &ctx->hdl;
+       if (dev->role == ENCODE) {
+               /* Encode controls */
+-              v4l2_ctrl_handler_init(hdl, 6);
++              v4l2_ctrl_handler_init(hdl, 7);
+               v4l2_ctrl_new_std_menu(hdl, &bcm2835_codec_ctrl_ops,
+                                      V4L2_CID_MPEG_VIDEO_BITRATE_MODE,
+@@ -2355,6 +2369,9 @@ static int bcm2835_codec_open(struct fil
+                                        BIT(V4L2_MPEG_VIDEO_H264_PROFILE_MAIN) |
+                                        BIT(V4L2_MPEG_VIDEO_H264_PROFILE_HIGH)),
+                                       V4L2_MPEG_VIDEO_H264_PROFILE_HIGH);
++              v4l2_ctrl_new_std(hdl, &bcm2835_codec_ctrl_ops,
++                                V4L2_CID_MPEG_VIDEO_FORCE_KEY_FRAME,
++                                0, 0, 0, 0);
+               if (hdl->error) {
+                       rc = hdl->error;
+                       goto free_ctrl_handler;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0296-staging-bcm2835-codec-remove-unnecessary-padding-on-.patch b/target/linux/bcm27xx/patches-5.4/950-0296-staging-bcm2835-codec-remove-unnecessary-padding-on-.patch
new file mode 100644 (file)
index 0000000..d2a5086
--- /dev/null
@@ -0,0 +1,30 @@
+From aa8519dd50cf310e8760fbc11f5fa3ff672683e1 Mon Sep 17 00:00:00 2001
+From: Aman Gupta <aman@tmm1.net>
+Date: Fri, 23 Aug 2019 16:29:07 -0700
+Subject: [PATCH] staging: bcm2835-codec: remove unnecessary padding on
+ encoder input
+
+The ISP and ENCODE roles have the same underlying hardware. Neither requires vertical alignment.
+
+Signed-off-by: Aman Gupta <aman@tmm1.net>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c  | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -1050,12 +1050,12 @@ static int vidioc_try_fmt(struct bcm2835
+                       f->fmt.pix_mp.height = MIN_H;
+               /*
+-               * For codecs the buffer must have a vertical alignment of 16
++               * For decoders the buffer must have a vertical alignment of 16
+                * lines.
+                * The selection will reflect any cropping rectangle when only
+                * some of the pixels are active.
+                */
+-              if (ctx->dev->role != ISP)
++              if (ctx->dev->role == DECODE)
+                       f->fmt.pix_mp.height = ALIGN(f->fmt.pix_mp.height, 16);
+       }
+       f->fmt.pix_mp.num_planes = 1;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0296-xhci-Use-more-event-ring-segment-table-entries.patch b/target/linux/bcm27xx/patches-5.4/950-0296-xhci-Use-more-event-ring-segment-table-entries.patch
deleted file mode 100644 (file)
index 4b4766f..0000000
+++ /dev/null
@@ -1,60 +0,0 @@
-From 90c56c8f52c912aa6e990e63d953b6dbccea7250 Mon Sep 17 00:00:00 2001
-From: Jonathan Bell <jonathan@raspberrypi.org>
-Date: Tue, 13 Aug 2019 15:53:29 +0100
-Subject: [PATCH] xhci: Use more event ring segment table entries
-
-Users have reported log spam created by "Event Ring Full" xHC event
-TRBs. These are caused by interrupt latency in conjunction with a very
-busy set of devices on the bus. The errors are benign, but throughput
-will suffer as the xHC will pause processing of transfers until the
-event ring is drained by the kernel. Expand the number of event TRB slots
-available by increasing the number of event ring segments in the ERST.
-
-Controllers have a hardware-defined limit as to the number of ERST
-entries they can process, so make the actual number in use
-min(ERST_MAX_SEGS, hw_max).
-
-Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
----
- drivers/usb/host/xhci-mem.c | 8 +++++---
- drivers/usb/host/xhci.h     | 4 ++--
- 2 files changed, 7 insertions(+), 5 deletions(-)
-
---- a/drivers/usb/host/xhci-mem.c
-+++ b/drivers/usb/host/xhci-mem.c
-@@ -2503,9 +2503,11 @@ int xhci_mem_init(struct xhci_hcd *xhci,
-        * Event ring setup: Allocate a normal ring, but also setup
-        * the event ring segment table (ERST).  Section 4.9.3.
-        */
-+      val2 = 1 << HCS_ERST_MAX(xhci->hcs_params2);
-+      val2 = min_t(unsigned int, ERST_MAX_SEGS, val2);
-       xhci_dbg_trace(xhci, trace_xhci_dbg_init, "// Allocating event ring");
--      xhci->event_ring = xhci_ring_alloc(xhci, ERST_NUM_SEGS, 1, TYPE_EVENT,
--                                      0, flags);
-+      xhci->event_ring = xhci_ring_alloc(xhci, val2, 1, TYPE_EVENT,
-+                                         0, flags);
-       if (!xhci->event_ring)
-               goto fail;
-       if (xhci_check_trb_in_td_math(xhci) < 0)
-@@ -2518,7 +2520,7 @@ int xhci_mem_init(struct xhci_hcd *xhci,
-       /* set ERST count with the number of entries in the segment table */
-       val = readl(&xhci->ir_set->erst_size);
-       val &= ERST_SIZE_MASK;
--      val |= ERST_NUM_SEGS;
-+      val |= val2;
-       xhci_dbg_trace(xhci, trace_xhci_dbg_init,
-                       "// Write ERST size = %i to ir_set 0 (some bits preserved)",
-                       val);
---- a/drivers/usb/host/xhci.h
-+++ b/drivers/usb/host/xhci.h
-@@ -1649,8 +1649,8 @@ struct urb_priv {
-  * Each segment table entry is 4*32bits long.  1K seems like an ok size:
-  * (1K bytes * 8bytes/bit) / (4*32 bits) = 64 segment entries in the table,
-  * meaning 64 ring segments.
-- * Initial allocated size of the ERST, in number of entries */
--#define       ERST_NUM_SEGS   1
-+ * Maximum number of segments in the ERST */
-+#define       ERST_MAX_SEGS   8
- /* Initial allocated size of the ERST, in number of entries */
- #define       ERST_SIZE       64
- /* Initial number of event segment rings allocated */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0297-arch-arm-Add-model-string-to-cpuinfo.patch b/target/linux/bcm27xx/patches-5.4/950-0297-arch-arm-Add-model-string-to-cpuinfo.patch
new file mode 100644 (file)
index 0000000..83c1592
--- /dev/null
@@ -0,0 +1,36 @@
+From 4964bc1608844cb58c8ee96f315867e8142706a1 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Tue, 3 Sep 2019 18:16:56 +0100
+Subject: [PATCH] arch/arm: Add model string to cpuinfo
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/kernel/setup.c | 10 ++++++++++
+ 1 file changed, 10 insertions(+)
+
+--- a/arch/arm/kernel/setup.c
++++ b/arch/arm/kernel/setup.c
+@@ -1240,6 +1240,8 @@ static int c_show(struct seq_file *m, vo
+ {
+       int i, j;
+       u32 cpuid;
++      struct device_node *np;
++      const char *model;
+       for_each_online_cpu(i) {
+               /*
+@@ -1299,6 +1301,14 @@ static int c_show(struct seq_file *m, vo
+       seq_printf(m, "Revision\t: %04x\n", system_rev);
+       seq_printf(m, "Serial\t\t: %s\n", system_serial);
++      np = of_find_node_by_path("/");
++      if (np) {
++              if (!of_property_read_string(np, "model",
++                                           &model))
++                      seq_printf(m, "Model\t\t: %s\n", model);
++              of_node_put(np);
++      }
++
+       return 0;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0297-configs-arm64-bcm2711-Enable-V3D.patch b/target/linux/bcm27xx/patches-5.4/950-0297-configs-arm64-bcm2711-Enable-V3D.patch
deleted file mode 100644 (file)
index f079fcf..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From 4896c33bf9fe61ab62d5f6f93762d7c951a64a49 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Thu, 15 Aug 2019 12:02:34 +0100
-Subject: [PATCH] configs: arm64/bcm2711: Enable V3D
-
-Enable the V3D driver, which depends on BCM2835_POWER.
-
-Originally submitted by GitHub user 'phire' in a slightly different
-form.
-
-See: https://github.com/raspberrypi/linux/pull/3063
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/gpu/drm/v3d/Kconfig          | 2 +-
- 2 files changed, 2 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/v3d/Kconfig
-+++ b/drivers/gpu/drm/v3d/Kconfig
-@@ -1,7 +1,7 @@
- # SPDX-License-Identifier: GPL-2.0-only
- config DRM_V3D
-       tristate "Broadcom V3D 3.x and newer"
--      depends on ARCH_BCM || ARCH_BCMSTB || COMPILE_TEST
-+      depends on ARCH_BCM || ARCH_BCMSTB || ARCH_BCM2835 || COMPILE_TEST
-       depends on DRM
-       depends on COMMON_CLK
-       depends on MMU
diff --git a/target/linux/bcm27xx/patches-5.4/950-0298-arch-arm64-Add-Revision-Serial-Model-to-cpuinfo.patch b/target/linux/bcm27xx/patches-5.4/950-0298-arch-arm64-Add-Revision-Serial-Model-to-cpuinfo.patch
new file mode 100644 (file)
index 0000000..af76b81
--- /dev/null
@@ -0,0 +1,58 @@
+From 6ce4c2034f11fe1ba270637ebd5ba459c69e2b27 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Tue, 3 Sep 2019 18:17:25 +0100
+Subject: [PATCH] arch/arm64: Add Revision, Serial, Model to cpuinfo
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm64/kernel/cpuinfo.c | 25 +++++++++++++++++++++++++
+ 1 file changed, 25 insertions(+)
+
+--- a/arch/arm64/kernel/cpuinfo.c
++++ b/arch/arm64/kernel/cpuinfo.c
+@@ -17,6 +17,7 @@
+ #include <linux/elf.h>
+ #include <linux/init.h>
+ #include <linux/kernel.h>
++#include <linux/of_platform.h>
+ #include <linux/personality.h>
+ #include <linux/preempt.h>
+ #include <linux/printk.h>
+@@ -128,6 +129,10 @@ static int c_show(struct seq_file *m, vo
+ {
+       int i, j;
+       bool compat = personality(current->personality) == PER_LINUX32;
++      struct device_node *np;
++      const char *model;
++      const char *serial;
++      u32 revision;
+       for_each_online_cpu(i) {
+               struct cpuinfo_arm64 *cpuinfo = &per_cpu(cpu_data, i);
+@@ -179,6 +184,26 @@ static int c_show(struct seq_file *m, vo
+               seq_printf(m, "CPU revision\t: %d\n\n", MIDR_REVISION(midr));
+       }
++      seq_printf(m, "Hardware\t: BCM2835\n");
++
++      np = of_find_node_by_path("/system");
++      if (np) {
++              if (!of_property_read_u32(np, "linux,revision", &revision))
++                      seq_printf(m, "Revision\t: %04x\n", revision);
++              of_node_put(np);
++      }
++
++      np = of_find_node_by_path("/");
++      if (np) {
++              if (!of_property_read_string(np, "serial-number",
++                                           &serial))
++                      seq_printf(m, "Serial\t\t: %s\n", serial);
++              if (!of_property_read_string(np, "model",
++                                           &model))
++                      seq_printf(m, "Model\t\t: %s\n", model);
++              of_node_put(np);
++      }
++
+       return 0;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0298-staging-bcm2835-codec-add-support-for-V4L2_CID_MPEG_.patch b/target/linux/bcm27xx/patches-5.4/950-0298-staging-bcm2835-codec-add-support-for-V4L2_CID_MPEG_.patch
deleted file mode 100644 (file)
index 42baf4c..0000000
+++ /dev/null
@@ -1,55 +0,0 @@
-From f80d87ce56916edf52dce4a311f3d512443ca7f7 Mon Sep 17 00:00:00 2001
-From: Aman Gupta <aman@tmm1.net>
-Date: Thu, 22 Aug 2019 22:31:37 +0000
-Subject: [PATCH] staging: bcm2835-codec: add support for
- V4L2_CID_MPEG_VIDEO_FORCE_KEY_FRAME
-
-fixes #3171
-
-Signed-off-by: Aman Gupta <aman@tmm1.net>
----
- .../bcm2835-codec/bcm2835-v4l2-codec.c        | 19 ++++++++++++++++++-
- 1 file changed, 18 insertions(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -1587,6 +1587,20 @@ static int bcm2835_codec_s_ctrl(struct v
-               ret = bcm2835_codec_set_level_profile(ctx, ctrl);
-               break;
-+      case V4L2_CID_MPEG_VIDEO_FORCE_KEY_FRAME: {
-+              u32 mmal_bool = 1;
-+
-+              if (!ctx->component)
-+                      break;
-+
-+              ret = vchiq_mmal_port_parameter_set(ctx->dev->instance,
-+                                                  &ctx->component->output[0],
-+                                                  MMAL_PARAMETER_VIDEO_REQUEST_I_FRAME,
-+                                                  &mmal_bool,
-+                                                  sizeof(mmal_bool));
-+              break;
-+      }
-+
-       default:
-               v4l2_err(&ctx->dev->v4l2_dev, "Invalid control\n");
-               return -EINVAL;
-@@ -2311,7 +2325,7 @@ static int bcm2835_codec_open(struct fil
-       hdl = &ctx->hdl;
-       if (dev->role == ENCODE) {
-               /* Encode controls */
--              v4l2_ctrl_handler_init(hdl, 6);
-+              v4l2_ctrl_handler_init(hdl, 7);
-               v4l2_ctrl_new_std_menu(hdl, &bcm2835_codec_ctrl_ops,
-                                      V4L2_CID_MPEG_VIDEO_BITRATE_MODE,
-@@ -2355,6 +2369,9 @@ static int bcm2835_codec_open(struct fil
-                                        BIT(V4L2_MPEG_VIDEO_H264_PROFILE_MAIN) |
-                                        BIT(V4L2_MPEG_VIDEO_H264_PROFILE_HIGH)),
-                                       V4L2_MPEG_VIDEO_H264_PROFILE_HIGH);
-+              v4l2_ctrl_new_std(hdl, &bcm2835_codec_ctrl_ops,
-+                                V4L2_CID_MPEG_VIDEO_FORCE_KEY_FRAME,
-+                                0, 0, 0, 0);
-               if (hdl->error) {
-                       rc = hdl->error;
-                       goto free_ctrl_handler;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0299-staging-bcm2835-codec-Fix-non-documentation-comment-.patch b/target/linux/bcm27xx/patches-5.4/950-0299-staging-bcm2835-codec-Fix-non-documentation-comment-.patch
new file mode 100644 (file)
index 0000000..e1ec7d9
--- /dev/null
@@ -0,0 +1,27 @@
+From 8c9e3687480d787750a7cc09016ac551a9009e87 Mon Sep 17 00:00:00 2001
+From: Kieran Bingham <kieran.bingham@ideasonboard.com>
+Date: Sun, 28 Apr 2019 12:15:35 +0200
+Subject: [PATCH] staging: bcm2835-codec: Fix non-documentation comment
+ block
+
+The job_ready comment is incorrectly using the documentation prefix
+(/**) which causes a warning at build time.
+
+Simplify it.
+
+Signed-off-by: Kieran Bingham <kieran.bingham@ideasonboard.com>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c    | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -557,7 +557,7 @@ static struct vchiq_mmal_port *get_port_
+  * mem2mem callbacks
+  */
+-/**
++/*
+  * job_ready() - check whether an instance is ready to be scheduled to run
+  */
+ static int job_ready(void *priv)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0299-staging-bcm2835-codec-remove-unnecessary-padding-on-.patch b/target/linux/bcm27xx/patches-5.4/950-0299-staging-bcm2835-codec-remove-unnecessary-padding-on-.patch
deleted file mode 100644 (file)
index d2a5086..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-From aa8519dd50cf310e8760fbc11f5fa3ff672683e1 Mon Sep 17 00:00:00 2001
-From: Aman Gupta <aman@tmm1.net>
-Date: Fri, 23 Aug 2019 16:29:07 -0700
-Subject: [PATCH] staging: bcm2835-codec: remove unnecessary padding on
- encoder input
-
-The ISP and ENCODE roles have the same underlying hardware. Neither requires vertical alignment.
-
-Signed-off-by: Aman Gupta <aman@tmm1.net>
----
- .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c  | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -1050,12 +1050,12 @@ static int vidioc_try_fmt(struct bcm2835
-                       f->fmt.pix_mp.height = MIN_H;
-               /*
--               * For codecs the buffer must have a vertical alignment of 16
-+               * For decoders the buffer must have a vertical alignment of 16
-                * lines.
-                * The selection will reflect any cropping rectangle when only
-                * some of the pixels are active.
-                */
--              if (ctx->dev->role != ISP)
-+              if (ctx->dev->role == DECODE)
-                       f->fmt.pix_mp.height = ALIGN(f->fmt.pix_mp.height, 16);
-       }
-       f->fmt.pix_mp.num_planes = 1;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0300-arch-arm-Add-model-string-to-cpuinfo.patch b/target/linux/bcm27xx/patches-5.4/950-0300-arch-arm-Add-model-string-to-cpuinfo.patch
deleted file mode 100644 (file)
index 83c1592..0000000
+++ /dev/null
@@ -1,36 +0,0 @@
-From 4964bc1608844cb58c8ee96f315867e8142706a1 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Tue, 3 Sep 2019 18:16:56 +0100
-Subject: [PATCH] arch/arm: Add model string to cpuinfo
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/kernel/setup.c | 10 ++++++++++
- 1 file changed, 10 insertions(+)
-
---- a/arch/arm/kernel/setup.c
-+++ b/arch/arm/kernel/setup.c
-@@ -1240,6 +1240,8 @@ static int c_show(struct seq_file *m, vo
- {
-       int i, j;
-       u32 cpuid;
-+      struct device_node *np;
-+      const char *model;
-       for_each_online_cpu(i) {
-               /*
-@@ -1299,6 +1301,14 @@ static int c_show(struct seq_file *m, vo
-       seq_printf(m, "Revision\t: %04x\n", system_rev);
-       seq_printf(m, "Serial\t\t: %s\n", system_serial);
-+      np = of_find_node_by_path("/");
-+      if (np) {
-+              if (!of_property_read_string(np, "model",
-+                                           &model))
-+                      seq_printf(m, "Model\t\t: %s\n", model);
-+              of_node_put(np);
-+      }
-+
-       return 0;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0300-staging-bcm2835-codec-Fix-declaration-of-roles.patch b/target/linux/bcm27xx/patches-5.4/950-0300-staging-bcm2835-codec-Fix-declaration-of-roles.patch
new file mode 100644 (file)
index 0000000..6e54419
--- /dev/null
@@ -0,0 +1,26 @@
+From 1efb26ffda4c95103a91eb51505ef1bb30553b08 Mon Sep 17 00:00:00 2001
+From: Kieran Bingham <kieran.bingham@ideasonboard.com>
+Date: Wed, 20 Mar 2019 11:42:39 +0000
+Subject: [PATCH] staging: bcm2835-codec: Fix declaration of roles
+
+The static role text is declared incorrectly. The static should be
+first, and the roles should also be constified.
+
+Convert from "const static char *" to "static const char * const".
+
+Signed-off-by: Kieran Bingham <kieran.bingham@ideasonboard.com>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c    | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -77,7 +77,7 @@ enum bcm2835_codec_role {
+       ISP,
+ };
+-const static char *roles[] = {
++static const char * const roles[] = {
+       "decode",
+       "encode",
+       "isp"
diff --git a/target/linux/bcm27xx/patches-5.4/950-0301-arch-arm64-Add-Revision-Serial-Model-to-cpuinfo.patch b/target/linux/bcm27xx/patches-5.4/950-0301-arch-arm64-Add-Revision-Serial-Model-to-cpuinfo.patch
deleted file mode 100644 (file)
index af76b81..0000000
+++ /dev/null
@@ -1,58 +0,0 @@
-From 6ce4c2034f11fe1ba270637ebd5ba459c69e2b27 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Tue, 3 Sep 2019 18:17:25 +0100
-Subject: [PATCH] arch/arm64: Add Revision, Serial, Model to cpuinfo
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm64/kernel/cpuinfo.c | 25 +++++++++++++++++++++++++
- 1 file changed, 25 insertions(+)
-
---- a/arch/arm64/kernel/cpuinfo.c
-+++ b/arch/arm64/kernel/cpuinfo.c
-@@ -17,6 +17,7 @@
- #include <linux/elf.h>
- #include <linux/init.h>
- #include <linux/kernel.h>
-+#include <linux/of_platform.h>
- #include <linux/personality.h>
- #include <linux/preempt.h>
- #include <linux/printk.h>
-@@ -128,6 +129,10 @@ static int c_show(struct seq_file *m, vo
- {
-       int i, j;
-       bool compat = personality(current->personality) == PER_LINUX32;
-+      struct device_node *np;
-+      const char *model;
-+      const char *serial;
-+      u32 revision;
-       for_each_online_cpu(i) {
-               struct cpuinfo_arm64 *cpuinfo = &per_cpu(cpu_data, i);
-@@ -179,6 +184,26 @@ static int c_show(struct seq_file *m, vo
-               seq_printf(m, "CPU revision\t: %d\n\n", MIDR_REVISION(midr));
-       }
-+      seq_printf(m, "Hardware\t: BCM2835\n");
-+
-+      np = of_find_node_by_path("/system");
-+      if (np) {
-+              if (!of_property_read_u32(np, "linux,revision", &revision))
-+                      seq_printf(m, "Revision\t: %04x\n", revision);
-+              of_node_put(np);
-+      }
-+
-+      np = of_find_node_by_path("/");
-+      if (np) {
-+              if (!of_property_read_string(np, "serial-number",
-+                                           &serial))
-+                      seq_printf(m, "Serial\t\t: %s\n", serial);
-+              if (!of_property_read_string(np, "model",
-+                                           &model))
-+                      seq_printf(m, "Model\t\t: %s\n", model);
-+              of_node_put(np);
-+      }
-+
-       return 0;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0301-staging-bcm2835-codec-Add-role-to-device-name.patch b/target/linux/bcm27xx/patches-5.4/950-0301-staging-bcm2835-codec-Add-role-to-device-name.patch
new file mode 100644 (file)
index 0000000..e1539f4
--- /dev/null
@@ -0,0 +1,45 @@
+From 434803a4828aed99d5328dd41b4600ef7b0be0ff Mon Sep 17 00:00:00 2001
+From: Kieran Bingham <kieran.bingham@ideasonboard.com>
+Date: Wed, 20 Mar 2019 11:55:43 +0000
+Subject: [PATCH] staging: bcm2835-codec: Add role to device name
+
+Three entities are created, Decode, Encode and ISP but all of the video
+nodes use the same video name string "bcm2835-codec" which makes it
+difficult to identify each role.
+
+Append the role-name to the video name to facilitate identifying a
+specific instance from userspace.
+
+The Card-Type is also extended with the role name to support identifying
+the device context from within QUERY_CAP operations.
+
+Signed-off-by: Kieran Bingham <kieran.bingham@ideasonboard.com>
+---
+ .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c      | 8 +++++---
+ 1 file changed, 5 insertions(+), 3 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -947,8 +947,10 @@ static void device_run(void *priv)
+ static int vidioc_querycap(struct file *file, void *priv,
+                          struct v4l2_capability *cap)
+ {
++      struct bcm2835_codec_dev *dev = video_drvdata(file);
++
+       strncpy(cap->driver, MEM2MEM_NAME, sizeof(cap->driver) - 1);
+-      strncpy(cap->card, MEM2MEM_NAME, sizeof(cap->card) - 1);
++      strncpy(cap->card, dev->vfd.name, sizeof(cap->card) - 1);
+       snprintf(cap->bus_info, sizeof(cap->bus_info), "platform:%s",
+                MEM2MEM_NAME);
+       return 0;
+@@ -2657,8 +2659,8 @@ static int bcm2835_codec_create(struct p
+       }
+       video_set_drvdata(vfd, dev);
+-      snprintf(vfd->name, sizeof(vfd->name), "%s",
+-               bcm2835_codec_videodev.name);
++      snprintf(vfd->name, sizeof(vfd->name), "%s-%s",
++               bcm2835_codec_videodev.name, roles[role]);
+       v4l2_info(&dev->v4l2_dev, "Device registered as /dev/video%d\n",
+                 vfd->num);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0302-staging-bcm2835-codec-Fix-non-documentation-comment-.patch b/target/linux/bcm27xx/patches-5.4/950-0302-staging-bcm2835-codec-Fix-non-documentation-comment-.patch
deleted file mode 100644 (file)
index e1ec7d9..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From 8c9e3687480d787750a7cc09016ac551a9009e87 Mon Sep 17 00:00:00 2001
-From: Kieran Bingham <kieran.bingham@ideasonboard.com>
-Date: Sun, 28 Apr 2019 12:15:35 +0200
-Subject: [PATCH] staging: bcm2835-codec: Fix non-documentation comment
- block
-
-The job_ready comment is incorrectly using the documentation prefix
-(/**) which causes a warning at build time.
-
-Simplify it.
-
-Signed-off-by: Kieran Bingham <kieran.bingham@ideasonboard.com>
----
- .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c    | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -557,7 +557,7 @@ static struct vchiq_mmal_port *get_port_
-  * mem2mem callbacks
-  */
--/**
-+/*
-  * job_ready() - check whether an instance is ready to be scheduled to run
-  */
- static int job_ready(void *priv)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0302-staging-bcm2835-codec-Pass-driver-context-to-create-.patch b/target/linux/bcm27xx/patches-5.4/950-0302-staging-bcm2835-codec-Pass-driver-context-to-create-.patch
new file mode 100644 (file)
index 0000000..a0b86db
--- /dev/null
@@ -0,0 +1,61 @@
+From aebdaf3bf7931e42b6787d1f1554de03e84422c7 Mon Sep 17 00:00:00 2001
+From: Kieran Bingham <kieran.bingham@ideasonboard.com>
+Date: Wed, 20 Mar 2019 11:35:26 +0000
+Subject: [PATCH] staging: bcm2835-codec: Pass driver context to create
+ entities
+
+Pass the bcm2835_codec_driver driver context directly into the
+bcm2835_codec_create() so that it can be used to store driver global
+state. Pass the struct platform_device *pdev by adding it to the driver
+global state.
+
+Signed-off-by: Kieran Bingham <kieran.bingham@ideasonboard.com>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c              | 13 +++++++++----
+ 1 file changed, 9 insertions(+), 4 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -457,6 +457,8 @@ struct bcm2835_codec_ctx {
+ };
+ struct bcm2835_codec_driver {
++      struct platform_device *pdev;
++
+       struct bcm2835_codec_dev *encode;
+       struct bcm2835_codec_dev *decode;
+       struct bcm2835_codec_dev *isp;
+@@ -2587,10 +2589,11 @@ destroy_component:
+       return ret;
+ }
+-static int bcm2835_codec_create(struct platform_device *pdev,
++static int bcm2835_codec_create(struct bcm2835_codec_driver *drv,
+                               struct bcm2835_codec_dev **new_dev,
+                               enum bcm2835_codec_role role)
+ {
++      struct platform_device *pdev = drv->pdev;
+       struct bcm2835_codec_dev *dev;
+       struct video_device *vfd;
+       int video_nr;
+@@ -2711,15 +2714,17 @@ static int bcm2835_codec_probe(struct pl
+       if (!drv)
+               return -ENOMEM;
+-      ret = bcm2835_codec_create(pdev, &drv->decode, DECODE);
++      drv->pdev = pdev;
++
++      ret = bcm2835_codec_create(drv, &drv->decode, DECODE);
+       if (ret)
+               goto out;
+-      ret = bcm2835_codec_create(pdev, &drv->encode, ENCODE);
++      ret = bcm2835_codec_create(drv, &drv->encode, ENCODE);
+       if (ret)
+               goto out;
+-      ret = bcm2835_codec_create(pdev, &drv->isp, ISP);
++      ret = bcm2835_codec_create(drv, &drv->isp, ISP);
+       if (ret)
+               goto out;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0303-staging-bcm2835-codec-Fix-declaration-of-roles.patch b/target/linux/bcm27xx/patches-5.4/950-0303-staging-bcm2835-codec-Fix-declaration-of-roles.patch
deleted file mode 100644 (file)
index 6e54419..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From 1efb26ffda4c95103a91eb51505ef1bb30553b08 Mon Sep 17 00:00:00 2001
-From: Kieran Bingham <kieran.bingham@ideasonboard.com>
-Date: Wed, 20 Mar 2019 11:42:39 +0000
-Subject: [PATCH] staging: bcm2835-codec: Fix declaration of roles
-
-The static role text is declared incorrectly. The static should be
-first, and the roles should also be constified.
-
-Convert from "const static char *" to "static const char * const".
-
-Signed-off-by: Kieran Bingham <kieran.bingham@ideasonboard.com>
----
- .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c    | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -77,7 +77,7 @@ enum bcm2835_codec_role {
-       ISP,
- };
--const static char *roles[] = {
-+static const char * const roles[] = {
-       "decode",
-       "encode",
-       "isp"
diff --git a/target/linux/bcm27xx/patches-5.4/950-0303-staging-bcm2835-codec-add-media-controller-support.patch b/target/linux/bcm27xx/patches-5.4/950-0303-staging-bcm2835-codec-add-media-controller-support.patch
new file mode 100644 (file)
index 0000000..f0ac323
--- /dev/null
@@ -0,0 +1,163 @@
+From 4d066da23979b8de03b5915388136be6e293600f Mon Sep 17 00:00:00 2001
+From: Kieran Bingham <kieran.bingham@ideasonboard.com>
+Date: Wed, 20 Mar 2019 12:54:15 +0000
+Subject: [PATCH] staging: bcm2835-codec: add media controller support
+
+Provide a single media device to contain all of the bcm2835_codec
+devices created.
+
+Signed-off-by: Kieran Bingham <kieran.bingham@ideasonboard.com>
+---
+ .../vc04_services/bcm2835-codec/Kconfig       |  2 +-
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 41 +++++++++++++++++--
+ 2 files changed, 38 insertions(+), 5 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/Kconfig
++++ b/drivers/staging/vc04_services/bcm2835-codec/Kconfig
+@@ -1,6 +1,6 @@
+ config VIDEO_CODEC_BCM2835
+       tristate "BCM2835 Video codec support"
+-      depends on MEDIA_SUPPORT
++      depends on MEDIA_SUPPORT && MEDIA_CONTROLLER
+       depends on VIDEO_V4L2 && (ARCH_BCM2835 || COMPILE_TEST)
+       select BCM2835_VCHIQ_MMAL
+       select VIDEOBUF2_DMA_CONTIG
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -458,6 +458,7 @@ struct bcm2835_codec_ctx {
+ struct bcm2835_codec_driver {
+       struct platform_device *pdev;
++      struct media_device     mdev;
+       struct bcm2835_codec_dev *encode;
+       struct bcm2835_codec_dev *decode;
+@@ -2596,6 +2597,7 @@ static int bcm2835_codec_create(struct b
+       struct platform_device *pdev = drv->pdev;
+       struct bcm2835_codec_dev *dev;
+       struct video_device *vfd;
++      int function;
+       int video_nr;
+       int ret;
+@@ -2615,18 +2617,21 @@ static int bcm2835_codec_create(struct b
+       if (ret)
+               goto vchiq_finalise;
+-      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
+-      if (ret)
+-              goto vchiq_finalise;
+-
+       atomic_set(&dev->num_inst, 0);
+       mutex_init(&dev->dev_mutex);
++      /* Initialise the video device */
+       dev->vfd = bcm2835_codec_videodev;
++
+       vfd = &dev->vfd;
+       vfd->lock = &dev->dev_mutex;
+       vfd->v4l2_dev = &dev->v4l2_dev;
+       vfd->device_caps = V4L2_CAP_VIDEO_M2M_MPLANE | V4L2_CAP_STREAMING;
++      vfd->v4l2_dev->mdev = &drv->mdev;
++
++      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
++      if (ret)
++              goto vchiq_finalise;
+       switch (role) {
+       case DECODE:
+@@ -2634,11 +2639,13 @@ static int bcm2835_codec_create(struct b
+               v4l2_disable_ioctl(vfd, VIDIOC_TRY_ENCODER_CMD);
+               v4l2_disable_ioctl(vfd, VIDIOC_S_PARM);
+               v4l2_disable_ioctl(vfd, VIDIOC_G_PARM);
++              function = MEDIA_ENT_F_PROC_VIDEO_DECODER;
+               video_nr = decode_video_nr;
+               break;
+       case ENCODE:
+               v4l2_disable_ioctl(vfd, VIDIOC_DECODER_CMD);
+               v4l2_disable_ioctl(vfd, VIDIOC_TRY_DECODER_CMD);
++              function = MEDIA_ENT_F_PROC_VIDEO_ENCODER;
+               video_nr = encode_video_nr;
+               break;
+       case ISP:
+@@ -2648,6 +2655,7 @@ static int bcm2835_codec_create(struct b
+               v4l2_disable_ioctl(vfd, VIDIOC_TRY_DECODER_CMD);
+               v4l2_disable_ioctl(vfd, VIDIOC_S_PARM);
+               v4l2_disable_ioctl(vfd, VIDIOC_G_PARM);
++              function = MEDIA_ENT_F_PROC_VIDEO_SCALER;
+               video_nr = isp_video_nr;
+               break;
+       default:
+@@ -2676,6 +2684,10 @@ static int bcm2835_codec_create(struct b
+               goto err_m2m;
+       }
++      ret = v4l2_m2m_register_media_controller(dev->m2m_dev, vfd, function);
++      if (ret)
++              goto err_m2m;
++
+       v4l2_info(&dev->v4l2_dev, "Loaded V4L2 %s\n",
+                 roles[role]);
+       return 0;
+@@ -2697,6 +2709,7 @@ static int bcm2835_codec_destroy(struct
+       v4l2_info(&dev->v4l2_dev, "Removing " MEM2MEM_NAME ", %s\n",
+                 roles[dev->role]);
++      v4l2_m2m_unregister_media_controller(dev->m2m_dev);
+       v4l2_m2m_release(dev->m2m_dev);
+       video_unregister_device(&dev->vfd);
+       v4l2_device_unregister(&dev->v4l2_dev);
+@@ -2708,6 +2721,7 @@ static int bcm2835_codec_destroy(struct
+ static int bcm2835_codec_probe(struct platform_device *pdev)
+ {
+       struct bcm2835_codec_driver *drv;
++      struct media_device *mdev;
+       int ret = 0;
+       drv = devm_kzalloc(&pdev->dev, sizeof(*drv), GFP_KERNEL);
+@@ -2715,6 +2729,17 @@ static int bcm2835_codec_probe(struct pl
+               return -ENOMEM;
+       drv->pdev = pdev;
++      mdev = &drv->mdev;
++      mdev->dev = &pdev->dev;
++
++      strscpy(mdev->model, bcm2835_codec_videodev.name, sizeof(mdev->model));
++      strscpy(mdev->serial, "0000", sizeof(mdev->serial));
++      snprintf(mdev->bus_info, sizeof(mdev->bus_info), "platform:%s",
++               pdev->name);
++
++      /* This should return the vgencmd version information or such .. */
++      mdev->hw_revision = 1;
++      media_device_init(mdev);
+       ret = bcm2835_codec_create(drv, &drv->decode, DECODE);
+       if (ret)
+@@ -2728,6 +2753,10 @@ static int bcm2835_codec_probe(struct pl
+       if (ret)
+               goto out;
++      /* Register the media device node */
++      if (media_device_register(mdev) < 0)
++              goto out;
++
+       platform_set_drvdata(pdev, drv);
+       return 0;
+@@ -2748,12 +2777,16 @@ static int bcm2835_codec_remove(struct p
+ {
+       struct bcm2835_codec_driver *drv = platform_get_drvdata(pdev);
++      media_device_unregister(&drv->mdev);
++
+       bcm2835_codec_destroy(drv->isp);
+       bcm2835_codec_destroy(drv->encode);
+       bcm2835_codec_destroy(drv->decode);
++      media_device_cleanup(&drv->mdev);
++
+       return 0;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0304-staging-bcm2835-codec-Add-role-to-device-name.patch b/target/linux/bcm27xx/patches-5.4/950-0304-staging-bcm2835-codec-Add-role-to-device-name.patch
deleted file mode 100644 (file)
index e1539f4..0000000
+++ /dev/null
@@ -1,45 +0,0 @@
-From 434803a4828aed99d5328dd41b4600ef7b0be0ff Mon Sep 17 00:00:00 2001
-From: Kieran Bingham <kieran.bingham@ideasonboard.com>
-Date: Wed, 20 Mar 2019 11:55:43 +0000
-Subject: [PATCH] staging: bcm2835-codec: Add role to device name
-
-Three entities are created, Decode, Encode and ISP but all of the video
-nodes use the same video name string "bcm2835-codec" which makes it
-difficult to identify each role.
-
-Append the role-name to the video name to facilitate identifying a
-specific instance from userspace.
-
-The Card-Type is also extended with the role name to support identifying
-the device context from within QUERY_CAP operations.
-
-Signed-off-by: Kieran Bingham <kieran.bingham@ideasonboard.com>
----
- .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c      | 8 +++++---
- 1 file changed, 5 insertions(+), 3 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -947,8 +947,10 @@ static void device_run(void *priv)
- static int vidioc_querycap(struct file *file, void *priv,
-                          struct v4l2_capability *cap)
- {
-+      struct bcm2835_codec_dev *dev = video_drvdata(file);
-+
-       strncpy(cap->driver, MEM2MEM_NAME, sizeof(cap->driver) - 1);
--      strncpy(cap->card, MEM2MEM_NAME, sizeof(cap->card) - 1);
-+      strncpy(cap->card, dev->vfd.name, sizeof(cap->card) - 1);
-       snprintf(cap->bus_info, sizeof(cap->bus_info), "platform:%s",
-                MEM2MEM_NAME);
-       return 0;
-@@ -2657,8 +2659,8 @@ static int bcm2835_codec_create(struct p
-       }
-       video_set_drvdata(vfd, dev);
--      snprintf(vfd->name, sizeof(vfd->name), "%s",
--               bcm2835_codec_videodev.name);
-+      snprintf(vfd->name, sizeof(vfd->name), "%s-%s",
-+               bcm2835_codec_videodev.name, roles[role]);
-       v4l2_info(&dev->v4l2_dev, "Device registered as /dev/video%d\n",
-                 vfd->num);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0304-v4l2-Add-a-Greyworld-AWB-mode.patch b/target/linux/bcm27xx/patches-5.4/950-0304-v4l2-Add-a-Greyworld-AWB-mode.patch
new file mode 100644 (file)
index 0000000..459646e
--- /dev/null
@@ -0,0 +1,34 @@
+From 1b14387d6b699c4cfc8218867997b1508a67167a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 6 Sep 2019 15:04:51 +0100
+Subject: [PATCH] v4l2: Add a Greyworld AWB mode.
+
+Adds a simple greyworld white balance preset, mainly for use
+with cameras without an IR filter (eg Raspberry Pi NoIR)
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/media/v4l2-core/v4l2-ctrls.c | 1 +
+ include/uapi/linux/v4l2-controls.h   | 1 +
+ 2 files changed, 2 insertions(+)
+
+--- a/drivers/media/v4l2-core/v4l2-ctrls.c
++++ b/drivers/media/v4l2-core/v4l2-ctrls.c
+@@ -271,6 +271,7 @@ const char * const *v4l2_ctrl_get_menu(u
+               "Flash",
+               "Cloudy",
+               "Shade",
++              "Greyworld",
+               NULL,
+       };
+       static const char * const camera_iso_sensitivity_auto[] = {
+--- a/include/uapi/linux/v4l2-controls.h
++++ b/include/uapi/linux/v4l2-controls.h
+@@ -850,6 +850,7 @@ enum v4l2_auto_n_preset_white_balance {
+       V4L2_WHITE_BALANCE_FLASH                = 7,
+       V4L2_WHITE_BALANCE_CLOUDY               = 8,
+       V4L2_WHITE_BALANCE_SHADE                = 9,
++      V4L2_WHITE_BALANCE_GREYWORLD            = 10,
+ };
+ #define V4L2_CID_WIDE_DYNAMIC_RANGE           (V4L2_CID_CAMERA_CLASS_BASE+21)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0305-staging-bcm2835-camera-Add-greyworld-AWB-mode.patch b/target/linux/bcm27xx/patches-5.4/950-0305-staging-bcm2835-camera-Add-greyworld-AWB-mode.patch
new file mode 100644 (file)
index 0000000..e29c380
--- /dev/null
@@ -0,0 +1,48 @@
+From a1504ea7c24e74fe4d10b024d8105dc66115b01e Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 6 Sep 2019 15:13:06 +0100
+Subject: [PATCH] staging: bcm2835-camera: Add greyworld AWB mode
+
+This is mainly used for the NoIR camera which has no IR
+filter and can completely confuse normal AWB presets.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/staging/vc04_services/bcm2835-camera/controls.c   | 8 ++++++--
+ .../staging/vc04_services/vchiq-mmal/mmal-parameters.h    | 1 +
+ 2 files changed, 7 insertions(+), 2 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-camera/controls.c
++++ b/drivers/staging/vc04_services/bcm2835-camera/controls.c
+@@ -477,6 +477,10 @@ static int ctrl_set_awb_mode(struct bm28
+       case V4L2_WHITE_BALANCE_SHADE:
+               u32_value = MMAL_PARAM_AWBMODE_SHADE;
+               break;
++
++      case V4L2_WHITE_BALANCE_GREYWORLD:
++              u32_value = MMAL_PARAM_AWBMODE_GREYWORLD;
++              break;
+       }
+       return vchiq_mmal_port_parameter_set(dev->instance, control,
+@@ -1014,8 +1018,8 @@ static const struct bm2835_mmal_v4l2_ctr
+       {
+               V4L2_CID_AUTO_N_PRESET_WHITE_BALANCE,
+               MMAL_CONTROL_TYPE_STD_MENU,
+-              ~0x3ff, V4L2_WHITE_BALANCE_SHADE, V4L2_WHITE_BALANCE_AUTO, 0,
+-              NULL,
++              ~0x7ff, V4L2_WHITE_BALANCE_GREYWORLD, V4L2_WHITE_BALANCE_AUTO,
++              0, NULL,
+               MMAL_PARAMETER_AWB_MODE,
+               ctrl_set_awb_mode,
+               false
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
+@@ -313,6 +313,7 @@ enum mmal_parameter_awbmode {
+       MMAL_PARAM_AWBMODE_INCANDESCENT,
+       MMAL_PARAM_AWBMODE_FLASH,
+       MMAL_PARAM_AWBMODE_HORIZON,
++      MMAL_PARAM_AWBMODE_GREYWORLD,
+ };
+ enum mmal_parameter_imagefx {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0305-staging-bcm2835-codec-Pass-driver-context-to-create-.patch b/target/linux/bcm27xx/patches-5.4/950-0305-staging-bcm2835-codec-Pass-driver-context-to-create-.patch
deleted file mode 100644 (file)
index a0b86db..0000000
+++ /dev/null
@@ -1,61 +0,0 @@
-From aebdaf3bf7931e42b6787d1f1554de03e84422c7 Mon Sep 17 00:00:00 2001
-From: Kieran Bingham <kieran.bingham@ideasonboard.com>
-Date: Wed, 20 Mar 2019 11:35:26 +0000
-Subject: [PATCH] staging: bcm2835-codec: Pass driver context to create
- entities
-
-Pass the bcm2835_codec_driver driver context directly into the
-bcm2835_codec_create() so that it can be used to store driver global
-state. Pass the struct platform_device *pdev by adding it to the driver
-global state.
-
-Signed-off-by: Kieran Bingham <kieran.bingham@ideasonboard.com>
----
- .../bcm2835-codec/bcm2835-v4l2-codec.c              | 13 +++++++++----
- 1 file changed, 9 insertions(+), 4 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -457,6 +457,8 @@ struct bcm2835_codec_ctx {
- };
- struct bcm2835_codec_driver {
-+      struct platform_device *pdev;
-+
-       struct bcm2835_codec_dev *encode;
-       struct bcm2835_codec_dev *decode;
-       struct bcm2835_codec_dev *isp;
-@@ -2587,10 +2589,11 @@ destroy_component:
-       return ret;
- }
--static int bcm2835_codec_create(struct platform_device *pdev,
-+static int bcm2835_codec_create(struct bcm2835_codec_driver *drv,
-                               struct bcm2835_codec_dev **new_dev,
-                               enum bcm2835_codec_role role)
- {
-+      struct platform_device *pdev = drv->pdev;
-       struct bcm2835_codec_dev *dev;
-       struct video_device *vfd;
-       int video_nr;
-@@ -2711,15 +2714,17 @@ static int bcm2835_codec_probe(struct pl
-       if (!drv)
-               return -ENOMEM;
--      ret = bcm2835_codec_create(pdev, &drv->decode, DECODE);
-+      drv->pdev = pdev;
-+
-+      ret = bcm2835_codec_create(drv, &drv->decode, DECODE);
-       if (ret)
-               goto out;
--      ret = bcm2835_codec_create(pdev, &drv->encode, ENCODE);
-+      ret = bcm2835_codec_create(drv, &drv->encode, ENCODE);
-       if (ret)
-               goto out;
--      ret = bcm2835_codec_create(pdev, &drv->isp, ISP);
-+      ret = bcm2835_codec_create(drv, &drv->isp, ISP);
-       if (ret)
-               goto out;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0306-drm-vc4-Fix-for-margins-in-composite-SDTV-mode-3223.patch b/target/linux/bcm27xx/patches-5.4/950-0306-drm-vc4-Fix-for-margins-in-composite-SDTV-mode-3223.patch
new file mode 100644 (file)
index 0000000..f6391e0
--- /dev/null
@@ -0,0 +1,34 @@
+From ae66baa23455df9f7593b98c5c2f02818dbaf41b Mon Sep 17 00:00:00 2001
+From: James Hughes <JamesH65@users.noreply.github.com>
+Date: Wed, 11 Sep 2019 14:57:18 +0100
+Subject: [PATCH] drm/vc4: Fix for margins in composite/SDTV mode
+ (#3223)
+
+Margins were incorrectly assumed to be setup in SDTV mode, but were
+not actually done, so this make the setup non-conditional on mode.
+
+Signed-off-by: James Hughes <james.hughes@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 11 +++--------
+ 1 file changed, 3 insertions(+), 8 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -1612,14 +1612,9 @@ vc4_fkms_connector_init(struct drm_devic
+               connector->interlace_allowed = 0;
+       }
+-      /* Create and attach TV margin props to this connector.
+-       * Already done for SDTV outputs.
+-       */
+-      if (fkms_connector->display_type != DRM_MODE_ENCODER_TVDAC) {
+-              ret = drm_mode_create_tv_margin_properties(dev);
+-              if (ret)
+-                      goto fail;
+-      }
++      ret = drm_mode_create_tv_margin_properties(dev);
++      if (ret)
++              goto fail;
+       drm_connector_attach_tv_margin_properties(connector);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0306-staging-bcm2835-codec-add-media-controller-support.patch b/target/linux/bcm27xx/patches-5.4/950-0306-staging-bcm2835-codec-add-media-controller-support.patch
deleted file mode 100644 (file)
index f0ac323..0000000
+++ /dev/null
@@ -1,163 +0,0 @@
-From 4d066da23979b8de03b5915388136be6e293600f Mon Sep 17 00:00:00 2001
-From: Kieran Bingham <kieran.bingham@ideasonboard.com>
-Date: Wed, 20 Mar 2019 12:54:15 +0000
-Subject: [PATCH] staging: bcm2835-codec: add media controller support
-
-Provide a single media device to contain all of the bcm2835_codec
-devices created.
-
-Signed-off-by: Kieran Bingham <kieran.bingham@ideasonboard.com>
----
- .../vc04_services/bcm2835-codec/Kconfig       |  2 +-
- .../bcm2835-codec/bcm2835-v4l2-codec.c        | 41 +++++++++++++++++--
- 2 files changed, 38 insertions(+), 5 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/Kconfig
-+++ b/drivers/staging/vc04_services/bcm2835-codec/Kconfig
-@@ -1,6 +1,6 @@
- config VIDEO_CODEC_BCM2835
-       tristate "BCM2835 Video codec support"
--      depends on MEDIA_SUPPORT
-+      depends on MEDIA_SUPPORT && MEDIA_CONTROLLER
-       depends on VIDEO_V4L2 && (ARCH_BCM2835 || COMPILE_TEST)
-       select BCM2835_VCHIQ_MMAL
-       select VIDEOBUF2_DMA_CONTIG
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -458,6 +458,7 @@ struct bcm2835_codec_ctx {
- struct bcm2835_codec_driver {
-       struct platform_device *pdev;
-+      struct media_device     mdev;
-       struct bcm2835_codec_dev *encode;
-       struct bcm2835_codec_dev *decode;
-@@ -2596,6 +2597,7 @@ static int bcm2835_codec_create(struct b
-       struct platform_device *pdev = drv->pdev;
-       struct bcm2835_codec_dev *dev;
-       struct video_device *vfd;
-+      int function;
-       int video_nr;
-       int ret;
-@@ -2615,18 +2617,21 @@ static int bcm2835_codec_create(struct b
-       if (ret)
-               goto vchiq_finalise;
--      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
--      if (ret)
--              goto vchiq_finalise;
--
-       atomic_set(&dev->num_inst, 0);
-       mutex_init(&dev->dev_mutex);
-+      /* Initialise the video device */
-       dev->vfd = bcm2835_codec_videodev;
-+
-       vfd = &dev->vfd;
-       vfd->lock = &dev->dev_mutex;
-       vfd->v4l2_dev = &dev->v4l2_dev;
-       vfd->device_caps = V4L2_CAP_VIDEO_M2M_MPLANE | V4L2_CAP_STREAMING;
-+      vfd->v4l2_dev->mdev = &drv->mdev;
-+
-+      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
-+      if (ret)
-+              goto vchiq_finalise;
-       switch (role) {
-       case DECODE:
-@@ -2634,11 +2639,13 @@ static int bcm2835_codec_create(struct b
-               v4l2_disable_ioctl(vfd, VIDIOC_TRY_ENCODER_CMD);
-               v4l2_disable_ioctl(vfd, VIDIOC_S_PARM);
-               v4l2_disable_ioctl(vfd, VIDIOC_G_PARM);
-+              function = MEDIA_ENT_F_PROC_VIDEO_DECODER;
-               video_nr = decode_video_nr;
-               break;
-       case ENCODE:
-               v4l2_disable_ioctl(vfd, VIDIOC_DECODER_CMD);
-               v4l2_disable_ioctl(vfd, VIDIOC_TRY_DECODER_CMD);
-+              function = MEDIA_ENT_F_PROC_VIDEO_ENCODER;
-               video_nr = encode_video_nr;
-               break;
-       case ISP:
-@@ -2648,6 +2655,7 @@ static int bcm2835_codec_create(struct b
-               v4l2_disable_ioctl(vfd, VIDIOC_TRY_DECODER_CMD);
-               v4l2_disable_ioctl(vfd, VIDIOC_S_PARM);
-               v4l2_disable_ioctl(vfd, VIDIOC_G_PARM);
-+              function = MEDIA_ENT_F_PROC_VIDEO_SCALER;
-               video_nr = isp_video_nr;
-               break;
-       default:
-@@ -2676,6 +2684,10 @@ static int bcm2835_codec_create(struct b
-               goto err_m2m;
-       }
-+      ret = v4l2_m2m_register_media_controller(dev->m2m_dev, vfd, function);
-+      if (ret)
-+              goto err_m2m;
-+
-       v4l2_info(&dev->v4l2_dev, "Loaded V4L2 %s\n",
-                 roles[role]);
-       return 0;
-@@ -2697,6 +2709,7 @@ static int bcm2835_codec_destroy(struct
-       v4l2_info(&dev->v4l2_dev, "Removing " MEM2MEM_NAME ", %s\n",
-                 roles[dev->role]);
-+      v4l2_m2m_unregister_media_controller(dev->m2m_dev);
-       v4l2_m2m_release(dev->m2m_dev);
-       video_unregister_device(&dev->vfd);
-       v4l2_device_unregister(&dev->v4l2_dev);
-@@ -2708,6 +2721,7 @@ static int bcm2835_codec_destroy(struct
- static int bcm2835_codec_probe(struct platform_device *pdev)
- {
-       struct bcm2835_codec_driver *drv;
-+      struct media_device *mdev;
-       int ret = 0;
-       drv = devm_kzalloc(&pdev->dev, sizeof(*drv), GFP_KERNEL);
-@@ -2715,6 +2729,17 @@ static int bcm2835_codec_probe(struct pl
-               return -ENOMEM;
-       drv->pdev = pdev;
-+      mdev = &drv->mdev;
-+      mdev->dev = &pdev->dev;
-+
-+      strscpy(mdev->model, bcm2835_codec_videodev.name, sizeof(mdev->model));
-+      strscpy(mdev->serial, "0000", sizeof(mdev->serial));
-+      snprintf(mdev->bus_info, sizeof(mdev->bus_info), "platform:%s",
-+               pdev->name);
-+
-+      /* This should return the vgencmd version information or such .. */
-+      mdev->hw_revision = 1;
-+      media_device_init(mdev);
-       ret = bcm2835_codec_create(drv, &drv->decode, DECODE);
-       if (ret)
-@@ -2728,6 +2753,10 @@ static int bcm2835_codec_probe(struct pl
-       if (ret)
-               goto out;
-+      /* Register the media device node */
-+      if (media_device_register(mdev) < 0)
-+              goto out;
-+
-       platform_set_drvdata(pdev, drv);
-       return 0;
-@@ -2748,12 +2777,16 @@ static int bcm2835_codec_remove(struct p
- {
-       struct bcm2835_codec_driver *drv = platform_get_drvdata(pdev);
-+      media_device_unregister(&drv->mdev);
-+
-       bcm2835_codec_destroy(drv->isp);
-       bcm2835_codec_destroy(drv->encode);
-       bcm2835_codec_destroy(drv->decode);
-+      media_device_cleanup(&drv->mdev);
-+
-       return 0;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0307-Add-Hifiberry-DAC-DSP-soundcard-driver-3224.patch b/target/linux/bcm27xx/patches-5.4/950-0307-Add-Hifiberry-DAC-DSP-soundcard-driver-3224.patch
new file mode 100644 (file)
index 0000000..5855d00
--- /dev/null
@@ -0,0 +1,238 @@
+From 59c54c8b3b5afe051ca627fb42a685909b58d631 Mon Sep 17 00:00:00 2001
+From: =?UTF-8?q?J=C3=B6rg=20Schambacher?=
+ <j-schambacher@users.noreply.github.com>
+Date: Thu, 12 Sep 2019 14:57:32 +0200
+Subject: [PATCH] Add Hifiberry DAC+DSP soundcard driver (#3224)
+
+Adds the driver for the Hifiberry DAC+DSP. It supports capture and
+playback depending on the DSP firmware.
+
+Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
+---
+ sound/soc/bcm/Kconfig                   |  7 ++
+ sound/soc/bcm/Makefile                  |  2 +
+ sound/soc/bcm/hifiberry_dacplusadcpro.c | 28 +++-----
+ sound/soc/bcm/hifiberry_dacplusdsp.c    | 90 +++++++++++++++++++++++++
+ sound/soc/bcm/rpi-simple-soundcard.c    | 23 +++++++
+ 5 files changed, 132 insertions(+), 18 deletions(-)
+ create mode 100644 sound/soc/bcm/hifiberry_dacplusdsp.c
+
+--- a/sound/soc/bcm/Kconfig
++++ b/sound/soc/bcm/Kconfig
+@@ -59,6 +59,13 @@ config SND_BCM2708_SOC_HIFIBERRY_DACPLUS
+         help
+          Say Y or M if you want to add support for HifiBerry DAC+ADC PRO.
++config SND_BCM2708_SOC_HIFIBERRY_DACPLUSDSP
++        tristate "Support for HifiBerry DAC+DSP"
++        depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
++      select SND_RPI_SIMPLE_SOUNDCARD
++        help
++         Say Y or M if you want to add support for HifiBerry DSP-DAC.
++
+ config SND_BCM2708_SOC_HIFIBERRY_DIGI
+         tristate "Support for HifiBerry Digi"
+         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
+--- a/sound/soc/bcm/Makefile
++++ b/sound/soc/bcm/Makefile
+@@ -16,6 +16,7 @@ snd-soc-googlevoicehat-codec-objs := goo
+ snd-soc-hifiberry-dacplus-objs := hifiberry_dacplus.o
+ snd-soc-hifiberry-dacplusadc-objs := hifiberry_dacplusadc.o
+ snd-soc-hifiberry-dacplusadcpro-objs := hifiberry_dacplusadcpro.o
++snd-soc-hifiberry-dacplusdsp-objs := hifiberry_dacplusdsp.o
+ snd-soc-justboom-dac-objs := justboom-dac.o
+ snd-soc-rpi-cirrus-objs := rpi-cirrus.o
+ snd-soc-rpi-proto-objs := rpi-proto.o
+@@ -41,6 +42,7 @@ obj-$(CONFIG_SND_BCM2708_SOC_GOOGLEVOICE
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUS) += snd-soc-hifiberry-dacplus.o
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC) += snd-soc-hifiberry-dacplusadc.o
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADCPRO) += snd-soc-hifiberry-dacplusadcpro.o
++obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSDSP) += snd-soc-hifiberry-dacplusdsp.o
+ obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_DAC) += snd-soc-justboom-dac.o
+ obj-$(CONFIG_SND_BCM2708_SOC_RPI_CIRRUS) += snd-soc-rpi-cirrus.o
+ obj-$(CONFIG_SND_BCM2708_SOC_RPI_PROTO) += snd-soc-rpi-proto.o
+--- a/sound/soc/bcm/hifiberry_dacplusadcpro.c
++++ b/sound/soc/bcm/hifiberry_dacplusadcpro.c
+@@ -445,29 +445,21 @@ static struct snd_soc_ops snd_rpi_hifibe
+       .shutdown = snd_rpi_hifiberry_dacplusadcpro_shutdown,
+ };
+-static struct snd_soc_dai_link_component snd_rpi_hifiberry_dacplusadcpro_codecs[] = {
+-      {
+-              .name           = "pcm512x.1-004d",
+-              .dai_name       = "pcm512x-hifi",
+-      },
+-      {
+-              .name           = "pcm186x.1-004a",
+-              .dai_name       = "pcm1863-aif",
+-      },
+-};
++SND_SOC_DAILINK_DEFS(hifi,
++      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
++      DAILINK_COMP_ARRAY(COMP_CODEC("pcm512x.1-004d", "pcm512x-hifi"),
++                         COMP_CODEC("pcm186x.1-004a", "pcm1863-aif")),
++      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2708-i2s.0")));
+ static struct snd_soc_dai_link snd_rpi_hifiberry_dacplusadcpro_dai[] = {
+ {
+       .name           = "HiFiBerry DAC+ADC PRO",
+       .stream_name    = "HiFiBerry DAC+ADC PRO HiFi",
+-      .cpu_dai_name   = "bcm2708-i2s.0",
+-      .platform_name  = "bcm2708-i2s.0",
+-      .codecs         = snd_rpi_hifiberry_dacplusadcpro_codecs,
+-      .num_codecs     = 2,
+       .dai_fmt        = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF |
+                               SND_SOC_DAIFMT_CBS_CFS,
+       .ops            = &snd_rpi_hifiberry_dacplusadcpro_ops,
+       .init           = snd_rpi_hifiberry_dacplusadcpro_init,
++      SND_SOC_DAILINK_REG(hifi),
+ },
+ };
+@@ -495,10 +487,10 @@ static int snd_rpi_hifiberry_dacplusadcp
+                       "i2s-controller", 0);
+               if (i2s_node) {
+                       for (i = 0; i < card->num_links; i++) {
+-                              dai->cpu_dai_name = NULL;
+-                              dai->cpu_of_node = i2s_node;
+-                              dai->platform_name = NULL;
+-                              dai->platform_of_node = i2s_node;
++                              dai->cpus->dai_name = NULL;
++                              dai->cpus->of_node = i2s_node;
++                              dai->platforms->name = NULL;
++                              dai->platforms->of_node = i2s_node;
+                       }
+               }
+       }
+--- /dev/null
++++ b/sound/soc/bcm/hifiberry_dacplusdsp.c
+@@ -0,0 +1,90 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * ASoC Driver for HiFiBerry DAC + DSP
++ *
++ * Author:    Joerg Schambacher <joscha@schambacher.com>
++ *            Copyright 2018
++ *
++ * This program is free software; you can redistribute it and/or
++ * modify it under the terms of the GNU General Public License
++ * version 2 as published by the Free Software Foundation.
++ *
++ * This program is distributed in the hope that it will be useful, but
++ * WITHOUT ANY WARRANTY; without even the implied warranty of
++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
++ * General Public License for more details.
++ */
++
++#include <linux/init.h>
++#include <linux/module.h>
++#include <linux/of.h>
++#include <linux/platform_device.h>
++#include <sound/soc.h>
++
++static struct snd_soc_component_driver dacplusdsp_component_driver;
++
++static struct snd_soc_dai_driver dacplusdsp_dai = {
++      .name = "dacplusdsp-hifi",
++      .capture = {
++              .stream_name = "DAC+DSP Capture",
++              .channels_min = 2,
++              .channels_max = 2,
++              .rates = SNDRV_PCM_RATE_CONTINUOUS,
++              .formats = SNDRV_PCM_FMTBIT_S16_LE |
++                         SNDRV_PCM_FMTBIT_S24_LE |
++                         SNDRV_PCM_FMTBIT_S32_LE,
++      },
++      .playback = {
++              .stream_name = "DACP+DSP Playback",
++              .channels_min = 2,
++              .channels_max = 2,
++              .rates = SNDRV_PCM_RATE_CONTINUOUS,
++              .formats = SNDRV_PCM_FMTBIT_S16_LE |
++                         SNDRV_PCM_FMTBIT_S24_LE |
++                         SNDRV_PCM_FMTBIT_S32_LE,
++      },
++      .symmetric_rates = 1};
++
++#ifdef CONFIG_OF
++static const struct of_device_id dacplusdsp_ids[] = {
++      {
++              .compatible = "hifiberry,dacplusdsp",
++      },
++      {} };
++MODULE_DEVICE_TABLE(of, dacplusdsp_ids);
++#endif
++
++static int dacplusdsp_platform_probe(struct platform_device *pdev)
++{
++      int ret;
++
++      ret = snd_soc_register_component(&pdev->dev,
++                      &dacplusdsp_component_driver, &dacplusdsp_dai, 1);
++      if (ret) {
++              pr_alert("snd_soc_register_component failed\n");
++              return ret;
++      }
++
++      return 0;
++}
++
++static int dacplusdsp_platform_remove(struct platform_device *pdev)
++{
++      snd_soc_unregister_component(&pdev->dev);
++      return 0;
++}
++
++static struct platform_driver dacplusdsp_driver = {
++      .driver = {
++              .name = "hifiberry-dacplusdsp-codec",
++              .of_match_table = of_match_ptr(dacplusdsp_ids),
++              },
++              .probe = dacplusdsp_platform_probe,
++              .remove = dacplusdsp_platform_remove,
++};
++
++module_platform_driver(dacplusdsp_driver);
++
++MODULE_AUTHOR("Joerg Schambacher <joerg@i2audio.com>");
++MODULE_DESCRIPTION("ASoC Driver for HiFiBerry DAC+DSP");
++MODULE_LICENSE("GPL v2");
+--- a/sound/soc/bcm/rpi-simple-soundcard.c
++++ b/sound/soc/bcm/rpi-simple-soundcard.c
+@@ -144,6 +144,27 @@ static struct snd_rpi_simple_drvdata drv
+       .dai       = snd_googlevoicehat_soundcard_dai,
+ };
++SND_SOC_DAILINK_DEFS(hifiberry_dacplusdsp,
++      DAILINK_COMP_ARRAY(COMP_EMPTY()),
++      DAILINK_COMP_ARRAY(COMP_CODEC("dacplusdsp-codec", "dacplusdsp-hifi")),
++      DAILINK_COMP_ARRAY(COMP_EMPTY()));
++
++static struct snd_soc_dai_link snd_hifiberrydacplusdsp_soundcard_dai[] = {
++{
++      .name           = "Hifiberry DAC+DSP SoundCard",
++      .stream_name    = "Hifiberry DAC+DSP SoundCard HiFi",
++      .dai_fmt        =  SND_SOC_DAIFMT_I2S |
++                         SND_SOC_DAIFMT_NB_NF |
++                         SND_SOC_DAIFMT_CBS_CFS,
++      SND_SOC_DAILINK_REG(hifiberry_dacplusdsp),
++},
++};
++
++static struct snd_rpi_simple_drvdata drvdata_hifiberrydacplusdsp = {
++      .card_name = "snd_rpi_hifiberrydacplusdsp_soundcard",
++      .dai       = snd_hifiberrydacplusdsp_soundcard_dai,
++};
++
+ SND_SOC_DAILINK_DEFS(hifiberry_amp,
+       DAILINK_COMP_ARRAY(COMP_EMPTY()),
+       DAILINK_COMP_ARRAY(COMP_CODEC("tas5713.1-001b", "tas5713-hifi")),
+@@ -213,6 +234,8 @@ static const struct of_device_id snd_rpi
+               .data = (void *) &drvdata_adau1977 },
+       { .compatible = "googlevoicehat,googlevoicehat-soundcard",
+               .data = (void *) &drvdata_googlevoicehat },
++      { .compatible = "hifiberrydacplusdsp,hifiberrydacplusdsp-soundcard",
++              .data = (void *) &drvdata_hifiberrydacplusdsp },
+       { .compatible = "hifiberry,hifiberry-amp",
+               .data = (void *) &drvdata_hifiberry_amp },
+       { .compatible = "hifiberry,hifiberry-dac",
diff --git a/target/linux/bcm27xx/patches-5.4/950-0307-v4l2-Add-a-Greyworld-AWB-mode.patch b/target/linux/bcm27xx/patches-5.4/950-0307-v4l2-Add-a-Greyworld-AWB-mode.patch
deleted file mode 100644 (file)
index 459646e..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From 1b14387d6b699c4cfc8218867997b1508a67167a Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 6 Sep 2019 15:04:51 +0100
-Subject: [PATCH] v4l2: Add a Greyworld AWB mode.
-
-Adds a simple greyworld white balance preset, mainly for use
-with cameras without an IR filter (eg Raspberry Pi NoIR)
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/media/v4l2-core/v4l2-ctrls.c | 1 +
- include/uapi/linux/v4l2-controls.h   | 1 +
- 2 files changed, 2 insertions(+)
-
---- a/drivers/media/v4l2-core/v4l2-ctrls.c
-+++ b/drivers/media/v4l2-core/v4l2-ctrls.c
-@@ -271,6 +271,7 @@ const char * const *v4l2_ctrl_get_menu(u
-               "Flash",
-               "Cloudy",
-               "Shade",
-+              "Greyworld",
-               NULL,
-       };
-       static const char * const camera_iso_sensitivity_auto[] = {
---- a/include/uapi/linux/v4l2-controls.h
-+++ b/include/uapi/linux/v4l2-controls.h
-@@ -850,6 +850,7 @@ enum v4l2_auto_n_preset_white_balance {
-       V4L2_WHITE_BALANCE_FLASH                = 7,
-       V4L2_WHITE_BALANCE_CLOUDY               = 8,
-       V4L2_WHITE_BALANCE_SHADE                = 9,
-+      V4L2_WHITE_BALANCE_GREYWORLD            = 10,
- };
- #define V4L2_CID_WIDE_DYNAMIC_RANGE           (V4L2_CID_CAMERA_CLASS_BASE+21)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0308-staging-bcm2835-camera-Add-greyworld-AWB-mode.patch b/target/linux/bcm27xx/patches-5.4/950-0308-staging-bcm2835-camera-Add-greyworld-AWB-mode.patch
deleted file mode 100644 (file)
index e29c380..0000000
+++ /dev/null
@@ -1,48 +0,0 @@
-From a1504ea7c24e74fe4d10b024d8105dc66115b01e Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 6 Sep 2019 15:13:06 +0100
-Subject: [PATCH] staging: bcm2835-camera: Add greyworld AWB mode
-
-This is mainly used for the NoIR camera which has no IR
-filter and can completely confuse normal AWB presets.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/staging/vc04_services/bcm2835-camera/controls.c   | 8 ++++++--
- .../staging/vc04_services/vchiq-mmal/mmal-parameters.h    | 1 +
- 2 files changed, 7 insertions(+), 2 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-camera/controls.c
-+++ b/drivers/staging/vc04_services/bcm2835-camera/controls.c
-@@ -477,6 +477,10 @@ static int ctrl_set_awb_mode(struct bm28
-       case V4L2_WHITE_BALANCE_SHADE:
-               u32_value = MMAL_PARAM_AWBMODE_SHADE;
-               break;
-+
-+      case V4L2_WHITE_BALANCE_GREYWORLD:
-+              u32_value = MMAL_PARAM_AWBMODE_GREYWORLD;
-+              break;
-       }
-       return vchiq_mmal_port_parameter_set(dev->instance, control,
-@@ -1014,8 +1018,8 @@ static const struct bm2835_mmal_v4l2_ctr
-       {
-               V4L2_CID_AUTO_N_PRESET_WHITE_BALANCE,
-               MMAL_CONTROL_TYPE_STD_MENU,
--              ~0x3ff, V4L2_WHITE_BALANCE_SHADE, V4L2_WHITE_BALANCE_AUTO, 0,
--              NULL,
-+              ~0x7ff, V4L2_WHITE_BALANCE_GREYWORLD, V4L2_WHITE_BALANCE_AUTO,
-+              0, NULL,
-               MMAL_PARAMETER_AWB_MODE,
-               ctrl_set_awb_mode,
-               false
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
-@@ -313,6 +313,7 @@ enum mmal_parameter_awbmode {
-       MMAL_PARAM_AWBMODE_INCANDESCENT,
-       MMAL_PARAM_AWBMODE_FLASH,
-       MMAL_PARAM_AWBMODE_HORIZON,
-+      MMAL_PARAM_AWBMODE_GREYWORLD,
- };
- enum mmal_parameter_imagefx {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0308-staging-bcm2835-codec-Allow-height-of-1920.patch b/target/linux/bcm27xx/patches-5.4/950-0308-staging-bcm2835-codec-Allow-height-of-1920.patch
new file mode 100644 (file)
index 0000000..862737f
--- /dev/null
@@ -0,0 +1,27 @@
+From 59c8c7740d6f236431fa792957fefe9f67611b27 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 6 Sep 2019 17:24:55 +0100
+Subject: [PATCH] staging: bcm2835-codec: Allow height of 1920.
+
+The codec is happy with video up to 1920 high if the width
+is suitably reduced to stay within level limits. eg 1080x1920
+is OK to decode.
+
+Increase the height limit accordingly.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c    | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -92,7 +92,7 @@ static const char * const components[] =
+ #define MIN_W         32
+ #define MIN_H         32
+ #define MAX_W         1920
+-#define MAX_H         1088
++#define MAX_H         1920
+ #define BPL_ALIGN     32
+ #define DEFAULT_WIDTH 640
+ #define DEFAULT_HEIGHT        480
diff --git a/target/linux/bcm27xx/patches-5.4/950-0309-drm-vc4-Fix-for-margins-in-composite-SDTV-mode-3223.patch b/target/linux/bcm27xx/patches-5.4/950-0309-drm-vc4-Fix-for-margins-in-composite-SDTV-mode-3223.patch
deleted file mode 100644 (file)
index f6391e0..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From ae66baa23455df9f7593b98c5c2f02818dbaf41b Mon Sep 17 00:00:00 2001
-From: James Hughes <JamesH65@users.noreply.github.com>
-Date: Wed, 11 Sep 2019 14:57:18 +0100
-Subject: [PATCH] drm/vc4: Fix for margins in composite/SDTV mode
- (#3223)
-
-Margins were incorrectly assumed to be setup in SDTV mode, but were
-not actually done, so this make the setup non-conditional on mode.
-
-Signed-off-by: James Hughes <james.hughes@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 11 +++--------
- 1 file changed, 3 insertions(+), 8 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -1612,14 +1612,9 @@ vc4_fkms_connector_init(struct drm_devic
-               connector->interlace_allowed = 0;
-       }
--      /* Create and attach TV margin props to this connector.
--       * Already done for SDTV outputs.
--       */
--      if (fkms_connector->display_type != DRM_MODE_ENCODER_TVDAC) {
--              ret = drm_mode_create_tv_margin_properties(dev);
--              if (ret)
--                      goto fail;
--      }
-+      ret = drm_mode_create_tv_margin_properties(dev);
-+      if (ret)
-+              goto fail;
-       drm_connector_attach_tv_margin_properties(connector);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0309-staging-bcm2835-codec-Correct-g-s_selection-API-MPLA.patch b/target/linux/bcm27xx/patches-5.4/950-0309-staging-bcm2835-codec-Correct-g-s_selection-API-MPLA.patch
new file mode 100644 (file)
index 0000000..a91bcc3
--- /dev/null
@@ -0,0 +1,107 @@
+From c8a466aecf6b7d0bdc1fea9e32f80327b641cd03 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 13 Sep 2019 15:11:47 +0100
+Subject: [PATCH] staging: bcm2835-codec: Correct g/s_selection API
+ MPLANE support
+
+The g_selection and s_selection API is messed up and requires
+the driver to expect the non-MPLANE buffer types, not the MPLANE
+ones even if they are supported. The V4L2 core will convert the
+MPLANE ones to non-MPLANE should they be passed in
+
+Fixes: 5e484a3 staging: bcm2835-codec: switch to multi-planar API
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 67 +++++++++++++------
+ 1 file changed, 47 insertions(+), 20 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -1260,17 +1260,30 @@ static int vidioc_g_selection(struct fil
+ {
+       struct bcm2835_codec_ctx *ctx = file2ctx(file);
+       struct bcm2835_codec_q_data *q_data;
+-      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE ?
+-                                                              true : false;
+-      if ((ctx->dev->role == DECODE && !capture_queue) ||
+-          (ctx->dev->role == ENCODE && capture_queue))
+-              /* OUTPUT on decoder and CAPTURE on encoder are not valid. */
+-              return -EINVAL;
+-
+-      q_data = get_q_data(ctx, s->type);
+-      if (!q_data)
++      /*
++       * The selection API takes V4L2_BUF_TYPE_VIDEO_CAPTURE and
++       * V4L2_BUF_TYPE_VIDEO_OUTPUT, even if the device implements the MPLANE
++       * API. The V4L2 core will have converted the MPLANE variants to
++       * non-MPLANE.
++       * Open code this instead of using get_q_data in this case.
++       */
++      switch (s->type) {
++      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
++              /* CAPTURE on encoder is not valid. */
++              if (ctx->dev->role == ENCODE)
++                      return -EINVAL;
++              q_data = &ctx->q_data[V4L2_M2M_DST];
++              break;
++      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
++              /* OUTPUT on deoder is not valid. */
++              if (ctx->dev->role == DECODE)
++                      return -EINVAL;
++              q_data = &ctx->q_data[V4L2_M2M_SRC];
++              break;
++      default:
+               return -EINVAL;
++      }
+       switch (ctx->dev->role) {
+       case DECODE:
+@@ -1323,22 +1336,36 @@ static int vidioc_s_selection(struct fil
+ {
+       struct bcm2835_codec_ctx *ctx = file2ctx(file);
+       struct bcm2835_codec_q_data *q_data = NULL;
+-      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE ?
+-                                                              true : false;
++
++      /*
++       * The selection API takes V4L2_BUF_TYPE_VIDEO_CAPTURE and
++       * V4L2_BUF_TYPE_VIDEO_OUTPUT, even if the device implements the MPLANE
++       * API. The V4L2 core will have converted the MPLANE variants to
++       * non-MPLANE.
++       *
++       * Open code this instead of using get_q_data in this case.
++       */
++      switch (s->type) {
++      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
++              /* CAPTURE on encoder is not valid. */
++              if (ctx->dev->role == ENCODE)
++                      return -EINVAL;
++              q_data = &ctx->q_data[V4L2_M2M_DST];
++              break;
++      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
++              /* OUTPUT on deoder is not valid. */
++              if (ctx->dev->role == DECODE)
++                      return -EINVAL;
++              q_data = &ctx->q_data[V4L2_M2M_SRC];
++              break;
++      default:
++              return -EINVAL;
++      }
+       v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: ctx %p, type %d, q_data %p, target %d, rect x/y %d/%d, w/h %ux%u\n",
+                __func__, ctx, s->type, q_data, s->target, s->r.left, s->r.top,
+                s->r.width, s->r.height);
+-      if ((ctx->dev->role == DECODE && !capture_queue) ||
+-          (ctx->dev->role == ENCODE && capture_queue))
+-              /* OUTPUT on decoder and CAPTURE on encoder are not valid. */
+-              return -EINVAL;
+-
+-      q_data = get_q_data(ctx, s->type);
+-      if (!q_data)
+-              return -EINVAL;
+-
+       switch (ctx->dev->role) {
+       case DECODE:
+               switch (s->target) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0310-Add-Hifiberry-DAC-DSP-soundcard-driver-3224.patch b/target/linux/bcm27xx/patches-5.4/950-0310-Add-Hifiberry-DAC-DSP-soundcard-driver-3224.patch
deleted file mode 100644 (file)
index 5855d00..0000000
+++ /dev/null
@@ -1,238 +0,0 @@
-From 59c54c8b3b5afe051ca627fb42a685909b58d631 Mon Sep 17 00:00:00 2001
-From: =?UTF-8?q?J=C3=B6rg=20Schambacher?=
- <j-schambacher@users.noreply.github.com>
-Date: Thu, 12 Sep 2019 14:57:32 +0200
-Subject: [PATCH] Add Hifiberry DAC+DSP soundcard driver (#3224)
-
-Adds the driver for the Hifiberry DAC+DSP. It supports capture and
-playback depending on the DSP firmware.
-
-Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
----
- sound/soc/bcm/Kconfig                   |  7 ++
- sound/soc/bcm/Makefile                  |  2 +
- sound/soc/bcm/hifiberry_dacplusadcpro.c | 28 +++-----
- sound/soc/bcm/hifiberry_dacplusdsp.c    | 90 +++++++++++++++++++++++++
- sound/soc/bcm/rpi-simple-soundcard.c    | 23 +++++++
- 5 files changed, 132 insertions(+), 18 deletions(-)
- create mode 100644 sound/soc/bcm/hifiberry_dacplusdsp.c
-
---- a/sound/soc/bcm/Kconfig
-+++ b/sound/soc/bcm/Kconfig
-@@ -59,6 +59,13 @@ config SND_BCM2708_SOC_HIFIBERRY_DACPLUS
-         help
-          Say Y or M if you want to add support for HifiBerry DAC+ADC PRO.
-+config SND_BCM2708_SOC_HIFIBERRY_DACPLUSDSP
-+        tristate "Support for HifiBerry DAC+DSP"
-+        depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
-+      select SND_RPI_SIMPLE_SOUNDCARD
-+        help
-+         Say Y or M if you want to add support for HifiBerry DSP-DAC.
-+
- config SND_BCM2708_SOC_HIFIBERRY_DIGI
-         tristate "Support for HifiBerry Digi"
-         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
---- a/sound/soc/bcm/Makefile
-+++ b/sound/soc/bcm/Makefile
-@@ -16,6 +16,7 @@ snd-soc-googlevoicehat-codec-objs := goo
- snd-soc-hifiberry-dacplus-objs := hifiberry_dacplus.o
- snd-soc-hifiberry-dacplusadc-objs := hifiberry_dacplusadc.o
- snd-soc-hifiberry-dacplusadcpro-objs := hifiberry_dacplusadcpro.o
-+snd-soc-hifiberry-dacplusdsp-objs := hifiberry_dacplusdsp.o
- snd-soc-justboom-dac-objs := justboom-dac.o
- snd-soc-rpi-cirrus-objs := rpi-cirrus.o
- snd-soc-rpi-proto-objs := rpi-proto.o
-@@ -41,6 +42,7 @@ obj-$(CONFIG_SND_BCM2708_SOC_GOOGLEVOICE
- obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUS) += snd-soc-hifiberry-dacplus.o
- obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC) += snd-soc-hifiberry-dacplusadc.o
- obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADCPRO) += snd-soc-hifiberry-dacplusadcpro.o
-+obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSDSP) += snd-soc-hifiberry-dacplusdsp.o
- obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_DAC) += snd-soc-justboom-dac.o
- obj-$(CONFIG_SND_BCM2708_SOC_RPI_CIRRUS) += snd-soc-rpi-cirrus.o
- obj-$(CONFIG_SND_BCM2708_SOC_RPI_PROTO) += snd-soc-rpi-proto.o
---- a/sound/soc/bcm/hifiberry_dacplusadcpro.c
-+++ b/sound/soc/bcm/hifiberry_dacplusadcpro.c
-@@ -445,29 +445,21 @@ static struct snd_soc_ops snd_rpi_hifibe
-       .shutdown = snd_rpi_hifiberry_dacplusadcpro_shutdown,
- };
--static struct snd_soc_dai_link_component snd_rpi_hifiberry_dacplusadcpro_codecs[] = {
--      {
--              .name           = "pcm512x.1-004d",
--              .dai_name       = "pcm512x-hifi",
--      },
--      {
--              .name           = "pcm186x.1-004a",
--              .dai_name       = "pcm1863-aif",
--      },
--};
-+SND_SOC_DAILINK_DEFS(hifi,
-+      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
-+      DAILINK_COMP_ARRAY(COMP_CODEC("pcm512x.1-004d", "pcm512x-hifi"),
-+                         COMP_CODEC("pcm186x.1-004a", "pcm1863-aif")),
-+      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2708-i2s.0")));
- static struct snd_soc_dai_link snd_rpi_hifiberry_dacplusadcpro_dai[] = {
- {
-       .name           = "HiFiBerry DAC+ADC PRO",
-       .stream_name    = "HiFiBerry DAC+ADC PRO HiFi",
--      .cpu_dai_name   = "bcm2708-i2s.0",
--      .platform_name  = "bcm2708-i2s.0",
--      .codecs         = snd_rpi_hifiberry_dacplusadcpro_codecs,
--      .num_codecs     = 2,
-       .dai_fmt        = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF |
-                               SND_SOC_DAIFMT_CBS_CFS,
-       .ops            = &snd_rpi_hifiberry_dacplusadcpro_ops,
-       .init           = snd_rpi_hifiberry_dacplusadcpro_init,
-+      SND_SOC_DAILINK_REG(hifi),
- },
- };
-@@ -495,10 +487,10 @@ static int snd_rpi_hifiberry_dacplusadcp
-                       "i2s-controller", 0);
-               if (i2s_node) {
-                       for (i = 0; i < card->num_links; i++) {
--                              dai->cpu_dai_name = NULL;
--                              dai->cpu_of_node = i2s_node;
--                              dai->platform_name = NULL;
--                              dai->platform_of_node = i2s_node;
-+                              dai->cpus->dai_name = NULL;
-+                              dai->cpus->of_node = i2s_node;
-+                              dai->platforms->name = NULL;
-+                              dai->platforms->of_node = i2s_node;
-                       }
-               }
-       }
---- /dev/null
-+++ b/sound/soc/bcm/hifiberry_dacplusdsp.c
-@@ -0,0 +1,90 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * ASoC Driver for HiFiBerry DAC + DSP
-+ *
-+ * Author:    Joerg Schambacher <joscha@schambacher.com>
-+ *            Copyright 2018
-+ *
-+ * This program is free software; you can redistribute it and/or
-+ * modify it under the terms of the GNU General Public License
-+ * version 2 as published by the Free Software Foundation.
-+ *
-+ * This program is distributed in the hope that it will be useful, but
-+ * WITHOUT ANY WARRANTY; without even the implied warranty of
-+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
-+ * General Public License for more details.
-+ */
-+
-+#include <linux/init.h>
-+#include <linux/module.h>
-+#include <linux/of.h>
-+#include <linux/platform_device.h>
-+#include <sound/soc.h>
-+
-+static struct snd_soc_component_driver dacplusdsp_component_driver;
-+
-+static struct snd_soc_dai_driver dacplusdsp_dai = {
-+      .name = "dacplusdsp-hifi",
-+      .capture = {
-+              .stream_name = "DAC+DSP Capture",
-+              .channels_min = 2,
-+              .channels_max = 2,
-+              .rates = SNDRV_PCM_RATE_CONTINUOUS,
-+              .formats = SNDRV_PCM_FMTBIT_S16_LE |
-+                         SNDRV_PCM_FMTBIT_S24_LE |
-+                         SNDRV_PCM_FMTBIT_S32_LE,
-+      },
-+      .playback = {
-+              .stream_name = "DACP+DSP Playback",
-+              .channels_min = 2,
-+              .channels_max = 2,
-+              .rates = SNDRV_PCM_RATE_CONTINUOUS,
-+              .formats = SNDRV_PCM_FMTBIT_S16_LE |
-+                         SNDRV_PCM_FMTBIT_S24_LE |
-+                         SNDRV_PCM_FMTBIT_S32_LE,
-+      },
-+      .symmetric_rates = 1};
-+
-+#ifdef CONFIG_OF
-+static const struct of_device_id dacplusdsp_ids[] = {
-+      {
-+              .compatible = "hifiberry,dacplusdsp",
-+      },
-+      {} };
-+MODULE_DEVICE_TABLE(of, dacplusdsp_ids);
-+#endif
-+
-+static int dacplusdsp_platform_probe(struct platform_device *pdev)
-+{
-+      int ret;
-+
-+      ret = snd_soc_register_component(&pdev->dev,
-+                      &dacplusdsp_component_driver, &dacplusdsp_dai, 1);
-+      if (ret) {
-+              pr_alert("snd_soc_register_component failed\n");
-+              return ret;
-+      }
-+
-+      return 0;
-+}
-+
-+static int dacplusdsp_platform_remove(struct platform_device *pdev)
-+{
-+      snd_soc_unregister_component(&pdev->dev);
-+      return 0;
-+}
-+
-+static struct platform_driver dacplusdsp_driver = {
-+      .driver = {
-+              .name = "hifiberry-dacplusdsp-codec",
-+              .of_match_table = of_match_ptr(dacplusdsp_ids),
-+              },
-+              .probe = dacplusdsp_platform_probe,
-+              .remove = dacplusdsp_platform_remove,
-+};
-+
-+module_platform_driver(dacplusdsp_driver);
-+
-+MODULE_AUTHOR("Joerg Schambacher <joerg@i2audio.com>");
-+MODULE_DESCRIPTION("ASoC Driver for HiFiBerry DAC+DSP");
-+MODULE_LICENSE("GPL v2");
---- a/sound/soc/bcm/rpi-simple-soundcard.c
-+++ b/sound/soc/bcm/rpi-simple-soundcard.c
-@@ -144,6 +144,27 @@ static struct snd_rpi_simple_drvdata drv
-       .dai       = snd_googlevoicehat_soundcard_dai,
- };
-+SND_SOC_DAILINK_DEFS(hifiberry_dacplusdsp,
-+      DAILINK_COMP_ARRAY(COMP_EMPTY()),
-+      DAILINK_COMP_ARRAY(COMP_CODEC("dacplusdsp-codec", "dacplusdsp-hifi")),
-+      DAILINK_COMP_ARRAY(COMP_EMPTY()));
-+
-+static struct snd_soc_dai_link snd_hifiberrydacplusdsp_soundcard_dai[] = {
-+{
-+      .name           = "Hifiberry DAC+DSP SoundCard",
-+      .stream_name    = "Hifiberry DAC+DSP SoundCard HiFi",
-+      .dai_fmt        =  SND_SOC_DAIFMT_I2S |
-+                         SND_SOC_DAIFMT_NB_NF |
-+                         SND_SOC_DAIFMT_CBS_CFS,
-+      SND_SOC_DAILINK_REG(hifiberry_dacplusdsp),
-+},
-+};
-+
-+static struct snd_rpi_simple_drvdata drvdata_hifiberrydacplusdsp = {
-+      .card_name = "snd_rpi_hifiberrydacplusdsp_soundcard",
-+      .dai       = snd_hifiberrydacplusdsp_soundcard_dai,
-+};
-+
- SND_SOC_DAILINK_DEFS(hifiberry_amp,
-       DAILINK_COMP_ARRAY(COMP_EMPTY()),
-       DAILINK_COMP_ARRAY(COMP_CODEC("tas5713.1-001b", "tas5713-hifi")),
-@@ -213,6 +234,8 @@ static const struct of_device_id snd_rpi
-               .data = (void *) &drvdata_adau1977 },
-       { .compatible = "googlevoicehat,googlevoicehat-soundcard",
-               .data = (void *) &drvdata_googlevoicehat },
-+      { .compatible = "hifiberrydacplusdsp,hifiberrydacplusdsp-soundcard",
-+              .data = (void *) &drvdata_hifiberrydacplusdsp },
-       { .compatible = "hifiberry,hifiberry-amp",
-               .data = (void *) &drvdata_hifiberry_amp },
-       { .compatible = "hifiberry,hifiberry-dac",
diff --git a/target/linux/bcm27xx/patches-5.4/950-0310-drm-v3d-Delete-pm_runtime-support.patch b/target/linux/bcm27xx/patches-5.4/950-0310-drm-v3d-Delete-pm_runtime-support.patch
new file mode 100644 (file)
index 0000000..642be23
--- /dev/null
@@ -0,0 +1,62 @@
+From 4fad98821e9ccd74b9b828d98cbe9df8c7437605 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Wed, 18 Sep 2019 17:22:36 +0100
+Subject: [PATCH] drm/v3d: Delete pm_runtime support
+
+The pm_runtime was blocking changelist submission, so delete it as a
+temporary workaround.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/gpu/drm/v3d/v3d_gem.c |  5 -----
+ drivers/gpu/drm/v3d/v3d_mmu.c | 11 -----------
+ 2 files changed, 16 deletions(-)
+
+--- a/drivers/gpu/drm/v3d/v3d_gem.c
++++ b/drivers/gpu/drm/v3d/v3d_gem.c
+@@ -478,10 +478,6 @@ v3d_job_init(struct v3d_dev *v3d, struct
+       job->v3d = v3d;
+       job->free = free;
+-      ret = pm_runtime_get_sync(v3d->dev);
+-      if (ret < 0)
+-              return ret;
+-
+       xa_init_flags(&job->deps, XA_FLAGS_ALLOC);
+       ret = drm_syncobj_find_fence(file_priv, in_sync, 0, 0, &in_fence);
+@@ -498,7 +494,6 @@ v3d_job_init(struct v3d_dev *v3d, struct
+       return 0;
+ fail:
+       xa_destroy(&job->deps);
+-      pm_runtime_put_autosuspend(v3d->dev);
+       return ret;
+ }
+--- a/drivers/gpu/drm/v3d/v3d_mmu.c
++++ b/drivers/gpu/drm/v3d/v3d_mmu.c
+@@ -36,14 +36,6 @@ static int v3d_mmu_flush_all(struct v3d_
+ {
+       int ret;
+-      /* Keep power on the device on until we're done with this
+-       * call, but skip the flush if the device is off and will be
+-       * reset when powered back on.
+-       */
+-      ret = pm_runtime_get_if_in_use(v3d->dev);
+-      if (ret == 0)
+-              return 0;
+-
+       /* Make sure that another flush isn't already running when we
+        * start this one.
+        */
+@@ -71,9 +63,6 @@ static int v3d_mmu_flush_all(struct v3d_
+       if (ret)
+               dev_err(v3d->dev, "MMUC flush wait idle failed\n");
+-      pm_runtime_mark_last_busy(v3d->dev);
+-      pm_runtime_put_autosuspend(v3d->dev);
+-
+       return ret;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0311-dts-Add-DTS-for-Pi-2B-rev-1.2-with-BCM2837-3235.patch b/target/linux/bcm27xx/patches-5.4/950-0311-dts-Add-DTS-for-Pi-2B-rev-1.2-with-BCM2837-3235.patch
new file mode 100644 (file)
index 0000000..c7eccde
--- /dev/null
@@ -0,0 +1,30 @@
+From 849dc86116416161d0f13bf929ab712ea2bade7e Mon Sep 17 00:00:00 2001
+From: Phil Elwell <pelwell@users.noreply.github.com>
+Date: Wed, 18 Sep 2019 09:02:10 +0100
+Subject: [PATCH] dts: Add DTS for Pi 2B rev 1.2 with BCM2837 (#3235)
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm64/boot/dts/broadcom/Makefile            | 2 ++
+ arch/arm64/boot/dts/broadcom/bcm2710-rpi-2-b.dts | 3 +++
+ 2 files changed, 5 insertions(+)
+ create mode 100644 arch/arm64/boot/dts/broadcom/bcm2710-rpi-2-b.dts
+
+--- a/arch/arm64/boot/dts/broadcom/Makefile
++++ b/arch/arm64/boot/dts/broadcom/Makefile
+@@ -3,7 +3,9 @@ dtb-$(CONFIG_ARCH_BCM2835) += bcm2837-rp
+                             bcm2837-rpi-3-b.dtb \
+                             bcm2837-rpi-3-b-plus.dtb \
+                             bcm2837-rpi-cm3-io3.dtb
++dtb-$(CONFIG_ARCH_BCM2709) += bcm2710-rpi-2-b.dtb
+ dtb-$(CONFIG_ARCH_BCM2709) += bcm2710-rpi-3-b.dtb
++dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-2-b.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-3-b.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2711-rpi-4-b.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-3-b-plus.dtb
+--- /dev/null
++++ b/arch/arm64/boot/dts/broadcom/bcm2710-rpi-2-b.dts
+@@ -0,0 +1,3 @@
++#define RPI364
++
++#include "../../../../arm/boot/dts/bcm2710-rpi-2-b.dts"
diff --git a/target/linux/bcm27xx/patches-5.4/950-0311-staging-bcm2835-codec-Allow-height-of-1920.patch b/target/linux/bcm27xx/patches-5.4/950-0311-staging-bcm2835-codec-Allow-height-of-1920.patch
deleted file mode 100644 (file)
index 862737f..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From 59c8c7740d6f236431fa792957fefe9f67611b27 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 6 Sep 2019 17:24:55 +0100
-Subject: [PATCH] staging: bcm2835-codec: Allow height of 1920.
-
-The codec is happy with video up to 1920 high if the width
-is suitably reduced to stay within level limits. eg 1080x1920
-is OK to decode.
-
-Increase the height limit accordingly.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c    | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -92,7 +92,7 @@ static const char * const components[] =
- #define MIN_W         32
- #define MIN_H         32
- #define MAX_W         1920
--#define MAX_H         1088
-+#define MAX_H         1920
- #define BPL_ALIGN     32
- #define DEFAULT_WIDTH 640
- #define DEFAULT_HEIGHT        480
diff --git a/target/linux/bcm27xx/patches-5.4/950-0312-drm-v3d-clean-caches-at-the-end-of-render-jobs-on-re.patch b/target/linux/bcm27xx/patches-5.4/950-0312-drm-v3d-clean-caches-at-the-end-of-render-jobs-on-re.patch
new file mode 100644 (file)
index 0000000..961c0cc
--- /dev/null
@@ -0,0 +1,164 @@
+From 141da39c2ce8dbf77773c54182244c14d96b301d Mon Sep 17 00:00:00 2001
+From: Iago Toral Quiroga <itoral@igalia.com>
+Date: Tue, 3 Sep 2019 08:45:24 +0200
+Subject: [PATCH] drm/v3d: clean caches at the end of render jobs on
+ request from user space
+
+Extends the user space ioctl for CL submissions so it can include a request
+to flush the cache once the CL execution has completed. Fixes memory
+write violation messages reported by the kernel in workloads involving
+shader memory writes (SSBOs, shader images, scratch, etc) which sometimes
+also lead to GPU resets during Piglit and CTS workloads.
+
+v2: if v3d_job_init() fails we need to kfree() the job instead of
+    v3d_job_put() it (Eric Anholt).
+
+v3 (Eric Anholt):
+  - Drop _FLAG suffix from the new flag name.
+  - Add a new param so userspace can tell whether cache flushing is
+    implemented in the kernel.
+
+Signed-off-by: Iago Toral Quiroga <itoral@igalia.com>
+---
+ drivers/gpu/drm/v3d/v3d_drv.c |  3 +++
+ drivers/gpu/drm/v3d/v3d_gem.c | 48 ++++++++++++++++++++++++++++++-----
+ include/uapi/drm/v3d_drm.h    |  6 +++--
+ 3 files changed, 49 insertions(+), 8 deletions(-)
+
+--- a/drivers/gpu/drm/v3d/v3d_drv.c
++++ b/drivers/gpu/drm/v3d/v3d_drv.c
+@@ -120,6 +120,9 @@ static int v3d_get_param_ioctl(struct dr
+       case DRM_V3D_PARAM_SUPPORTS_CSD:
+               args->value = v3d_has_csd(v3d);
+               return 0;
++      case DRM_V3D_PARAM_SUPPORTS_CACHE_FLUSH:
++              args->value = 1;
++              return 0;
+       default:
+               DRM_DEBUG("Unknown parameter %d\n", args->param);
+               return -EINVAL;
+--- a/drivers/gpu/drm/v3d/v3d_gem.c
++++ b/drivers/gpu/drm/v3d/v3d_gem.c
+@@ -565,13 +565,16 @@ v3d_submit_cl_ioctl(struct drm_device *d
+       struct drm_v3d_submit_cl *args = data;
+       struct v3d_bin_job *bin = NULL;
+       struct v3d_render_job *render;
++      struct v3d_job *clean_job = NULL;
++      struct v3d_job *last_job;
+       struct ww_acquire_ctx acquire_ctx;
+       int ret = 0;
+       trace_v3d_submit_cl_ioctl(&v3d->drm, args->rcl_start, args->rcl_end);
+-      if (args->pad != 0) {
+-              DRM_INFO("pad must be zero: %d\n", args->pad);
++      if (args->flags != 0 &&
++          args->flags != DRM_V3D_SUBMIT_CL_FLUSH_CACHE) {
++              DRM_INFO("invalid flags: %d\n", args->flags);
+               return -EINVAL;
+       }
+@@ -613,12 +616,31 @@ v3d_submit_cl_ioctl(struct drm_device *d
+               bin->render = render;
+       }
+-      ret = v3d_lookup_bos(dev, file_priv, &render->base,
++      if (args->flags & DRM_V3D_SUBMIT_CL_FLUSH_CACHE) {
++              clean_job = kcalloc(1, sizeof(*clean_job), GFP_KERNEL);
++              if (!clean_job) {
++                      ret = -ENOMEM;
++                      goto fail;
++              }
++
++              ret = v3d_job_init(v3d, file_priv, clean_job, v3d_job_free, 0);
++              if (ret) {
++                      kfree(clean_job);
++                      clean_job = NULL;
++                      goto fail;
++              }
++
++              last_job = clean_job;
++      } else {
++              last_job = &render->base;
++      }
++
++      ret = v3d_lookup_bos(dev, file_priv, last_job,
+                            args->bo_handles, args->bo_handle_count);
+       if (ret)
+               goto fail;
+-      ret = v3d_lock_bo_reservations(&render->base, &acquire_ctx);
++      ret = v3d_lock_bo_reservations(last_job, &acquire_ctx);
+       if (ret)
+               goto fail;
+@@ -637,17 +659,29 @@ v3d_submit_cl_ioctl(struct drm_device *d
+       ret = v3d_push_job(v3d_priv, &render->base, V3D_RENDER);
+       if (ret)
+               goto fail_unreserve;
++
++      if (clean_job) {
++              ret = drm_gem_fence_array_add(&clean_job->deps,
++                                            dma_fence_get(render->base.done_fence));
++              if (ret)
++                      goto fail_unreserve;
++              ret = v3d_push_job(v3d_priv, clean_job, V3D_CACHE_CLEAN);
++              if (ret)
++                      goto fail_unreserve;
++      }
+       mutex_unlock(&v3d->sched_lock);
+       v3d_attach_fences_and_unlock_reservation(file_priv,
+-                                               &render->base,
++                                               last_job,
+                                                &acquire_ctx,
+                                                args->out_sync,
+-                                               render->base.done_fence);
++                                               last_job->done_fence);
+       if (bin)
+               v3d_job_put(&bin->base);
+       v3d_job_put(&render->base);
++      if (clean_job)
++              v3d_job_put(clean_job);
+       return 0;
+@@ -659,6 +693,8 @@ fail:
+       if (bin)
+               v3d_job_put(&bin->base);
+       v3d_job_put(&render->base);
++      if (clean_job)
++              v3d_job_put(clean_job);
+       return ret;
+ }
+--- a/include/uapi/drm/v3d_drm.h
++++ b/include/uapi/drm/v3d_drm.h
+@@ -48,6 +48,8 @@ extern "C" {
+ #define DRM_IOCTL_V3D_SUBMIT_TFU          DRM_IOW(DRM_COMMAND_BASE + DRM_V3D_SUBMIT_TFU, struct drm_v3d_submit_tfu)
+ #define DRM_IOCTL_V3D_SUBMIT_CSD          DRM_IOW(DRM_COMMAND_BASE + DRM_V3D_SUBMIT_CSD, struct drm_v3d_submit_csd)
++#define DRM_V3D_SUBMIT_CL_FLUSH_CACHE             0x01
++
+ /**
+  * struct drm_v3d_submit_cl - ioctl argument for submitting commands to the 3D
+  * engine.
+@@ -124,8 +126,7 @@ struct drm_v3d_submit_cl {
+       /* Number of BO handles passed in (size is that times 4). */
+       __u32 bo_handle_count;
+-      /* Pad, must be zero-filled. */
+-      __u32 pad;
++      __u32 flags;
+ };
+ /**
+@@ -193,6 +194,7 @@ enum drm_v3d_param {
+       DRM_V3D_PARAM_V3D_CORE0_IDENT2,
+       DRM_V3D_PARAM_SUPPORTS_TFU,
+       DRM_V3D_PARAM_SUPPORTS_CSD,
++      DRM_V3D_PARAM_SUPPORTS_CACHE_FLUSH,
+ };
+ struct drm_v3d_get_param {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0312-staging-bcm2835-codec-Correct-g-s_selection-API-MPLA.patch b/target/linux/bcm27xx/patches-5.4/950-0312-staging-bcm2835-codec-Correct-g-s_selection-API-MPLA.patch
deleted file mode 100644 (file)
index a91bcc3..0000000
+++ /dev/null
@@ -1,107 +0,0 @@
-From c8a466aecf6b7d0bdc1fea9e32f80327b641cd03 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 13 Sep 2019 15:11:47 +0100
-Subject: [PATCH] staging: bcm2835-codec: Correct g/s_selection API
- MPLANE support
-
-The g_selection and s_selection API is messed up and requires
-the driver to expect the non-MPLANE buffer types, not the MPLANE
-ones even if they are supported. The V4L2 core will convert the
-MPLANE ones to non-MPLANE should they be passed in
-
-Fixes: 5e484a3 staging: bcm2835-codec: switch to multi-planar API
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../bcm2835-codec/bcm2835-v4l2-codec.c        | 67 +++++++++++++------
- 1 file changed, 47 insertions(+), 20 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -1260,17 +1260,30 @@ static int vidioc_g_selection(struct fil
- {
-       struct bcm2835_codec_ctx *ctx = file2ctx(file);
-       struct bcm2835_codec_q_data *q_data;
--      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE ?
--                                                              true : false;
--      if ((ctx->dev->role == DECODE && !capture_queue) ||
--          (ctx->dev->role == ENCODE && capture_queue))
--              /* OUTPUT on decoder and CAPTURE on encoder are not valid. */
--              return -EINVAL;
--
--      q_data = get_q_data(ctx, s->type);
--      if (!q_data)
-+      /*
-+       * The selection API takes V4L2_BUF_TYPE_VIDEO_CAPTURE and
-+       * V4L2_BUF_TYPE_VIDEO_OUTPUT, even if the device implements the MPLANE
-+       * API. The V4L2 core will have converted the MPLANE variants to
-+       * non-MPLANE.
-+       * Open code this instead of using get_q_data in this case.
-+       */
-+      switch (s->type) {
-+      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
-+              /* CAPTURE on encoder is not valid. */
-+              if (ctx->dev->role == ENCODE)
-+                      return -EINVAL;
-+              q_data = &ctx->q_data[V4L2_M2M_DST];
-+              break;
-+      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
-+              /* OUTPUT on deoder is not valid. */
-+              if (ctx->dev->role == DECODE)
-+                      return -EINVAL;
-+              q_data = &ctx->q_data[V4L2_M2M_SRC];
-+              break;
-+      default:
-               return -EINVAL;
-+      }
-       switch (ctx->dev->role) {
-       case DECODE:
-@@ -1323,22 +1336,36 @@ static int vidioc_s_selection(struct fil
- {
-       struct bcm2835_codec_ctx *ctx = file2ctx(file);
-       struct bcm2835_codec_q_data *q_data = NULL;
--      bool capture_queue = s->type == V4L2_BUF_TYPE_VIDEO_CAPTURE_MPLANE ?
--                                                              true : false;
-+
-+      /*
-+       * The selection API takes V4L2_BUF_TYPE_VIDEO_CAPTURE and
-+       * V4L2_BUF_TYPE_VIDEO_OUTPUT, even if the device implements the MPLANE
-+       * API. The V4L2 core will have converted the MPLANE variants to
-+       * non-MPLANE.
-+       *
-+       * Open code this instead of using get_q_data in this case.
-+       */
-+      switch (s->type) {
-+      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
-+              /* CAPTURE on encoder is not valid. */
-+              if (ctx->dev->role == ENCODE)
-+                      return -EINVAL;
-+              q_data = &ctx->q_data[V4L2_M2M_DST];
-+              break;
-+      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
-+              /* OUTPUT on deoder is not valid. */
-+              if (ctx->dev->role == DECODE)
-+                      return -EINVAL;
-+              q_data = &ctx->q_data[V4L2_M2M_SRC];
-+              break;
-+      default:
-+              return -EINVAL;
-+      }
-       v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: ctx %p, type %d, q_data %p, target %d, rect x/y %d/%d, w/h %ux%u\n",
-                __func__, ctx, s->type, q_data, s->target, s->r.left, s->r.top,
-                s->r.width, s->r.height);
--      if ((ctx->dev->role == DECODE && !capture_queue) ||
--          (ctx->dev->role == ENCODE && capture_queue))
--              /* OUTPUT on decoder and CAPTURE on encoder are not valid. */
--              return -EINVAL;
--
--      q_data = get_q_data(ctx, s->type);
--      if (!q_data)
--              return -EINVAL;
--
-       switch (ctx->dev->role) {
-       case DECODE:
-               switch (s->target) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0313-drm-v3d-Delete-pm_runtime-support.patch b/target/linux/bcm27xx/patches-5.4/950-0313-drm-v3d-Delete-pm_runtime-support.patch
deleted file mode 100644 (file)
index 642be23..0000000
+++ /dev/null
@@ -1,62 +0,0 @@
-From 4fad98821e9ccd74b9b828d98cbe9df8c7437605 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Wed, 18 Sep 2019 17:22:36 +0100
-Subject: [PATCH] drm/v3d: Delete pm_runtime support
-
-The pm_runtime was blocking changelist submission, so delete it as a
-temporary workaround.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/gpu/drm/v3d/v3d_gem.c |  5 -----
- drivers/gpu/drm/v3d/v3d_mmu.c | 11 -----------
- 2 files changed, 16 deletions(-)
-
---- a/drivers/gpu/drm/v3d/v3d_gem.c
-+++ b/drivers/gpu/drm/v3d/v3d_gem.c
-@@ -478,10 +478,6 @@ v3d_job_init(struct v3d_dev *v3d, struct
-       job->v3d = v3d;
-       job->free = free;
--      ret = pm_runtime_get_sync(v3d->dev);
--      if (ret < 0)
--              return ret;
--
-       xa_init_flags(&job->deps, XA_FLAGS_ALLOC);
-       ret = drm_syncobj_find_fence(file_priv, in_sync, 0, 0, &in_fence);
-@@ -498,7 +494,6 @@ v3d_job_init(struct v3d_dev *v3d, struct
-       return 0;
- fail:
-       xa_destroy(&job->deps);
--      pm_runtime_put_autosuspend(v3d->dev);
-       return ret;
- }
---- a/drivers/gpu/drm/v3d/v3d_mmu.c
-+++ b/drivers/gpu/drm/v3d/v3d_mmu.c
-@@ -36,14 +36,6 @@ static int v3d_mmu_flush_all(struct v3d_
- {
-       int ret;
--      /* Keep power on the device on until we're done with this
--       * call, but skip the flush if the device is off and will be
--       * reset when powered back on.
--       */
--      ret = pm_runtime_get_if_in_use(v3d->dev);
--      if (ret == 0)
--              return 0;
--
-       /* Make sure that another flush isn't already running when we
-        * start this one.
-        */
-@@ -71,9 +63,6 @@ static int v3d_mmu_flush_all(struct v3d_
-       if (ret)
-               dev_err(v3d->dev, "MMUC flush wait idle failed\n");
--      pm_runtime_mark_last_busy(v3d->dev);
--      pm_runtime_put_autosuspend(v3d->dev);
--
-       return ret;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0313-kbuild-Allow-.dtbo-overlays-to-be-built-piecemeal.patch b/target/linux/bcm27xx/patches-5.4/950-0313-kbuild-Allow-.dtbo-overlays-to-be-built-piecemeal.patch
new file mode 100644 (file)
index 0000000..d36f3eb
--- /dev/null
@@ -0,0 +1,36 @@
+From 7542fb08d2726606057c4283b3a454abb195a0f5 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Mon, 23 Sep 2019 09:26:41 +0100
+Subject: [PATCH] kbuild: Allow .dtbo overlays to be built piecemeal
+
+Before 4.20, it was possible to build an arbitrary overlay by copying
+it to arm/boot/dts/overlays/mytest-overlay.dts and running:
+
+    make ARCH=arm overlays/mytest.dtbo
+
+In 4.20 the .dtb build rules were centralised, requiring the dowstream
+.dtbo build rules to be changed. They were, enough to support "make ...
+dtbs", but not sufficiently to allow this ad-hoc, one-off building of
+individual files.
+
+Add the missing makefile rule to support this way of building.
+
+See: https://github.com/raspberrypi/linux/issues/3250
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ Makefile | 3 +++
+ 1 file changed, 3 insertions(+)
+
+--- a/Makefile
++++ b/Makefile
+@@ -1261,6 +1261,9 @@ ifneq ($(dtstree),)
+ %.dtb: include/config/kernel.release scripts_dtc
+       $(Q)$(MAKE) $(build)=$(dtstree) $(dtstree)/$@
++%.dtbo: prepare3 scripts_dtc
++      $(Q)$(MAKE) $(build)=$(dtstree) $(dtstree)/$@
++
+ PHONY += dtbs dtbs_install dtbs_check
+ dtbs: include/config/kernel.release scripts_dtc
+       $(Q)$(MAKE) $(build)=$(dtstree)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0314-dma-direct-Temporary-DMA-fix-on-arm64.patch b/target/linux/bcm27xx/patches-5.4/950-0314-dma-direct-Temporary-DMA-fix-on-arm64.patch
new file mode 100644 (file)
index 0000000..327a77c
--- /dev/null
@@ -0,0 +1,23 @@
+From afde0ffa449eef528deb2fe455a512acd0569be4 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Wed, 25 Sep 2019 09:49:58 +0100
+Subject: [PATCH] dma-direct: Temporary DMA fix on arm64
+
+See: https://github.com/raspberrypi/linux/issues/3251
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ kernel/dma/direct.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/kernel/dma/direct.c
++++ b/kernel/dma/direct.c
+@@ -398,7 +398,7 @@ int dma_direct_supported(struct device *
+       if (IS_ENABLED(CONFIG_ZONE_DMA))
+               min_mask = DMA_BIT_MASK(ARCH_ZONE_DMA_BITS);
+       else
+-              min_mask = DMA_BIT_MASK(32);
++              min_mask = DMA_BIT_MASK(30);
+       min_mask = min_t(u64, min_mask, (max_pfn - 1) << PAGE_SHIFT);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0314-dts-Add-DTS-for-Pi-2B-rev-1.2-with-BCM2837-3235.patch b/target/linux/bcm27xx/patches-5.4/950-0314-dts-Add-DTS-for-Pi-2B-rev-1.2-with-BCM2837-3235.patch
deleted file mode 100644 (file)
index c7eccde..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-From 849dc86116416161d0f13bf929ab712ea2bade7e Mon Sep 17 00:00:00 2001
-From: Phil Elwell <pelwell@users.noreply.github.com>
-Date: Wed, 18 Sep 2019 09:02:10 +0100
-Subject: [PATCH] dts: Add DTS for Pi 2B rev 1.2 with BCM2837 (#3235)
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm64/boot/dts/broadcom/Makefile            | 2 ++
- arch/arm64/boot/dts/broadcom/bcm2710-rpi-2-b.dts | 3 +++
- 2 files changed, 5 insertions(+)
- create mode 100644 arch/arm64/boot/dts/broadcom/bcm2710-rpi-2-b.dts
-
---- a/arch/arm64/boot/dts/broadcom/Makefile
-+++ b/arch/arm64/boot/dts/broadcom/Makefile
-@@ -3,7 +3,9 @@ dtb-$(CONFIG_ARCH_BCM2835) += bcm2837-rp
-                             bcm2837-rpi-3-b.dtb \
-                             bcm2837-rpi-3-b-plus.dtb \
-                             bcm2837-rpi-cm3-io3.dtb
-+dtb-$(CONFIG_ARCH_BCM2709) += bcm2710-rpi-2-b.dtb
- dtb-$(CONFIG_ARCH_BCM2709) += bcm2710-rpi-3-b.dtb
-+dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-2-b.dtb
- dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-3-b.dtb
- dtb-$(CONFIG_ARCH_BCM2835) += bcm2711-rpi-4-b.dtb
- dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-3-b-plus.dtb
---- /dev/null
-+++ b/arch/arm64/boot/dts/broadcom/bcm2710-rpi-2-b.dts
-@@ -0,0 +1,3 @@
-+#define RPI364
-+
-+#include "../../../../arm/boot/dts/bcm2710-rpi-2-b.dts"
diff --git a/target/linux/bcm27xx/patches-5.4/950-0315-ARM-bcm-Switch-board-clk-and-pinctrl-to-bcm2711-comp.patch b/target/linux/bcm27xx/patches-5.4/950-0315-ARM-bcm-Switch-board-clk-and-pinctrl-to-bcm2711-comp.patch
new file mode 100644 (file)
index 0000000..254a621
--- /dev/null
@@ -0,0 +1,26 @@
+From 7a226e4533daa54a2ca625005b06ddeffe5de994 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Thu, 19 Sep 2019 20:45:30 +0200
+Subject: [PATCH] ARM: bcm: Switch board, clk and pinctrl to bcm2711
+ compatible
+
+After the decision to use bcm2711 compatible for upstream, we should
+switch all accepted compatibles to bcm2711. So we can boot with
+one DTB the down- and the upstream kernel.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ arch/arm/mach-bcm/board_bcm2835.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/mach-bcm/board_bcm2835.c
++++ b/arch/arm/mach-bcm/board_bcm2835.c
+@@ -109,7 +109,7 @@ static const char * const bcm2835_compat
+ #ifdef CONFIG_ARCH_MULTI_V7
+       "brcm,bcm2836",
+       "brcm,bcm2837",
+-      "brcm,bcm2838",
++      "brcm,bcm2711",
+ #endif
+       NULL
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0315-drm-v3d-clean-caches-at-the-end-of-render-jobs-on-re.patch b/target/linux/bcm27xx/patches-5.4/950-0315-drm-v3d-clean-caches-at-the-end-of-render-jobs-on-re.patch
deleted file mode 100644 (file)
index 961c0cc..0000000
+++ /dev/null
@@ -1,164 +0,0 @@
-From 141da39c2ce8dbf77773c54182244c14d96b301d Mon Sep 17 00:00:00 2001
-From: Iago Toral Quiroga <itoral@igalia.com>
-Date: Tue, 3 Sep 2019 08:45:24 +0200
-Subject: [PATCH] drm/v3d: clean caches at the end of render jobs on
- request from user space
-
-Extends the user space ioctl for CL submissions so it can include a request
-to flush the cache once the CL execution has completed. Fixes memory
-write violation messages reported by the kernel in workloads involving
-shader memory writes (SSBOs, shader images, scratch, etc) which sometimes
-also lead to GPU resets during Piglit and CTS workloads.
-
-v2: if v3d_job_init() fails we need to kfree() the job instead of
-    v3d_job_put() it (Eric Anholt).
-
-v3 (Eric Anholt):
-  - Drop _FLAG suffix from the new flag name.
-  - Add a new param so userspace can tell whether cache flushing is
-    implemented in the kernel.
-
-Signed-off-by: Iago Toral Quiroga <itoral@igalia.com>
----
- drivers/gpu/drm/v3d/v3d_drv.c |  3 +++
- drivers/gpu/drm/v3d/v3d_gem.c | 48 ++++++++++++++++++++++++++++++-----
- include/uapi/drm/v3d_drm.h    |  6 +++--
- 3 files changed, 49 insertions(+), 8 deletions(-)
-
---- a/drivers/gpu/drm/v3d/v3d_drv.c
-+++ b/drivers/gpu/drm/v3d/v3d_drv.c
-@@ -120,6 +120,9 @@ static int v3d_get_param_ioctl(struct dr
-       case DRM_V3D_PARAM_SUPPORTS_CSD:
-               args->value = v3d_has_csd(v3d);
-               return 0;
-+      case DRM_V3D_PARAM_SUPPORTS_CACHE_FLUSH:
-+              args->value = 1;
-+              return 0;
-       default:
-               DRM_DEBUG("Unknown parameter %d\n", args->param);
-               return -EINVAL;
---- a/drivers/gpu/drm/v3d/v3d_gem.c
-+++ b/drivers/gpu/drm/v3d/v3d_gem.c
-@@ -565,13 +565,16 @@ v3d_submit_cl_ioctl(struct drm_device *d
-       struct drm_v3d_submit_cl *args = data;
-       struct v3d_bin_job *bin = NULL;
-       struct v3d_render_job *render;
-+      struct v3d_job *clean_job = NULL;
-+      struct v3d_job *last_job;
-       struct ww_acquire_ctx acquire_ctx;
-       int ret = 0;
-       trace_v3d_submit_cl_ioctl(&v3d->drm, args->rcl_start, args->rcl_end);
--      if (args->pad != 0) {
--              DRM_INFO("pad must be zero: %d\n", args->pad);
-+      if (args->flags != 0 &&
-+          args->flags != DRM_V3D_SUBMIT_CL_FLUSH_CACHE) {
-+              DRM_INFO("invalid flags: %d\n", args->flags);
-               return -EINVAL;
-       }
-@@ -613,12 +616,31 @@ v3d_submit_cl_ioctl(struct drm_device *d
-               bin->render = render;
-       }
--      ret = v3d_lookup_bos(dev, file_priv, &render->base,
-+      if (args->flags & DRM_V3D_SUBMIT_CL_FLUSH_CACHE) {
-+              clean_job = kcalloc(1, sizeof(*clean_job), GFP_KERNEL);
-+              if (!clean_job) {
-+                      ret = -ENOMEM;
-+                      goto fail;
-+              }
-+
-+              ret = v3d_job_init(v3d, file_priv, clean_job, v3d_job_free, 0);
-+              if (ret) {
-+                      kfree(clean_job);
-+                      clean_job = NULL;
-+                      goto fail;
-+              }
-+
-+              last_job = clean_job;
-+      } else {
-+              last_job = &render->base;
-+      }
-+
-+      ret = v3d_lookup_bos(dev, file_priv, last_job,
-                            args->bo_handles, args->bo_handle_count);
-       if (ret)
-               goto fail;
--      ret = v3d_lock_bo_reservations(&render->base, &acquire_ctx);
-+      ret = v3d_lock_bo_reservations(last_job, &acquire_ctx);
-       if (ret)
-               goto fail;
-@@ -637,17 +659,29 @@ v3d_submit_cl_ioctl(struct drm_device *d
-       ret = v3d_push_job(v3d_priv, &render->base, V3D_RENDER);
-       if (ret)
-               goto fail_unreserve;
-+
-+      if (clean_job) {
-+              ret = drm_gem_fence_array_add(&clean_job->deps,
-+                                            dma_fence_get(render->base.done_fence));
-+              if (ret)
-+                      goto fail_unreserve;
-+              ret = v3d_push_job(v3d_priv, clean_job, V3D_CACHE_CLEAN);
-+              if (ret)
-+                      goto fail_unreserve;
-+      }
-       mutex_unlock(&v3d->sched_lock);
-       v3d_attach_fences_and_unlock_reservation(file_priv,
--                                               &render->base,
-+                                               last_job,
-                                                &acquire_ctx,
-                                                args->out_sync,
--                                               render->base.done_fence);
-+                                               last_job->done_fence);
-       if (bin)
-               v3d_job_put(&bin->base);
-       v3d_job_put(&render->base);
-+      if (clean_job)
-+              v3d_job_put(clean_job);
-       return 0;
-@@ -659,6 +693,8 @@ fail:
-       if (bin)
-               v3d_job_put(&bin->base);
-       v3d_job_put(&render->base);
-+      if (clean_job)
-+              v3d_job_put(clean_job);
-       return ret;
- }
---- a/include/uapi/drm/v3d_drm.h
-+++ b/include/uapi/drm/v3d_drm.h
-@@ -48,6 +48,8 @@ extern "C" {
- #define DRM_IOCTL_V3D_SUBMIT_TFU          DRM_IOW(DRM_COMMAND_BASE + DRM_V3D_SUBMIT_TFU, struct drm_v3d_submit_tfu)
- #define DRM_IOCTL_V3D_SUBMIT_CSD          DRM_IOW(DRM_COMMAND_BASE + DRM_V3D_SUBMIT_CSD, struct drm_v3d_submit_csd)
-+#define DRM_V3D_SUBMIT_CL_FLUSH_CACHE             0x01
-+
- /**
-  * struct drm_v3d_submit_cl - ioctl argument for submitting commands to the 3D
-  * engine.
-@@ -124,8 +126,7 @@ struct drm_v3d_submit_cl {
-       /* Number of BO handles passed in (size is that times 4). */
-       __u32 bo_handle_count;
--      /* Pad, must be zero-filled. */
--      __u32 pad;
-+      __u32 flags;
- };
- /**
-@@ -193,6 +194,7 @@ enum drm_v3d_param {
-       DRM_V3D_PARAM_V3D_CORE0_IDENT2,
-       DRM_V3D_PARAM_SUPPORTS_TFU,
-       DRM_V3D_PARAM_SUPPORTS_CSD,
-+      DRM_V3D_PARAM_SUPPORTS_CACHE_FLUSH,
- };
- struct drm_v3d_get_param {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0316-kbuild-Allow-.dtbo-overlays-to-be-built-piecemeal.patch b/target/linux/bcm27xx/patches-5.4/950-0316-kbuild-Allow-.dtbo-overlays-to-be-built-piecemeal.patch
deleted file mode 100644 (file)
index d36f3eb..0000000
+++ /dev/null
@@ -1,36 +0,0 @@
-From 7542fb08d2726606057c4283b3a454abb195a0f5 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Mon, 23 Sep 2019 09:26:41 +0100
-Subject: [PATCH] kbuild: Allow .dtbo overlays to be built piecemeal
-
-Before 4.20, it was possible to build an arbitrary overlay by copying
-it to arm/boot/dts/overlays/mytest-overlay.dts and running:
-
-    make ARCH=arm overlays/mytest.dtbo
-
-In 4.20 the .dtb build rules were centralised, requiring the dowstream
-.dtbo build rules to be changed. They were, enough to support "make ...
-dtbs", but not sufficiently to allow this ad-hoc, one-off building of
-individual files.
-
-Add the missing makefile rule to support this way of building.
-
-See: https://github.com/raspberrypi/linux/issues/3250
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- Makefile | 3 +++
- 1 file changed, 3 insertions(+)
-
---- a/Makefile
-+++ b/Makefile
-@@ -1261,6 +1261,9 @@ ifneq ($(dtstree),)
- %.dtb: include/config/kernel.release scripts_dtc
-       $(Q)$(MAKE) $(build)=$(dtstree) $(dtstree)/$@
-+%.dtbo: prepare3 scripts_dtc
-+      $(Q)$(MAKE) $(build)=$(dtstree) $(dtstree)/$@
-+
- PHONY += dtbs dtbs_install dtbs_check
- dtbs: include/config/kernel.release scripts_dtc
-       $(Q)$(MAKE) $(build)=$(dtstree)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0316-pinctrl-bcm2835-Add-support-for-BCM2711-pull-up-func.patch b/target/linux/bcm27xx/patches-5.4/950-0316-pinctrl-bcm2835-Add-support-for-BCM2711-pull-up-func.patch
new file mode 100644 (file)
index 0000000..2ad17cb
--- /dev/null
@@ -0,0 +1,40 @@
+From cf658ebc86b3e22c0b77e136fbbf19b580c7c256 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Sun, 21 Jul 2019 16:01:36 +0200
+Subject: [PATCH] pinctrl: bcm2835: Add support for BCM2711 pull-up
+ functionality
+
+commit e38a9a437fb93ddafab5030165e4c6a3a5021669 upstream.
+
+The BCM2711 has a new way of selecting the pull-up/pull-down setting
+for a GPIO pin. The registers used for the BCM2835, GP_PUD and
+GP_PUDCLKn0, are no longer connected. A new set of registers,
+GP_GPIO_PUP_PDN_CNTRL_REGx must be used. This commit will add
+a new compatible string "brcm,bcm2711-gpio" and the kernel
+driver will use it to select which method is used to select
+pull-up/pull-down.
+
+This patch based on a patch by Al Cooper which was intended for the
+BCM7211. This is a bugfixed and improved version.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+Acked-by: Eric Anholt <eric@anholt.net>
+---
+ drivers/pinctrl/bcm/pinctrl-bcm2835.c | 6 ++++++
+ 1 file changed, 6 insertions(+)
+
+--- a/drivers/pinctrl/bcm/pinctrl-bcm2835.c
++++ b/drivers/pinctrl/bcm/pinctrl-bcm2835.c
+@@ -1168,6 +1168,12 @@ static int bcm2835_pinctrl_probe(struct
+                       (const struct pinconf_ops *)match->data;
+       }
++      match = of_match_node(bcm2835_pinctrl_match, pdev->dev.of_node);
++      if (match) {
++              bcm2835_pinctrl_desc.confops =
++                      (const struct pinconf_ops *)match->data;
++      }
++
+       pc->pctl_dev = devm_pinctrl_register(dev, &bcm2835_pinctrl_desc, pc);
+       if (IS_ERR(pc->pctl_dev)) {
+               gpiochip_remove(&pc->gpio_chip);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0317-dma-direct-Temporary-DMA-fix-on-arm64.patch b/target/linux/bcm27xx/patches-5.4/950-0317-dma-direct-Temporary-DMA-fix-on-arm64.patch
deleted file mode 100644 (file)
index 327a77c..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-From afde0ffa449eef528deb2fe455a512acd0569be4 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Wed, 25 Sep 2019 09:49:58 +0100
-Subject: [PATCH] dma-direct: Temporary DMA fix on arm64
-
-See: https://github.com/raspberrypi/linux/issues/3251
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- kernel/dma/direct.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/kernel/dma/direct.c
-+++ b/kernel/dma/direct.c
-@@ -398,7 +398,7 @@ int dma_direct_supported(struct device *
-       if (IS_ENABLED(CONFIG_ZONE_DMA))
-               min_mask = DMA_BIT_MASK(ARCH_ZONE_DMA_BITS);
-       else
--              min_mask = DMA_BIT_MASK(32);
-+              min_mask = DMA_BIT_MASK(30);
-       min_mask = min_t(u64, min_mask, (max_pfn - 1) << PAGE_SHIFT);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0317-vchiq_2835_arm-suppress-warning.patch b/target/linux/bcm27xx/patches-5.4/950-0317-vchiq_2835_arm-suppress-warning.patch
new file mode 100644 (file)
index 0000000..1be935c
--- /dev/null
@@ -0,0 +1,32 @@
+From a822f97a094991b08a50352355b0a376086b46c4 Mon Sep 17 00:00:00 2001
+From: Matteo Croce <mcroce@redhat.com>
+Date: Sun, 6 Oct 2019 03:23:15 +0200
+Subject: [PATCH] vchiq_2835_arm: suppress warning
+MIME-Version: 1.0
+Content-Type: text/plain; charset=UTF-8
+Content-Transfer-Encoding: 8bit
+
+Suppress the following warning by casting the pointer to and uintptr_t
+before void*:
+
+  drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c: In function ‘vchiq_prepare_bulk_data’:
+  drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c:260:15: warning: cast to pointer from integer of different size [-Wint-to-pointer-cast]
+    bulk->data = (void *)VC_SAFE(pagelistinfo->dma_addr);
+                 ^
+
+Signed-off-by: Matteo Croce <mcroce@redhat.com>
+---
+ .../staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c  | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
+@@ -255,7 +255,7 @@ vchiq_prepare_bulk_data(struct vchiq_bul
+       if (!pagelistinfo)
+               return VCHIQ_ERROR;
+-      bulk->data = (void *)VC_SAFE(pagelistinfo->dma_addr);
++      bulk->data = (void *)(uintptr_t)VC_SAFE(pagelistinfo->dma_addr);
+       /*
+        * Store the pagelistinfo address in remote_data,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0318-ARM-bcm-Switch-board-clk-and-pinctrl-to-bcm2711-comp.patch b/target/linux/bcm27xx/patches-5.4/950-0318-ARM-bcm-Switch-board-clk-and-pinctrl-to-bcm2711-comp.patch
deleted file mode 100644 (file)
index 254a621..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From 7a226e4533daa54a2ca625005b06ddeffe5de994 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Thu, 19 Sep 2019 20:45:30 +0200
-Subject: [PATCH] ARM: bcm: Switch board, clk and pinctrl to bcm2711
- compatible
-
-After the decision to use bcm2711 compatible for upstream, we should
-switch all accepted compatibles to bcm2711. So we can boot with
-one DTB the down- and the upstream kernel.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- arch/arm/mach-bcm/board_bcm2835.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/arch/arm/mach-bcm/board_bcm2835.c
-+++ b/arch/arm/mach-bcm/board_bcm2835.c
-@@ -109,7 +109,7 @@ static const char * const bcm2835_compat
- #ifdef CONFIG_ARCH_MULTI_V7
-       "brcm,bcm2836",
-       "brcm,bcm2837",
--      "brcm,bcm2838",
-+      "brcm,bcm2711",
- #endif
-       NULL
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0318-Rename-HDMI-ALSA-device-names-check-for-enable-state.patch b/target/linux/bcm27xx/patches-5.4/950-0318-Rename-HDMI-ALSA-device-names-check-for-enable-state.patch
new file mode 100644 (file)
index 0000000..8ec8366
--- /dev/null
@@ -0,0 +1,139 @@
+From 95709d5c58c57f31a70e96fe9ebb8d34c046f877 Mon Sep 17 00:00:00 2001
+From: James Hughes <james.hughes@raspberrypi.org>
+Date: Tue, 24 Sep 2019 18:26:55 +0100
+Subject: [PATCH] Rename HDMI ALSA device names, check for enable state
+
+HDMI Alsa devices renamed to match names used by DRM, to
+HDMI 1 and HDMI 2
+
+Check for which HDMI devices are connected and only create
+devices for those that are present.
+
+The rename of the devices might cause some backwards compatibility
+issues, but since this particular part of the driver needs to be
+specifically enabled, I suspect the number of people who will see
+the problem will be very small.
+
+Signed-off-by: James Hughes <james.hughes@raspberrypi.org>
+---
+ .../vc04_services/bcm2835-audio/bcm2835.c     | 70 +++++++++++++++++--
+ 1 file changed, 63 insertions(+), 7 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
++++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
+@@ -9,8 +9,9 @@
+ #include <linux/of.h>
+ #include "bcm2835.h"
++#include <soc/bcm2835/raspberrypi-firmware.h>
+-static bool enable_hdmi;
++static bool enable_hdmi, enable_hdmi0, enable_hdmi1;
+ static bool enable_headphones;
+ static bool enable_compat_alsa = true;
+@@ -115,8 +116,8 @@ static struct bcm2835_audio_driver bcm28
+               .name = "bcm2835_hdmi",
+               .owner = THIS_MODULE,
+       },
+-      .shortname = "bcm2835 HDMI",
+-      .longname  = "bcm2835 HDMI",
++      .shortname = "bcm2835 HDMI 1",
++      .longname  = "bcm2835 HDMI 1",
+       .minchannels = 1,
+       .newpcm = bcm2835_audio_simple_newpcm,
+       .newctl = snd_bcm2835_new_hdmi_ctl,
+@@ -128,8 +129,8 @@ static struct bcm2835_audio_driver bcm28
+               .name = "bcm2835_hdmi",
+               .owner = THIS_MODULE,
+       },
+-      .shortname = "bcm2835 HDMI 1",
+-      .longname  = "bcm2835 HDMI 1",
++      .shortname = "bcm2835 HDMI 2",
++      .longname  = "bcm2835 HDMI 2",
+       .minchannels = 1,
+       .newpcm = bcm2835_audio_simple_newpcm,
+       .newctl = snd_bcm2835_new_hdmi_ctl,
+@@ -161,11 +162,11 @@ static struct bcm2835_audio_drivers chil
+       },
+       {
+               .audio_driver = &bcm2835_audio_hdmi0,
+-              .is_enabled = &enable_hdmi,
++              .is_enabled = &enable_hdmi0,
+       },
+       {
+               .audio_driver = &bcm2835_audio_hdmi1,
+-              .is_enabled = &enable_hdmi,
++              .is_enabled = &enable_hdmi1,
+       },
+       {
+               .audio_driver = &bcm2835_audio_headphones,
+@@ -312,6 +313,53 @@ static int snd_add_child_devices(struct
+       return 0;
+ }
++static void set_hdmi_enables(struct device *dev)
++{
++      struct device_node *firmware_node;
++      struct rpi_firmware *firmware;
++      u32 num_displays, i, display_id;
++      int ret;
++
++      firmware_node = of_parse_phandle(dev->of_node, "brcm,firmware", 0);
++      firmware = rpi_firmware_get(firmware_node);
++
++      if (!firmware)
++              return;
++
++      of_node_put(firmware_node);
++
++      ret = rpi_firmware_property(firmware,
++                                  RPI_FIRMWARE_FRAMEBUFFER_GET_NUM_DISPLAYS,
++                                  &num_displays, sizeof(u32));
++
++      if (ret)
++              return;
++
++      for (i = 0; i < num_displays; i++) {
++              display_id = i;
++              ret = rpi_firmware_property(firmware,
++                              RPI_FIRMWARE_FRAMEBUFFER_GET_DISPLAY_ID,
++                              &display_id, sizeof(display_id));
++              if (!ret) {
++                      if (display_id == 2)
++                              enable_hdmi0 = true;
++                      if (display_id == 7)
++                              enable_hdmi1 = true;
++              }
++      }
++
++      if (!enable_hdmi0 && enable_hdmi1) {
++              /* Swap them over and reassign route. This means
++               * that if we only have one connected, it is always named
++               *  HDMI1, irrespective of if its on port HDMI0 or HDMI1.
++               *  This should match with the naming of HDMI ports in DRM
++               */
++              enable_hdmi0 = true;
++              enable_hdmi1 = false;
++              bcm2835_audio_hdmi0.route = AUDIO_DEST_HDMI1;
++      }
++}
++
+ static int snd_bcm2835_alsa_probe(struct platform_device *pdev)
+ {
+       struct device *dev = &pdev->dev;
+@@ -332,6 +380,14 @@ static int snd_bcm2835_alsa_probe(struct
+                        numchans);
+       }
++      if (!enable_compat_alsa) {
++              set_hdmi_enables(dev);
++              // In this mode, always enable analog output
++              enable_headphones = true;
++      } else {
++              enable_hdmi0 = enable_hdmi;
++      }
++
+       err = bcm2835_devm_add_vchi_ctx(dev);
+       if (err)
+               return err;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0319-drm-vc4-Add-support-for-YUV-color-encodings-and-rang.patch b/target/linux/bcm27xx/patches-5.4/950-0319-drm-vc4-Add-support-for-YUV-color-encodings-and-rang.patch
new file mode 100644 (file)
index 0000000..d7e6bbc
--- /dev/null
@@ -0,0 +1,138 @@
+From fb76c3ded8c771e8b9287d62b5e13666037f890e Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 17 Sep 2019 18:28:17 +0100
+Subject: [PATCH] drm/vc4: Add support for YUV color encodings and
+ ranges
+
+The BT601/BT709 color encoding and limited vs full
+range properties were not being exposed, defaulting
+always to BT601 limited range.
+
+Expose the parameters and set the registers appropriately.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_plane.c | 72 +++++++++++++++++++++++++++++++--
+ drivers/gpu/drm/vc4/vc4_regs.h  |  3 ++
+ 2 files changed, 72 insertions(+), 3 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_plane.c
++++ b/drivers/gpu/drm/vc4/vc4_plane.c
+@@ -585,6 +585,53 @@ static int vc4_plane_allocate_lbm(struct
+       return 0;
+ }
++/* The colorspace conversion matrices are held in 3 entries in the dlist.
++ * Create an array of them, with entries for each full and limited mode, and
++ * each supported colorspace.
++ */
++#define VC4_LIMITED_RANGE     0
++#define VC4_FULL_RANGE                1
++
++static const u32 colorspace_coeffs[2][DRM_COLOR_ENCODING_MAX][3] = {
++      {
++              /* Limited range */
++              {
++                      /* BT601 */
++                      SCALER_CSC0_ITR_R_601_5,
++                      SCALER_CSC1_ITR_R_601_5,
++                      SCALER_CSC2_ITR_R_601_5,
++              }, {
++                      /* BT709 */
++                      SCALER_CSC0_ITR_R_709_3,
++                      SCALER_CSC1_ITR_R_709_3,
++                      SCALER_CSC2_ITR_R_709_3,
++              }, {
++                      /* BT2020. Not supported yet - copy 601 */
++                      SCALER_CSC0_ITR_R_601_5,
++                      SCALER_CSC1_ITR_R_601_5,
++                      SCALER_CSC2_ITR_R_601_5,
++              }
++      }, {
++              /* Full range */
++              {
++                      /* JFIF */
++                      SCALER_CSC0_JPEG_JFIF,
++                      SCALER_CSC1_JPEG_JFIF,
++                      SCALER_CSC2_JPEG_JFIF,
++              }, {
++                      /* BT709 */
++                      SCALER_CSC0_ITR_R_709_3_FR,
++                      SCALER_CSC1_ITR_R_709_3_FR,
++                      SCALER_CSC2_ITR_R_709_3_FR,
++              }, {
++                      /* BT2020. Not supported yet - copy JFIF */
++                      SCALER_CSC0_JPEG_JFIF,
++                      SCALER_CSC1_JPEG_JFIF,
++                      SCALER_CSC2_JPEG_JFIF,
++              }
++      }
++};
++
+ /* Writes out a full display list for an active plane to the plane's
+  * private dlist state.
+  */
+@@ -864,9 +911,20 @@ static int vc4_plane_mode_set(struct drm
+       /* Colorspace conversion words */
+       if (vc4_state->is_yuv) {
+-              vc4_dlist_write(vc4_state, SCALER_CSC0_ITR_R_601_5);
+-              vc4_dlist_write(vc4_state, SCALER_CSC1_ITR_R_601_5);
+-              vc4_dlist_write(vc4_state, SCALER_CSC2_ITR_R_601_5);
++              enum drm_color_encoding color_encoding = state->color_encoding;
++              enum drm_color_range color_range = state->color_range;
++              const u32 *ccm;
++
++              if (color_encoding >= DRM_COLOR_ENCODING_MAX)
++                      color_encoding = DRM_COLOR_YCBCR_BT601;
++              if (color_range >= DRM_COLOR_RANGE_MAX)
++                      color_range = DRM_COLOR_YCBCR_LIMITED_RANGE;
++
++              ccm = colorspace_coeffs[color_range][color_encoding];
++
++              vc4_dlist_write(vc4_state, ccm[0]);
++              vc4_dlist_write(vc4_state, ccm[1]);
++              vc4_dlist_write(vc4_state, ccm[2]);
+       }
+       vc4_state->lbm_offset = 0;
+@@ -1275,5 +1333,13 @@ struct drm_plane *vc4_plane_init(struct
+                                          DRM_MODE_REFLECT_X |
+                                          DRM_MODE_REFLECT_Y);
++      drm_plane_create_color_properties(plane,
++                                        BIT(DRM_COLOR_YCBCR_BT601) |
++                                        BIT(DRM_COLOR_YCBCR_BT709),
++                                        BIT(DRM_COLOR_YCBCR_LIMITED_RANGE) |
++                                        BIT(DRM_COLOR_YCBCR_FULL_RANGE),
++                                        DRM_COLOR_YCBCR_BT709,
++                                        DRM_COLOR_YCBCR_LIMITED_RANGE);
++
+       return plane;
+ }
+--- a/drivers/gpu/drm/vc4/vc4_regs.h
++++ b/drivers/gpu/drm/vc4/vc4_regs.h
+@@ -950,6 +950,7 @@ enum hvs_pixel_format {
+ #define SCALER_CSC0_ITR_R_601_5                       0x00f00000
+ #define SCALER_CSC0_ITR_R_709_3                       0x00f00000
+ #define SCALER_CSC0_JPEG_JFIF                 0x00000000
++#define SCALER_CSC0_ITR_R_709_3_FR            0x00000000
+ /* S2.8 contribution of Cb to Green */
+ #define SCALER_CSC1_COEF_CB_GRN_MASK          VC4_MASK(31, 22)
+@@ -966,6 +967,7 @@ enum hvs_pixel_format {
+ #define SCALER_CSC1_ITR_R_601_5                       0xe73304a8
+ #define SCALER_CSC1_ITR_R_709_3                       0xf2b784a8
+ #define SCALER_CSC1_JPEG_JFIF                 0xea34a400
++#define SCALER_CSC1_ITR_R_709_3_FR            0xe23d0400
+ /* S2.8 contribution of Cb to Red */
+ #define SCALER_CSC2_COEF_CB_RED_MASK          VC4_MASK(29, 20)
+@@ -979,6 +981,7 @@ enum hvs_pixel_format {
+ #define SCALER_CSC2_ITR_R_601_5                       0x00066204
+ #define SCALER_CSC2_ITR_R_709_3                       0x00072a1c
+ #define SCALER_CSC2_JPEG_JFIF                 0x000599c5
++#define SCALER_CSC2_ITR_R_709_3_FR            0x00064ddb
+ #define SCALER_TPZ0_VERT_RECALC                       BIT(31)
+ #define SCALER_TPZ0_SCALE_MASK                        VC4_MASK(28, 8)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0319-pinctrl-bcm2835-Add-support-for-BCM2711-pull-up-func.patch b/target/linux/bcm27xx/patches-5.4/950-0319-pinctrl-bcm2835-Add-support-for-BCM2711-pull-up-func.patch
deleted file mode 100644 (file)
index 2ad17cb..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-From cf658ebc86b3e22c0b77e136fbbf19b580c7c256 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Sun, 21 Jul 2019 16:01:36 +0200
-Subject: [PATCH] pinctrl: bcm2835: Add support for BCM2711 pull-up
- functionality
-
-commit e38a9a437fb93ddafab5030165e4c6a3a5021669 upstream.
-
-The BCM2711 has a new way of selecting the pull-up/pull-down setting
-for a GPIO pin. The registers used for the BCM2835, GP_PUD and
-GP_PUDCLKn0, are no longer connected. A new set of registers,
-GP_GPIO_PUP_PDN_CNTRL_REGx must be used. This commit will add
-a new compatible string "brcm,bcm2711-gpio" and the kernel
-driver will use it to select which method is used to select
-pull-up/pull-down.
-
-This patch based on a patch by Al Cooper which was intended for the
-BCM7211. This is a bugfixed and improved version.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
-Acked-by: Eric Anholt <eric@anholt.net>
----
- drivers/pinctrl/bcm/pinctrl-bcm2835.c | 6 ++++++
- 1 file changed, 6 insertions(+)
-
---- a/drivers/pinctrl/bcm/pinctrl-bcm2835.c
-+++ b/drivers/pinctrl/bcm/pinctrl-bcm2835.c
-@@ -1168,6 +1168,12 @@ static int bcm2835_pinctrl_probe(struct
-                       (const struct pinconf_ops *)match->data;
-       }
-+      match = of_match_node(bcm2835_pinctrl_match, pdev->dev.of_node);
-+      if (match) {
-+              bcm2835_pinctrl_desc.confops =
-+                      (const struct pinconf_ops *)match->data;
-+      }
-+
-       pc->pctl_dev = devm_pinctrl_register(dev, &bcm2835_pinctrl_desc, pc);
-       if (IS_ERR(pc->pctl_dev)) {
-               gpiochip_remove(&pc->gpio_chip);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0320-drm-vc4-Correct-handling-of-rotation-parameter-in-fk.patch b/target/linux/bcm27xx/patches-5.4/950-0320-drm-vc4-Correct-handling-of-rotation-parameter-in-fk.patch
new file mode 100644 (file)
index 0000000..f98002e
--- /dev/null
@@ -0,0 +1,87 @@
+From 23ed834712dfc0d25451f16b46ae9c19abb675b5 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Wed, 18 Sep 2019 15:49:13 +0100
+Subject: [PATCH] drm/vc4: Correct handling of rotation parameter in
+ fkms
+
+One bit within DRM_MODE_ROTATE_MASK will always be set to
+determine the base rotation 0/90/180/270, and then REFLECT_X
+and REFLECT_Y are on top.
+
+Correct the handling which was assuming that REFLECT_[X|Y]
+was instead of ROTATE_x.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 37 ++++++++++----------------
+ 1 file changed, 14 insertions(+), 23 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -82,11 +82,6 @@ struct set_plane {
+ #define TRANSFORM_FLIP_HRIZ   BIT(16)
+ #define TRANSFORM_FLIP_VERT   BIT(17)
+-#define SUPPORTED_ROTATIONS   (DRM_MODE_ROTATE_0 | \
+-                               DRM_MODE_ROTATE_180 | \
+-                               DRM_MODE_REFLECT_X | \
+-                               DRM_MODE_REFLECT_Y)
+-
+ struct mailbox_set_plane {
+       struct rpi_firmware_property_tag_header tag;
+       struct set_plane plane;
+@@ -525,7 +520,7 @@ static int vc4_plane_to_mb(struct drm_pl
+       const struct vc_image_format *vc_fmt =
+                                       vc4_get_vc_image_fmt(drm_fmt->format);
+       int num_planes = fb->format->num_planes;
+-      unsigned int rotation = SUPPORTED_ROTATIONS;
++      unsigned int rotation;
+       mb->plane.vc_image_type = vc_fmt->vc_image;
+       mb->plane.width = fb->width;
+@@ -546,23 +541,16 @@ static int vc4_plane_to_mb(struct drm_pl
+       mb->plane.is_vu = vc_fmt->is_vu;
+       mb->plane.planes[0] = bo->paddr + fb->offsets[0];
+-      rotation = drm_rotation_simplify(state->rotation, rotation);
+-
+-      switch (rotation) {
+-      default:
+-      case DRM_MODE_ROTATE_0:
+-              mb->plane.transform = TRANSFORM_NO_ROTATE;
+-              break;
+-      case DRM_MODE_ROTATE_180:
+-              mb->plane.transform = TRANSFORM_ROTATE_180;
+-              break;
+-      case DRM_MODE_REFLECT_X:
+-              mb->plane.transform = TRANSFORM_FLIP_HRIZ;
+-              break;
+-      case DRM_MODE_REFLECT_Y:
+-              mb->plane.transform = TRANSFORM_FLIP_VERT;
+-              break;
+-      }
++      rotation = drm_rotation_simplify(state->rotation,
++                                       DRM_MODE_ROTATE_0 |
++                                       DRM_MODE_REFLECT_X |
++                                       DRM_MODE_REFLECT_Y);
++
++      mb->plane.transform = TRANSFORM_NO_ROTATE;
++      if (rotation & DRM_MODE_REFLECT_X)
++              mb->plane.transform |= TRANSFORM_FLIP_HRIZ;
++      if (rotation & DRM_MODE_REFLECT_Y)
++              mb->plane.transform |= TRANSFORM_FLIP_VERT;
+       vc4_fkms_margins_adj(state, &mb->plane);
+@@ -803,7 +791,10 @@ static struct drm_plane *vc4_fkms_plane_
+       drm_plane_create_alpha_property(plane);
+       drm_plane_create_rotation_property(plane, DRM_MODE_ROTATE_0,
+-                                         SUPPORTED_ROTATIONS);
++                                         DRM_MODE_ROTATE_0 |
++                                         DRM_MODE_ROTATE_180 |
++                                         DRM_MODE_REFLECT_X |
++                                         DRM_MODE_REFLECT_Y);
+       drm_plane_create_color_properties(plane,
+                                         BIT(DRM_COLOR_YCBCR_BT601) |
+                                         BIT(DRM_COLOR_YCBCR_BT709) |
diff --git a/target/linux/bcm27xx/patches-5.4/950-0320-vchiq_2835_arm-suppress-warning.patch b/target/linux/bcm27xx/patches-5.4/950-0320-vchiq_2835_arm-suppress-warning.patch
deleted file mode 100644 (file)
index 1be935c..0000000
+++ /dev/null
@@ -1,32 +0,0 @@
-From a822f97a094991b08a50352355b0a376086b46c4 Mon Sep 17 00:00:00 2001
-From: Matteo Croce <mcroce@redhat.com>
-Date: Sun, 6 Oct 2019 03:23:15 +0200
-Subject: [PATCH] vchiq_2835_arm: suppress warning
-MIME-Version: 1.0
-Content-Type: text/plain; charset=UTF-8
-Content-Transfer-Encoding: 8bit
-
-Suppress the following warning by casting the pointer to and uintptr_t
-before void*:
-
-  drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c: In function ‘vchiq_prepare_bulk_data’:
-  drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c:260:15: warning: cast to pointer from integer of different size [-Wint-to-pointer-cast]
-    bulk->data = (void *)VC_SAFE(pagelistinfo->dma_addr);
-                 ^
-
-Signed-off-by: Matteo Croce <mcroce@redhat.com>
----
- .../staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c  | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
-@@ -255,7 +255,7 @@ vchiq_prepare_bulk_data(struct vchiq_bul
-       if (!pagelistinfo)
-               return VCHIQ_ERROR;
--      bulk->data = (void *)VC_SAFE(pagelistinfo->dma_addr);
-+      bulk->data = (void *)(uintptr_t)VC_SAFE(pagelistinfo->dma_addr);
-       /*
-        * Store the pagelistinfo address in remote_data,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0321-Rename-HDMI-ALSA-device-names-check-for-enable-state.patch b/target/linux/bcm27xx/patches-5.4/950-0321-Rename-HDMI-ALSA-device-names-check-for-enable-state.patch
deleted file mode 100644 (file)
index 8ec8366..0000000
+++ /dev/null
@@ -1,139 +0,0 @@
-From 95709d5c58c57f31a70e96fe9ebb8d34c046f877 Mon Sep 17 00:00:00 2001
-From: James Hughes <james.hughes@raspberrypi.org>
-Date: Tue, 24 Sep 2019 18:26:55 +0100
-Subject: [PATCH] Rename HDMI ALSA device names, check for enable state
-
-HDMI Alsa devices renamed to match names used by DRM, to
-HDMI 1 and HDMI 2
-
-Check for which HDMI devices are connected and only create
-devices for those that are present.
-
-The rename of the devices might cause some backwards compatibility
-issues, but since this particular part of the driver needs to be
-specifically enabled, I suspect the number of people who will see
-the problem will be very small.
-
-Signed-off-by: James Hughes <james.hughes@raspberrypi.org>
----
- .../vc04_services/bcm2835-audio/bcm2835.c     | 70 +++++++++++++++++--
- 1 file changed, 63 insertions(+), 7 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
-+++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
-@@ -9,8 +9,9 @@
- #include <linux/of.h>
- #include "bcm2835.h"
-+#include <soc/bcm2835/raspberrypi-firmware.h>
--static bool enable_hdmi;
-+static bool enable_hdmi, enable_hdmi0, enable_hdmi1;
- static bool enable_headphones;
- static bool enable_compat_alsa = true;
-@@ -115,8 +116,8 @@ static struct bcm2835_audio_driver bcm28
-               .name = "bcm2835_hdmi",
-               .owner = THIS_MODULE,
-       },
--      .shortname = "bcm2835 HDMI",
--      .longname  = "bcm2835 HDMI",
-+      .shortname = "bcm2835 HDMI 1",
-+      .longname  = "bcm2835 HDMI 1",
-       .minchannels = 1,
-       .newpcm = bcm2835_audio_simple_newpcm,
-       .newctl = snd_bcm2835_new_hdmi_ctl,
-@@ -128,8 +129,8 @@ static struct bcm2835_audio_driver bcm28
-               .name = "bcm2835_hdmi",
-               .owner = THIS_MODULE,
-       },
--      .shortname = "bcm2835 HDMI 1",
--      .longname  = "bcm2835 HDMI 1",
-+      .shortname = "bcm2835 HDMI 2",
-+      .longname  = "bcm2835 HDMI 2",
-       .minchannels = 1,
-       .newpcm = bcm2835_audio_simple_newpcm,
-       .newctl = snd_bcm2835_new_hdmi_ctl,
-@@ -161,11 +162,11 @@ static struct bcm2835_audio_drivers chil
-       },
-       {
-               .audio_driver = &bcm2835_audio_hdmi0,
--              .is_enabled = &enable_hdmi,
-+              .is_enabled = &enable_hdmi0,
-       },
-       {
-               .audio_driver = &bcm2835_audio_hdmi1,
--              .is_enabled = &enable_hdmi,
-+              .is_enabled = &enable_hdmi1,
-       },
-       {
-               .audio_driver = &bcm2835_audio_headphones,
-@@ -312,6 +313,53 @@ static int snd_add_child_devices(struct
-       return 0;
- }
-+static void set_hdmi_enables(struct device *dev)
-+{
-+      struct device_node *firmware_node;
-+      struct rpi_firmware *firmware;
-+      u32 num_displays, i, display_id;
-+      int ret;
-+
-+      firmware_node = of_parse_phandle(dev->of_node, "brcm,firmware", 0);
-+      firmware = rpi_firmware_get(firmware_node);
-+
-+      if (!firmware)
-+              return;
-+
-+      of_node_put(firmware_node);
-+
-+      ret = rpi_firmware_property(firmware,
-+                                  RPI_FIRMWARE_FRAMEBUFFER_GET_NUM_DISPLAYS,
-+                                  &num_displays, sizeof(u32));
-+
-+      if (ret)
-+              return;
-+
-+      for (i = 0; i < num_displays; i++) {
-+              display_id = i;
-+              ret = rpi_firmware_property(firmware,
-+                              RPI_FIRMWARE_FRAMEBUFFER_GET_DISPLAY_ID,
-+                              &display_id, sizeof(display_id));
-+              if (!ret) {
-+                      if (display_id == 2)
-+                              enable_hdmi0 = true;
-+                      if (display_id == 7)
-+                              enable_hdmi1 = true;
-+              }
-+      }
-+
-+      if (!enable_hdmi0 && enable_hdmi1) {
-+              /* Swap them over and reassign route. This means
-+               * that if we only have one connected, it is always named
-+               *  HDMI1, irrespective of if its on port HDMI0 or HDMI1.
-+               *  This should match with the naming of HDMI ports in DRM
-+               */
-+              enable_hdmi0 = true;
-+              enable_hdmi1 = false;
-+              bcm2835_audio_hdmi0.route = AUDIO_DEST_HDMI1;
-+      }
-+}
-+
- static int snd_bcm2835_alsa_probe(struct platform_device *pdev)
- {
-       struct device *dev = &pdev->dev;
-@@ -332,6 +380,14 @@ static int snd_bcm2835_alsa_probe(struct
-                        numchans);
-       }
-+      if (!enable_compat_alsa) {
-+              set_hdmi_enables(dev);
-+              // In this mode, always enable analog output
-+              enable_headphones = true;
-+      } else {
-+              enable_hdmi0 = enable_hdmi;
-+      }
-+
-       err = bcm2835_devm_add_vchi_ctx(dev);
-       if (err)
-               return err;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0321-dt-bindings-Add-binding-for-the-Infineon-IRS1125-sen.patch b/target/linux/bcm27xx/patches-5.4/950-0321-dt-bindings-Add-binding-for-the-Infineon-IRS1125-sen.patch
new file mode 100644 (file)
index 0000000..6f487bf
--- /dev/null
@@ -0,0 +1,66 @@
+From 5db0abcd74512cf7013c2ea87d347cd158726be3 Mon Sep 17 00:00:00 2001
+From: Markus Proeller <markus.proeller@pieye.org>
+Date: Thu, 10 Oct 2019 19:12:08 +0200
+Subject: [PATCH] dt-bindings: Add binding for the Infineon IRS1125
+ sensor
+
+Adds a binding for the Infineon IRS1125 time-of-flight depth
+sensor.
+
+Signed-off-by: Markus Proeller <markus.proeller@pieye.org>
+---
+ .../devicetree/bindings/media/i2c/irs1125.txt | 48 +++++++++++++++++++
+ 1 file changed, 48 insertions(+)
+ create mode 100644 Documentation/devicetree/bindings/media/i2c/irs1125.txt
+
+--- /dev/null
++++ b/Documentation/devicetree/bindings/media/i2c/irs1125.txt
+@@ -0,0 +1,48 @@
++* Infineon irs1125 time of flight sensor
++
++The Infineon irs1125 is a time of flight digital image sensor with
++an active array size of 352H x 286V. It is programmable through I2C
++interface. The I2C address defaults to 0x3D, but can be reconfigured
++to address 0x3C or 0x41 via I2C commands. Image data is sent through
++MIPI CSI-2, which is configured as either 1 or 2 data lanes.
++
++Required Properties:
++- compatible: value should be "infineon,irs1125" for irs1125 sensor
++- reg: I2C bus address of the device
++- clocks: reference to the xclk input clock.
++- pwdn-gpios: reference to the GPIO connected to the reset pin.
++            This is an active low signal to the iirs1125.
++
++The irs1125 device node should contain one 'port' child node with
++an 'endpoint' subnode. For further reading on port node refer to
++Documentation/devicetree/bindings/media/video-interfaces.txt.
++
++Endpoint node required properties for CSI-2 connection are:
++- remote-endpoint: a phandle to the bus receiver's endpoint node.
++- clock-lanes: should be set to <0> (clock lane on hardware lane 0)
++- data-lanes: should be set to <1> or <1 2> (one or two lane CSI-2
++  supported)
++
++Example:
++      sensor@10 {
++              compatible = "infineon,irs1125";
++              reg = <0x3D>;
++              #address-cells = <1>;
++              #size-cells = <0>;
++              clocks = <&irs1125_clk>;
++              pwdn-gpios = <&gpio 5 0>;
++
++              irs1125_clk: camera-clk {
++                      compatible = "fixed-clock";
++                      #clock-cells = <0>;
++                      clock-frequency = <26000000>;
++              };
++
++              port {
++                      sensor_out: endpoint {
++                              remote-endpoint = <&csiss_in>;
++                              clock-lanes = <0>;
++                              data-lanes = <1 2>;
++                      };
++              };
++      };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0322-drm-vc4-Add-support-for-YUV-color-encodings-and-rang.patch b/target/linux/bcm27xx/patches-5.4/950-0322-drm-vc4-Add-support-for-YUV-color-encodings-and-rang.patch
deleted file mode 100644 (file)
index d7e6bbc..0000000
+++ /dev/null
@@ -1,138 +0,0 @@
-From fb76c3ded8c771e8b9287d62b5e13666037f890e Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 17 Sep 2019 18:28:17 +0100
-Subject: [PATCH] drm/vc4: Add support for YUV color encodings and
- ranges
-
-The BT601/BT709 color encoding and limited vs full
-range properties were not being exposed, defaulting
-always to BT601 limited range.
-
-Expose the parameters and set the registers appropriately.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_plane.c | 72 +++++++++++++++++++++++++++++++--
- drivers/gpu/drm/vc4/vc4_regs.h  |  3 ++
- 2 files changed, 72 insertions(+), 3 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_plane.c
-+++ b/drivers/gpu/drm/vc4/vc4_plane.c
-@@ -585,6 +585,53 @@ static int vc4_plane_allocate_lbm(struct
-       return 0;
- }
-+/* The colorspace conversion matrices are held in 3 entries in the dlist.
-+ * Create an array of them, with entries for each full and limited mode, and
-+ * each supported colorspace.
-+ */
-+#define VC4_LIMITED_RANGE     0
-+#define VC4_FULL_RANGE                1
-+
-+static const u32 colorspace_coeffs[2][DRM_COLOR_ENCODING_MAX][3] = {
-+      {
-+              /* Limited range */
-+              {
-+                      /* BT601 */
-+                      SCALER_CSC0_ITR_R_601_5,
-+                      SCALER_CSC1_ITR_R_601_5,
-+                      SCALER_CSC2_ITR_R_601_5,
-+              }, {
-+                      /* BT709 */
-+                      SCALER_CSC0_ITR_R_709_3,
-+                      SCALER_CSC1_ITR_R_709_3,
-+                      SCALER_CSC2_ITR_R_709_3,
-+              }, {
-+                      /* BT2020. Not supported yet - copy 601 */
-+                      SCALER_CSC0_ITR_R_601_5,
-+                      SCALER_CSC1_ITR_R_601_5,
-+                      SCALER_CSC2_ITR_R_601_5,
-+              }
-+      }, {
-+              /* Full range */
-+              {
-+                      /* JFIF */
-+                      SCALER_CSC0_JPEG_JFIF,
-+                      SCALER_CSC1_JPEG_JFIF,
-+                      SCALER_CSC2_JPEG_JFIF,
-+              }, {
-+                      /* BT709 */
-+                      SCALER_CSC0_ITR_R_709_3_FR,
-+                      SCALER_CSC1_ITR_R_709_3_FR,
-+                      SCALER_CSC2_ITR_R_709_3_FR,
-+              }, {
-+                      /* BT2020. Not supported yet - copy JFIF */
-+                      SCALER_CSC0_JPEG_JFIF,
-+                      SCALER_CSC1_JPEG_JFIF,
-+                      SCALER_CSC2_JPEG_JFIF,
-+              }
-+      }
-+};
-+
- /* Writes out a full display list for an active plane to the plane's
-  * private dlist state.
-  */
-@@ -864,9 +911,20 @@ static int vc4_plane_mode_set(struct drm
-       /* Colorspace conversion words */
-       if (vc4_state->is_yuv) {
--              vc4_dlist_write(vc4_state, SCALER_CSC0_ITR_R_601_5);
--              vc4_dlist_write(vc4_state, SCALER_CSC1_ITR_R_601_5);
--              vc4_dlist_write(vc4_state, SCALER_CSC2_ITR_R_601_5);
-+              enum drm_color_encoding color_encoding = state->color_encoding;
-+              enum drm_color_range color_range = state->color_range;
-+              const u32 *ccm;
-+
-+              if (color_encoding >= DRM_COLOR_ENCODING_MAX)
-+                      color_encoding = DRM_COLOR_YCBCR_BT601;
-+              if (color_range >= DRM_COLOR_RANGE_MAX)
-+                      color_range = DRM_COLOR_YCBCR_LIMITED_RANGE;
-+
-+              ccm = colorspace_coeffs[color_range][color_encoding];
-+
-+              vc4_dlist_write(vc4_state, ccm[0]);
-+              vc4_dlist_write(vc4_state, ccm[1]);
-+              vc4_dlist_write(vc4_state, ccm[2]);
-       }
-       vc4_state->lbm_offset = 0;
-@@ -1275,5 +1333,13 @@ struct drm_plane *vc4_plane_init(struct
-                                          DRM_MODE_REFLECT_X |
-                                          DRM_MODE_REFLECT_Y);
-+      drm_plane_create_color_properties(plane,
-+                                        BIT(DRM_COLOR_YCBCR_BT601) |
-+                                        BIT(DRM_COLOR_YCBCR_BT709),
-+                                        BIT(DRM_COLOR_YCBCR_LIMITED_RANGE) |
-+                                        BIT(DRM_COLOR_YCBCR_FULL_RANGE),
-+                                        DRM_COLOR_YCBCR_BT709,
-+                                        DRM_COLOR_YCBCR_LIMITED_RANGE);
-+
-       return plane;
- }
---- a/drivers/gpu/drm/vc4/vc4_regs.h
-+++ b/drivers/gpu/drm/vc4/vc4_regs.h
-@@ -950,6 +950,7 @@ enum hvs_pixel_format {
- #define SCALER_CSC0_ITR_R_601_5                       0x00f00000
- #define SCALER_CSC0_ITR_R_709_3                       0x00f00000
- #define SCALER_CSC0_JPEG_JFIF                 0x00000000
-+#define SCALER_CSC0_ITR_R_709_3_FR            0x00000000
- /* S2.8 contribution of Cb to Green */
- #define SCALER_CSC1_COEF_CB_GRN_MASK          VC4_MASK(31, 22)
-@@ -966,6 +967,7 @@ enum hvs_pixel_format {
- #define SCALER_CSC1_ITR_R_601_5                       0xe73304a8
- #define SCALER_CSC1_ITR_R_709_3                       0xf2b784a8
- #define SCALER_CSC1_JPEG_JFIF                 0xea34a400
-+#define SCALER_CSC1_ITR_R_709_3_FR            0xe23d0400
- /* S2.8 contribution of Cb to Red */
- #define SCALER_CSC2_COEF_CB_RED_MASK          VC4_MASK(29, 20)
-@@ -979,6 +981,7 @@ enum hvs_pixel_format {
- #define SCALER_CSC2_ITR_R_601_5                       0x00066204
- #define SCALER_CSC2_ITR_R_709_3                       0x00072a1c
- #define SCALER_CSC2_JPEG_JFIF                 0x000599c5
-+#define SCALER_CSC2_ITR_R_709_3_FR            0x00064ddb
- #define SCALER_TPZ0_VERT_RECALC                       BIT(31)
- #define SCALER_TPZ0_SCALE_MASK                        VC4_MASK(28, 8)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0322-media-i2c-Add-a-driver-for-the-Infineon-IRS1125-dept.patch b/target/linux/bcm27xx/patches-5.4/950-0322-media-i2c-Add-a-driver-for-the-Infineon-IRS1125-dept.patch
new file mode 100644 (file)
index 0000000..71e218d
--- /dev/null
@@ -0,0 +1,1231 @@
+From 54e4ff9b3cae743ca90b86a8fef72810d431e143 Mon Sep 17 00:00:00 2001
+From: Markus Proeller <markus.proeller@pieye.org>
+Date: Thu, 10 Oct 2019 19:12:36 +0200
+Subject: [PATCH] media: i2c: Add a driver for the Infineon IRS1125
+ depth sensor
+
+The Infineon IRS1125 is a time of flight depth sensor that
+has a CSI-2 interface.
+
+Add a V4L2 subdevice driver for this device.
+
+Signed-off-by: Markus Proeller <markus.proeller@pieye.org>
+---
+ drivers/media/i2c/Kconfig   |   12 +
+ drivers/media/i2c/Makefile  |    1 +
+ drivers/media/i2c/irs1125.c | 1112 +++++++++++++++++++++++++++++++++++
+ drivers/media/i2c/irs1125.h |   61 ++
+ 4 files changed, 1186 insertions(+)
+ create mode 100644 drivers/media/i2c/irs1125.c
+ create mode 100644 drivers/media/i2c/irs1125.h
+
+--- a/drivers/media/i2c/Kconfig
++++ b/drivers/media/i2c/Kconfig
+@@ -839,6 +839,18 @@ config VIDEO_OV13858
+         This is a Video4Linux2 sensor driver for the OmniVision
+         OV13858 camera.
++config VIDEO_IRS1125
++      tristate "Infineon IRS1125 sensor support"
++      depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
++      depends on MEDIA_CAMERA_SUPPORT
++      select V4L2_FWNODE
++      help
++        This is a Video4Linux2 sensor-level driver for the Infineon
++        IRS1125 camera.
++
++        To compile this driver as a module, choose M here: the
++        module will be called irs1125.
++
+ config VIDEO_VS6624
+       tristate "ST VS6624 sensor support"
+       depends on VIDEO_V4L2 && I2C
+--- a/drivers/media/i2c/Makefile
++++ b/drivers/media/i2c/Makefile
+@@ -82,6 +82,7 @@ obj-$(CONFIG_VIDEO_OV8856) += ov8856.o
+ obj-$(CONFIG_VIDEO_OV9640) += ov9640.o
+ obj-$(CONFIG_VIDEO_OV9650) += ov9650.o
+ obj-$(CONFIG_VIDEO_OV13858) += ov13858.o
++obj-$(CONFIG_VIDEO_IRS1125) += irs1125.o
+ obj-$(CONFIG_VIDEO_MT9M001) += mt9m001.o
+ obj-$(CONFIG_VIDEO_MT9M032) += mt9m032.o
+ obj-$(CONFIG_VIDEO_MT9M111) += mt9m111.o
+--- /dev/null
++++ b/drivers/media/i2c/irs1125.c
+@@ -0,0 +1,1112 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * A V4L2 driver for Infineon IRS1125 TOF cameras.
++ * Copyright (C) 2018, pieye GmbH
++ *
++ * Based on V4L2 OmniVision OV5647 Image Sensor driver
++ * Copyright (C) 2016 Ramiro Oliveira <roliveir@synopsys.com>
++ *
++ * DT / fwnode changes, and GPIO control taken from ov5640.c
++ * Copyright (C) 2011-2013 Freescale Semiconductor, Inc. All Rights Reserved.
++ * Copyright (C) 2014-2017 Mentor Graphics Inc.
++ *
++ */
++
++#include "irs1125.h"
++#include <linux/clk.h>
++#include <linux/delay.h>
++#include <linux/gpio/consumer.h>
++#include <linux/i2c.h>
++#include <linux/init.h>
++#include <linux/io.h>
++#include <linux/module.h>
++#include <linux/of_graph.h>
++#include <linux/slab.h>
++#include <linux/videodev2.h>
++#include <linux/firmware.h>
++#include <media/v4l2-device.h>
++#include <media/v4l2-fwnode.h>
++#include <media/v4l2-image-sizes.h>
++#include <media/v4l2-mediabus.h>
++#include <media/v4l2-ctrls.h>
++
++#define CHECK_BIT(val, pos) ((val) & BIT(pos))
++
++#define SENSOR_NAME "irs1125"
++
++#define RESET_ACTIVE_DELAY_MS  20
++
++#define IRS1125_ALTERNATE_FW "irs1125_af.bin"
++
++#define IRS1125_REG_CSICFG       0xA882
++#define IRS1125_REG_DESIGN_STEP        0xB0AD
++#define IRS1125_REG_EFUSEVAL2  0xB09F
++#define IRS1125_REG_EFUSEVAL3  0xB0A0
++#define IRS1125_REG_EFUSEVAL4  0xB0A1
++#define IRS1125_REG_DMEM_SHADOW        0xC320
++
++#define IRS1125_DESIGN_STEP_EXPECTED 0x0a12
++
++#define IRS1125_ROW_START_DEF         0
++#define IRS1125_COLUMN_START_DEF      0
++#define IRS1125_WINDOW_HEIGHT_DEF      288
++#define IRS1125_WINDOW_WIDTH_DEF      352
++
++struct regval_list {
++      u16 addr;
++      u16 data;
++};
++
++struct irs1125 {
++      struct v4l2_subdev sd;
++      struct media_pad pad;
++      /* the parsed DT endpoint info */
++      struct v4l2_fwnode_endpoint ep;
++
++      struct clk *xclk;
++      struct v4l2_ctrl_handler ctrl_handler;
++
++      /* To serialize asynchronus callbacks */
++      struct mutex lock;
++
++      /* image data layout */
++      unsigned int num_seq;
++
++      /* reset pin */
++      struct gpio_desc *reset;
++
++      /* V4l2 Controls to grab */
++      struct v4l2_ctrl *ctrl_modplls;
++      struct v4l2_ctrl *ctrl_numseq;
++
++      int power_count;
++};
++
++static inline struct irs1125 *to_state(struct v4l2_subdev *sd)
++{
++      return container_of(sd, struct irs1125, sd);
++}
++
++static struct regval_list irs1125_26MHz[] = {
++      {0xB017, 0x0413},
++      {0xB086, 0x3535},
++      {0xB0AE, 0xEF02},
++      {0xA000, 0x0004},
++      {0xFFFF, 100},
++
++      {0xB062, 0x6383},
++      {0xB063, 0x55A8},
++      {0xB068, 0x7628},
++      {0xB069, 0x03E2},
++
++      {0xFFFF, 100},
++      {0xB05A, 0x01C5},
++      {0xB05C, 0x0206},
++      {0xB05D, 0x01C5},
++      {0xB05F, 0x0206},
++      {0xB016, 0x1335},
++      {0xFFFF, 100},
++      {0xA893, 0x8261},
++      {0xA894, 0x89d8},
++      {0xA895, 0x131d},
++      {0xA896, 0x4251},
++      {0xA897, 0x9D8A},
++      {0xA898, 0x0BD8},
++      {0xA899, 0x2245},
++      {0xA89A, 0xAB9B},
++      {0xA89B, 0x03B9},
++      {0xA89C, 0x8041},
++      {0xA89D, 0xE07E},
++      {0xA89E, 0x0307},
++      {0xFFFF, 100},
++      {0xA88D, 0x0004},
++      {0xA800, 0x0E68},
++      {0xA801, 0x0000},
++      {0xA802, 0x000C},
++      {0xA803, 0x0000},
++      {0xA804, 0x0E68},
++      {0xA805, 0x0000},
++      {0xA806, 0x0440},
++      {0xA807, 0x0000},
++      {0xA808, 0x0E68},
++      {0xA809, 0x0000},
++      {0xA80A, 0x0884},
++      {0xA80B, 0x0000},
++      {0xA80C, 0x0E68},
++      {0xA80D, 0x0000},
++      {0xA80E, 0x0CC8},
++      {0xA80F, 0x0000},
++      {0xA810, 0x0E68},
++      {0xA811, 0x0000},
++      {0xA812, 0x2000},
++      {0xA813, 0x0000},
++      {0xA882, 0x0081},
++      {0xA88C, 0x403A},
++      {0xA88F, 0x031E},
++      {0xA892, 0x0351},
++      {0x9813, 0x13FF},
++      {0x981B, 0x7608},
++
++      {0xB008, 0x0000},
++      {0xB015, 0x1513},
++
++      {0xFFFF, 100}
++};
++
++static struct regval_list irs1125_seq_cfg[] = {
++      {0xC3A0, 0x823D},
++      {0xC3A1, 0xB13B},
++      {0xC3A2, 0x0313},
++      {0xC3A3, 0x4659},
++      {0xC3A4, 0xC4EC},
++      {0xC3A5, 0x03CE},
++      {0xC3A6, 0x4259},
++      {0xC3A7, 0xC4EC},
++      {0xC3A8, 0x03CE},
++      {0xC3A9, 0x8839},
++      {0xC3AA, 0x89D8},
++      {0xC3AB, 0x031D},
++
++      {0xC24C, 0x5529},
++      {0xC24D, 0x0000},
++      {0xC24E, 0x1200},
++      {0xC24F, 0x6CB2},
++      {0xC250, 0x0000},
++      {0xC251, 0x5529},
++      {0xC252, 0x42F4},
++      {0xC253, 0xD1AF},
++      {0xC254, 0x8A18},
++      {0xC255, 0x0002},
++      {0xC256, 0x5529},
++      {0xC257, 0x6276},
++      {0xC258, 0x11A7},
++      {0xC259, 0xD907},
++      {0xC25A, 0x0000},
++      {0xC25B, 0x5529},
++      {0xC25C, 0x07E0},
++      {0xC25D, 0x7BFE},
++      {0xC25E, 0x6402},
++      {0xC25F, 0x0019},
++
++      {0xC3AC, 0x0007},
++      {0xC3AD, 0xED88},
++      {0xC320, 0x003E},
++      {0xC321, 0x0000},
++      {0xC322, 0x2000},
++      {0xC323, 0x0000},
++      {0xC324, 0x0271},
++      {0xC325, 0x0000},
++      {0xC326, 0x000C},
++      {0xC327, 0x0000},
++      {0xC328, 0x0271},
++      {0xC329, 0x0000},
++      {0xC32A, 0x0440},
++      {0xC32B, 0x0000},
++      {0xC32C, 0x0271},
++      {0xC32D, 0x0000},
++      {0xC32E, 0x0884},
++      {0xC32F, 0x0000},
++      {0xC330, 0x0271},
++      {0xC331, 0x0000},
++      {0xC332, 0x0CC8},
++      {0xC333, 0x0000},
++      {0xA88D, 0x0004},
++
++      {0xA890, 0x0000},
++      {0xC219, 0x0002},
++      {0xC21A, 0x0000},
++      {0xC21B, 0x0000},
++      {0xC21C, 0x00CD},
++      {0xC21D, 0x0009},
++      {0xC21E, 0x00CD},
++      {0xC21F, 0x0009},
++
++      {0xA87C, 0x0000},
++      {0xC032, 0x0001},
++      {0xC034, 0x0000},
++      {0xC035, 0x0001},
++      {0xC039, 0x0000},
++      {0xC401, 0x0002},
++
++      {0xFFFF, 1},
++      {0xA87C, 0x0001}
++};
++
++static int irs1125_write(struct v4l2_subdev *sd, u16 reg, u16 val)
++{
++      int ret;
++      unsigned char data[4] = { reg >> 8, reg & 0xff, val >> 8, val & 0xff};
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
++
++      ret = i2c_master_send(client, data, 4);
++      if (ret < 0)
++              dev_err(&client->dev, "%s: i2c write error, reg: %x\n",
++                      __func__, reg);
++
++      return ret;
++}
++
++static int irs1125_read(struct v4l2_subdev *sd, u16 reg, u16 *val)
++{
++      int ret;
++      unsigned char data_w[2] = { reg >> 8, reg & 0xff };
++      char rdval[2];
++
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
++
++      ret = i2c_master_send(client, data_w, 2);
++      if (ret < 0) {
++              dev_dbg(&client->dev, "%s: i2c write error, reg: %x\n",
++                      __func__, reg);
++              return ret;
++      }
++
++      ret = i2c_master_recv(client, rdval, 2);
++      if (ret < 0)
++              dev_err(&client->dev, "%s: i2c read error, reg: %x\n",
++                      __func__, reg);
++
++      *val = rdval[1] | (rdval[0] << 8);
++
++      return ret;
++}
++
++static int irs1125_write_array(struct v4l2_subdev *sd,
++                             struct regval_list *regs, int array_size)
++{
++      int i, ret;
++
++      for (i = 0; i < array_size; i++) {
++              if (regs[i].addr == 0xFFFF) {
++                      msleep(regs[i].data);
++              } else {
++                      ret = irs1125_write(sd, regs[i].addr, regs[i].data);
++                      if (ret < 0)
++                              return ret;
++              }
++      }
++
++      return 0;
++}
++
++static int irs1125_stream_on(struct v4l2_subdev *sd)
++{
++      int ret;
++      struct irs1125 *irs1125 = to_state(sd);
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
++
++      v4l2_ctrl_grab(irs1125->ctrl_numseq, 1);
++      v4l2_ctrl_grab(irs1125->ctrl_modplls, 1);
++
++      ret = irs1125_write(sd, 0xC400, 0x0001);
++      if (ret < 0) {
++              dev_err(&client->dev, "error enabling firmware: %d", ret);
++              return ret;
++      }
++
++      msleep(100);
++
++      return irs1125_write(sd, 0xA87C, 0x0001);
++}
++
++static int irs1125_stream_off(struct v4l2_subdev *sd)
++{
++      int ret;
++      struct irs1125 *irs1125 = to_state(sd);
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
++
++      v4l2_ctrl_grab(irs1125->ctrl_numseq, 0);
++      v4l2_ctrl_grab(irs1125->ctrl_modplls, 0);
++
++      ret = irs1125_write(sd, 0xA87C, 0x0000);
++      if (ret < 0) {
++              dev_err(&client->dev, "error disabling trigger: %d", ret);
++              return ret;
++      }
++
++      msleep(100);
++
++      return irs1125_write(sd, 0xC400, 0x0002);
++}
++
++static int __sensor_init(struct v4l2_subdev *sd)
++{
++      unsigned int cnt, idx;
++      int ret;
++      u16 val;
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
++      struct irs1125 *irs1125 = to_state(sd);
++      const struct firmware *fw;
++      struct regval_list *reg_data;
++
++      cnt = 0;
++      while (1) {
++              ret = irs1125_read(sd, 0xC40F, &val);
++              if (ret < 0) {
++                      dev_err(&client->dev, "read register 0xC40F failed\n");
++                      return ret;
++              }
++              if (CHECK_BIT(val, 14) == 0)
++                      break;
++
++              if (cnt >= 5) {
++                      dev_err(&client->dev, "timeout waiting for 0xC40F\n");
++                      return -EAGAIN;
++              }
++
++              cnt++;
++      }
++
++      ret = irs1125_write_array(sd, irs1125_26MHz,
++                                ARRAY_SIZE(irs1125_26MHz));
++      if (ret < 0) {
++              dev_err(&client->dev, "write sensor default regs error\n");
++              return ret;
++      }
++
++      /* set CSI-2 number of data lanes */
++      if (irs1125->ep.bus.mipi_csi2.num_data_lanes == 1) {
++              val = 0x0001;
++      } else if (irs1125->ep.bus.mipi_csi2.num_data_lanes == 2) {
++              val = 0x0081;
++      } else {
++              dev_err(&client->dev, "invalid number of data lanes %d\n",
++                      irs1125->ep.bus.mipi_csi2.num_data_lanes);
++              return -EINVAL;
++      }
++
++      ret = irs1125_write(sd, IRS1125_REG_CSICFG, val);
++      if (ret < 0) {
++              dev_err(&client->dev, "write sensor csi2 config error\n");
++              return ret;
++      }
++
++      /* request the firmware, this will block and timeout */
++      ret = request_firmware(&fw, IRS1125_ALTERNATE_FW, &client->dev);
++      if (ret) {
++              dev_err(&client->dev,
++                      "did not find the firmware file '%s' (status %d)\n",
++                      IRS1125_ALTERNATE_FW, ret);
++              return ret;
++      }
++
++      if (fw->size % 4) {
++              dev_err(&client->dev, "firmware file '%s' invalid\n",
++                      IRS1125_ALTERNATE_FW);
++              release_firmware(fw);
++              return -EINVAL;
++      }
++
++      for (idx = 0; idx < fw->size; idx += 4) {
++              reg_data = (struct regval_list *)&fw->data[idx];
++              ret = irs1125_write(sd, reg_data->addr, reg_data->data);
++              if (ret < 0) {
++                      dev_err(&client->dev, "firmware write error\n");
++                      release_firmware(fw);
++                      return ret;
++              }
++      }
++      release_firmware(fw);
++
++      ret = irs1125_write_array(sd, irs1125_seq_cfg,
++                                ARRAY_SIZE(irs1125_seq_cfg));
++      if (ret < 0) {
++              dev_err(&client->dev, "write default sequence failed\n");
++              return ret;
++      }
++
++      return 0;
++}
++
++static int irs1125_sensor_power(struct v4l2_subdev *sd, int on)
++{
++      int ret = 0;
++      struct irs1125 *irs1125 = to_state(sd);
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
++
++      mutex_lock(&irs1125->lock);
++
++      if (on && !irs1125->power_count) {
++              gpiod_set_value_cansleep(irs1125->reset, 1);
++              msleep(RESET_ACTIVE_DELAY_MS);
++
++              ret = clk_prepare_enable(irs1125->xclk);
++              if (ret < 0) {
++                      dev_err(&client->dev, "clk prepare enable failed\n");
++                      goto out;
++              }
++
++              ret = __sensor_init(sd);
++              if (ret < 0) {
++                      clk_disable_unprepare(irs1125->xclk);
++                      dev_err(&client->dev,
++                              "Camera not available, check Power\n");
++                      goto out;
++              }
++      } else if (!on && irs1125->power_count == 1) {
++              gpiod_set_value_cansleep(irs1125->reset, 0);
++      }
++
++      /* Update the power count. */
++      irs1125->power_count += on ? 1 : -1;
++      WARN_ON(irs1125->power_count < 0);
++
++out:
++      mutex_unlock(&irs1125->lock);
++
++      return ret;
++}
++
++#ifdef CONFIG_VIDEO_ADV_DEBUG
++static int irs1125_sensor_get_register(struct v4l2_subdev *sd,
++                                     struct v4l2_dbg_register *reg)
++{
++      u16 val;
++      int ret;
++
++      ret = irs1125_read(sd, reg->reg & 0xffff, &val);
++      if (ret < 0)
++              return ret;
++
++      reg->val = val;
++      reg->size = 1;
++
++      return 0;
++}
++
++static int irs1125_sensor_set_register(struct v4l2_subdev *sd,
++                                     const struct v4l2_dbg_register *reg)
++{
++      return irs1125_write(sd, reg->reg & 0xffff, reg->val & 0xffff);
++}
++#endif
++
++static const struct v4l2_subdev_core_ops irs1125_subdev_core_ops = {
++      .s_power = irs1125_sensor_power,
++#ifdef CONFIG_VIDEO_ADV_DEBUG
++      .g_register = irs1125_sensor_get_register,
++      .s_register = irs1125_sensor_set_register,
++#endif
++};
++
++static int irs1125_s_stream(struct v4l2_subdev *sd, int enable)
++{
++      if (enable)
++              return irs1125_stream_on(sd);
++      else
++              return irs1125_stream_off(sd);
++}
++
++static const struct v4l2_subdev_video_ops irs1125_subdev_video_ops = {
++      .s_stream = irs1125_s_stream,
++};
++
++static int irs1125_enum_mbus_code(struct v4l2_subdev *sd,
++                                struct v4l2_subdev_pad_config *cfg,
++      struct v4l2_subdev_mbus_code_enum *code)
++{
++      if (code->index > 0)
++              return -EINVAL;
++
++      code->code = MEDIA_BUS_FMT_Y12_1X12;
++
++      return 0;
++}
++
++static int irs1125_set_get_fmt(struct v4l2_subdev *sd,
++                             struct v4l2_subdev_pad_config *cfg,
++                             struct v4l2_subdev_format *format)
++{
++      struct v4l2_mbus_framefmt *fmt = &format->format;
++      struct irs1125 *irs1125 = to_state(sd);
++
++      if (format->pad != 0)
++              return -EINVAL;
++
++      /* Only one format is supported, so return that */
++      memset(fmt, 0, sizeof(*fmt));
++      fmt->code = MEDIA_BUS_FMT_Y12_1X12;
++      fmt->colorspace = V4L2_COLORSPACE_RAW;
++      fmt->field = V4L2_FIELD_NONE;
++      fmt->width = IRS1125_WINDOW_WIDTH_DEF;
++      fmt->height = IRS1125_WINDOW_HEIGHT_DEF * irs1125->num_seq;
++
++      return 0;
++}
++
++static const struct v4l2_subdev_pad_ops irs1125_subdev_pad_ops = {
++      .enum_mbus_code = irs1125_enum_mbus_code,
++      .set_fmt = irs1125_set_get_fmt,
++      .get_fmt = irs1125_set_get_fmt,
++};
++
++static const struct v4l2_subdev_ops irs1125_subdev_ops = {
++      .core = &irs1125_subdev_core_ops,
++      .video = &irs1125_subdev_video_ops,
++      .pad = &irs1125_subdev_pad_ops,
++};
++
++static int irs1125_s_ctrl(struct v4l2_ctrl *ctrl)
++{
++      struct irs1125 *dev = container_of(ctrl->handler,
++                                      struct irs1125, ctrl_handler);
++      struct i2c_client *client = v4l2_get_subdevdata(&dev->sd);
++      int err, i;
++      struct irs1125_mod_pll *mod_cur, *mod_new;
++      struct irs1125_seq_cfg *cfg_cur, *cfg_new;
++      u16 addr, val;
++
++      err = 0;
++
++      switch (ctrl->id) {
++      case IRS1125_CID_SAFE_RECONFIG:
++      {
++              struct irs1125_illu *illu_cur, *illu_new;
++
++              illu_new = (struct irs1125_illu *)ctrl->p_new.p;
++              illu_cur = (struct irs1125_illu *)ctrl->p_cur.p;
++              for (i = 0; i < IRS1125_NUM_SEQ_ENTRIES; i++) {
++                      if (illu_cur[i].exposure != illu_new[i].exposure) {
++                              addr = 0xA850 + i * 2;
++                              val = illu_new[i].exposure;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++                      if (illu_cur[i].framerate != illu_new[i].framerate) {
++                              addr = 0xA851 + i * 2;
++                              val = illu_new[i].framerate;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++              }
++              break;
++      }
++      case IRS1125_CID_MOD_PLL:
++              mod_new = (struct irs1125_mod_pll *)ctrl->p_new.p;
++              mod_cur = (struct irs1125_mod_pll *)ctrl->p_cur.p;
++              for (i = 0; i < IRS1125_NUM_MOD_PLLS; i++) {
++                      if (mod_cur[i].pllcfg1 != mod_new[i].pllcfg1) {
++                              addr = 0xC3A0 + i * 3;
++                              val = mod_new[i].pllcfg1;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++                      if (mod_cur[i].pllcfg2 != mod_new[i].pllcfg2) {
++                              addr = 0xC3A1 + i * 3;
++                              val = mod_new[i].pllcfg2;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++                      if (mod_cur[i].pllcfg3 != mod_new[i].pllcfg3) {
++                              addr = 0xC3A2 + i * 3;
++                              val = mod_new[i].pllcfg3;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++                      if (mod_cur[i].pllcfg4 != mod_new[i].pllcfg4) {
++                              addr = 0xC24C + i * 5;
++                              val = mod_new[i].pllcfg4;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++                      if (mod_cur[i].pllcfg5 != mod_new[i].pllcfg5) {
++                              addr = 0xC24D + i * 5;
++                              val = mod_new[i].pllcfg5;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++                      if (mod_cur[i].pllcfg6 != mod_new[i].pllcfg6) {
++                              addr = 0xC24E + i * 5;
++                              val = mod_new[i].pllcfg6;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++                      if (mod_cur[i].pllcfg7 != mod_new[i].pllcfg7) {
++                              addr = 0xC24F + i * 5;
++                              val = mod_new[i].pllcfg7;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++                      if (mod_cur[i].pllcfg8 != mod_new[i].pllcfg8) {
++                              addr = 0xC250 + i * 5;
++                              val = mod_new[i].pllcfg8;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++              }
++              break;
++      case IRS1125_CID_SEQ_CONFIG:
++              cfg_new = (struct irs1125_seq_cfg *)ctrl->p_new.p;
++              cfg_cur = (struct irs1125_seq_cfg *)ctrl->p_cur.p;
++              for (i = 0; i < IRS1125_NUM_SEQ_ENTRIES; i++) {
++                      if (cfg_cur[i].exposure != cfg_new[i].exposure) {
++                              addr = IRS1125_REG_DMEM_SHADOW + i * 4;
++                              val = cfg_new[i].exposure;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++                      if (cfg_cur[i].framerate != cfg_new[i].framerate) {
++                              addr = IRS1125_REG_DMEM_SHADOW + 1 + i * 4;
++                              val = cfg_new[i].framerate;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++                      if (cfg_cur[i].ps != cfg_new[i].ps) {
++                              addr = IRS1125_REG_DMEM_SHADOW + 2 + i * 4;
++                              val = cfg_new[i].ps;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++                      if (cfg_cur[i].pll != cfg_new[i].pll) {
++                              addr = IRS1125_REG_DMEM_SHADOW + 3 + i * 4;
++                              val = cfg_new[i].pll;
++                              err = irs1125_write(&dev->sd, addr, val);
++                              if (err < 0)
++                                      break;
++                      }
++              }
++              break;
++      case IRS1125_CID_NUM_SEQS:
++              err = irs1125_write(&dev->sd, 0xA88D, ctrl->val - 1);
++              if (err >= 0)
++                      dev->num_seq = ctrl->val;
++              break;
++      case IRS1125_CID_CONTINUOUS_TRIG:
++              if (ctrl->val == 0)
++                      err = irs1125_write(&dev->sd, 0xA87C, 0);
++              else
++                      err = irs1125_write(&dev->sd, 0xA87C, 1);
++              break;
++      case IRS1125_CID_TRIGGER:
++              if (ctrl->val != 0) {
++                      err = irs1125_write(&dev->sd, 0xA87C, 1);
++                      if (err >= 0)
++                              err = irs1125_write(&dev->sd, 0xA87C, 0);
++              }
++              break;
++      case IRS1125_CID_RECONFIG:
++              if (ctrl->val != 0)
++                      err = irs1125_write(&dev->sd, 0xA87A, 1);
++              break;
++      case IRS1125_CID_ILLU_ON:
++              if (ctrl->val == 0)
++                      err = irs1125_write(&dev->sd, 0xA892, 0x377);
++              else
++                      err = irs1125_write(&dev->sd, 0xA892, 0x355);
++              break;
++      default:
++              break;
++      }
++
++      if (err < 0)
++              dev_err(&client->dev, "Error executing control ID: %d, val %d, err %d",
++                      ctrl->id, ctrl->val, err);
++      else
++              err = 0;
++
++      return err;
++}
++
++static const struct v4l2_ctrl_ops irs1125_ctrl_ops = {
++      .s_ctrl = irs1125_s_ctrl,
++};
++
++static const struct v4l2_ctrl_config irs1125_custom_ctrls[] = {
++      {
++              .ops = &irs1125_ctrl_ops,
++              .id = IRS1125_CID_NUM_SEQS,
++              .name = "Change number of sequences",
++              .type = V4L2_CTRL_TYPE_INTEGER,
++              .flags = V4L2_CTRL_FLAG_MODIFY_LAYOUT,
++              .min = 1,
++              .max = 20,
++              .step = 1,
++              .def = 5,
++      }, {
++              .ops = &irs1125_ctrl_ops,
++              .id = IRS1125_CID_MOD_PLL,
++              .name = "Reconfigure modulation PLLs",
++              .type = V4L2_CTRL_TYPE_U16,
++              .flags = V4L2_CTRL_FLAG_HAS_PAYLOAD,
++              .min = 0,
++              .max = U16_MAX,
++              .step = 1,
++              .def = 0,
++              .elem_size = sizeof(u16),
++              .dims = {sizeof(struct irs1125_mod_pll) / sizeof(u16),
++                      IRS1125_NUM_MOD_PLLS}
++      }, {
++              .ops = &irs1125_ctrl_ops,
++              .id = IRS1125_CID_SAFE_RECONFIG,
++              .name = "Change exposure and pause of single seq",
++              .type = V4L2_CTRL_TYPE_U16,
++              .flags = V4L2_CTRL_FLAG_HAS_PAYLOAD,
++              .min = 0,
++              .max = U16_MAX,
++              .step = 1,
++              .def = 0,
++              .elem_size = sizeof(u16),
++              .dims = {sizeof(struct irs1125_illu) / sizeof(u16),
++                      IRS1125_NUM_SEQ_ENTRIES}
++      }, {
++              .ops = &irs1125_ctrl_ops,
++              .id = IRS1125_CID_SEQ_CONFIG,
++              .name = "Change sequence settings",
++              .type = V4L2_CTRL_TYPE_U16,
++              .flags = V4L2_CTRL_FLAG_HAS_PAYLOAD,
++              .min = 0,
++              .max = U16_MAX,
++              .step = 1,
++              .def = 0,
++              .elem_size = sizeof(u16),
++              .dims = {sizeof(struct irs1125_seq_cfg) / sizeof(u16),
++                      IRS1125_NUM_SEQ_ENTRIES}
++      }, {
++              .ops = &irs1125_ctrl_ops,
++              .id = IRS1125_CID_CONTINUOUS_TRIG,
++              .name = "Enable/disable continuous trigger",
++              .type = V4L2_CTRL_TYPE_BOOLEAN,
++              .flags = V4L2_CTRL_FLAG_EXECUTE_ON_WRITE,
++              .min = 0,
++              .max = 1,
++              .step = 1,
++              .def = 0
++      }, {
++              .ops = &irs1125_ctrl_ops,
++              .id = IRS1125_CID_TRIGGER,
++              .name = "Capture a single sequence",
++              .type = V4L2_CTRL_TYPE_BOOLEAN,
++              .flags = V4L2_CTRL_FLAG_EXECUTE_ON_WRITE,
++              .min = 0,
++              .max = 1,
++              .step = 1,
++              .def = 0
++      }, {
++              .ops = &irs1125_ctrl_ops,
++              .id = IRS1125_CID_RECONFIG,
++              .name = "Trigger imager reconfiguration",
++              .type = V4L2_CTRL_TYPE_BOOLEAN,
++              .flags = V4L2_CTRL_FLAG_EXECUTE_ON_WRITE,
++              .min = 0,
++              .max = 1,
++              .step = 1,
++              .def = 0
++      }, {
++              .ops = &irs1125_ctrl_ops,
++              .id = IRS1125_CID_ILLU_ON,
++              .name = "Turn illu on or off",
++              .type = V4L2_CTRL_TYPE_BOOLEAN,
++              .flags = V4L2_CTRL_FLAG_EXECUTE_ON_WRITE,
++              .min = 0,
++              .max = 1,
++              .step = 1,
++              .def = 1
++      }, {
++              .ops = &irs1125_ctrl_ops,
++              .id = IRS1125_CID_IDENT0,
++              .name = "Get ident 0 information",
++              .type = V4L2_CTRL_TYPE_INTEGER,
++              .flags = V4L2_CTRL_FLAG_READ_ONLY,
++              .min = S32_MIN,
++              .max = S32_MAX,
++              .step = 1,
++              .def = 0
++      }, {
++              .ops = &irs1125_ctrl_ops,
++              .id = IRS1125_CID_IDENT1,
++              .name = "Get ident 1 information",
++              .type = V4L2_CTRL_TYPE_INTEGER,
++              .flags = V4L2_CTRL_FLAG_READ_ONLY,
++              .min = S32_MIN,
++              .max = S32_MAX,
++              .step = 1,
++              .def = 0
++      }, {
++              .ops = &irs1125_ctrl_ops,
++              .id = IRS1125_CID_IDENT2,
++              .name = "Get ident 2 information",
++              .type = V4L2_CTRL_TYPE_INTEGER,
++              .flags = V4L2_CTRL_FLAG_READ_ONLY,
++              .min = S32_MIN,
++              .max = S32_MAX,
++              .step = 1,
++              .def = 0
++      }
++};
++
++static int irs1125_detect(struct v4l2_subdev *sd)
++{
++      u16 read;
++      int ret;
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
++
++      ret = irs1125_read(sd, IRS1125_REG_DESIGN_STEP, &read);
++      if (ret < 0) {
++              dev_err(&client->dev, "error reading from i2c\n");
++              return ret;
++      }
++
++      if (read != IRS1125_DESIGN_STEP_EXPECTED) {
++              dev_err(&client->dev, "Design step expected 0x%x got 0x%x",
++                      IRS1125_DESIGN_STEP_EXPECTED, read);
++              return -ENODEV;
++      }
++
++      return 0;
++}
++
++static int irs1125_open(struct v4l2_subdev *sd, struct v4l2_subdev_fh *fh)
++{
++      struct v4l2_mbus_framefmt *format =
++      v4l2_subdev_get_try_format(sd, fh->pad, 0);
++
++      format->code = MEDIA_BUS_FMT_Y12_1X12;
++      format->width = IRS1125_WINDOW_WIDTH_DEF;
++      format->height = IRS1125_WINDOW_HEIGHT_DEF;
++      format->field = V4L2_FIELD_NONE;
++      format->colorspace = V4L2_COLORSPACE_RAW;
++
++      return 0;
++}
++
++static const struct v4l2_subdev_internal_ops irs1125_subdev_internal_ops = {
++      .open = irs1125_open,
++};
++
++static int irs1125_ctrls_init(struct irs1125 *sensor, struct device *dev)
++{
++      struct v4l2_ctrl *ctrl;
++      int err, i;
++      struct v4l2_ctrl_handler *hdl;
++
++      hdl = &sensor->ctrl_handler;
++      v4l2_ctrl_handler_init(hdl, ARRAY_SIZE(irs1125_custom_ctrls));
++
++      for (i = 0; i < ARRAY_SIZE(irs1125_custom_ctrls); i++)  {
++              ctrl = v4l2_ctrl_new_custom(hdl, &irs1125_custom_ctrls[i],
++                                          NULL);
++              if (!ctrl)
++                      dev_err(dev, "Failed to init custom control %s\n",
++                              irs1125_custom_ctrls[i].name);
++              else if (irs1125_custom_ctrls[i].id == IRS1125_CID_NUM_SEQS)
++                      sensor->ctrl_numseq = ctrl;
++              else if (irs1125_custom_ctrls[i].id == IRS1125_CID_MOD_PLL)
++                      sensor->ctrl_modplls = ctrl;
++      }
++
++      if (hdl->error) {
++              dev_err(dev, "Error %d adding controls\n", hdl->error);
++              err = hdl->error;
++              goto error_ctrls;
++      }
++
++      sensor->sd.ctrl_handler = hdl;
++      return 0;
++
++error_ctrls:
++      v4l2_ctrl_handler_free(&sensor->ctrl_handler);
++      return -err;
++}
++
++static int irs1125_ident_setup(struct irs1125 *sensor, struct device *dev)
++{
++      int ret;
++      struct v4l2_ctrl *ctrl;
++      struct v4l2_subdev *sd;
++      u16 read;
++
++      sd = &sensor->sd;
++
++      ctrl = v4l2_ctrl_find(&sensor->ctrl_handler, IRS1125_CID_IDENT0);
++      if (!ctrl) {
++              dev_err(dev, "could not find device ctrl.\n");
++              return -EINVAL;
++      }
++
++      ret = irs1125_read(sd, IRS1125_REG_EFUSEVAL2, &read);
++      if (ret < 0) {
++              dev_err(dev, "error reading from i2c\n");
++              return -EIO;
++      }
++
++      v4l2_ctrl_s_ctrl(ctrl, read);
++
++      ctrl = v4l2_ctrl_find(&sensor->ctrl_handler, IRS1125_CID_IDENT1);
++      if (!ctrl) {
++              dev_err(dev, "could not find device ctrl.\n");
++              return -EINVAL;
++      }
++
++      ret = irs1125_read(sd, IRS1125_REG_EFUSEVAL3, &read);
++      if (ret < 0) {
++              dev_err(dev, "error reading from i2c\n");
++              return -EIO;
++      }
++
++      v4l2_ctrl_s_ctrl(ctrl, read);
++
++      ctrl = v4l2_ctrl_find(&sensor->ctrl_handler, IRS1125_CID_IDENT2);
++      if (!ctrl) {
++              dev_err(dev, "could not find device ctrl.\n");
++              return -EINVAL;
++      }
++
++      ret = irs1125_read(sd, IRS1125_REG_EFUSEVAL4, &read);
++      if (ret < 0) {
++              dev_err(dev, "error reading from i2c\n");
++              return -EIO;
++      }
++      v4l2_ctrl_s_ctrl(ctrl, read & 0xFFFC);
++
++      return 0;
++}
++
++static int irs1125_probe(struct i2c_client *client,
++                       const struct i2c_device_id *id)
++{
++      struct device *dev = &client->dev;
++      struct irs1125 *sensor;
++      int ret;
++      struct fwnode_handle *endpoint;
++      u32 xclk_freq;
++      int gpio_num;
++
++      sensor = devm_kzalloc(dev, sizeof(*sensor), GFP_KERNEL);
++      if (!sensor)
++              return -ENOMEM;
++
++      v4l2_i2c_subdev_init(&sensor->sd, client, &irs1125_subdev_ops);
++
++      /* Get CSI2 bus config */
++      endpoint = fwnode_graph_get_next_endpoint(dev_fwnode(dev),
++                                                NULL);
++      if (!endpoint) {
++              dev_err(dev, "endpoint node not found\n");
++              return -EINVAL;
++      }
++
++      ret = v4l2_fwnode_endpoint_parse(endpoint, &sensor->ep);
++      fwnode_handle_put(endpoint);
++      if (ret) {
++              dev_err(dev, "Could not parse endpoint\n");
++              return ret;
++      }
++
++      /* get system clock (xclk) */
++      sensor->xclk = devm_clk_get(dev, NULL);
++      if (IS_ERR(sensor->xclk)) {
++              dev_err(dev, "could not get xclk");
++              return PTR_ERR(sensor->xclk);
++      }
++
++      xclk_freq = clk_get_rate(sensor->xclk);
++      if (xclk_freq != 26000000) {
++              dev_err(dev, "Unsupported clock frequency: %u\n", xclk_freq);
++              return -EINVAL;
++      }
++
++      sensor->num_seq = 5;
++
++      /* Request the power down GPIO */
++      sensor->reset = devm_gpiod_get(&client->dev, "pwdn",
++                                     GPIOD_OUT_LOW);
++
++      if (IS_ERR(sensor->reset)) {
++              dev_err(dev, "could not get reset");
++              return PTR_ERR(sensor->reset);
++      }
++
++      gpio_num = desc_to_gpio(sensor->reset);
++
++      mutex_init(&sensor->lock);
++
++      ret = irs1125_ctrls_init(sensor, dev);
++      if (ret < 0)
++              goto mutex_remove;
++
++      sensor->sd.internal_ops = &irs1125_subdev_internal_ops;
++      sensor->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
++      sensor->sd.entity.function = MEDIA_ENT_F_CAM_SENSOR;
++      sensor->pad.flags = MEDIA_PAD_FL_SOURCE;
++      ret = media_entity_pads_init(&sensor->sd.entity, 1, &sensor->pad);
++      if (ret < 0)
++              goto mutex_remove;
++
++      gpiod_set_value_cansleep(sensor->reset, 1);
++      msleep(RESET_ACTIVE_DELAY_MS);
++
++      ret = irs1125_detect(&sensor->sd);
++      if (ret < 0)
++              goto error;
++
++      ret = irs1125_ident_setup(sensor, dev);
++      if (ret < 0)
++              goto error;
++
++      gpiod_set_value_cansleep(sensor->reset, 0);
++
++      ret = v4l2_async_register_subdev(&sensor->sd);
++      if (ret < 0)
++              goto error;
++
++      dev_dbg(dev, "Infineon IRS1125 camera driver probed\n");
++
++      return 0;
++
++error:
++      media_entity_cleanup(&sensor->sd.entity);
++mutex_remove:
++      mutex_destroy(&sensor->lock);
++      return ret;
++}
++
++static int irs1125_remove(struct i2c_client *client)
++{
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct irs1125 *irs1125 = to_state(sd);
++
++      v4l2_async_unregister_subdev(&irs1125->sd);
++      media_entity_cleanup(&irs1125->sd.entity);
++      v4l2_device_unregister_subdev(sd);
++      mutex_destroy(&irs1125->lock);
++      v4l2_ctrl_handler_free(&irs1125->ctrl_handler);
++
++      return 0;
++}
++
++#if IS_ENABLED(CONFIG_OF)
++static const struct of_device_id irs1125_of_match[] = {
++      { .compatible = "infineon,irs1125" },
++      { /* sentinel */ },
++};
++MODULE_DEVICE_TABLE(of, irs1125_of_match);
++#endif
++
++static struct i2c_driver irs1125_driver = {
++      .driver = {
++              .of_match_table = of_match_ptr(irs1125_of_match),
++              .name    = SENSOR_NAME,
++      },
++      .probe          = irs1125_probe,
++      .remove         = irs1125_remove,
++};
++
++module_i2c_driver(irs1125_driver);
++
++MODULE_AUTHOR("Markus Proeller <markus.proeller@pieye.org>");
++MODULE_DESCRIPTION("Infineon irs1125 sensor driver");
++MODULE_LICENSE("GPL v2");
++
+--- /dev/null
++++ b/drivers/media/i2c/irs1125.h
+@@ -0,0 +1,61 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * A V4L2 driver for Infineon IRS1125 TOF cameras.
++ * Copyright (C) 2018, pieye GmbH
++ *
++ * Based on V4L2 OmniVision OV5647 Image Sensor driver
++ * Copyright (C) 2016 Ramiro Oliveira <roliveir@synopsys.com>
++ *
++ * DT / fwnode changes, and GPIO control taken from ov5640.c
++ * Copyright (C) 2011-2013 Freescale Semiconductor, Inc. All Rights Reserved.
++ * Copyright (C) 2014-2017 Mentor Graphics Inc.
++ *
++ */
++
++#ifndef IRS1125_H
++#define IRS1125_H
++
++#include <linux/v4l2-controls.h>
++#include <linux/types.h>
++
++#define IRS1125_NUM_SEQ_ENTRIES 20
++#define IRS1125_NUM_MOD_PLLS 4
++
++#define IRS1125_CID_CUSTOM_BASE        (V4L2_CID_USER_BASE | 0xf000)
++#define IRS1125_CID_SAFE_RECONFIG      (IRS1125_CID_CUSTOM_BASE + 0)
++#define IRS1125_CID_CONTINUOUS_TRIG    (IRS1125_CID_CUSTOM_BASE + 1)
++#define IRS1125_CID_TRIGGER            (IRS1125_CID_CUSTOM_BASE + 2)
++#define IRS1125_CID_RECONFIG           (IRS1125_CID_CUSTOM_BASE + 3)
++#define IRS1125_CID_ILLU_ON            (IRS1125_CID_CUSTOM_BASE + 4)
++#define IRS1125_CID_NUM_SEQS           (IRS1125_CID_CUSTOM_BASE + 5)
++#define IRS1125_CID_MOD_PLL            (IRS1125_CID_CUSTOM_BASE + 6)
++#define IRS1125_CID_SEQ_CONFIG         (IRS1125_CID_CUSTOM_BASE + 7)
++#define IRS1125_CID_IDENT0             (IRS1125_CID_CUSTOM_BASE + 8)
++#define IRS1125_CID_IDENT1             (IRS1125_CID_CUSTOM_BASE + 9)
++#define IRS1125_CID_IDENT2             (IRS1125_CID_CUSTOM_BASE + 10)
++
++struct irs1125_seq_cfg {
++      __u16 exposure;
++      __u16 framerate;
++      __u16 ps;
++      __u16 pll;
++};
++
++struct irs1125_illu {
++      __u16 exposure;
++      __u16 framerate;
++};
++
++struct irs1125_mod_pll {
++      __u16 pllcfg1;
++      __u16 pllcfg2;
++      __u16 pllcfg3;
++      __u16 pllcfg4;
++      __u16 pllcfg5;
++      __u16 pllcfg6;
++      __u16 pllcfg7;
++      __u16 pllcfg8;
++};
++
++#endif /* IRS1125 */
++
diff --git a/target/linux/bcm27xx/patches-5.4/950-0323-drm-vc4-Correct-handling-of-rotation-parameter-in-fk.patch b/target/linux/bcm27xx/patches-5.4/950-0323-drm-vc4-Correct-handling-of-rotation-parameter-in-fk.patch
deleted file mode 100644 (file)
index f98002e..0000000
+++ /dev/null
@@ -1,87 +0,0 @@
-From 23ed834712dfc0d25451f16b46ae9c19abb675b5 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Wed, 18 Sep 2019 15:49:13 +0100
-Subject: [PATCH] drm/vc4: Correct handling of rotation parameter in
- fkms
-
-One bit within DRM_MODE_ROTATE_MASK will always be set to
-determine the base rotation 0/90/180/270, and then REFLECT_X
-and REFLECT_Y are on top.
-
-Correct the handling which was assuming that REFLECT_[X|Y]
-was instead of ROTATE_x.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 37 ++++++++++----------------
- 1 file changed, 14 insertions(+), 23 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -82,11 +82,6 @@ struct set_plane {
- #define TRANSFORM_FLIP_HRIZ   BIT(16)
- #define TRANSFORM_FLIP_VERT   BIT(17)
--#define SUPPORTED_ROTATIONS   (DRM_MODE_ROTATE_0 | \
--                               DRM_MODE_ROTATE_180 | \
--                               DRM_MODE_REFLECT_X | \
--                               DRM_MODE_REFLECT_Y)
--
- struct mailbox_set_plane {
-       struct rpi_firmware_property_tag_header tag;
-       struct set_plane plane;
-@@ -525,7 +520,7 @@ static int vc4_plane_to_mb(struct drm_pl
-       const struct vc_image_format *vc_fmt =
-                                       vc4_get_vc_image_fmt(drm_fmt->format);
-       int num_planes = fb->format->num_planes;
--      unsigned int rotation = SUPPORTED_ROTATIONS;
-+      unsigned int rotation;
-       mb->plane.vc_image_type = vc_fmt->vc_image;
-       mb->plane.width = fb->width;
-@@ -546,23 +541,16 @@ static int vc4_plane_to_mb(struct drm_pl
-       mb->plane.is_vu = vc_fmt->is_vu;
-       mb->plane.planes[0] = bo->paddr + fb->offsets[0];
--      rotation = drm_rotation_simplify(state->rotation, rotation);
--
--      switch (rotation) {
--      default:
--      case DRM_MODE_ROTATE_0:
--              mb->plane.transform = TRANSFORM_NO_ROTATE;
--              break;
--      case DRM_MODE_ROTATE_180:
--              mb->plane.transform = TRANSFORM_ROTATE_180;
--              break;
--      case DRM_MODE_REFLECT_X:
--              mb->plane.transform = TRANSFORM_FLIP_HRIZ;
--              break;
--      case DRM_MODE_REFLECT_Y:
--              mb->plane.transform = TRANSFORM_FLIP_VERT;
--              break;
--      }
-+      rotation = drm_rotation_simplify(state->rotation,
-+                                       DRM_MODE_ROTATE_0 |
-+                                       DRM_MODE_REFLECT_X |
-+                                       DRM_MODE_REFLECT_Y);
-+
-+      mb->plane.transform = TRANSFORM_NO_ROTATE;
-+      if (rotation & DRM_MODE_REFLECT_X)
-+              mb->plane.transform |= TRANSFORM_FLIP_HRIZ;
-+      if (rotation & DRM_MODE_REFLECT_Y)
-+              mb->plane.transform |= TRANSFORM_FLIP_VERT;
-       vc4_fkms_margins_adj(state, &mb->plane);
-@@ -803,7 +791,10 @@ static struct drm_plane *vc4_fkms_plane_
-       drm_plane_create_alpha_property(plane);
-       drm_plane_create_rotation_property(plane, DRM_MODE_ROTATE_0,
--                                         SUPPORTED_ROTATIONS);
-+                                         DRM_MODE_ROTATE_0 |
-+                                         DRM_MODE_ROTATE_180 |
-+                                         DRM_MODE_REFLECT_X |
-+                                         DRM_MODE_REFLECT_Y);
-       drm_plane_create_color_properties(plane,
-                                         BIT(DRM_COLOR_YCBCR_BT601) |
-                                         BIT(DRM_COLOR_YCBCR_BT709) |
diff --git a/target/linux/bcm27xx/patches-5.4/950-0323-staging-bcm2835-codec-Add-support-for-ENUM_FRAMESIZE.patch b/target/linux/bcm27xx/patches-5.4/950-0323-staging-bcm2835-codec-Add-support-for-ENUM_FRAMESIZE.patch
new file mode 100644 (file)
index 0000000..ab3a971
--- /dev/null
@@ -0,0 +1,98 @@
+From 3d9d9ae68a1fb5451d12b46b65289e67cca2a340 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 13 Sep 2019 17:19:33 +0100
+Subject: [PATCH] staging:bcm2835-codec: Add support for
+ ENUM_FRAMESIZES
+
+Required for compliance testing for the encoder.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 48 +++++++++++++++++--
+ 1 file changed, 44 insertions(+), 4 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -496,9 +496,10 @@ struct bcm2835_codec_fmt *get_default_fo
+       return &dev->supported_fmts[capture ? 1 : 0].list[0];
+ }
+-static struct bcm2835_codec_fmt *find_format(struct v4l2_format *f,
+-                                           struct bcm2835_codec_dev *dev,
+-                                           bool capture)
++static
++struct bcm2835_codec_fmt *find_format_pix_fmt(u32 pix_fmt,
++                                            struct bcm2835_codec_dev *dev,
++                                            bool capture)
+ {
+       struct bcm2835_codec_fmt *fmt;
+       unsigned int k;
+@@ -507,7 +508,7 @@ static struct bcm2835_codec_fmt *find_fo
+       for (k = 0; k < fmts->num_entries; k++) {
+               fmt = &fmts->list[k];
+-              if (fmt->fourcc == f->fmt.pix_mp.pixelformat)
++              if (fmt->fourcc == pix_fmt)
+                       break;
+       }
+       if (k == fmts->num_entries)
+@@ -516,6 +517,14 @@ static struct bcm2835_codec_fmt *find_fo
+       return &fmts->list[k];
+ }
++static inline
++struct bcm2835_codec_fmt *find_format(struct v4l2_format *f,
++                                    struct bcm2835_codec_dev *dev,
++                                    bool capture)
++{
++      return find_format_pix_fmt(f->fmt.pix_mp.pixelformat, dev, capture);
++}
++
+ static inline struct bcm2835_codec_ctx *file2ctx(struct file *file)
+ {
+       return container_of(file->private_data, struct bcm2835_codec_ctx, fh);
+@@ -1792,6 +1801,36 @@ static int vidioc_encoder_cmd(struct fil
+       return 0;
+ }
++static int vidioc_enum_framesizes(struct file *file, void *fh,
++                                struct v4l2_frmsizeenum *fsize)
++{
++      struct bcm2835_codec_fmt *fmt;
++
++      fmt = find_format_pix_fmt(fsize->pixel_format, file2ctx(file)->dev,
++                                true);
++      if (!fmt)
++              fmt = find_format_pix_fmt(fsize->pixel_format,
++                                        file2ctx(file)->dev,
++                                        false);
++
++      if (!fmt)
++              return -EINVAL;
++
++      if (fsize->index)
++              return -EINVAL;
++
++      fsize->type = V4L2_FRMSIZE_TYPE_STEPWISE;
++
++      fsize->stepwise.min_width = MIN_W;
++      fsize->stepwise.max_width = MAX_W;
++      fsize->stepwise.step_width = 1;
++      fsize->stepwise.min_height = MIN_H;
++      fsize->stepwise.max_height = MAX_H;
++      fsize->stepwise.step_height = 1;
++
++      return 0;
++}
++
+ static const struct v4l2_ioctl_ops bcm2835_codec_ioctl_ops = {
+       .vidioc_querycap        = vidioc_querycap,
+@@ -1829,6 +1868,7 @@ static const struct v4l2_ioctl_ops bcm28
+       .vidioc_try_decoder_cmd = vidioc_try_decoder_cmd,
+       .vidioc_encoder_cmd = vidioc_encoder_cmd,
+       .vidioc_try_encoder_cmd = vidioc_try_encoder_cmd,
++      .vidioc_enum_framesizes = vidioc_enum_framesizes,
+ };
+ static int bcm2835_codec_set_ctrls(struct bcm2835_codec_ctx *ctx)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0324-dt-bindings-Add-binding-for-the-Infineon-IRS1125-sen.patch b/target/linux/bcm27xx/patches-5.4/950-0324-dt-bindings-Add-binding-for-the-Infineon-IRS1125-sen.patch
deleted file mode 100644 (file)
index 6f487bf..0000000
+++ /dev/null
@@ -1,66 +0,0 @@
-From 5db0abcd74512cf7013c2ea87d347cd158726be3 Mon Sep 17 00:00:00 2001
-From: Markus Proeller <markus.proeller@pieye.org>
-Date: Thu, 10 Oct 2019 19:12:08 +0200
-Subject: [PATCH] dt-bindings: Add binding for the Infineon IRS1125
- sensor
-
-Adds a binding for the Infineon IRS1125 time-of-flight depth
-sensor.
-
-Signed-off-by: Markus Proeller <markus.proeller@pieye.org>
----
- .../devicetree/bindings/media/i2c/irs1125.txt | 48 +++++++++++++++++++
- 1 file changed, 48 insertions(+)
- create mode 100644 Documentation/devicetree/bindings/media/i2c/irs1125.txt
-
---- /dev/null
-+++ b/Documentation/devicetree/bindings/media/i2c/irs1125.txt
-@@ -0,0 +1,48 @@
-+* Infineon irs1125 time of flight sensor
-+
-+The Infineon irs1125 is a time of flight digital image sensor with
-+an active array size of 352H x 286V. It is programmable through I2C
-+interface. The I2C address defaults to 0x3D, but can be reconfigured
-+to address 0x3C or 0x41 via I2C commands. Image data is sent through
-+MIPI CSI-2, which is configured as either 1 or 2 data lanes.
-+
-+Required Properties:
-+- compatible: value should be "infineon,irs1125" for irs1125 sensor
-+- reg: I2C bus address of the device
-+- clocks: reference to the xclk input clock.
-+- pwdn-gpios: reference to the GPIO connected to the reset pin.
-+            This is an active low signal to the iirs1125.
-+
-+The irs1125 device node should contain one 'port' child node with
-+an 'endpoint' subnode. For further reading on port node refer to
-+Documentation/devicetree/bindings/media/video-interfaces.txt.
-+
-+Endpoint node required properties for CSI-2 connection are:
-+- remote-endpoint: a phandle to the bus receiver's endpoint node.
-+- clock-lanes: should be set to <0> (clock lane on hardware lane 0)
-+- data-lanes: should be set to <1> or <1 2> (one or two lane CSI-2
-+  supported)
-+
-+Example:
-+      sensor@10 {
-+              compatible = "infineon,irs1125";
-+              reg = <0x3D>;
-+              #address-cells = <1>;
-+              #size-cells = <0>;
-+              clocks = <&irs1125_clk>;
-+              pwdn-gpios = <&gpio 5 0>;
-+
-+              irs1125_clk: camera-clk {
-+                      compatible = "fixed-clock";
-+                      #clock-cells = <0>;
-+                      clock-frequency = <26000000>;
-+              };
-+
-+              port {
-+                      sensor_out: endpoint {
-+                              remote-endpoint = <&csiss_in>;
-+                              clock-lanes = <0>;
-+                              data-lanes = <1 2>;
-+                      };
-+              };
-+      };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0324-staging-bcm2835-codec-Correct-buffer-type-check-on-G.patch b/target/linux/bcm27xx/patches-5.4/950-0324-staging-bcm2835-codec-Correct-buffer-type-check-on-G.patch
new file mode 100644 (file)
index 0000000..fb60b16
--- /dev/null
@@ -0,0 +1,25 @@
+From 0ff5cd805e7db4003ad5a0d783b4d029b23b7ece Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 13 Sep 2019 17:22:08 +0100
+Subject: [PATCH] staging: bcm2835-codec: Correct buffer type check on
+ G_PARM
+
+The output queue buffer type is now OUTPUT_MPLANE.
+
+Fixes: 5e484a3 staging: bcm2835-codec: switch to multi-planar API
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c    | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -1438,7 +1438,7 @@ static int vidioc_g_parm(struct file *fi
+ {
+       struct bcm2835_codec_ctx *ctx = file2ctx(file);
+-      if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT)
++      if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE)
+               return -EINVAL;
+       parm->parm.output.capability = V4L2_CAP_TIMEPERFRAME;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0325-media-i2c-Add-a-driver-for-the-Infineon-IRS1125-dept.patch b/target/linux/bcm27xx/patches-5.4/950-0325-media-i2c-Add-a-driver-for-the-Infineon-IRS1125-dept.patch
deleted file mode 100644 (file)
index 71e218d..0000000
+++ /dev/null
@@ -1,1231 +0,0 @@
-From 54e4ff9b3cae743ca90b86a8fef72810d431e143 Mon Sep 17 00:00:00 2001
-From: Markus Proeller <markus.proeller@pieye.org>
-Date: Thu, 10 Oct 2019 19:12:36 +0200
-Subject: [PATCH] media: i2c: Add a driver for the Infineon IRS1125
- depth sensor
-
-The Infineon IRS1125 is a time of flight depth sensor that
-has a CSI-2 interface.
-
-Add a V4L2 subdevice driver for this device.
-
-Signed-off-by: Markus Proeller <markus.proeller@pieye.org>
----
- drivers/media/i2c/Kconfig   |   12 +
- drivers/media/i2c/Makefile  |    1 +
- drivers/media/i2c/irs1125.c | 1112 +++++++++++++++++++++++++++++++++++
- drivers/media/i2c/irs1125.h |   61 ++
- 4 files changed, 1186 insertions(+)
- create mode 100644 drivers/media/i2c/irs1125.c
- create mode 100644 drivers/media/i2c/irs1125.h
-
---- a/drivers/media/i2c/Kconfig
-+++ b/drivers/media/i2c/Kconfig
-@@ -839,6 +839,18 @@ config VIDEO_OV13858
-         This is a Video4Linux2 sensor driver for the OmniVision
-         OV13858 camera.
-+config VIDEO_IRS1125
-+      tristate "Infineon IRS1125 sensor support"
-+      depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
-+      depends on MEDIA_CAMERA_SUPPORT
-+      select V4L2_FWNODE
-+      help
-+        This is a Video4Linux2 sensor-level driver for the Infineon
-+        IRS1125 camera.
-+
-+        To compile this driver as a module, choose M here: the
-+        module will be called irs1125.
-+
- config VIDEO_VS6624
-       tristate "ST VS6624 sensor support"
-       depends on VIDEO_V4L2 && I2C
---- a/drivers/media/i2c/Makefile
-+++ b/drivers/media/i2c/Makefile
-@@ -82,6 +82,7 @@ obj-$(CONFIG_VIDEO_OV8856) += ov8856.o
- obj-$(CONFIG_VIDEO_OV9640) += ov9640.o
- obj-$(CONFIG_VIDEO_OV9650) += ov9650.o
- obj-$(CONFIG_VIDEO_OV13858) += ov13858.o
-+obj-$(CONFIG_VIDEO_IRS1125) += irs1125.o
- obj-$(CONFIG_VIDEO_MT9M001) += mt9m001.o
- obj-$(CONFIG_VIDEO_MT9M032) += mt9m032.o
- obj-$(CONFIG_VIDEO_MT9M111) += mt9m111.o
---- /dev/null
-+++ b/drivers/media/i2c/irs1125.c
-@@ -0,0 +1,1112 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * A V4L2 driver for Infineon IRS1125 TOF cameras.
-+ * Copyright (C) 2018, pieye GmbH
-+ *
-+ * Based on V4L2 OmniVision OV5647 Image Sensor driver
-+ * Copyright (C) 2016 Ramiro Oliveira <roliveir@synopsys.com>
-+ *
-+ * DT / fwnode changes, and GPIO control taken from ov5640.c
-+ * Copyright (C) 2011-2013 Freescale Semiconductor, Inc. All Rights Reserved.
-+ * Copyright (C) 2014-2017 Mentor Graphics Inc.
-+ *
-+ */
-+
-+#include "irs1125.h"
-+#include <linux/clk.h>
-+#include <linux/delay.h>
-+#include <linux/gpio/consumer.h>
-+#include <linux/i2c.h>
-+#include <linux/init.h>
-+#include <linux/io.h>
-+#include <linux/module.h>
-+#include <linux/of_graph.h>
-+#include <linux/slab.h>
-+#include <linux/videodev2.h>
-+#include <linux/firmware.h>
-+#include <media/v4l2-device.h>
-+#include <media/v4l2-fwnode.h>
-+#include <media/v4l2-image-sizes.h>
-+#include <media/v4l2-mediabus.h>
-+#include <media/v4l2-ctrls.h>
-+
-+#define CHECK_BIT(val, pos) ((val) & BIT(pos))
-+
-+#define SENSOR_NAME "irs1125"
-+
-+#define RESET_ACTIVE_DELAY_MS  20
-+
-+#define IRS1125_ALTERNATE_FW "irs1125_af.bin"
-+
-+#define IRS1125_REG_CSICFG       0xA882
-+#define IRS1125_REG_DESIGN_STEP        0xB0AD
-+#define IRS1125_REG_EFUSEVAL2  0xB09F
-+#define IRS1125_REG_EFUSEVAL3  0xB0A0
-+#define IRS1125_REG_EFUSEVAL4  0xB0A1
-+#define IRS1125_REG_DMEM_SHADOW        0xC320
-+
-+#define IRS1125_DESIGN_STEP_EXPECTED 0x0a12
-+
-+#define IRS1125_ROW_START_DEF         0
-+#define IRS1125_COLUMN_START_DEF      0
-+#define IRS1125_WINDOW_HEIGHT_DEF      288
-+#define IRS1125_WINDOW_WIDTH_DEF      352
-+
-+struct regval_list {
-+      u16 addr;
-+      u16 data;
-+};
-+
-+struct irs1125 {
-+      struct v4l2_subdev sd;
-+      struct media_pad pad;
-+      /* the parsed DT endpoint info */
-+      struct v4l2_fwnode_endpoint ep;
-+
-+      struct clk *xclk;
-+      struct v4l2_ctrl_handler ctrl_handler;
-+
-+      /* To serialize asynchronus callbacks */
-+      struct mutex lock;
-+
-+      /* image data layout */
-+      unsigned int num_seq;
-+
-+      /* reset pin */
-+      struct gpio_desc *reset;
-+
-+      /* V4l2 Controls to grab */
-+      struct v4l2_ctrl *ctrl_modplls;
-+      struct v4l2_ctrl *ctrl_numseq;
-+
-+      int power_count;
-+};
-+
-+static inline struct irs1125 *to_state(struct v4l2_subdev *sd)
-+{
-+      return container_of(sd, struct irs1125, sd);
-+}
-+
-+static struct regval_list irs1125_26MHz[] = {
-+      {0xB017, 0x0413},
-+      {0xB086, 0x3535},
-+      {0xB0AE, 0xEF02},
-+      {0xA000, 0x0004},
-+      {0xFFFF, 100},
-+
-+      {0xB062, 0x6383},
-+      {0xB063, 0x55A8},
-+      {0xB068, 0x7628},
-+      {0xB069, 0x03E2},
-+
-+      {0xFFFF, 100},
-+      {0xB05A, 0x01C5},
-+      {0xB05C, 0x0206},
-+      {0xB05D, 0x01C5},
-+      {0xB05F, 0x0206},
-+      {0xB016, 0x1335},
-+      {0xFFFF, 100},
-+      {0xA893, 0x8261},
-+      {0xA894, 0x89d8},
-+      {0xA895, 0x131d},
-+      {0xA896, 0x4251},
-+      {0xA897, 0x9D8A},
-+      {0xA898, 0x0BD8},
-+      {0xA899, 0x2245},
-+      {0xA89A, 0xAB9B},
-+      {0xA89B, 0x03B9},
-+      {0xA89C, 0x8041},
-+      {0xA89D, 0xE07E},
-+      {0xA89E, 0x0307},
-+      {0xFFFF, 100},
-+      {0xA88D, 0x0004},
-+      {0xA800, 0x0E68},
-+      {0xA801, 0x0000},
-+      {0xA802, 0x000C},
-+      {0xA803, 0x0000},
-+      {0xA804, 0x0E68},
-+      {0xA805, 0x0000},
-+      {0xA806, 0x0440},
-+      {0xA807, 0x0000},
-+      {0xA808, 0x0E68},
-+      {0xA809, 0x0000},
-+      {0xA80A, 0x0884},
-+      {0xA80B, 0x0000},
-+      {0xA80C, 0x0E68},
-+      {0xA80D, 0x0000},
-+      {0xA80E, 0x0CC8},
-+      {0xA80F, 0x0000},
-+      {0xA810, 0x0E68},
-+      {0xA811, 0x0000},
-+      {0xA812, 0x2000},
-+      {0xA813, 0x0000},
-+      {0xA882, 0x0081},
-+      {0xA88C, 0x403A},
-+      {0xA88F, 0x031E},
-+      {0xA892, 0x0351},
-+      {0x9813, 0x13FF},
-+      {0x981B, 0x7608},
-+
-+      {0xB008, 0x0000},
-+      {0xB015, 0x1513},
-+
-+      {0xFFFF, 100}
-+};
-+
-+static struct regval_list irs1125_seq_cfg[] = {
-+      {0xC3A0, 0x823D},
-+      {0xC3A1, 0xB13B},
-+      {0xC3A2, 0x0313},
-+      {0xC3A3, 0x4659},
-+      {0xC3A4, 0xC4EC},
-+      {0xC3A5, 0x03CE},
-+      {0xC3A6, 0x4259},
-+      {0xC3A7, 0xC4EC},
-+      {0xC3A8, 0x03CE},
-+      {0xC3A9, 0x8839},
-+      {0xC3AA, 0x89D8},
-+      {0xC3AB, 0x031D},
-+
-+      {0xC24C, 0x5529},
-+      {0xC24D, 0x0000},
-+      {0xC24E, 0x1200},
-+      {0xC24F, 0x6CB2},
-+      {0xC250, 0x0000},
-+      {0xC251, 0x5529},
-+      {0xC252, 0x42F4},
-+      {0xC253, 0xD1AF},
-+      {0xC254, 0x8A18},
-+      {0xC255, 0x0002},
-+      {0xC256, 0x5529},
-+      {0xC257, 0x6276},
-+      {0xC258, 0x11A7},
-+      {0xC259, 0xD907},
-+      {0xC25A, 0x0000},
-+      {0xC25B, 0x5529},
-+      {0xC25C, 0x07E0},
-+      {0xC25D, 0x7BFE},
-+      {0xC25E, 0x6402},
-+      {0xC25F, 0x0019},
-+
-+      {0xC3AC, 0x0007},
-+      {0xC3AD, 0xED88},
-+      {0xC320, 0x003E},
-+      {0xC321, 0x0000},
-+      {0xC322, 0x2000},
-+      {0xC323, 0x0000},
-+      {0xC324, 0x0271},
-+      {0xC325, 0x0000},
-+      {0xC326, 0x000C},
-+      {0xC327, 0x0000},
-+      {0xC328, 0x0271},
-+      {0xC329, 0x0000},
-+      {0xC32A, 0x0440},
-+      {0xC32B, 0x0000},
-+      {0xC32C, 0x0271},
-+      {0xC32D, 0x0000},
-+      {0xC32E, 0x0884},
-+      {0xC32F, 0x0000},
-+      {0xC330, 0x0271},
-+      {0xC331, 0x0000},
-+      {0xC332, 0x0CC8},
-+      {0xC333, 0x0000},
-+      {0xA88D, 0x0004},
-+
-+      {0xA890, 0x0000},
-+      {0xC219, 0x0002},
-+      {0xC21A, 0x0000},
-+      {0xC21B, 0x0000},
-+      {0xC21C, 0x00CD},
-+      {0xC21D, 0x0009},
-+      {0xC21E, 0x00CD},
-+      {0xC21F, 0x0009},
-+
-+      {0xA87C, 0x0000},
-+      {0xC032, 0x0001},
-+      {0xC034, 0x0000},
-+      {0xC035, 0x0001},
-+      {0xC039, 0x0000},
-+      {0xC401, 0x0002},
-+
-+      {0xFFFF, 1},
-+      {0xA87C, 0x0001}
-+};
-+
-+static int irs1125_write(struct v4l2_subdev *sd, u16 reg, u16 val)
-+{
-+      int ret;
-+      unsigned char data[4] = { reg >> 8, reg & 0xff, val >> 8, val & 0xff};
-+      struct i2c_client *client = v4l2_get_subdevdata(sd);
-+
-+      ret = i2c_master_send(client, data, 4);
-+      if (ret < 0)
-+              dev_err(&client->dev, "%s: i2c write error, reg: %x\n",
-+                      __func__, reg);
-+
-+      return ret;
-+}
-+
-+static int irs1125_read(struct v4l2_subdev *sd, u16 reg, u16 *val)
-+{
-+      int ret;
-+      unsigned char data_w[2] = { reg >> 8, reg & 0xff };
-+      char rdval[2];
-+
-+      struct i2c_client *client = v4l2_get_subdevdata(sd);
-+
-+      ret = i2c_master_send(client, data_w, 2);
-+      if (ret < 0) {
-+              dev_dbg(&client->dev, "%s: i2c write error, reg: %x\n",
-+                      __func__, reg);
-+              return ret;
-+      }
-+
-+      ret = i2c_master_recv(client, rdval, 2);
-+      if (ret < 0)
-+              dev_err(&client->dev, "%s: i2c read error, reg: %x\n",
-+                      __func__, reg);
-+
-+      *val = rdval[1] | (rdval[0] << 8);
-+
-+      return ret;
-+}
-+
-+static int irs1125_write_array(struct v4l2_subdev *sd,
-+                             struct regval_list *regs, int array_size)
-+{
-+      int i, ret;
-+
-+      for (i = 0; i < array_size; i++) {
-+              if (regs[i].addr == 0xFFFF) {
-+                      msleep(regs[i].data);
-+              } else {
-+                      ret = irs1125_write(sd, regs[i].addr, regs[i].data);
-+                      if (ret < 0)
-+                              return ret;
-+              }
-+      }
-+
-+      return 0;
-+}
-+
-+static int irs1125_stream_on(struct v4l2_subdev *sd)
-+{
-+      int ret;
-+      struct irs1125 *irs1125 = to_state(sd);
-+      struct i2c_client *client = v4l2_get_subdevdata(sd);
-+
-+      v4l2_ctrl_grab(irs1125->ctrl_numseq, 1);
-+      v4l2_ctrl_grab(irs1125->ctrl_modplls, 1);
-+
-+      ret = irs1125_write(sd, 0xC400, 0x0001);
-+      if (ret < 0) {
-+              dev_err(&client->dev, "error enabling firmware: %d", ret);
-+              return ret;
-+      }
-+
-+      msleep(100);
-+
-+      return irs1125_write(sd, 0xA87C, 0x0001);
-+}
-+
-+static int irs1125_stream_off(struct v4l2_subdev *sd)
-+{
-+      int ret;
-+      struct irs1125 *irs1125 = to_state(sd);
-+      struct i2c_client *client = v4l2_get_subdevdata(sd);
-+
-+      v4l2_ctrl_grab(irs1125->ctrl_numseq, 0);
-+      v4l2_ctrl_grab(irs1125->ctrl_modplls, 0);
-+
-+      ret = irs1125_write(sd, 0xA87C, 0x0000);
-+      if (ret < 0) {
-+              dev_err(&client->dev, "error disabling trigger: %d", ret);
-+              return ret;
-+      }
-+
-+      msleep(100);
-+
-+      return irs1125_write(sd, 0xC400, 0x0002);
-+}
-+
-+static int __sensor_init(struct v4l2_subdev *sd)
-+{
-+      unsigned int cnt, idx;
-+      int ret;
-+      u16 val;
-+      struct i2c_client *client = v4l2_get_subdevdata(sd);
-+      struct irs1125 *irs1125 = to_state(sd);
-+      const struct firmware *fw;
-+      struct regval_list *reg_data;
-+
-+      cnt = 0;
-+      while (1) {
-+              ret = irs1125_read(sd, 0xC40F, &val);
-+              if (ret < 0) {
-+                      dev_err(&client->dev, "read register 0xC40F failed\n");
-+                      return ret;
-+              }
-+              if (CHECK_BIT(val, 14) == 0)
-+                      break;
-+
-+              if (cnt >= 5) {
-+                      dev_err(&client->dev, "timeout waiting for 0xC40F\n");
-+                      return -EAGAIN;
-+              }
-+
-+              cnt++;
-+      }
-+
-+      ret = irs1125_write_array(sd, irs1125_26MHz,
-+                                ARRAY_SIZE(irs1125_26MHz));
-+      if (ret < 0) {
-+              dev_err(&client->dev, "write sensor default regs error\n");
-+              return ret;
-+      }
-+
-+      /* set CSI-2 number of data lanes */
-+      if (irs1125->ep.bus.mipi_csi2.num_data_lanes == 1) {
-+              val = 0x0001;
-+      } else if (irs1125->ep.bus.mipi_csi2.num_data_lanes == 2) {
-+              val = 0x0081;
-+      } else {
-+              dev_err(&client->dev, "invalid number of data lanes %d\n",
-+                      irs1125->ep.bus.mipi_csi2.num_data_lanes);
-+              return -EINVAL;
-+      }
-+
-+      ret = irs1125_write(sd, IRS1125_REG_CSICFG, val);
-+      if (ret < 0) {
-+              dev_err(&client->dev, "write sensor csi2 config error\n");
-+              return ret;
-+      }
-+
-+      /* request the firmware, this will block and timeout */
-+      ret = request_firmware(&fw, IRS1125_ALTERNATE_FW, &client->dev);
-+      if (ret) {
-+              dev_err(&client->dev,
-+                      "did not find the firmware file '%s' (status %d)\n",
-+                      IRS1125_ALTERNATE_FW, ret);
-+              return ret;
-+      }
-+
-+      if (fw->size % 4) {
-+              dev_err(&client->dev, "firmware file '%s' invalid\n",
-+                      IRS1125_ALTERNATE_FW);
-+              release_firmware(fw);
-+              return -EINVAL;
-+      }
-+
-+      for (idx = 0; idx < fw->size; idx += 4) {
-+              reg_data = (struct regval_list *)&fw->data[idx];
-+              ret = irs1125_write(sd, reg_data->addr, reg_data->data);
-+              if (ret < 0) {
-+                      dev_err(&client->dev, "firmware write error\n");
-+                      release_firmware(fw);
-+                      return ret;
-+              }
-+      }
-+      release_firmware(fw);
-+
-+      ret = irs1125_write_array(sd, irs1125_seq_cfg,
-+                                ARRAY_SIZE(irs1125_seq_cfg));
-+      if (ret < 0) {
-+              dev_err(&client->dev, "write default sequence failed\n");
-+              return ret;
-+      }
-+
-+      return 0;
-+}
-+
-+static int irs1125_sensor_power(struct v4l2_subdev *sd, int on)
-+{
-+      int ret = 0;
-+      struct irs1125 *irs1125 = to_state(sd);
-+      struct i2c_client *client = v4l2_get_subdevdata(sd);
-+
-+      mutex_lock(&irs1125->lock);
-+
-+      if (on && !irs1125->power_count) {
-+              gpiod_set_value_cansleep(irs1125->reset, 1);
-+              msleep(RESET_ACTIVE_DELAY_MS);
-+
-+              ret = clk_prepare_enable(irs1125->xclk);
-+              if (ret < 0) {
-+                      dev_err(&client->dev, "clk prepare enable failed\n");
-+                      goto out;
-+              }
-+
-+              ret = __sensor_init(sd);
-+              if (ret < 0) {
-+                      clk_disable_unprepare(irs1125->xclk);
-+                      dev_err(&client->dev,
-+                              "Camera not available, check Power\n");
-+                      goto out;
-+              }
-+      } else if (!on && irs1125->power_count == 1) {
-+              gpiod_set_value_cansleep(irs1125->reset, 0);
-+      }
-+
-+      /* Update the power count. */
-+      irs1125->power_count += on ? 1 : -1;
-+      WARN_ON(irs1125->power_count < 0);
-+
-+out:
-+      mutex_unlock(&irs1125->lock);
-+
-+      return ret;
-+}
-+
-+#ifdef CONFIG_VIDEO_ADV_DEBUG
-+static int irs1125_sensor_get_register(struct v4l2_subdev *sd,
-+                                     struct v4l2_dbg_register *reg)
-+{
-+      u16 val;
-+      int ret;
-+
-+      ret = irs1125_read(sd, reg->reg & 0xffff, &val);
-+      if (ret < 0)
-+              return ret;
-+
-+      reg->val = val;
-+      reg->size = 1;
-+
-+      return 0;
-+}
-+
-+static int irs1125_sensor_set_register(struct v4l2_subdev *sd,
-+                                     const struct v4l2_dbg_register *reg)
-+{
-+      return irs1125_write(sd, reg->reg & 0xffff, reg->val & 0xffff);
-+}
-+#endif
-+
-+static const struct v4l2_subdev_core_ops irs1125_subdev_core_ops = {
-+      .s_power = irs1125_sensor_power,
-+#ifdef CONFIG_VIDEO_ADV_DEBUG
-+      .g_register = irs1125_sensor_get_register,
-+      .s_register = irs1125_sensor_set_register,
-+#endif
-+};
-+
-+static int irs1125_s_stream(struct v4l2_subdev *sd, int enable)
-+{
-+      if (enable)
-+              return irs1125_stream_on(sd);
-+      else
-+              return irs1125_stream_off(sd);
-+}
-+
-+static const struct v4l2_subdev_video_ops irs1125_subdev_video_ops = {
-+      .s_stream = irs1125_s_stream,
-+};
-+
-+static int irs1125_enum_mbus_code(struct v4l2_subdev *sd,
-+                                struct v4l2_subdev_pad_config *cfg,
-+      struct v4l2_subdev_mbus_code_enum *code)
-+{
-+      if (code->index > 0)
-+              return -EINVAL;
-+
-+      code->code = MEDIA_BUS_FMT_Y12_1X12;
-+
-+      return 0;
-+}
-+
-+static int irs1125_set_get_fmt(struct v4l2_subdev *sd,
-+                             struct v4l2_subdev_pad_config *cfg,
-+                             struct v4l2_subdev_format *format)
-+{
-+      struct v4l2_mbus_framefmt *fmt = &format->format;
-+      struct irs1125 *irs1125 = to_state(sd);
-+
-+      if (format->pad != 0)
-+              return -EINVAL;
-+
-+      /* Only one format is supported, so return that */
-+      memset(fmt, 0, sizeof(*fmt));
-+      fmt->code = MEDIA_BUS_FMT_Y12_1X12;
-+      fmt->colorspace = V4L2_COLORSPACE_RAW;
-+      fmt->field = V4L2_FIELD_NONE;
-+      fmt->width = IRS1125_WINDOW_WIDTH_DEF;
-+      fmt->height = IRS1125_WINDOW_HEIGHT_DEF * irs1125->num_seq;
-+
-+      return 0;
-+}
-+
-+static const struct v4l2_subdev_pad_ops irs1125_subdev_pad_ops = {
-+      .enum_mbus_code = irs1125_enum_mbus_code,
-+      .set_fmt = irs1125_set_get_fmt,
-+      .get_fmt = irs1125_set_get_fmt,
-+};
-+
-+static const struct v4l2_subdev_ops irs1125_subdev_ops = {
-+      .core = &irs1125_subdev_core_ops,
-+      .video = &irs1125_subdev_video_ops,
-+      .pad = &irs1125_subdev_pad_ops,
-+};
-+
-+static int irs1125_s_ctrl(struct v4l2_ctrl *ctrl)
-+{
-+      struct irs1125 *dev = container_of(ctrl->handler,
-+                                      struct irs1125, ctrl_handler);
-+      struct i2c_client *client = v4l2_get_subdevdata(&dev->sd);
-+      int err, i;
-+      struct irs1125_mod_pll *mod_cur, *mod_new;
-+      struct irs1125_seq_cfg *cfg_cur, *cfg_new;
-+      u16 addr, val;
-+
-+      err = 0;
-+
-+      switch (ctrl->id) {
-+      case IRS1125_CID_SAFE_RECONFIG:
-+      {
-+              struct irs1125_illu *illu_cur, *illu_new;
-+
-+              illu_new = (struct irs1125_illu *)ctrl->p_new.p;
-+              illu_cur = (struct irs1125_illu *)ctrl->p_cur.p;
-+              for (i = 0; i < IRS1125_NUM_SEQ_ENTRIES; i++) {
-+                      if (illu_cur[i].exposure != illu_new[i].exposure) {
-+                              addr = 0xA850 + i * 2;
-+                              val = illu_new[i].exposure;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+                      if (illu_cur[i].framerate != illu_new[i].framerate) {
-+                              addr = 0xA851 + i * 2;
-+                              val = illu_new[i].framerate;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+              }
-+              break;
-+      }
-+      case IRS1125_CID_MOD_PLL:
-+              mod_new = (struct irs1125_mod_pll *)ctrl->p_new.p;
-+              mod_cur = (struct irs1125_mod_pll *)ctrl->p_cur.p;
-+              for (i = 0; i < IRS1125_NUM_MOD_PLLS; i++) {
-+                      if (mod_cur[i].pllcfg1 != mod_new[i].pllcfg1) {
-+                              addr = 0xC3A0 + i * 3;
-+                              val = mod_new[i].pllcfg1;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+                      if (mod_cur[i].pllcfg2 != mod_new[i].pllcfg2) {
-+                              addr = 0xC3A1 + i * 3;
-+                              val = mod_new[i].pllcfg2;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+                      if (mod_cur[i].pllcfg3 != mod_new[i].pllcfg3) {
-+                              addr = 0xC3A2 + i * 3;
-+                              val = mod_new[i].pllcfg3;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+                      if (mod_cur[i].pllcfg4 != mod_new[i].pllcfg4) {
-+                              addr = 0xC24C + i * 5;
-+                              val = mod_new[i].pllcfg4;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+                      if (mod_cur[i].pllcfg5 != mod_new[i].pllcfg5) {
-+                              addr = 0xC24D + i * 5;
-+                              val = mod_new[i].pllcfg5;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+                      if (mod_cur[i].pllcfg6 != mod_new[i].pllcfg6) {
-+                              addr = 0xC24E + i * 5;
-+                              val = mod_new[i].pllcfg6;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+                      if (mod_cur[i].pllcfg7 != mod_new[i].pllcfg7) {
-+                              addr = 0xC24F + i * 5;
-+                              val = mod_new[i].pllcfg7;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+                      if (mod_cur[i].pllcfg8 != mod_new[i].pllcfg8) {
-+                              addr = 0xC250 + i * 5;
-+                              val = mod_new[i].pllcfg8;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+              }
-+              break;
-+      case IRS1125_CID_SEQ_CONFIG:
-+              cfg_new = (struct irs1125_seq_cfg *)ctrl->p_new.p;
-+              cfg_cur = (struct irs1125_seq_cfg *)ctrl->p_cur.p;
-+              for (i = 0; i < IRS1125_NUM_SEQ_ENTRIES; i++) {
-+                      if (cfg_cur[i].exposure != cfg_new[i].exposure) {
-+                              addr = IRS1125_REG_DMEM_SHADOW + i * 4;
-+                              val = cfg_new[i].exposure;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+                      if (cfg_cur[i].framerate != cfg_new[i].framerate) {
-+                              addr = IRS1125_REG_DMEM_SHADOW + 1 + i * 4;
-+                              val = cfg_new[i].framerate;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+                      if (cfg_cur[i].ps != cfg_new[i].ps) {
-+                              addr = IRS1125_REG_DMEM_SHADOW + 2 + i * 4;
-+                              val = cfg_new[i].ps;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+                      if (cfg_cur[i].pll != cfg_new[i].pll) {
-+                              addr = IRS1125_REG_DMEM_SHADOW + 3 + i * 4;
-+                              val = cfg_new[i].pll;
-+                              err = irs1125_write(&dev->sd, addr, val);
-+                              if (err < 0)
-+                                      break;
-+                      }
-+              }
-+              break;
-+      case IRS1125_CID_NUM_SEQS:
-+              err = irs1125_write(&dev->sd, 0xA88D, ctrl->val - 1);
-+              if (err >= 0)
-+                      dev->num_seq = ctrl->val;
-+              break;
-+      case IRS1125_CID_CONTINUOUS_TRIG:
-+              if (ctrl->val == 0)
-+                      err = irs1125_write(&dev->sd, 0xA87C, 0);
-+              else
-+                      err = irs1125_write(&dev->sd, 0xA87C, 1);
-+              break;
-+      case IRS1125_CID_TRIGGER:
-+              if (ctrl->val != 0) {
-+                      err = irs1125_write(&dev->sd, 0xA87C, 1);
-+                      if (err >= 0)
-+                              err = irs1125_write(&dev->sd, 0xA87C, 0);
-+              }
-+              break;
-+      case IRS1125_CID_RECONFIG:
-+              if (ctrl->val != 0)
-+                      err = irs1125_write(&dev->sd, 0xA87A, 1);
-+              break;
-+      case IRS1125_CID_ILLU_ON:
-+              if (ctrl->val == 0)
-+                      err = irs1125_write(&dev->sd, 0xA892, 0x377);
-+              else
-+                      err = irs1125_write(&dev->sd, 0xA892, 0x355);
-+              break;
-+      default:
-+              break;
-+      }
-+
-+      if (err < 0)
-+              dev_err(&client->dev, "Error executing control ID: %d, val %d, err %d",
-+                      ctrl->id, ctrl->val, err);
-+      else
-+              err = 0;
-+
-+      return err;
-+}
-+
-+static const struct v4l2_ctrl_ops irs1125_ctrl_ops = {
-+      .s_ctrl = irs1125_s_ctrl,
-+};
-+
-+static const struct v4l2_ctrl_config irs1125_custom_ctrls[] = {
-+      {
-+              .ops = &irs1125_ctrl_ops,
-+              .id = IRS1125_CID_NUM_SEQS,
-+              .name = "Change number of sequences",
-+              .type = V4L2_CTRL_TYPE_INTEGER,
-+              .flags = V4L2_CTRL_FLAG_MODIFY_LAYOUT,
-+              .min = 1,
-+              .max = 20,
-+              .step = 1,
-+              .def = 5,
-+      }, {
-+              .ops = &irs1125_ctrl_ops,
-+              .id = IRS1125_CID_MOD_PLL,
-+              .name = "Reconfigure modulation PLLs",
-+              .type = V4L2_CTRL_TYPE_U16,
-+              .flags = V4L2_CTRL_FLAG_HAS_PAYLOAD,
-+              .min = 0,
-+              .max = U16_MAX,
-+              .step = 1,
-+              .def = 0,
-+              .elem_size = sizeof(u16),
-+              .dims = {sizeof(struct irs1125_mod_pll) / sizeof(u16),
-+                      IRS1125_NUM_MOD_PLLS}
-+      }, {
-+              .ops = &irs1125_ctrl_ops,
-+              .id = IRS1125_CID_SAFE_RECONFIG,
-+              .name = "Change exposure and pause of single seq",
-+              .type = V4L2_CTRL_TYPE_U16,
-+              .flags = V4L2_CTRL_FLAG_HAS_PAYLOAD,
-+              .min = 0,
-+              .max = U16_MAX,
-+              .step = 1,
-+              .def = 0,
-+              .elem_size = sizeof(u16),
-+              .dims = {sizeof(struct irs1125_illu) / sizeof(u16),
-+                      IRS1125_NUM_SEQ_ENTRIES}
-+      }, {
-+              .ops = &irs1125_ctrl_ops,
-+              .id = IRS1125_CID_SEQ_CONFIG,
-+              .name = "Change sequence settings",
-+              .type = V4L2_CTRL_TYPE_U16,
-+              .flags = V4L2_CTRL_FLAG_HAS_PAYLOAD,
-+              .min = 0,
-+              .max = U16_MAX,
-+              .step = 1,
-+              .def = 0,
-+              .elem_size = sizeof(u16),
-+              .dims = {sizeof(struct irs1125_seq_cfg) / sizeof(u16),
-+                      IRS1125_NUM_SEQ_ENTRIES}
-+      }, {
-+              .ops = &irs1125_ctrl_ops,
-+              .id = IRS1125_CID_CONTINUOUS_TRIG,
-+              .name = "Enable/disable continuous trigger",
-+              .type = V4L2_CTRL_TYPE_BOOLEAN,
-+              .flags = V4L2_CTRL_FLAG_EXECUTE_ON_WRITE,
-+              .min = 0,
-+              .max = 1,
-+              .step = 1,
-+              .def = 0
-+      }, {
-+              .ops = &irs1125_ctrl_ops,
-+              .id = IRS1125_CID_TRIGGER,
-+              .name = "Capture a single sequence",
-+              .type = V4L2_CTRL_TYPE_BOOLEAN,
-+              .flags = V4L2_CTRL_FLAG_EXECUTE_ON_WRITE,
-+              .min = 0,
-+              .max = 1,
-+              .step = 1,
-+              .def = 0
-+      }, {
-+              .ops = &irs1125_ctrl_ops,
-+              .id = IRS1125_CID_RECONFIG,
-+              .name = "Trigger imager reconfiguration",
-+              .type = V4L2_CTRL_TYPE_BOOLEAN,
-+              .flags = V4L2_CTRL_FLAG_EXECUTE_ON_WRITE,
-+              .min = 0,
-+              .max = 1,
-+              .step = 1,
-+              .def = 0
-+      }, {
-+              .ops = &irs1125_ctrl_ops,
-+              .id = IRS1125_CID_ILLU_ON,
-+              .name = "Turn illu on or off",
-+              .type = V4L2_CTRL_TYPE_BOOLEAN,
-+              .flags = V4L2_CTRL_FLAG_EXECUTE_ON_WRITE,
-+              .min = 0,
-+              .max = 1,
-+              .step = 1,
-+              .def = 1
-+      }, {
-+              .ops = &irs1125_ctrl_ops,
-+              .id = IRS1125_CID_IDENT0,
-+              .name = "Get ident 0 information",
-+              .type = V4L2_CTRL_TYPE_INTEGER,
-+              .flags = V4L2_CTRL_FLAG_READ_ONLY,
-+              .min = S32_MIN,
-+              .max = S32_MAX,
-+              .step = 1,
-+              .def = 0
-+      }, {
-+              .ops = &irs1125_ctrl_ops,
-+              .id = IRS1125_CID_IDENT1,
-+              .name = "Get ident 1 information",
-+              .type = V4L2_CTRL_TYPE_INTEGER,
-+              .flags = V4L2_CTRL_FLAG_READ_ONLY,
-+              .min = S32_MIN,
-+              .max = S32_MAX,
-+              .step = 1,
-+              .def = 0
-+      }, {
-+              .ops = &irs1125_ctrl_ops,
-+              .id = IRS1125_CID_IDENT2,
-+              .name = "Get ident 2 information",
-+              .type = V4L2_CTRL_TYPE_INTEGER,
-+              .flags = V4L2_CTRL_FLAG_READ_ONLY,
-+              .min = S32_MIN,
-+              .max = S32_MAX,
-+              .step = 1,
-+              .def = 0
-+      }
-+};
-+
-+static int irs1125_detect(struct v4l2_subdev *sd)
-+{
-+      u16 read;
-+      int ret;
-+      struct i2c_client *client = v4l2_get_subdevdata(sd);
-+
-+      ret = irs1125_read(sd, IRS1125_REG_DESIGN_STEP, &read);
-+      if (ret < 0) {
-+              dev_err(&client->dev, "error reading from i2c\n");
-+              return ret;
-+      }
-+
-+      if (read != IRS1125_DESIGN_STEP_EXPECTED) {
-+              dev_err(&client->dev, "Design step expected 0x%x got 0x%x",
-+                      IRS1125_DESIGN_STEP_EXPECTED, read);
-+              return -ENODEV;
-+      }
-+
-+      return 0;
-+}
-+
-+static int irs1125_open(struct v4l2_subdev *sd, struct v4l2_subdev_fh *fh)
-+{
-+      struct v4l2_mbus_framefmt *format =
-+      v4l2_subdev_get_try_format(sd, fh->pad, 0);
-+
-+      format->code = MEDIA_BUS_FMT_Y12_1X12;
-+      format->width = IRS1125_WINDOW_WIDTH_DEF;
-+      format->height = IRS1125_WINDOW_HEIGHT_DEF;
-+      format->field = V4L2_FIELD_NONE;
-+      format->colorspace = V4L2_COLORSPACE_RAW;
-+
-+      return 0;
-+}
-+
-+static const struct v4l2_subdev_internal_ops irs1125_subdev_internal_ops = {
-+      .open = irs1125_open,
-+};
-+
-+static int irs1125_ctrls_init(struct irs1125 *sensor, struct device *dev)
-+{
-+      struct v4l2_ctrl *ctrl;
-+      int err, i;
-+      struct v4l2_ctrl_handler *hdl;
-+
-+      hdl = &sensor->ctrl_handler;
-+      v4l2_ctrl_handler_init(hdl, ARRAY_SIZE(irs1125_custom_ctrls));
-+
-+      for (i = 0; i < ARRAY_SIZE(irs1125_custom_ctrls); i++)  {
-+              ctrl = v4l2_ctrl_new_custom(hdl, &irs1125_custom_ctrls[i],
-+                                          NULL);
-+              if (!ctrl)
-+                      dev_err(dev, "Failed to init custom control %s\n",
-+                              irs1125_custom_ctrls[i].name);
-+              else if (irs1125_custom_ctrls[i].id == IRS1125_CID_NUM_SEQS)
-+                      sensor->ctrl_numseq = ctrl;
-+              else if (irs1125_custom_ctrls[i].id == IRS1125_CID_MOD_PLL)
-+                      sensor->ctrl_modplls = ctrl;
-+      }
-+
-+      if (hdl->error) {
-+              dev_err(dev, "Error %d adding controls\n", hdl->error);
-+              err = hdl->error;
-+              goto error_ctrls;
-+      }
-+
-+      sensor->sd.ctrl_handler = hdl;
-+      return 0;
-+
-+error_ctrls:
-+      v4l2_ctrl_handler_free(&sensor->ctrl_handler);
-+      return -err;
-+}
-+
-+static int irs1125_ident_setup(struct irs1125 *sensor, struct device *dev)
-+{
-+      int ret;
-+      struct v4l2_ctrl *ctrl;
-+      struct v4l2_subdev *sd;
-+      u16 read;
-+
-+      sd = &sensor->sd;
-+
-+      ctrl = v4l2_ctrl_find(&sensor->ctrl_handler, IRS1125_CID_IDENT0);
-+      if (!ctrl) {
-+              dev_err(dev, "could not find device ctrl.\n");
-+              return -EINVAL;
-+      }
-+
-+      ret = irs1125_read(sd, IRS1125_REG_EFUSEVAL2, &read);
-+      if (ret < 0) {
-+              dev_err(dev, "error reading from i2c\n");
-+              return -EIO;
-+      }
-+
-+      v4l2_ctrl_s_ctrl(ctrl, read);
-+
-+      ctrl = v4l2_ctrl_find(&sensor->ctrl_handler, IRS1125_CID_IDENT1);
-+      if (!ctrl) {
-+              dev_err(dev, "could not find device ctrl.\n");
-+              return -EINVAL;
-+      }
-+
-+      ret = irs1125_read(sd, IRS1125_REG_EFUSEVAL3, &read);
-+      if (ret < 0) {
-+              dev_err(dev, "error reading from i2c\n");
-+              return -EIO;
-+      }
-+
-+      v4l2_ctrl_s_ctrl(ctrl, read);
-+
-+      ctrl = v4l2_ctrl_find(&sensor->ctrl_handler, IRS1125_CID_IDENT2);
-+      if (!ctrl) {
-+              dev_err(dev, "could not find device ctrl.\n");
-+              return -EINVAL;
-+      }
-+
-+      ret = irs1125_read(sd, IRS1125_REG_EFUSEVAL4, &read);
-+      if (ret < 0) {
-+              dev_err(dev, "error reading from i2c\n");
-+              return -EIO;
-+      }
-+      v4l2_ctrl_s_ctrl(ctrl, read & 0xFFFC);
-+
-+      return 0;
-+}
-+
-+static int irs1125_probe(struct i2c_client *client,
-+                       const struct i2c_device_id *id)
-+{
-+      struct device *dev = &client->dev;
-+      struct irs1125 *sensor;
-+      int ret;
-+      struct fwnode_handle *endpoint;
-+      u32 xclk_freq;
-+      int gpio_num;
-+
-+      sensor = devm_kzalloc(dev, sizeof(*sensor), GFP_KERNEL);
-+      if (!sensor)
-+              return -ENOMEM;
-+
-+      v4l2_i2c_subdev_init(&sensor->sd, client, &irs1125_subdev_ops);
-+
-+      /* Get CSI2 bus config */
-+      endpoint = fwnode_graph_get_next_endpoint(dev_fwnode(dev),
-+                                                NULL);
-+      if (!endpoint) {
-+              dev_err(dev, "endpoint node not found\n");
-+              return -EINVAL;
-+      }
-+
-+      ret = v4l2_fwnode_endpoint_parse(endpoint, &sensor->ep);
-+      fwnode_handle_put(endpoint);
-+      if (ret) {
-+              dev_err(dev, "Could not parse endpoint\n");
-+              return ret;
-+      }
-+
-+      /* get system clock (xclk) */
-+      sensor->xclk = devm_clk_get(dev, NULL);
-+      if (IS_ERR(sensor->xclk)) {
-+              dev_err(dev, "could not get xclk");
-+              return PTR_ERR(sensor->xclk);
-+      }
-+
-+      xclk_freq = clk_get_rate(sensor->xclk);
-+      if (xclk_freq != 26000000) {
-+              dev_err(dev, "Unsupported clock frequency: %u\n", xclk_freq);
-+              return -EINVAL;
-+      }
-+
-+      sensor->num_seq = 5;
-+
-+      /* Request the power down GPIO */
-+      sensor->reset = devm_gpiod_get(&client->dev, "pwdn",
-+                                     GPIOD_OUT_LOW);
-+
-+      if (IS_ERR(sensor->reset)) {
-+              dev_err(dev, "could not get reset");
-+              return PTR_ERR(sensor->reset);
-+      }
-+
-+      gpio_num = desc_to_gpio(sensor->reset);
-+
-+      mutex_init(&sensor->lock);
-+
-+      ret = irs1125_ctrls_init(sensor, dev);
-+      if (ret < 0)
-+              goto mutex_remove;
-+
-+      sensor->sd.internal_ops = &irs1125_subdev_internal_ops;
-+      sensor->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
-+      sensor->sd.entity.function = MEDIA_ENT_F_CAM_SENSOR;
-+      sensor->pad.flags = MEDIA_PAD_FL_SOURCE;
-+      ret = media_entity_pads_init(&sensor->sd.entity, 1, &sensor->pad);
-+      if (ret < 0)
-+              goto mutex_remove;
-+
-+      gpiod_set_value_cansleep(sensor->reset, 1);
-+      msleep(RESET_ACTIVE_DELAY_MS);
-+
-+      ret = irs1125_detect(&sensor->sd);
-+      if (ret < 0)
-+              goto error;
-+
-+      ret = irs1125_ident_setup(sensor, dev);
-+      if (ret < 0)
-+              goto error;
-+
-+      gpiod_set_value_cansleep(sensor->reset, 0);
-+
-+      ret = v4l2_async_register_subdev(&sensor->sd);
-+      if (ret < 0)
-+              goto error;
-+
-+      dev_dbg(dev, "Infineon IRS1125 camera driver probed\n");
-+
-+      return 0;
-+
-+error:
-+      media_entity_cleanup(&sensor->sd.entity);
-+mutex_remove:
-+      mutex_destroy(&sensor->lock);
-+      return ret;
-+}
-+
-+static int irs1125_remove(struct i2c_client *client)
-+{
-+      struct v4l2_subdev *sd = i2c_get_clientdata(client);
-+      struct irs1125 *irs1125 = to_state(sd);
-+
-+      v4l2_async_unregister_subdev(&irs1125->sd);
-+      media_entity_cleanup(&irs1125->sd.entity);
-+      v4l2_device_unregister_subdev(sd);
-+      mutex_destroy(&irs1125->lock);
-+      v4l2_ctrl_handler_free(&irs1125->ctrl_handler);
-+
-+      return 0;
-+}
-+
-+#if IS_ENABLED(CONFIG_OF)
-+static const struct of_device_id irs1125_of_match[] = {
-+      { .compatible = "infineon,irs1125" },
-+      { /* sentinel */ },
-+};
-+MODULE_DEVICE_TABLE(of, irs1125_of_match);
-+#endif
-+
-+static struct i2c_driver irs1125_driver = {
-+      .driver = {
-+              .of_match_table = of_match_ptr(irs1125_of_match),
-+              .name    = SENSOR_NAME,
-+      },
-+      .probe          = irs1125_probe,
-+      .remove         = irs1125_remove,
-+};
-+
-+module_i2c_driver(irs1125_driver);
-+
-+MODULE_AUTHOR("Markus Proeller <markus.proeller@pieye.org>");
-+MODULE_DESCRIPTION("Infineon irs1125 sensor driver");
-+MODULE_LICENSE("GPL v2");
-+
---- /dev/null
-+++ b/drivers/media/i2c/irs1125.h
-@@ -0,0 +1,61 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * A V4L2 driver for Infineon IRS1125 TOF cameras.
-+ * Copyright (C) 2018, pieye GmbH
-+ *
-+ * Based on V4L2 OmniVision OV5647 Image Sensor driver
-+ * Copyright (C) 2016 Ramiro Oliveira <roliveir@synopsys.com>
-+ *
-+ * DT / fwnode changes, and GPIO control taken from ov5640.c
-+ * Copyright (C) 2011-2013 Freescale Semiconductor, Inc. All Rights Reserved.
-+ * Copyright (C) 2014-2017 Mentor Graphics Inc.
-+ *
-+ */
-+
-+#ifndef IRS1125_H
-+#define IRS1125_H
-+
-+#include <linux/v4l2-controls.h>
-+#include <linux/types.h>
-+
-+#define IRS1125_NUM_SEQ_ENTRIES 20
-+#define IRS1125_NUM_MOD_PLLS 4
-+
-+#define IRS1125_CID_CUSTOM_BASE        (V4L2_CID_USER_BASE | 0xf000)
-+#define IRS1125_CID_SAFE_RECONFIG      (IRS1125_CID_CUSTOM_BASE + 0)
-+#define IRS1125_CID_CONTINUOUS_TRIG    (IRS1125_CID_CUSTOM_BASE + 1)
-+#define IRS1125_CID_TRIGGER            (IRS1125_CID_CUSTOM_BASE + 2)
-+#define IRS1125_CID_RECONFIG           (IRS1125_CID_CUSTOM_BASE + 3)
-+#define IRS1125_CID_ILLU_ON            (IRS1125_CID_CUSTOM_BASE + 4)
-+#define IRS1125_CID_NUM_SEQS           (IRS1125_CID_CUSTOM_BASE + 5)
-+#define IRS1125_CID_MOD_PLL            (IRS1125_CID_CUSTOM_BASE + 6)
-+#define IRS1125_CID_SEQ_CONFIG         (IRS1125_CID_CUSTOM_BASE + 7)
-+#define IRS1125_CID_IDENT0             (IRS1125_CID_CUSTOM_BASE + 8)
-+#define IRS1125_CID_IDENT1             (IRS1125_CID_CUSTOM_BASE + 9)
-+#define IRS1125_CID_IDENT2             (IRS1125_CID_CUSTOM_BASE + 10)
-+
-+struct irs1125_seq_cfg {
-+      __u16 exposure;
-+      __u16 framerate;
-+      __u16 ps;
-+      __u16 pll;
-+};
-+
-+struct irs1125_illu {
-+      __u16 exposure;
-+      __u16 framerate;
-+};
-+
-+struct irs1125_mod_pll {
-+      __u16 pllcfg1;
-+      __u16 pllcfg2;
-+      __u16 pllcfg3;
-+      __u16 pllcfg4;
-+      __u16 pllcfg5;
-+      __u16 pllcfg6;
-+      __u16 pllcfg7;
-+      __u16 pllcfg8;
-+};
-+
-+#endif /* IRS1125 */
-+
diff --git a/target/linux/bcm27xx/patches-5.4/950-0325-staging-bcm2835-codec-Set-default-and-error-check-ti.patch b/target/linux/bcm27xx/patches-5.4/950-0325-staging-bcm2835-codec-Set-default-and-error-check-ti.patch
new file mode 100644 (file)
index 0000000..124b7ae
--- /dev/null
@@ -0,0 +1,37 @@
+From 6680d139ee23cf655c0aa43581604a7c5de31803 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 13 Sep 2019 17:23:26 +0100
+Subject: [PATCH] staging: bcm2835-codec: Set default and error check
+ timeperframe
+
+G_PARM default was invalid as 0/0, and the driver didn't check
+the value set in S_PARM wasn't 0/0.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c       | 7 +++++++
+ 1 file changed, 7 insertions(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -1423,6 +1423,10 @@ static int vidioc_s_parm(struct file *fi
+       if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE)
+               return -EINVAL;
++      if (!parm->parm.output.timeperframe.denominator ||
++          !parm->parm.output.timeperframe.numerator)
++              return -EINVAL;
++
+       ctx->framerate_num =
+                       parm->parm.output.timeperframe.denominator;
+       ctx->framerate_denom =
+@@ -2390,6 +2394,9 @@ static int bcm2835_codec_open(struct fil
+       ctx->colorspace = V4L2_COLORSPACE_REC709;
+       ctx->bitrate = 10 * 1000 * 1000;
++      ctx->framerate_num = 30;
++      ctx->framerate_denom = 1;
++
+       /* Initialise V4L2 contexts */
+       v4l2_fh_init(&ctx->fh, video_devdata(file));
+       file->private_data = &ctx->fh;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0326-staging-bcm2835-codec-Add-support-for-ENUM_FRAMESIZE.patch b/target/linux/bcm27xx/patches-5.4/950-0326-staging-bcm2835-codec-Add-support-for-ENUM_FRAMESIZE.patch
deleted file mode 100644 (file)
index ab3a971..0000000
+++ /dev/null
@@ -1,98 +0,0 @@
-From 3d9d9ae68a1fb5451d12b46b65289e67cca2a340 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 13 Sep 2019 17:19:33 +0100
-Subject: [PATCH] staging:bcm2835-codec: Add support for
- ENUM_FRAMESIZES
-
-Required for compliance testing for the encoder.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../bcm2835-codec/bcm2835-v4l2-codec.c        | 48 +++++++++++++++++--
- 1 file changed, 44 insertions(+), 4 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -496,9 +496,10 @@ struct bcm2835_codec_fmt *get_default_fo
-       return &dev->supported_fmts[capture ? 1 : 0].list[0];
- }
--static struct bcm2835_codec_fmt *find_format(struct v4l2_format *f,
--                                           struct bcm2835_codec_dev *dev,
--                                           bool capture)
-+static
-+struct bcm2835_codec_fmt *find_format_pix_fmt(u32 pix_fmt,
-+                                            struct bcm2835_codec_dev *dev,
-+                                            bool capture)
- {
-       struct bcm2835_codec_fmt *fmt;
-       unsigned int k;
-@@ -507,7 +508,7 @@ static struct bcm2835_codec_fmt *find_fo
-       for (k = 0; k < fmts->num_entries; k++) {
-               fmt = &fmts->list[k];
--              if (fmt->fourcc == f->fmt.pix_mp.pixelformat)
-+              if (fmt->fourcc == pix_fmt)
-                       break;
-       }
-       if (k == fmts->num_entries)
-@@ -516,6 +517,14 @@ static struct bcm2835_codec_fmt *find_fo
-       return &fmts->list[k];
- }
-+static inline
-+struct bcm2835_codec_fmt *find_format(struct v4l2_format *f,
-+                                    struct bcm2835_codec_dev *dev,
-+                                    bool capture)
-+{
-+      return find_format_pix_fmt(f->fmt.pix_mp.pixelformat, dev, capture);
-+}
-+
- static inline struct bcm2835_codec_ctx *file2ctx(struct file *file)
- {
-       return container_of(file->private_data, struct bcm2835_codec_ctx, fh);
-@@ -1792,6 +1801,36 @@ static int vidioc_encoder_cmd(struct fil
-       return 0;
- }
-+static int vidioc_enum_framesizes(struct file *file, void *fh,
-+                                struct v4l2_frmsizeenum *fsize)
-+{
-+      struct bcm2835_codec_fmt *fmt;
-+
-+      fmt = find_format_pix_fmt(fsize->pixel_format, file2ctx(file)->dev,
-+                                true);
-+      if (!fmt)
-+              fmt = find_format_pix_fmt(fsize->pixel_format,
-+                                        file2ctx(file)->dev,
-+                                        false);
-+
-+      if (!fmt)
-+              return -EINVAL;
-+
-+      if (fsize->index)
-+              return -EINVAL;
-+
-+      fsize->type = V4L2_FRMSIZE_TYPE_STEPWISE;
-+
-+      fsize->stepwise.min_width = MIN_W;
-+      fsize->stepwise.max_width = MAX_W;
-+      fsize->stepwise.step_width = 1;
-+      fsize->stepwise.min_height = MIN_H;
-+      fsize->stepwise.max_height = MAX_H;
-+      fsize->stepwise.step_height = 1;
-+
-+      return 0;
-+}
-+
- static const struct v4l2_ioctl_ops bcm2835_codec_ioctl_ops = {
-       .vidioc_querycap        = vidioc_querycap,
-@@ -1829,6 +1868,7 @@ static const struct v4l2_ioctl_ops bcm28
-       .vidioc_try_decoder_cmd = vidioc_try_decoder_cmd,
-       .vidioc_encoder_cmd = vidioc_encoder_cmd,
-       .vidioc_try_encoder_cmd = vidioc_try_encoder_cmd,
-+      .vidioc_enum_framesizes = vidioc_enum_framesizes,
- };
- static int bcm2835_codec_set_ctrls(struct bcm2835_codec_ctx *ctx)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0326-staging-bcm2835-codec-Fix-imbalance-in-dma_buf_get-d.patch b/target/linux/bcm27xx/patches-5.4/950-0326-staging-bcm2835-codec-Fix-imbalance-in-dma_buf_get-d.patch
new file mode 100644 (file)
index 0000000..f0fdfd2
--- /dev/null
@@ -0,0 +1,30 @@
+From 0c941589b9bfb07cd31c792b445e630817e956d1 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Mon, 7 Oct 2019 14:02:57 +0100
+Subject: [PATCH] staging: bcm2835-codec: Fix imbalance in
+ dma_buf_get/dma_buf_put
+
+When represented with a dmabuf buffer that had previously been
+imported, there was a call to dma_buf_get without a matching
+dma_buf_put. This left dmabufs in limbo after all users had
+supposedly released them.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c | 5 +++++
+ 1 file changed, 5 insertions(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -2112,6 +2112,11 @@ static int bcm2835_codec_buf_prepare(str
+                       }
+                       buf->mmal.dma_buf = dma_buf;
++              } else {
++                      /* We already have a reference count on the dmabuf, so
++                       * release the one we acquired above.
++                       */
++                      dma_buf_put(dma_buf);
+               }
+               ret = 0;
+               break;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0327-drm-vc4-Added-calls-for-firmware-display-blank-unbla.patch b/target/linux/bcm27xx/patches-5.4/950-0327-drm-vc4-Added-calls-for-firmware-display-blank-unbla.patch
new file mode 100644 (file)
index 0000000..812f696
--- /dev/null
@@ -0,0 +1,88 @@
+From b92ed4ca0f9be3c8cc1a21dbbef346338d336329 Mon Sep 17 00:00:00 2001
+From: James Hughes <james.hughes@raspberrypi.org>
+Date: Wed, 16 Oct 2019 14:49:23 +0100
+Subject: [PATCH] drm:vc4 Added calls for firmware display
+ blank/unblank
+
+Requires new display power mailbox call to be present.
+
+Signed-off-by: James Hughes <james.hughes@raspberrypi.org>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c     | 26 ++++++++++++++++++++++
+ include/soc/bcm2835/raspberrypi-firmware.h |  2 +-
+ 2 files changed, 27 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -94,6 +94,12 @@ struct mailbox_blank_display {
+       u32 blank;
+ };
++struct mailbox_display_pwr {
++      struct rpi_firmware_property_tag_header tag1;
++      u32 display;
++      u32 state;
++};
++
+ struct mailbox_get_edid {
+       struct rpi_firmware_property_tag_header tag1;
+       u32 block;
+@@ -274,6 +280,8 @@ to_vc4_crtc_state(struct drm_crtc_state
+ struct vc4_fkms_encoder {
+       struct drm_encoder base;
+       bool hdmi_monitor;
++      bool rgb_range_selectable;
++      int display_num;
+ };
+ static inline struct vc4_fkms_encoder *
+@@ -1637,13 +1645,29 @@ static const struct drm_encoder_funcs vc
+       .destroy = vc4_fkms_encoder_destroy,
+ };
++static void vc4_fkms_display_power(struct drm_encoder *encoder, bool power)
++{
++      struct vc4_fkms_encoder *vc4_encoder = to_vc4_fkms_encoder(encoder);
++      struct vc4_dev *vc4 = to_vc4_dev(encoder->dev);
++
++      struct mailbox_display_pwr pwr = {
++              .tag1 = {RPI_FIRMWARE_SET_DISPLAY_POWER, 8, 0, },
++              .display = vc4_encoder->display_num,
++              .state = power ? 1 : 0,
++      };
++
++      rpi_firmware_property_list(vc4->firmware, &pwr, sizeof(pwr));
++}
++
+ static void vc4_fkms_encoder_enable(struct drm_encoder *encoder)
+ {
++      vc4_fkms_display_power(encoder, true);
+       DRM_DEBUG_KMS("Encoder_enable\n");
+ }
+ static void vc4_fkms_encoder_disable(struct drm_encoder *encoder)
+ {
++      vc4_fkms_display_power(encoder, false);
+       DRM_DEBUG_KMS("Encoder_disable\n");
+ }
+@@ -1719,6 +1743,8 @@ static int vc4_fkms_create_screen(struct
+       if (!vc4_encoder)
+               return -ENOMEM;
+       vc4_crtc->encoder = &vc4_encoder->base;
++
++      vc4_encoder->display_num = display_ref;
+       vc4_encoder->base.possible_crtcs |= drm_crtc_mask(crtc) ;
+       drm_encoder_init(drm, &vc4_encoder->base, &vc4_fkms_encoder_funcs,
+--- a/include/soc/bcm2835/raspberrypi-firmware.h
++++ b/include/soc/bcm2835/raspberrypi-firmware.h
+@@ -153,7 +153,7 @@ enum rpi_firmware_property_tag {
+       RPI_FIRMWARE_GET_DISPLAY_TIMING =                     0x00040017,
+       RPI_FIRMWARE_SET_TIMING =                             0x00048017,
+       RPI_FIRMWARE_GET_DISPLAY_CFG =                        0x00040018,
+-
++      RPI_FIRMWARE_SET_DISPLAY_POWER =                      0x00048019,
+       RPI_FIRMWARE_GET_COMMAND_LINE =                       0x00050001,
+       RPI_FIRMWARE_GET_DMA_CHANNELS =                       0x00060001,
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0327-staging-bcm2835-codec-Correct-buffer-type-check-on-G.patch b/target/linux/bcm27xx/patches-5.4/950-0327-staging-bcm2835-codec-Correct-buffer-type-check-on-G.patch
deleted file mode 100644 (file)
index fb60b16..0000000
+++ /dev/null
@@ -1,25 +0,0 @@
-From 0ff5cd805e7db4003ad5a0d783b4d029b23b7ece Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 13 Sep 2019 17:22:08 +0100
-Subject: [PATCH] staging: bcm2835-codec: Correct buffer type check on
- G_PARM
-
-The output queue buffer type is now OUTPUT_MPLANE.
-
-Fixes: 5e484a3 staging: bcm2835-codec: switch to multi-planar API
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c    | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -1438,7 +1438,7 @@ static int vidioc_g_parm(struct file *fi
- {
-       struct bcm2835_codec_ctx *ctx = file2ctx(file);
--      if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT)
-+      if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE)
-               return -EINVAL;
-       parm->parm.output.capability = V4L2_CAP_TIMEPERFRAME;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0328-Revert-pinctrl-bcm2835-Pass-irqchip-when-adding-gpio.patch b/target/linux/bcm27xx/patches-5.4/950-0328-Revert-pinctrl-bcm2835-Pass-irqchip-when-adding-gpio.patch
new file mode 100644 (file)
index 0000000..f2cf284
--- /dev/null
@@ -0,0 +1,107 @@
+From b1d33d1e5a44afd2025c5a44a85dc2fab00ec6a7 Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Tue, 5 Nov 2019 11:28:19 +0000
+Subject: [PATCH] Revert "pinctrl: bcm2835: Pass irqchip when adding
+ gpiochip"
+
+This reverts commit 73345a18d464b1b945b29f54f630ace6873344e2.
+---
+ drivers/pinctrl/bcm/pinctrl-bcm2835.c | 55 +++++++++++++++------------
+ 1 file changed, 30 insertions(+), 25 deletions(-)
+
+--- a/drivers/pinctrl/bcm/pinctrl-bcm2835.c
++++ b/drivers/pinctrl/bcm/pinctrl-bcm2835.c
+@@ -78,6 +78,7 @@
+ struct bcm2835_pinctrl {
+       struct device *dev;
+       void __iomem *base;
++      int irq[BCM2835_NUM_IRQS];
+       /* note: locking assumes each bank will have its own unsigned long */
+       unsigned long enabled_irq_map[BCM2835_NUM_BANKS];
+@@ -381,14 +382,14 @@ static void bcm2835_gpio_irq_handler(str
+       int group;
+       int i;
+-      for (i = 0; i < BCM2835_NUM_IRQS; i++) {
+-              if (chip->irq.parents[i] == irq) {
++      for (i = 0; i < ARRAY_SIZE(pc->irq); i++) {
++              if (pc->irq[i] == irq) {
+                       group = i;
+                       break;
+               }
+       }
+       /* This should not happen, every IRQ has a bank */
+-      if (i == BCM2835_NUM_IRQS)
++      if (i == ARRAY_SIZE(pc->irq))
+               BUG();
+       chained_irq_enter(host_chip, desc);
+@@ -1086,7 +1087,6 @@ static int bcm2835_pinctrl_probe(struct
+       struct device *dev = &pdev->dev;
+       struct device_node *np = dev->of_node;
+       struct bcm2835_pinctrl *pc;
+-      struct gpio_irq_chip *girq;
+       struct resource iomem;
+       int err, i;
+       const struct of_device_id *match;
+@@ -1135,33 +1135,38 @@ static int bcm2835_pinctrl_probe(struct
+               raw_spin_lock_init(&pc->irq_lock[i]);
+       }
+-      girq = &pc->gpio_chip.irq;
+-      girq->chip = &bcm2835_gpio_irq_chip;
+-      girq->parent_handler = bcm2835_gpio_irq_handler;
+-      girq->num_parents = BCM2835_NUM_IRQS;
+-      girq->parents = devm_kcalloc(dev, BCM2835_NUM_IRQS,
+-                                   sizeof(*girq->parents),
+-                                   GFP_KERNEL);
+-      if (!girq->parents)
+-              return -ENOMEM;
+-      /*
+-       * Use the same handler for all groups: this is necessary
+-       * since we use one gpiochip to cover all lines - the
+-       * irq handler then needs to figure out which group and
+-       * bank that was firing the IRQ and look up the per-group
+-       * and bank data.
+-       */
+-      for (i = 0; i < BCM2835_NUM_IRQS; i++)
+-              girq->parents[i] = irq_of_parse_and_map(np, i);
+-      girq->default_type = IRQ_TYPE_NONE;
+-      girq->handler = handle_level_irq;
+-
+       err = gpiochip_add_data(&pc->gpio_chip, pc);
+       if (err) {
+               dev_err(dev, "could not add GPIO chip\n");
+               return err;
+       }
++      err = gpiochip_irqchip_add(&pc->gpio_chip, &bcm2835_gpio_irq_chip,
++                                 0, handle_level_irq, IRQ_TYPE_NONE);
++      if (err) {
++              dev_info(dev, "could not add irqchip\n");
++              return err;
++      }
++
++      for (i = 0; i < BCM2835_NUM_IRQS; i++) {
++              pc->irq[i] = irq_of_parse_and_map(np, i);
++
++              if (pc->irq[i] == 0)
++                      continue;
++
++              /*
++               * Use the same handler for all groups: this is necessary
++               * since we use one gpiochip to cover all lines - the
++               * irq handler then needs to figure out which group and
++               * bank that was firing the IRQ and look up the per-group
++               * and bank data.
++               */
++              gpiochip_set_chained_irqchip(&pc->gpio_chip,
++                                           &bcm2835_gpio_irq_chip,
++                                           pc->irq[i],
++                                           bcm2835_gpio_irq_handler);
++      }
++
+       match = of_match_node(bcm2835_pinctrl_match, pdev->dev.of_node);
+       if (match) {
+               bcm2835_pinctrl_desc.confops =
diff --git a/target/linux/bcm27xx/patches-5.4/950-0328-staging-bcm2835-codec-Set-default-and-error-check-ti.patch b/target/linux/bcm27xx/patches-5.4/950-0328-staging-bcm2835-codec-Set-default-and-error-check-ti.patch
deleted file mode 100644 (file)
index 124b7ae..0000000
+++ /dev/null
@@ -1,37 +0,0 @@
-From 6680d139ee23cf655c0aa43581604a7c5de31803 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 13 Sep 2019 17:23:26 +0100
-Subject: [PATCH] staging: bcm2835-codec: Set default and error check
- timeperframe
-
-G_PARM default was invalid as 0/0, and the driver didn't check
-the value set in S_PARM wasn't 0/0.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c       | 7 +++++++
- 1 file changed, 7 insertions(+)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -1423,6 +1423,10 @@ static int vidioc_s_parm(struct file *fi
-       if (parm->type != V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE)
-               return -EINVAL;
-+      if (!parm->parm.output.timeperframe.denominator ||
-+          !parm->parm.output.timeperframe.numerator)
-+              return -EINVAL;
-+
-       ctx->framerate_num =
-                       parm->parm.output.timeperframe.denominator;
-       ctx->framerate_denom =
-@@ -2390,6 +2394,9 @@ static int bcm2835_codec_open(struct fil
-       ctx->colorspace = V4L2_COLORSPACE_REC709;
-       ctx->bitrate = 10 * 1000 * 1000;
-+      ctx->framerate_num = 30;
-+      ctx->framerate_denom = 1;
-+
-       /* Initialise V4L2 contexts */
-       v4l2_fh_init(&ctx->fh, video_devdata(file));
-       file->private_data = &ctx->fh;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0329-drm-v3d-Don-t-clear-MMU-control-bits-on-exception.patch b/target/linux/bcm27xx/patches-5.4/950-0329-drm-v3d-Don-t-clear-MMU-control-bits-on-exception.patch
new file mode 100644 (file)
index 0000000..a4a9dc8
--- /dev/null
@@ -0,0 +1,34 @@
+From e2d8a52d3ade83f5c114b1edba601ebcf2c39517 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Mon, 11 Nov 2019 14:01:41 +0000
+Subject: [PATCH] drm/v3d: Don't clear MMU control bits on exception
+
+MMU exception conditions are reported in the V3D_MMU_CTRL register as
+write-1-to-clear (W1C) bits. The MMU interrupt handling code clears any
+exceptions, but does so by masking out any other bits and writing the
+result back. There are some important control bits in that register,
+including MMU_ENABLE, so a safer approach is to simply write back the
+value just read unaltered.
+
+This patch doesn't remove the cause of the apparent PTE errors, but it
+does reduce the impact to just an error in the kernel log.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/gpu/drm/v3d/v3d_irq.c | 5 +----
+ 1 file changed, 1 insertion(+), 4 deletions(-)
+
+--- a/drivers/gpu/drm/v3d/v3d_irq.c
++++ b/drivers/gpu/drm/v3d/v3d_irq.c
+@@ -178,10 +178,7 @@ v3d_hub_irq(int irq, void *arg)
+               };
+               const char *client = "?";
+-              V3D_WRITE(V3D_MMU_CTL,
+-                        V3D_READ(V3D_MMU_CTL) & (V3D_MMU_CTL_CAP_EXCEEDED |
+-                                                 V3D_MMU_CTL_PT_INVALID |
+-                                                 V3D_MMU_CTL_WRITE_VIOLATION));
++              V3D_WRITE(V3D_MMU_CTL, V3D_READ(V3D_MMU_CTL));
+               if (v3d->ver >= 41) {
+                       axi_id = axi_id >> 5;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0329-staging-bcm2835-codec-Fix-imbalance-in-dma_buf_get-d.patch b/target/linux/bcm27xx/patches-5.4/950-0329-staging-bcm2835-codec-Fix-imbalance-in-dma_buf_get-d.patch
deleted file mode 100644 (file)
index f0fdfd2..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-From 0c941589b9bfb07cd31c792b445e630817e956d1 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Mon, 7 Oct 2019 14:02:57 +0100
-Subject: [PATCH] staging: bcm2835-codec: Fix imbalance in
- dma_buf_get/dma_buf_put
-
-When represented with a dmabuf buffer that had previously been
-imported, there was a call to dma_buf_get without a matching
-dma_buf_put. This left dmabufs in limbo after all users had
-supposedly released them.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c | 5 +++++
- 1 file changed, 5 insertions(+)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -2112,6 +2112,11 @@ static int bcm2835_codec_buf_prepare(str
-                       }
-                       buf->mmal.dma_buf = dma_buf;
-+              } else {
-+                      /* We already have a reference count on the dmabuf, so
-+                       * release the one we acquired above.
-+                       */
-+                      dma_buf_put(dma_buf);
-               }
-               ret = 0;
-               break;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0330-drm-v3d-Suppress-all-but-the-first-MMU-error.patch b/target/linux/bcm27xx/patches-5.4/950-0330-drm-v3d-Suppress-all-but-the-first-MMU-error.patch
new file mode 100644 (file)
index 0000000..58f3a44
--- /dev/null
@@ -0,0 +1,39 @@
+From f1f228c84864bad0bb07de1c72ceafaec035ac15 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Mon, 11 Nov 2019 20:18:08 +0000
+Subject: [PATCH] drm/v3d: Suppress all but the first MMU error
+
+The v3d driver currently encounters a lot of MMU PTE exceptions, so
+only log the first to avoid swamping the kernel log.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/gpu/drm/v3d/v3d_irq.c | 3 +++
+ 1 file changed, 3 insertions(+)
+
+--- a/drivers/gpu/drm/v3d/v3d_irq.c
++++ b/drivers/gpu/drm/v3d/v3d_irq.c
+@@ -177,6 +177,7 @@ v3d_hub_irq(int irq, void *arg)
+                       "GMP",
+               };
+               const char *client = "?";
++              static int logged_error;
+               V3D_WRITE(V3D_MMU_CTL, V3D_READ(V3D_MMU_CTL));
+@@ -186,6 +187,7 @@ v3d_hub_irq(int irq, void *arg)
+                               client = v3d41_axi_ids[axi_id];
+               }
++              if (!logged_error)
+               dev_err(v3d->dev, "MMU error from client %s (%d) at 0x%llx%s%s%s\n",
+                       client, axi_id, (long long)vio_addr,
+                       ((intsts & V3D_HUB_INT_MMU_WRV) ?
+@@ -194,6 +196,7 @@ v3d_hub_irq(int irq, void *arg)
+                        ", pte invalid" : ""),
+                       ((intsts & V3D_HUB_INT_MMU_CAP) ?
+                        ", cap exceeded" : ""));
++              logged_error = 1;
+               status = IRQ_HANDLED;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0330-drm-vc4-Added-calls-for-firmware-display-blank-unbla.patch b/target/linux/bcm27xx/patches-5.4/950-0330-drm-vc4-Added-calls-for-firmware-display-blank-unbla.patch
deleted file mode 100644 (file)
index 812f696..0000000
+++ /dev/null
@@ -1,88 +0,0 @@
-From b92ed4ca0f9be3c8cc1a21dbbef346338d336329 Mon Sep 17 00:00:00 2001
-From: James Hughes <james.hughes@raspberrypi.org>
-Date: Wed, 16 Oct 2019 14:49:23 +0100
-Subject: [PATCH] drm:vc4 Added calls for firmware display
- blank/unblank
-
-Requires new display power mailbox call to be present.
-
-Signed-off-by: James Hughes <james.hughes@raspberrypi.org>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c     | 26 ++++++++++++++++++++++
- include/soc/bcm2835/raspberrypi-firmware.h |  2 +-
- 2 files changed, 27 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -94,6 +94,12 @@ struct mailbox_blank_display {
-       u32 blank;
- };
-+struct mailbox_display_pwr {
-+      struct rpi_firmware_property_tag_header tag1;
-+      u32 display;
-+      u32 state;
-+};
-+
- struct mailbox_get_edid {
-       struct rpi_firmware_property_tag_header tag1;
-       u32 block;
-@@ -274,6 +280,8 @@ to_vc4_crtc_state(struct drm_crtc_state
- struct vc4_fkms_encoder {
-       struct drm_encoder base;
-       bool hdmi_monitor;
-+      bool rgb_range_selectable;
-+      int display_num;
- };
- static inline struct vc4_fkms_encoder *
-@@ -1637,13 +1645,29 @@ static const struct drm_encoder_funcs vc
-       .destroy = vc4_fkms_encoder_destroy,
- };
-+static void vc4_fkms_display_power(struct drm_encoder *encoder, bool power)
-+{
-+      struct vc4_fkms_encoder *vc4_encoder = to_vc4_fkms_encoder(encoder);
-+      struct vc4_dev *vc4 = to_vc4_dev(encoder->dev);
-+
-+      struct mailbox_display_pwr pwr = {
-+              .tag1 = {RPI_FIRMWARE_SET_DISPLAY_POWER, 8, 0, },
-+              .display = vc4_encoder->display_num,
-+              .state = power ? 1 : 0,
-+      };
-+
-+      rpi_firmware_property_list(vc4->firmware, &pwr, sizeof(pwr));
-+}
-+
- static void vc4_fkms_encoder_enable(struct drm_encoder *encoder)
- {
-+      vc4_fkms_display_power(encoder, true);
-       DRM_DEBUG_KMS("Encoder_enable\n");
- }
- static void vc4_fkms_encoder_disable(struct drm_encoder *encoder)
- {
-+      vc4_fkms_display_power(encoder, false);
-       DRM_DEBUG_KMS("Encoder_disable\n");
- }
-@@ -1719,6 +1743,8 @@ static int vc4_fkms_create_screen(struct
-       if (!vc4_encoder)
-               return -ENOMEM;
-       vc4_crtc->encoder = &vc4_encoder->base;
-+
-+      vc4_encoder->display_num = display_ref;
-       vc4_encoder->base.possible_crtcs |= drm_crtc_mask(crtc) ;
-       drm_encoder_init(drm, &vc4_encoder->base, &vc4_fkms_encoder_funcs,
---- a/include/soc/bcm2835/raspberrypi-firmware.h
-+++ b/include/soc/bcm2835/raspberrypi-firmware.h
-@@ -153,7 +153,7 @@ enum rpi_firmware_property_tag {
-       RPI_FIRMWARE_GET_DISPLAY_TIMING =                     0x00040017,
-       RPI_FIRMWARE_SET_TIMING =                             0x00048017,
-       RPI_FIRMWARE_GET_DISPLAY_CFG =                        0x00040018,
--
-+      RPI_FIRMWARE_SET_DISPLAY_POWER =                      0x00048019,
-       RPI_FIRMWARE_GET_COMMAND_LINE =                       0x00050001,
-       RPI_FIRMWARE_GET_DMA_CHANNELS =                       0x00060001,
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0331-Revert-pinctrl-bcm2835-Pass-irqchip-when-adding-gpio.patch b/target/linux/bcm27xx/patches-5.4/950-0331-Revert-pinctrl-bcm2835-Pass-irqchip-when-adding-gpio.patch
deleted file mode 100644 (file)
index f2cf284..0000000
+++ /dev/null
@@ -1,107 +0,0 @@
-From b1d33d1e5a44afd2025c5a44a85dc2fab00ec6a7 Mon Sep 17 00:00:00 2001
-From: popcornmix <popcornmix@gmail.com>
-Date: Tue, 5 Nov 2019 11:28:19 +0000
-Subject: [PATCH] Revert "pinctrl: bcm2835: Pass irqchip when adding
- gpiochip"
-
-This reverts commit 73345a18d464b1b945b29f54f630ace6873344e2.
----
- drivers/pinctrl/bcm/pinctrl-bcm2835.c | 55 +++++++++++++++------------
- 1 file changed, 30 insertions(+), 25 deletions(-)
-
---- a/drivers/pinctrl/bcm/pinctrl-bcm2835.c
-+++ b/drivers/pinctrl/bcm/pinctrl-bcm2835.c
-@@ -78,6 +78,7 @@
- struct bcm2835_pinctrl {
-       struct device *dev;
-       void __iomem *base;
-+      int irq[BCM2835_NUM_IRQS];
-       /* note: locking assumes each bank will have its own unsigned long */
-       unsigned long enabled_irq_map[BCM2835_NUM_BANKS];
-@@ -381,14 +382,14 @@ static void bcm2835_gpio_irq_handler(str
-       int group;
-       int i;
--      for (i = 0; i < BCM2835_NUM_IRQS; i++) {
--              if (chip->irq.parents[i] == irq) {
-+      for (i = 0; i < ARRAY_SIZE(pc->irq); i++) {
-+              if (pc->irq[i] == irq) {
-                       group = i;
-                       break;
-               }
-       }
-       /* This should not happen, every IRQ has a bank */
--      if (i == BCM2835_NUM_IRQS)
-+      if (i == ARRAY_SIZE(pc->irq))
-               BUG();
-       chained_irq_enter(host_chip, desc);
-@@ -1086,7 +1087,6 @@ static int bcm2835_pinctrl_probe(struct
-       struct device *dev = &pdev->dev;
-       struct device_node *np = dev->of_node;
-       struct bcm2835_pinctrl *pc;
--      struct gpio_irq_chip *girq;
-       struct resource iomem;
-       int err, i;
-       const struct of_device_id *match;
-@@ -1135,33 +1135,38 @@ static int bcm2835_pinctrl_probe(struct
-               raw_spin_lock_init(&pc->irq_lock[i]);
-       }
--      girq = &pc->gpio_chip.irq;
--      girq->chip = &bcm2835_gpio_irq_chip;
--      girq->parent_handler = bcm2835_gpio_irq_handler;
--      girq->num_parents = BCM2835_NUM_IRQS;
--      girq->parents = devm_kcalloc(dev, BCM2835_NUM_IRQS,
--                                   sizeof(*girq->parents),
--                                   GFP_KERNEL);
--      if (!girq->parents)
--              return -ENOMEM;
--      /*
--       * Use the same handler for all groups: this is necessary
--       * since we use one gpiochip to cover all lines - the
--       * irq handler then needs to figure out which group and
--       * bank that was firing the IRQ and look up the per-group
--       * and bank data.
--       */
--      for (i = 0; i < BCM2835_NUM_IRQS; i++)
--              girq->parents[i] = irq_of_parse_and_map(np, i);
--      girq->default_type = IRQ_TYPE_NONE;
--      girq->handler = handle_level_irq;
--
-       err = gpiochip_add_data(&pc->gpio_chip, pc);
-       if (err) {
-               dev_err(dev, "could not add GPIO chip\n");
-               return err;
-       }
-+      err = gpiochip_irqchip_add(&pc->gpio_chip, &bcm2835_gpio_irq_chip,
-+                                 0, handle_level_irq, IRQ_TYPE_NONE);
-+      if (err) {
-+              dev_info(dev, "could not add irqchip\n");
-+              return err;
-+      }
-+
-+      for (i = 0; i < BCM2835_NUM_IRQS; i++) {
-+              pc->irq[i] = irq_of_parse_and_map(np, i);
-+
-+              if (pc->irq[i] == 0)
-+                      continue;
-+
-+              /*
-+               * Use the same handler for all groups: this is necessary
-+               * since we use one gpiochip to cover all lines - the
-+               * irq handler then needs to figure out which group and
-+               * bank that was firing the IRQ and look up the per-group
-+               * and bank data.
-+               */
-+              gpiochip_set_chained_irqchip(&pc->gpio_chip,
-+                                           &bcm2835_gpio_irq_chip,
-+                                           pc->irq[i],
-+                                           bcm2835_gpio_irq_handler);
-+      }
-+
-       match = of_match_node(bcm2835_pinctrl_match, pdev->dev.of_node);
-       if (match) {
-               bcm2835_pinctrl_desc.confops =
diff --git a/target/linux/bcm27xx/patches-5.4/950-0331-drm-v3d-Plug-dma_fence-leak.patch b/target/linux/bcm27xx/patches-5.4/950-0331-drm-v3d-Plug-dma_fence-leak.patch
new file mode 100644 (file)
index 0000000..a79912d
--- /dev/null
@@ -0,0 +1,28 @@
+From 9b2d99c0959e693e4dcea5f454bf84f229ca3d27 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Tue, 12 Nov 2019 16:41:21 +0000
+Subject: [PATCH] drm/v3d: Plug dma_fence leak
+
+The irq_fence and done_fence are given a reference that is never
+released. The necessary dma_fence_put()s seem to have been
+deleted in error in an earlier commit.
+
+Fixes: 0b73676836b2 ("drm/v3d: Clock V3D down when not in use.")
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/gpu/drm/v3d/v3d_gem.c | 3 +++
+ 1 file changed, 3 insertions(+)
+
+--- a/drivers/gpu/drm/v3d/v3d_gem.c
++++ b/drivers/gpu/drm/v3d/v3d_gem.c
+@@ -410,6 +410,9 @@ v3d_job_free(struct kref *ref)
+       }
+       xa_destroy(&job->deps);
++      dma_fence_put(job->irq_fence);
++      dma_fence_put(job->done_fence);
++
+       v3d_clock_up_put(v3d);
+       kfree(job);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0332-drm-v3d-Don-t-clear-MMU-control-bits-on-exception.patch b/target/linux/bcm27xx/patches-5.4/950-0332-drm-v3d-Don-t-clear-MMU-control-bits-on-exception.patch
deleted file mode 100644 (file)
index a4a9dc8..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From e2d8a52d3ade83f5c114b1edba601ebcf2c39517 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Mon, 11 Nov 2019 14:01:41 +0000
-Subject: [PATCH] drm/v3d: Don't clear MMU control bits on exception
-
-MMU exception conditions are reported in the V3D_MMU_CTRL register as
-write-1-to-clear (W1C) bits. The MMU interrupt handling code clears any
-exceptions, but does so by masking out any other bits and writing the
-result back. There are some important control bits in that register,
-including MMU_ENABLE, so a safer approach is to simply write back the
-value just read unaltered.
-
-This patch doesn't remove the cause of the apparent PTE errors, but it
-does reduce the impact to just an error in the kernel log.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/gpu/drm/v3d/v3d_irq.c | 5 +----
- 1 file changed, 1 insertion(+), 4 deletions(-)
-
---- a/drivers/gpu/drm/v3d/v3d_irq.c
-+++ b/drivers/gpu/drm/v3d/v3d_irq.c
-@@ -178,10 +178,7 @@ v3d_hub_irq(int irq, void *arg)
-               };
-               const char *client = "?";
--              V3D_WRITE(V3D_MMU_CTL,
--                        V3D_READ(V3D_MMU_CTL) & (V3D_MMU_CTL_CAP_EXCEEDED |
--                                                 V3D_MMU_CTL_PT_INVALID |
--                                                 V3D_MMU_CTL_WRITE_VIOLATION));
-+              V3D_WRITE(V3D_MMU_CTL, V3D_READ(V3D_MMU_CTL));
-               if (v3d->ver >= 41) {
-                       axi_id = axi_id >> 5;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0332-staging-vchiq_arm-Register-vcsm-cma-as-a-platform-dr.patch b/target/linux/bcm27xx/patches-5.4/950-0332-staging-vchiq_arm-Register-vcsm-cma-as-a-platform-dr.patch
new file mode 100644 (file)
index 0000000..cf8d563
--- /dev/null
@@ -0,0 +1,40 @@
+From efe24599e8996ef5844e73feae1ca1b27d8740ab Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 6 Nov 2019 13:57:48 +0000
+Subject: [PATCH] staging: vchiq_arm: Register vcsm-cma as a platform
+ driver
+
+Following the same pattern as bcm2835-camera and bcm2835-audio,
+register the vcsm-cma driver as a platform driver
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c | 3 +++
+ 1 file changed, 3 insertions(+)
+
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
+@@ -140,6 +140,7 @@ static struct class  *vchiq_class;
+ static DEFINE_SPINLOCK(msg_queue_spinlock);
+ static struct platform_device *bcm2835_camera;
+ static struct platform_device *bcm2835_audio;
++static struct platform_device *vcsm_cma;
+ static struct vchiq_drvdata bcm2835_drvdata = {
+       .cache_line_size = 32,
+@@ -3250,6 +3251,7 @@ static int vchiq_probe(struct platform_d
+               VCHIQ_VERSION, VCHIQ_VERSION_MIN,
+               MAJOR(vchiq_devid), MINOR(vchiq_devid));
++      vcsm_cma = vchiq_register_child(pdev, "vcsm-cma");
+       bcm2835_camera = vchiq_register_child(pdev, "bcm2835-camera");
+       bcm2835_audio = vchiq_register_child(pdev, "bcm2835_audio");
+@@ -3266,6 +3268,7 @@ static int vchiq_remove(struct platform_
+ {
+       platform_device_unregister(bcm2835_audio);
+       platform_device_unregister(bcm2835_camera);
++      platform_device_unregister(vcsm_cma);
+       vchiq_debugfs_deinit();
+       device_destroy(vchiq_class, vchiq_devid);
+       cdev_del(&vchiq_cdev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0333-drm-v3d-Suppress-all-but-the-first-MMU-error.patch b/target/linux/bcm27xx/patches-5.4/950-0333-drm-v3d-Suppress-all-but-the-first-MMU-error.patch
deleted file mode 100644 (file)
index 58f3a44..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-From f1f228c84864bad0bb07de1c72ceafaec035ac15 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Mon, 11 Nov 2019 20:18:08 +0000
-Subject: [PATCH] drm/v3d: Suppress all but the first MMU error
-
-The v3d driver currently encounters a lot of MMU PTE exceptions, so
-only log the first to avoid swamping the kernel log.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/gpu/drm/v3d/v3d_irq.c | 3 +++
- 1 file changed, 3 insertions(+)
-
---- a/drivers/gpu/drm/v3d/v3d_irq.c
-+++ b/drivers/gpu/drm/v3d/v3d_irq.c
-@@ -177,6 +177,7 @@ v3d_hub_irq(int irq, void *arg)
-                       "GMP",
-               };
-               const char *client = "?";
-+              static int logged_error;
-               V3D_WRITE(V3D_MMU_CTL, V3D_READ(V3D_MMU_CTL));
-@@ -186,6 +187,7 @@ v3d_hub_irq(int irq, void *arg)
-                               client = v3d41_axi_ids[axi_id];
-               }
-+              if (!logged_error)
-               dev_err(v3d->dev, "MMU error from client %s (%d) at 0x%llx%s%s%s\n",
-                       client, axi_id, (long long)vio_addr,
-                       ((intsts & V3D_HUB_INT_MMU_WRV) ?
-@@ -194,6 +196,7 @@ v3d_hub_irq(int irq, void *arg)
-                        ", pte invalid" : ""),
-                       ((intsts & V3D_HUB_INT_MMU_CAP) ?
-                        ", cap exceeded" : ""));
-+              logged_error = 1;
-               status = IRQ_HANDLED;
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0333-staging-vchiq_arm-Register-bcm2835-codec-as-a-platfo.patch b/target/linux/bcm27xx/patches-5.4/950-0333-staging-vchiq_arm-Register-bcm2835-codec-as-a-platfo.patch
new file mode 100644 (file)
index 0000000..9e1b777
--- /dev/null
@@ -0,0 +1,40 @@
+From 16422635ebace7f01b42412e5c0d889f5ad7512e Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 6 Nov 2019 13:57:58 +0000
+Subject: [PATCH] staging: vchiq_arm: Register bcm2835-codec as a
+ platform driver
+
+Following the same pattern as bcm2835-camera and bcm2835-audio,
+register the V4L2 codec driver as a platform driver
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c | 3 +++
+ 1 file changed, 3 insertions(+)
+
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
+@@ -140,6 +140,7 @@ static struct class  *vchiq_class;
+ static DEFINE_SPINLOCK(msg_queue_spinlock);
+ static struct platform_device *bcm2835_camera;
+ static struct platform_device *bcm2835_audio;
++static struct platform_device *bcm2835_codec;
+ static struct platform_device *vcsm_cma;
+ static struct vchiq_drvdata bcm2835_drvdata = {
+@@ -3252,6 +3253,7 @@ static int vchiq_probe(struct platform_d
+               MAJOR(vchiq_devid), MINOR(vchiq_devid));
+       vcsm_cma = vchiq_register_child(pdev, "vcsm-cma");
++      bcm2835_codec = vchiq_register_child(pdev, "bcm2835-codec");
+       bcm2835_camera = vchiq_register_child(pdev, "bcm2835-camera");
+       bcm2835_audio = vchiq_register_child(pdev, "bcm2835_audio");
+@@ -3268,6 +3270,7 @@ static int vchiq_remove(struct platform_
+ {
+       platform_device_unregister(bcm2835_audio);
+       platform_device_unregister(bcm2835_camera);
++      platform_device_unregister(bcm2835_codec);
+       platform_device_unregister(vcsm_cma);
+       vchiq_debugfs_deinit();
+       device_destroy(vchiq_class, vchiq_devid);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0334-drm-v3d-Plug-dma_fence-leak.patch b/target/linux/bcm27xx/patches-5.4/950-0334-drm-v3d-Plug-dma_fence-leak.patch
deleted file mode 100644 (file)
index a79912d..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From 9b2d99c0959e693e4dcea5f454bf84f229ca3d27 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Tue, 12 Nov 2019 16:41:21 +0000
-Subject: [PATCH] drm/v3d: Plug dma_fence leak
-
-The irq_fence and done_fence are given a reference that is never
-released. The necessary dma_fence_put()s seem to have been
-deleted in error in an earlier commit.
-
-Fixes: 0b73676836b2 ("drm/v3d: Clock V3D down when not in use.")
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/gpu/drm/v3d/v3d_gem.c | 3 +++
- 1 file changed, 3 insertions(+)
-
---- a/drivers/gpu/drm/v3d/v3d_gem.c
-+++ b/drivers/gpu/drm/v3d/v3d_gem.c
-@@ -410,6 +410,9 @@ v3d_job_free(struct kref *ref)
-       }
-       xa_destroy(&job->deps);
-+      dma_fence_put(job->irq_fence);
-+      dma_fence_put(job->done_fence);
-+
-       v3d_clock_up_put(v3d);
-       kfree(job);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0334-staging-bcm2835-codec-Fix-potential-memory-leak-of-i.patch b/target/linux/bcm27xx/patches-5.4/950-0334-staging-bcm2835-codec-Fix-potential-memory-leak-of-i.patch
new file mode 100644 (file)
index 0000000..915ca1a
--- /dev/null
@@ -0,0 +1,29 @@
+From 6d59110f7aa7c86caf2c3a29169ace33556f690b Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 6 Nov 2019 13:58:08 +0000
+Subject: [PATCH] staging: bcm2835-codec: Fix potential memory leak of
+ isp instance
+
+"d867785 staging: bcm2835-codec: add media controller support" added
+a new error path that jumped to end, but didn't add the free
+of the ISP device should that path be taken.
+Fix this.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c  | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -2841,6 +2841,10 @@ static int bcm2835_codec_probe(struct pl
+       return 0;
+ out:
++      if (drv->isp) {
++              bcm2835_codec_destroy(drv->isp);
++              drv->isp = NULL;
++      }
+       if (drv->encode) {
+               bcm2835_codec_destroy(drv->encode);
+               drv->encode = NULL;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0335-net-bcmgenet-The-second-IRQ-is-optional.patch b/target/linux/bcm27xx/patches-5.4/950-0335-net-bcmgenet-The-second-IRQ-is-optional.patch
new file mode 100644 (file)
index 0000000..d48e694
--- /dev/null
@@ -0,0 +1,24 @@
+From c2863af34286fda317891bb893f8a2e16bf5707e Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Thu, 14 Nov 2019 11:59:01 +0000
+Subject: [PATCH] net: bcmgenet: The second IRQ is optional
+
+As of 5.4, the kernel logs errors for absent IRQs unless requested
+with platform_get_irq_optional.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/gpu/drm/v3d/v3d_irq.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/v3d/v3d_irq.c
++++ b/drivers/gpu/drm/v3d/v3d_irq.c
+@@ -217,7 +217,7 @@ v3d_irq_init(struct v3d_dev *v3d)
+               V3D_CORE_WRITE(core, V3D_CTL_INT_CLR, V3D_CORE_IRQS);
+       V3D_WRITE(V3D_HUB_INT_CLR, V3D_HUB_IRQS);
+-      irq1 = platform_get_irq(v3d->pdev, 1);
++      irq1 = platform_get_irq_optional(v3d->pdev, 1);
+       if (irq1 == -EPROBE_DEFER)
+               return irq1;
+       if (irq1 > 0) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0335-staging-vchiq_arm-Register-vcsm-cma-as-a-platform-dr.patch b/target/linux/bcm27xx/patches-5.4/950-0335-staging-vchiq_arm-Register-vcsm-cma-as-a-platform-dr.patch
deleted file mode 100644 (file)
index cf8d563..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-From efe24599e8996ef5844e73feae1ca1b27d8740ab Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 6 Nov 2019 13:57:48 +0000
-Subject: [PATCH] staging: vchiq_arm: Register vcsm-cma as a platform
- driver
-
-Following the same pattern as bcm2835-camera and bcm2835-audio,
-register the vcsm-cma driver as a platform driver
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c | 3 +++
- 1 file changed, 3 insertions(+)
-
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-@@ -140,6 +140,7 @@ static struct class  *vchiq_class;
- static DEFINE_SPINLOCK(msg_queue_spinlock);
- static struct platform_device *bcm2835_camera;
- static struct platform_device *bcm2835_audio;
-+static struct platform_device *vcsm_cma;
- static struct vchiq_drvdata bcm2835_drvdata = {
-       .cache_line_size = 32,
-@@ -3250,6 +3251,7 @@ static int vchiq_probe(struct platform_d
-               VCHIQ_VERSION, VCHIQ_VERSION_MIN,
-               MAJOR(vchiq_devid), MINOR(vchiq_devid));
-+      vcsm_cma = vchiq_register_child(pdev, "vcsm-cma");
-       bcm2835_camera = vchiq_register_child(pdev, "bcm2835-camera");
-       bcm2835_audio = vchiq_register_child(pdev, "bcm2835_audio");
-@@ -3266,6 +3268,7 @@ static int vchiq_remove(struct platform_
- {
-       platform_device_unregister(bcm2835_audio);
-       platform_device_unregister(bcm2835_camera);
-+      platform_device_unregister(vcsm_cma);
-       vchiq_debugfs_deinit();
-       device_destroy(vchiq_class, vchiq_devid);
-       cdev_del(&vchiq_cdev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0336-drm-v3d-The-third-IRQ-is-optional.patch b/target/linux/bcm27xx/patches-5.4/950-0336-drm-v3d-The-third-IRQ-is-optional.patch
new file mode 100644 (file)
index 0000000..97ff76a
--- /dev/null
@@ -0,0 +1,24 @@
+From 92f17eecf263f3705a6e1a4f27ecb273ed3a33e5 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Thu, 14 Nov 2019 12:00:43 +0000
+Subject: [PATCH] drm/v3d: The third IRQ is optional
+
+As of 5.4, the kernel logs errors for absent IRQs unless requested
+with platform_get_irq_optional.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/net/ethernet/broadcom/genet/bcmgenet.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/net/ethernet/broadcom/genet/bcmgenet.c
++++ b/drivers/net/ethernet/broadcom/genet/bcmgenet.c
+@@ -3474,7 +3474,7 @@ static int bcmgenet_probe(struct platfor
+       priv = netdev_priv(dev);
+       priv->irq0 = platform_get_irq(pdev, 0);
+       priv->irq1 = platform_get_irq(pdev, 1);
+-      priv->wol_irq = platform_get_irq(pdev, 2);
++      priv->wol_irq = platform_get_irq_optional(pdev, 2);
+       if (!priv->irq0 || !priv->irq1) {
+               dev_err(&pdev->dev, "can't find IRQs\n");
+               err = -EINVAL;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0336-staging-vchiq_arm-Register-bcm2835-codec-as-a-platfo.patch b/target/linux/bcm27xx/patches-5.4/950-0336-staging-vchiq_arm-Register-bcm2835-codec-as-a-platfo.patch
deleted file mode 100644 (file)
index 9e1b777..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-From 16422635ebace7f01b42412e5c0d889f5ad7512e Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 6 Nov 2019 13:57:58 +0000
-Subject: [PATCH] staging: vchiq_arm: Register bcm2835-codec as a
- platform driver
-
-Following the same pattern as bcm2835-camera and bcm2835-audio,
-register the V4L2 codec driver as a platform driver
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c | 3 +++
- 1 file changed, 3 insertions(+)
-
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-@@ -140,6 +140,7 @@ static struct class  *vchiq_class;
- static DEFINE_SPINLOCK(msg_queue_spinlock);
- static struct platform_device *bcm2835_camera;
- static struct platform_device *bcm2835_audio;
-+static struct platform_device *bcm2835_codec;
- static struct platform_device *vcsm_cma;
- static struct vchiq_drvdata bcm2835_drvdata = {
-@@ -3252,6 +3253,7 @@ static int vchiq_probe(struct platform_d
-               MAJOR(vchiq_devid), MINOR(vchiq_devid));
-       vcsm_cma = vchiq_register_child(pdev, "vcsm-cma");
-+      bcm2835_codec = vchiq_register_child(pdev, "bcm2835-codec");
-       bcm2835_camera = vchiq_register_child(pdev, "bcm2835-camera");
-       bcm2835_audio = vchiq_register_child(pdev, "bcm2835_audio");
-@@ -3268,6 +3270,7 @@ static int vchiq_remove(struct platform_
- {
-       platform_device_unregister(bcm2835_audio);
-       platform_device_unregister(bcm2835_camera);
-+      platform_device_unregister(bcm2835_codec);
-       platform_device_unregister(vcsm_cma);
-       vchiq_debugfs_deinit();
-       device_destroy(vchiq_class, vchiq_devid);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0337-dwc_otg-Declare-DMA-capability-with-HCD_DMA-flag.patch b/target/linux/bcm27xx/patches-5.4/950-0337-dwc_otg-Declare-DMA-capability-with-HCD_DMA-flag.patch
new file mode 100644 (file)
index 0000000..afd72da
--- /dev/null
@@ -0,0 +1,27 @@
+From 941d43e29b1fa7352eb006b5ec37d6990ed3b877 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Fri, 15 Nov 2019 08:48:08 +0000
+Subject: [PATCH] dwc_otg: Declare DMA capability with HCD_DMA flag
+
+Following [1], USB controllers have to declare DMA capabilities in
+order for them to be used by adding the HCD_DMA flag to their hc_driver
+struct.
+
+[1] 7b81cb6bddd2 ("usb: add a HCD_DMA flag instead of guestimating DMA capabilities")
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c
++++ b/drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c
+@@ -119,7 +119,7 @@ static struct hc_driver dwc_otg_hc_drive
+       .irq = dwc_otg_hcd_irq,
+-      .flags = HCD_MEMORY | HCD_USB2,
++      .flags = HCD_MEMORY | HCD_DMA | HCD_USB2,
+       //.reset =
+       .start = hcd_start,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0337-staging-bcm2835-codec-Fix-potential-memory-leak-of-i.patch b/target/linux/bcm27xx/patches-5.4/950-0337-staging-bcm2835-codec-Fix-potential-memory-leak-of-i.patch
deleted file mode 100644 (file)
index 915ca1a..0000000
+++ /dev/null
@@ -1,29 +0,0 @@
-From 6d59110f7aa7c86caf2c3a29169ace33556f690b Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 6 Nov 2019 13:58:08 +0000
-Subject: [PATCH] staging: bcm2835-codec: Fix potential memory leak of
- isp instance
-
-"d867785 staging: bcm2835-codec: add media controller support" added
-a new error path that jumped to end, but didn't add the free
-of the ISP device should that path be taken.
-Fix this.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c  | 4 ++++
- 1 file changed, 4 insertions(+)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -2841,6 +2841,10 @@ static int bcm2835_codec_probe(struct pl
-       return 0;
- out:
-+      if (drv->isp) {
-+              bcm2835_codec_destroy(drv->isp);
-+              drv->isp = NULL;
-+      }
-       if (drv->encode) {
-               bcm2835_codec_destroy(drv->encode);
-               drv->encode = NULL;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0338-rpi-poe-fan-fix-def_pwm1-writes.patch b/target/linux/bcm27xx/patches-5.4/950-0338-rpi-poe-fan-fix-def_pwm1-writes.patch
new file mode 100644 (file)
index 0000000..8792d59
--- /dev/null
@@ -0,0 +1,21 @@
+From 3223b1605ea10821a90867ee7a79d9030d7ca44f Mon Sep 17 00:00:00 2001
+From: Serge Schneider <serge@raspberrypi.org>
+Date: Thu, 31 Oct 2019 13:37:16 +0000
+Subject: [PATCH] rpi-poe-fan: fix def_pwm1 writes
+
+Signed-off-by: Serge Schneider <serge@raspberrypi.org>
+---
+ drivers/hwmon/rpi-poe-fan.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/hwmon/rpi-poe-fan.c
++++ b/drivers/hwmon/rpi-poe-fan.c
+@@ -110,7 +110,7 @@ static int  __set_def_pwm(struct rpi_poe
+       if (ctx->def_pwm_value == def_pwm)
+               goto exit_set_def_pwm_err;
+-      ret = write_reg(ctx->fw, POE_CUR_PWM, &def_pwm);
++      ret = write_reg(ctx->fw, POE_DEF_PWM, &def_pwm);
+       if (!ret)
+               ctx->def_pwm_value = def_pwm;
+ exit_set_def_pwm_err:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0339-net-bcmgenet-The-second-IRQ-is-optional.patch b/target/linux/bcm27xx/patches-5.4/950-0339-net-bcmgenet-The-second-IRQ-is-optional.patch
deleted file mode 100644 (file)
index d48e694..0000000
+++ /dev/null
@@ -1,24 +0,0 @@
-From c2863af34286fda317891bb893f8a2e16bf5707e Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Thu, 14 Nov 2019 11:59:01 +0000
-Subject: [PATCH] net: bcmgenet: The second IRQ is optional
-
-As of 5.4, the kernel logs errors for absent IRQs unless requested
-with platform_get_irq_optional.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/gpu/drm/v3d/v3d_irq.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/v3d/v3d_irq.c
-+++ b/drivers/gpu/drm/v3d/v3d_irq.c
-@@ -217,7 +217,7 @@ v3d_irq_init(struct v3d_dev *v3d)
-               V3D_CORE_WRITE(core, V3D_CTL_INT_CLR, V3D_CORE_IRQS);
-       V3D_WRITE(V3D_HUB_INT_CLR, V3D_HUB_IRQS);
--      irq1 = platform_get_irq(v3d->pdev, 1);
-+      irq1 = platform_get_irq_optional(v3d->pdev, 1);
-       if (irq1 == -EPROBE_DEFER)
-               return irq1;
-       if (irq1 > 0) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0339-net-phy-2711-Allow-ethernet-LED-mode-to-be-set-via-d.patch b/target/linux/bcm27xx/patches-5.4/950-0339-net-phy-2711-Allow-ethernet-LED-mode-to-be-set-via-d.patch
new file mode 100644 (file)
index 0000000..b3e237f
--- /dev/null
@@ -0,0 +1,119 @@
+From eef2d9aeb08a227d0a9c5734214425a3b9693f50 Mon Sep 17 00:00:00 2001
+From: James Hughes <james.hughes@raspberrypi.org>
+Date: Thu, 31 Oct 2019 14:39:44 +0000
+Subject: [PATCH] net:phy:2711 Allow ethernet LED mode to be set via
+ device tree
+
+Add device tree entries and code to allow the specification of
+the lighting modes for the LED's on the ethernet connector.
+
+Signed-off-by: James Hughes <james.hughes@raspberrypi.org>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts |  3 +++
+ arch/arm/boot/dts/bcm2838.dtsi        |  1 +
+ arch/arm/boot/dts/overlays/README     | 28 +++++++++++++++++++--------
+ drivers/net/phy/broadcom.c            |  9 +++++++--
+ 4 files changed, 31 insertions(+), 10 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -334,5 +334,8 @@
+               pwr_led_gpio = <&pwr_led>,"gpios:4";
+               pwr_led_activelow = <&pwr_led>,"gpios:8";
+               pwr_led_trigger = <&pwr_led>,"linux,default-trigger";
++
++              eth_led0 = <&phy1>,"led-modes:0";
++              eth_led1 = <&phy1>,"led-modes:4";
+       };
+ };
+--- a/arch/arm/boot/dts/bcm2838.dtsi
++++ b/arch/arm/boot/dts/bcm2838.dtsi
+@@ -380,6 +380,7 @@
+                                       /* No interrupts - use PHY_POLL */
+                                       max-speed = <1000>;
+                                       reg = <0x1>;
++                                      led-modes = <0x02 0x02>;
+                               };
+                       };
+               };
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -102,26 +102,38 @@ Params:
+         eee                     Enable Energy Efficient Ethernet support for
+                                 compatible devices (default "on"). See also
+-                                "tx_lpi_timer".
++                                "tx_lpi_timer". Pi3B+ only.
+         eth_downshift_after     Set the number of auto-negotiation failures
+                                 after which the 1000Mbps modes are disabled.
+                                 Legal values are 2, 3, 4, 5 and 0, where
+-                                0 means never downshift (default 2).
++                                0 means never downshift (default 2). Pi3B+ only.
+-        eth_led0                Set mode of LED0 (usually orange) (default
+-                                "1"). The legal values are:
+-                                0=link/activity          1=link1000/activity
++        eth_led0                Set mode of LED0 (usually orange). The legal
++                                values are:
++
++                                Pi3B+
++
++                                0=link/activity    1=link1000/activity (default)
+                                 2=link100/activity       3=link10/activity
+                                 4=link100/1000/activity  5=link10/1000/activity
+                                 6=link10/100/activity    14=off    15=on
+-        eth_led1                Set mode of LED1 (usually green) (default
+-                                "6"). See eth_led0 for legal values.
++                                Pi4
++
++                                0=Speed/Activity (default)       1=Speed
++                                2=Speed/Flash activity   3=FDX
++                                4=Off                    5=On
++                                6=Alt                    7=Speed/Flash
++                                8=Link                   9=Activity
++
++        eth_led1                Set mode of LED1 (usually green) (Pi3B+ default
++                                "6", Pi4 default "0"). See eth_led0 for legal
++                                values.
+         eth_max_speed           Set the maximum speed a link is allowed
+                                 to negotiate. Legal values are 10, 100 and
+-                                1000 (default 1000).
++                                1000 (default 1000). Pi3B+ only.
+         i2c_arm                 Set to "on" to enable the ARM's i2c interface
+                                 (default "off")
+--- a/drivers/net/phy/broadcom.c
++++ b/drivers/net/phy/broadcom.c
+@@ -267,6 +267,9 @@ static void bcm54xx_adjust_rxrefclk(stru
+ static int bcm54xx_config_init(struct phy_device *phydev)
+ {
+       int reg, err, val;
++      u32 led_modes[] = {BCM_LED_MULTICOLOR_LINK_ACT,
++                         BCM_LED_MULTICOLOR_LINK_ACT};
++      struct device_node *np = phydev->mdio.dev.of_node;
+       reg = phy_read(phydev, MII_BCM54XX_ECR);
+       if (reg < 0)
+@@ -318,6 +321,8 @@ static int bcm54xx_config_init(struct ph
+       bcm54xx_phydsp_config(phydev);
++      of_property_read_u32_array(np, "led-modes", led_modes, 2);
++
+       /* Encode link speed into LED1 and LED3 pair (green/amber).
+        * Also flash these two LEDs on activity. This means configuring
+        * them for MULTICOLOR and encoding link/activity into them.
+@@ -327,8 +332,8 @@ static int bcm54xx_config_init(struct ph
+       bcm_phy_write_shadow(phydev, BCM5482_SHD_LEDS1, val);
+       val = BCM_LED_MULTICOLOR_IN_PHASE |
+-              BCM5482_SHD_LEDS1_LED1(BCM_LED_MULTICOLOR_LINK_ACT) |
+-              BCM5482_SHD_LEDS1_LED3(BCM_LED_MULTICOLOR_LINK_ACT);
++              BCM5482_SHD_LEDS1_LED1(led_modes[0]) |
++              BCM5482_SHD_LEDS1_LED3(led_modes[1]);
+       bcm_phy_write_exp(phydev, BCM_EXP_MULTICOLOR, val);
+       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0340-drm-v3d-The-third-IRQ-is-optional.patch b/target/linux/bcm27xx/patches-5.4/950-0340-drm-v3d-The-third-IRQ-is-optional.patch
deleted file mode 100644 (file)
index 97ff76a..0000000
+++ /dev/null
@@ -1,24 +0,0 @@
-From 92f17eecf263f3705a6e1a4f27ecb273ed3a33e5 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Thu, 14 Nov 2019 12:00:43 +0000
-Subject: [PATCH] drm/v3d: The third IRQ is optional
-
-As of 5.4, the kernel logs errors for absent IRQs unless requested
-with platform_get_irq_optional.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/net/ethernet/broadcom/genet/bcmgenet.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/net/ethernet/broadcom/genet/bcmgenet.c
-+++ b/drivers/net/ethernet/broadcom/genet/bcmgenet.c
-@@ -3474,7 +3474,7 @@ static int bcmgenet_probe(struct platfor
-       priv = netdev_priv(dev);
-       priv->irq0 = platform_get_irq(pdev, 0);
-       priv->irq1 = platform_get_irq(pdev, 1);
--      priv->wol_irq = platform_get_irq(pdev, 2);
-+      priv->wol_irq = platform_get_irq_optional(pdev, 2);
-       if (!priv->irq0 || !priv->irq1) {
-               dev_err(&pdev->dev, "can't find IRQs\n");
-               err = -EINVAL;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0340-overlays-smi-fix-typo-in-comment-3320.patch b/target/linux/bcm27xx/patches-5.4/950-0340-overlays-smi-fix-typo-in-comment-3320.patch
new file mode 100644 (file)
index 0000000..0a4f630
--- /dev/null
@@ -0,0 +1,23 @@
+From 2a65ed9d89f32a0e87d99ccdfd21ab140637063a Mon Sep 17 00:00:00 2001
+From: Pierre-jean Texier <texier.pj2@gmail.com>
+Date: Wed, 6 Nov 2019 10:00:43 +0100
+Subject: [PATCH] overlays: smi: fix typo in comment (#3320)
+
+5 represent alt1 function not alt0.
+
+Signed-off-by: Pierre-Jean Texier <pjtexier@koncepto.io>
+---
+ arch/arm/boot/dts/overlays/smi-overlay.dts | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/smi-overlay.dts
++++ b/arch/arm/boot/dts/overlays/smi-overlay.dts
+@@ -24,7 +24,7 @@
+                                  these are already used as ID_SD and ID_SC */
+                               brcm,pins = <2 3 4 5 6 7 8 9 10 11 12 13 14 15
+                                            16 17 18 19 20 21 22 23 24 25>;
+-                              /* Alt 0: SMI */
++                              /* Alt 1: SMI */
+                               brcm,function = <5 5 5 5 5 5 5 5 5 5 5 5 5 5 5
+                                                5 5 5 5 5 5 5 5 5>;
+                               /* /CS, /WE and /OE are pulled high, as they are
diff --git a/target/linux/bcm27xx/patches-5.4/950-0341-dwc_otg-Declare-DMA-capability-with-HCD_DMA-flag.patch b/target/linux/bcm27xx/patches-5.4/950-0341-dwc_otg-Declare-DMA-capability-with-HCD_DMA-flag.patch
deleted file mode 100644 (file)
index afd72da..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From 941d43e29b1fa7352eb006b5ec37d6990ed3b877 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Fri, 15 Nov 2019 08:48:08 +0000
-Subject: [PATCH] dwc_otg: Declare DMA capability with HCD_DMA flag
-
-Following [1], USB controllers have to declare DMA capabilities in
-order for them to be used by adding the HCD_DMA flag to their hc_driver
-struct.
-
-[1] 7b81cb6bddd2 ("usb: add a HCD_DMA flag instead of guestimating DMA capabilities")
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c
-+++ b/drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c
-@@ -119,7 +119,7 @@ static struct hc_driver dwc_otg_hc_drive
-       .irq = dwc_otg_hcd_irq,
--      .flags = HCD_MEMORY | HCD_USB2,
-+      .flags = HCD_MEMORY | HCD_DMA | HCD_USB2,
-       //.reset =
-       .start = hcd_start,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0341-net-phy-2711-Change-the-default-ethernet-LED-actions.patch b/target/linux/bcm27xx/patches-5.4/950-0341-net-phy-2711-Change-the-default-ethernet-LED-actions.patch
new file mode 100644 (file)
index 0000000..d76fd0e
--- /dev/null
@@ -0,0 +1,33 @@
+From e459a2c448d7d71718769a9966543a964d1803bd Mon Sep 17 00:00:00 2001
+From: James Hughes <james.hughes@raspberrypi.org>
+Date: Thu, 7 Nov 2019 14:59:59 +0000
+Subject: [PATCH] net:phy:2711 Change the default ethernet LED actions
+
+This should return default behaviour back to that of previous
+releases.
+---
+ drivers/net/phy/broadcom.c | 6 +-----
+ 1 file changed, 1 insertion(+), 5 deletions(-)
+
+--- a/drivers/net/phy/broadcom.c
++++ b/drivers/net/phy/broadcom.c
+@@ -268,7 +268,7 @@ static int bcm54xx_config_init(struct ph
+ {
+       int reg, err, val;
+       u32 led_modes[] = {BCM_LED_MULTICOLOR_LINK_ACT,
+-                         BCM_LED_MULTICOLOR_LINK_ACT};
++                         BCM_LED_MULTICOLOR_LINK};
+       struct device_node *np = phydev->mdio.dev.of_node;
+       reg = phy_read(phydev, MII_BCM54XX_ECR);
+@@ -323,10 +323,6 @@ static int bcm54xx_config_init(struct ph
+       of_property_read_u32_array(np, "led-modes", led_modes, 2);
+-      /* Encode link speed into LED1 and LED3 pair (green/amber).
+-       * Also flash these two LEDs on activity. This means configuring
+-       * them for MULTICOLOR and encoding link/activity into them.
+-       */
+       val = BCM5482_SHD_LEDS1_LED1(BCM_LED_SRC_MULTICOLOR1) |
+               BCM5482_SHD_LEDS1_LED3(BCM_LED_SRC_MULTICOLOR1);
+       bcm_phy_write_shadow(phydev, BCM5482_SHD_LEDS1, val);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0342-overlays-Add-apds9960-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0342-overlays-Add-apds9960-overlay.patch
new file mode 100644 (file)
index 0000000..f0f5368
--- /dev/null
@@ -0,0 +1,103 @@
+From 62cd48ebf18c1eb0bbddba080476201bdfae4126 Mon Sep 17 00:00:00 2001
+From: Michael Kaplan <m.kaplan@evva.com>
+Date: Fri, 8 Nov 2019 10:35:57 +0100
+Subject: [PATCH] overlays: Add apds9960 overlay
+
+Add an overlay for the AVAGO APDS9960 digital proximity, ambient light, rgb and gesture sensor.
+Also update overlay README and Makefile.
+
+Signed-off-by: Michael Kaplan <m.kaplan@evva.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  1 +
+ arch/arm/boot/dts/overlays/README             |  8 +++
+ .../boot/dts/overlays/apds9960-overlay.dts    | 57 +++++++++++++++++++
+ 3 files changed, 66 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/apds9960-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -15,6 +15,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       allo-katana-dac-audio.dtbo \
+       allo-piano-dac-pcm512x-audio.dtbo \
+       allo-piano-dac-plus-pcm512x-audio.dtbo \
++      apds9960.dtbo \
+       applepi-dac.dtbo \
+       at86rf233.dtbo \
+       audioinjector-addons.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -441,6 +441,14 @@ Params: 24db_digital_gain       Allow ga
+                                 better voice quality. (default Off)
++Name:   apds9960
++Info:   Configures the AVAGO APDS9960 digital proximity, ambient light, RGB and
++        gesture sensor
++Load:   dtoverlay=apds9960,<param>=<val>
++Params: gpiopin                 GPIO used for INT (default 4)
++        noints                  Disable the interrupt GPIO line.
++
++
+ Name:   applepi-dac
+ Info:   Configures the Orchard Audio ApplePi-DAC audio card
+ Load:   dtoverlay=applepi-dac
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/apds9960-overlay.dts
+@@ -0,0 +1,57 @@
++// Definitions for APDS-9960 ambient light and gesture sensor
++
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&i2c1>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@1 {
++              target = <&gpio>;
++              __overlay__ {
++                      apds9960_pins: apds9960_pins@39 {
++                              brcm,pins = <4>;
++                              brcm,function = <0>;
++                      };
++              };
++      };
++
++      fragment@2 {
++              target = <&i2c1>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      apds9960: apds@39 {
++                              compatible = "avago,apds9960";
++                              reg = <0x39>;
++                              status = "okay";
++                      };
++              };
++      };
++
++      fragment@3 {
++              target = <&i2c1>;
++              __overlay__ {
++                      apds9960_irq: apds@39 {
++                              #interrupt-cells=<2>;
++                              interrupt-parent = <&gpio>;
++                              interrupts = <4 1>;
++                      };
++              };
++      };
++
++      __overrides__ {
++              gpiopin = <&apds9960_pins>,"brcm,pins:0",
++                              <&apds9960_irq>,"interrupts:0";
++              noints = <0>,"!1!3";
++      };
++};
++
diff --git a/target/linux/bcm27xx/patches-5.4/950-0342-rpi-poe-fan-fix-def_pwm1-writes.patch b/target/linux/bcm27xx/patches-5.4/950-0342-rpi-poe-fan-fix-def_pwm1-writes.patch
deleted file mode 100644 (file)
index 8792d59..0000000
+++ /dev/null
@@ -1,21 +0,0 @@
-From 3223b1605ea10821a90867ee7a79d9030d7ca44f Mon Sep 17 00:00:00 2001
-From: Serge Schneider <serge@raspberrypi.org>
-Date: Thu, 31 Oct 2019 13:37:16 +0000
-Subject: [PATCH] rpi-poe-fan: fix def_pwm1 writes
-
-Signed-off-by: Serge Schneider <serge@raspberrypi.org>
----
- drivers/hwmon/rpi-poe-fan.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/hwmon/rpi-poe-fan.c
-+++ b/drivers/hwmon/rpi-poe-fan.c
-@@ -110,7 +110,7 @@ static int  __set_def_pwm(struct rpi_poe
-       if (ctx->def_pwm_value == def_pwm)
-               goto exit_set_def_pwm_err;
--      ret = write_reg(ctx->fw, POE_CUR_PWM, &def_pwm);
-+      ret = write_reg(ctx->fw, POE_DEF_PWM, &def_pwm);
-       if (!ret)
-               ctx->def_pwm_value = def_pwm;
- exit_set_def_pwm_err:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0343-net-phy-2711-Allow-ethernet-LED-mode-to-be-set-via-d.patch b/target/linux/bcm27xx/patches-5.4/950-0343-net-phy-2711-Allow-ethernet-LED-mode-to-be-set-via-d.patch
deleted file mode 100644 (file)
index b3e237f..0000000
+++ /dev/null
@@ -1,119 +0,0 @@
-From eef2d9aeb08a227d0a9c5734214425a3b9693f50 Mon Sep 17 00:00:00 2001
-From: James Hughes <james.hughes@raspberrypi.org>
-Date: Thu, 31 Oct 2019 14:39:44 +0000
-Subject: [PATCH] net:phy:2711 Allow ethernet LED mode to be set via
- device tree
-
-Add device tree entries and code to allow the specification of
-the lighting modes for the LED's on the ethernet connector.
-
-Signed-off-by: James Hughes <james.hughes@raspberrypi.org>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts |  3 +++
- arch/arm/boot/dts/bcm2838.dtsi        |  1 +
- arch/arm/boot/dts/overlays/README     | 28 +++++++++++++++++++--------
- drivers/net/phy/broadcom.c            |  9 +++++++--
- 4 files changed, 31 insertions(+), 10 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -334,5 +334,8 @@
-               pwr_led_gpio = <&pwr_led>,"gpios:4";
-               pwr_led_activelow = <&pwr_led>,"gpios:8";
-               pwr_led_trigger = <&pwr_led>,"linux,default-trigger";
-+
-+              eth_led0 = <&phy1>,"led-modes:0";
-+              eth_led1 = <&phy1>,"led-modes:4";
-       };
- };
---- a/arch/arm/boot/dts/bcm2838.dtsi
-+++ b/arch/arm/boot/dts/bcm2838.dtsi
-@@ -380,6 +380,7 @@
-                                       /* No interrupts - use PHY_POLL */
-                                       max-speed = <1000>;
-                                       reg = <0x1>;
-+                                      led-modes = <0x02 0x02>;
-                               };
-                       };
-               };
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -102,26 +102,38 @@ Params:
-         eee                     Enable Energy Efficient Ethernet support for
-                                 compatible devices (default "on"). See also
--                                "tx_lpi_timer".
-+                                "tx_lpi_timer". Pi3B+ only.
-         eth_downshift_after     Set the number of auto-negotiation failures
-                                 after which the 1000Mbps modes are disabled.
-                                 Legal values are 2, 3, 4, 5 and 0, where
--                                0 means never downshift (default 2).
-+                                0 means never downshift (default 2). Pi3B+ only.
--        eth_led0                Set mode of LED0 (usually orange) (default
--                                "1"). The legal values are:
--                                0=link/activity          1=link1000/activity
-+        eth_led0                Set mode of LED0 (usually orange). The legal
-+                                values are:
-+
-+                                Pi3B+
-+
-+                                0=link/activity    1=link1000/activity (default)
-                                 2=link100/activity       3=link10/activity
-                                 4=link100/1000/activity  5=link10/1000/activity
-                                 6=link10/100/activity    14=off    15=on
--        eth_led1                Set mode of LED1 (usually green) (default
--                                "6"). See eth_led0 for legal values.
-+                                Pi4
-+
-+                                0=Speed/Activity (default)       1=Speed
-+                                2=Speed/Flash activity   3=FDX
-+                                4=Off                    5=On
-+                                6=Alt                    7=Speed/Flash
-+                                8=Link                   9=Activity
-+
-+        eth_led1                Set mode of LED1 (usually green) (Pi3B+ default
-+                                "6", Pi4 default "0"). See eth_led0 for legal
-+                                values.
-         eth_max_speed           Set the maximum speed a link is allowed
-                                 to negotiate. Legal values are 10, 100 and
--                                1000 (default 1000).
-+                                1000 (default 1000). Pi3B+ only.
-         i2c_arm                 Set to "on" to enable the ARM's i2c interface
-                                 (default "off")
---- a/drivers/net/phy/broadcom.c
-+++ b/drivers/net/phy/broadcom.c
-@@ -267,6 +267,9 @@ static void bcm54xx_adjust_rxrefclk(stru
- static int bcm54xx_config_init(struct phy_device *phydev)
- {
-       int reg, err, val;
-+      u32 led_modes[] = {BCM_LED_MULTICOLOR_LINK_ACT,
-+                         BCM_LED_MULTICOLOR_LINK_ACT};
-+      struct device_node *np = phydev->mdio.dev.of_node;
-       reg = phy_read(phydev, MII_BCM54XX_ECR);
-       if (reg < 0)
-@@ -318,6 +321,8 @@ static int bcm54xx_config_init(struct ph
-       bcm54xx_phydsp_config(phydev);
-+      of_property_read_u32_array(np, "led-modes", led_modes, 2);
-+
-       /* Encode link speed into LED1 and LED3 pair (green/amber).
-        * Also flash these two LEDs on activity. This means configuring
-        * them for MULTICOLOR and encoding link/activity into them.
-@@ -327,8 +332,8 @@ static int bcm54xx_config_init(struct ph
-       bcm_phy_write_shadow(phydev, BCM5482_SHD_LEDS1, val);
-       val = BCM_LED_MULTICOLOR_IN_PHASE |
--              BCM5482_SHD_LEDS1_LED1(BCM_LED_MULTICOLOR_LINK_ACT) |
--              BCM5482_SHD_LEDS1_LED3(BCM_LED_MULTICOLOR_LINK_ACT);
-+              BCM5482_SHD_LEDS1_LED1(led_modes[0]) |
-+              BCM5482_SHD_LEDS1_LED3(led_modes[1]);
-       bcm_phy_write_exp(phydev, BCM_EXP_MULTICOLOR, val);
-       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0343-overlays-Remove-hack-from-uart0-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0343-overlays-Remove-hack-from-uart0-overlay.patch
new file mode 100644 (file)
index 0000000..ac70b35
--- /dev/null
@@ -0,0 +1,45 @@
+From d24bb9c4b5d3b0bb2bd5dd922bae3fce894ab87e Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Tue, 1 Oct 2019 10:19:50 +0100
+Subject: [PATCH] overlays: Remove hack from uart0 overlay
+
+The uart0 overlay contained a hack to return GPIOs 14 and 15 to inputs
+when the UART0 function was moved to alternative pins. This has the
+unwanted side effect of claiming GPIOs 14 & 15, preventing them being
+used for something else.
+
+See: https://github.com/raspberrypi/linux/issues/2856
+     https://www.raspberrypi.org/forums/viewtopic.php?f=98&t=252911
+
+Signed-off-by: Stefan Enge <stefan.enge@escatec.com>
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/boot/dts/overlays/uart0-overlay.dts | 13 ++++++-------
+ 1 file changed, 6 insertions(+), 7 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/uart0-overlay.dts
++++ b/arch/arm/boot/dts/overlays/uart0-overlay.dts
+@@ -17,17 +17,16 @@
+               target = <&gpio>;
+               __overlay__ {
+                       uart0_pins: uart0_pins {
+-                              brcm,pins = <14 15 14 15>;
+-                              brcm,function = <0 0 4 4>; /* alt0 */
+-                              brcm,pull = <0 0 0 2>;
++                              brcm,pins = <14 15>;
++                              brcm,function = <4>; /* alt0 */
++                              brcm,pull = <0 2>;
+                       };
+               };
+       };
+       __overrides__ {
+-              txd0_pin = <&uart0_pins>,"brcm,pins:8";
+-              rxd0_pin = <&uart0_pins>,"brcm,pins:12";
+-              pin_func = <&uart0_pins>,"brcm,function:8",
+-                         <&uart0_pins>,"brcm,function:12";
++              txd0_pin = <&uart0_pins>,"brcm,pins:0";
++              rxd0_pin = <&uart0_pins>,"brcm,pins:4";
++              pin_func = <&uart0_pins>,"brcm,function:0";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0344-arm-dts-overlays-pitft35-resistive-add-upstream-comp.patch b/target/linux/bcm27xx/patches-5.4/950-0344-arm-dts-overlays-pitft35-resistive-add-upstream-comp.patch
new file mode 100644 (file)
index 0000000..ba19abb
--- /dev/null
@@ -0,0 +1,27 @@
+From 47a9af99289ef0b9d60a72cd7147958e4745468c Mon Sep 17 00:00:00 2001
+From: Peter Robinson <pbrobinson@gmail.com>
+Date: Sun, 17 Nov 2019 16:20:24 +0000
+Subject: [PATCH] arm: dts: overlays: pitft35-resistive: add upstream
+ compatible
+
+The upstream hx8357d driver uses "adafruit,yx350hv15" for the compatible
+string explicitly for this screen config and not a hx8357d generic for
+the controller so add that in as well so it will work with an unmodified
+upstream kernel driver. We leave the downstream as the priority.
+
+Signed-off-by: Peter Robinson <pbrobinson@gmail.com>
+---
+ arch/arm/boot/dts/overlays/pitft35-resistive-overlay.dts | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/pitft35-resistive-overlay.dts
++++ b/arch/arm/boot/dts/overlays/pitft35-resistive-overlay.dts
+@@ -49,7 +49,7 @@
+                       #size-cells = <0>;
+                       pitft: pitft@0{
+-                              compatible = "himax,hx8357d";
++                              compatible = "himax,hx8357d", "adafruit,yx350hv15";
+                               reg = <0>;
+                               pinctrl-names = "default";
+                               pinctrl-0 = <&pitft_pins>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0344-overlays-smi-fix-typo-in-comment-3320.patch b/target/linux/bcm27xx/patches-5.4/950-0344-overlays-smi-fix-typo-in-comment-3320.patch
deleted file mode 100644 (file)
index 0a4f630..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-From 2a65ed9d89f32a0e87d99ccdfd21ab140637063a Mon Sep 17 00:00:00 2001
-From: Pierre-jean Texier <texier.pj2@gmail.com>
-Date: Wed, 6 Nov 2019 10:00:43 +0100
-Subject: [PATCH] overlays: smi: fix typo in comment (#3320)
-
-5 represent alt1 function not alt0.
-
-Signed-off-by: Pierre-Jean Texier <pjtexier@koncepto.io>
----
- arch/arm/boot/dts/overlays/smi-overlay.dts | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/overlays/smi-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/smi-overlay.dts
-@@ -24,7 +24,7 @@
-                                  these are already used as ID_SD and ID_SC */
-                               brcm,pins = <2 3 4 5 6 7 8 9 10 11 12 13 14 15
-                                            16 17 18 19 20 21 22 23 24 25>;
--                              /* Alt 0: SMI */
-+                              /* Alt 1: SMI */
-                               brcm,function = <5 5 5 5 5 5 5 5 5 5 5 5 5 5 5
-                                                5 5 5 5 5 5 5 5 5>;
-                               /* /CS, /WE and /OE are pulled high, as they are
diff --git a/target/linux/bcm27xx/patches-5.4/950-0345-net-phy-2711-Change-the-default-ethernet-LED-actions.patch b/target/linux/bcm27xx/patches-5.4/950-0345-net-phy-2711-Change-the-default-ethernet-LED-actions.patch
deleted file mode 100644 (file)
index d76fd0e..0000000
+++ /dev/null
@@ -1,33 +0,0 @@
-From e459a2c448d7d71718769a9966543a964d1803bd Mon Sep 17 00:00:00 2001
-From: James Hughes <james.hughes@raspberrypi.org>
-Date: Thu, 7 Nov 2019 14:59:59 +0000
-Subject: [PATCH] net:phy:2711 Change the default ethernet LED actions
-
-This should return default behaviour back to that of previous
-releases.
----
- drivers/net/phy/broadcom.c | 6 +-----
- 1 file changed, 1 insertion(+), 5 deletions(-)
-
---- a/drivers/net/phy/broadcom.c
-+++ b/drivers/net/phy/broadcom.c
-@@ -268,7 +268,7 @@ static int bcm54xx_config_init(struct ph
- {
-       int reg, err, val;
-       u32 led_modes[] = {BCM_LED_MULTICOLOR_LINK_ACT,
--                         BCM_LED_MULTICOLOR_LINK_ACT};
-+                         BCM_LED_MULTICOLOR_LINK};
-       struct device_node *np = phydev->mdio.dev.of_node;
-       reg = phy_read(phydev, MII_BCM54XX_ECR);
-@@ -323,10 +323,6 @@ static int bcm54xx_config_init(struct ph
-       of_property_read_u32_array(np, "led-modes", led_modes, 2);
--      /* Encode link speed into LED1 and LED3 pair (green/amber).
--       * Also flash these two LEDs on activity. This means configuring
--       * them for MULTICOLOR and encoding link/activity into them.
--       */
-       val = BCM5482_SHD_LEDS1_LED1(BCM_LED_SRC_MULTICOLOR1) |
-               BCM5482_SHD_LEDS1_LED3(BCM_LED_SRC_MULTICOLOR1);
-       bcm_phy_write_shadow(phydev, BCM5482_SHD_LEDS1, val);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0345-v3d_drv-Handle-missing-clock-more-gracefully.patch b/target/linux/bcm27xx/patches-5.4/950-0345-v3d_drv-Handle-missing-clock-more-gracefully.patch
new file mode 100644 (file)
index 0000000..812d6f1
--- /dev/null
@@ -0,0 +1,25 @@
+From 43406ddc1adaebe9b03d010fd024a96cee139cc2 Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Fri, 23 Aug 2019 16:34:38 +0100
+Subject: [PATCH] v3d_drv: Handle missing clock more gracefully
+
+Signed-off-by: popcornmix <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/v3d/v3d_drv.c | 6 +++---
+ 1 file changed, 3 insertions(+), 3 deletions(-)
+
+--- a/drivers/gpu/drm/v3d/v3d_drv.c
++++ b/drivers/gpu/drm/v3d/v3d_drv.c
+@@ -286,9 +286,9 @@ static int v3d_platform_drm_probe(struct
+       }
+       v3d->clk = devm_clk_get(dev, NULL);
+-      if (IS_ERR(v3d->clk)) {
+-              if (ret != -EPROBE_DEFER)
+-                      dev_err(dev, "Failed to get clock\n");
++      if (IS_ERR_OR_NULL(v3d->clk)) {
++              if (PTR_ERR(v3d->clk) != -EPROBE_DEFER)
++                      dev_err(dev, "Failed to get clock (%ld)\n", PTR_ERR(v3d->clk));
+               goto dev_free;
+       }
+       v3d->clk_up_rate = clk_get_rate(v3d->clk);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0346-overlays-Add-apds9960-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0346-overlays-Add-apds9960-overlay.patch
deleted file mode 100644 (file)
index f0f5368..0000000
+++ /dev/null
@@ -1,103 +0,0 @@
-From 62cd48ebf18c1eb0bbddba080476201bdfae4126 Mon Sep 17 00:00:00 2001
-From: Michael Kaplan <m.kaplan@evva.com>
-Date: Fri, 8 Nov 2019 10:35:57 +0100
-Subject: [PATCH] overlays: Add apds9960 overlay
-
-Add an overlay for the AVAGO APDS9960 digital proximity, ambient light, rgb and gesture sensor.
-Also update overlay README and Makefile.
-
-Signed-off-by: Michael Kaplan <m.kaplan@evva.com>
----
- arch/arm/boot/dts/overlays/Makefile           |  1 +
- arch/arm/boot/dts/overlays/README             |  8 +++
- .../boot/dts/overlays/apds9960-overlay.dts    | 57 +++++++++++++++++++
- 3 files changed, 66 insertions(+)
- create mode 100644 arch/arm/boot/dts/overlays/apds9960-overlay.dts
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -15,6 +15,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       allo-katana-dac-audio.dtbo \
-       allo-piano-dac-pcm512x-audio.dtbo \
-       allo-piano-dac-plus-pcm512x-audio.dtbo \
-+      apds9960.dtbo \
-       applepi-dac.dtbo \
-       at86rf233.dtbo \
-       audioinjector-addons.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -441,6 +441,14 @@ Params: 24db_digital_gain       Allow ga
-                                 better voice quality. (default Off)
-+Name:   apds9960
-+Info:   Configures the AVAGO APDS9960 digital proximity, ambient light, RGB and
-+        gesture sensor
-+Load:   dtoverlay=apds9960,<param>=<val>
-+Params: gpiopin                 GPIO used for INT (default 4)
-+        noints                  Disable the interrupt GPIO line.
-+
-+
- Name:   applepi-dac
- Info:   Configures the Orchard Audio ApplePi-DAC audio card
- Load:   dtoverlay=applepi-dac
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/apds9960-overlay.dts
-@@ -0,0 +1,57 @@
-+// Definitions for APDS-9960 ambient light and gesture sensor
-+
-+/dts-v1/;
-+/plugin/;
-+
-+/ {
-+      compatible = "brcm,bcm2835";
-+
-+      fragment@0 {
-+              target = <&i2c1>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@1 {
-+              target = <&gpio>;
-+              __overlay__ {
-+                      apds9960_pins: apds9960_pins@39 {
-+                              brcm,pins = <4>;
-+                              brcm,function = <0>;
-+                      };
-+              };
-+      };
-+
-+      fragment@2 {
-+              target = <&i2c1>;
-+              __overlay__ {
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      apds9960: apds@39 {
-+                              compatible = "avago,apds9960";
-+                              reg = <0x39>;
-+                              status = "okay";
-+                      };
-+              };
-+      };
-+
-+      fragment@3 {
-+              target = <&i2c1>;
-+              __overlay__ {
-+                      apds9960_irq: apds@39 {
-+                              #interrupt-cells=<2>;
-+                              interrupt-parent = <&gpio>;
-+                              interrupts = <4 1>;
-+                      };
-+              };
-+      };
-+
-+      __overrides__ {
-+              gpiopin = <&apds9960_pins>,"brcm,pins:0",
-+                              <&apds9960_irq>,"interrupts:0";
-+              noints = <0>,"!1!3";
-+      };
-+};
-+
diff --git a/target/linux/bcm27xx/patches-5.4/950-0346-v3d_gem-Kick-the-clock-so-firmware-knows-we-are-usin.patch b/target/linux/bcm27xx/patches-5.4/950-0346-v3d_gem-Kick-the-clock-so-firmware-knows-we-are-usin.patch
new file mode 100644 (file)
index 0000000..1892a14
--- /dev/null
@@ -0,0 +1,27 @@
+From dfc842c139ef08e21647c43c19c2a23090b65b27 Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Thu, 5 Sep 2019 17:59:14 +0100
+Subject: [PATCH] v3d_gem: Kick the clock so firmware knows we are
+ using firmware clock interface
+
+Setting the v3d clock to low value allows firmware to handle dvfs in case
+where v3d hardware is not being actively used (e.g. console use).
+
+Signed-off-by: popcornmix <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/v3d/v3d_gem.c | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/drivers/gpu/drm/v3d/v3d_gem.c
++++ b/drivers/gpu/drm/v3d/v3d_gem.c
+@@ -918,6 +918,10 @@ v3d_gem_init(struct drm_device *dev)
+       mutex_init(&v3d->clk_lock);
+       INIT_DELAYED_WORK(&v3d->clk_down_work, v3d_clock_down_work);
++      /* kick the clock so firmware knows we are using firmware clock interface */
++      v3d_clock_up_get(v3d);
++      v3d_clock_up_put(v3d);
++
+       /* Note: We don't allocate address 0.  Various bits of HW
+        * treat 0 as special, such as the occlusion query counters
+        * where 0 means "disabled".
diff --git a/target/linux/bcm27xx/patches-5.4/950-0347-clk-bcm2835-Disable-v3d-clock.patch b/target/linux/bcm27xx/patches-5.4/950-0347-clk-bcm2835-Disable-v3d-clock.patch
new file mode 100644 (file)
index 0000000..a7a5221
--- /dev/null
@@ -0,0 +1,58 @@
+From 6c37f43308f29a59bc67d4ed010f8fbbf076ec79 Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Tue, 3 Sep 2019 20:28:00 +0100
+Subject: [PATCH] clk-bcm2835: Disable v3d clock
+
+This is controlled by firmware, see clk-raspberrypi.c
+
+Signed-off-by: popcornmix <popcornmix@gmail.com>
+---
+ drivers/clk/bcm/clk-bcm2835.c | 30 ++++++++++++------------------
+ 1 file changed, 12 insertions(+), 18 deletions(-)
+
+--- a/drivers/clk/bcm/clk-bcm2835.c
++++ b/drivers/clk/bcm/clk-bcm2835.c
+@@ -1734,16 +1734,12 @@ static const struct bcm2835_clk_desc clk
+               .hold_mask = CM_PLLA_HOLDCORE,
+               .fixed_divider = 1,
+               .flags = CLK_SET_RATE_PARENT),
+-      [BCM2835_PLLA_PER]      = REGISTER_PLL_DIV(
+-              SOC_ALL,
+-              .name = "plla_per",
+-              .source_pll = "plla",
+-              .cm_reg = CM_PLLA,
+-              .a2w_reg = A2W_PLLA_PER,
+-              .load_mask = CM_PLLA_LOADPER,
+-              .hold_mask = CM_PLLA_HOLDPER,
+-              .fixed_divider = 1,
+-              .flags = CLK_SET_RATE_PARENT),
++
++      /*
++       * PLLA_PER is used for gpu clocks. Controlled by firmware, see
++       * clk-raspberrypi.c.
++       */
++
+       [BCM2835_PLLA_DSI0]     = REGISTER_PLL_DIV(
+               SOC_ALL,
+               .name = "plla_dsi0",
+@@ -2021,14 +2017,12 @@ static const struct bcm2835_clk_desc clk
+               .int_bits = 6,
+               .frac_bits = 0,
+               .tcnt_mux = 3),
+-      [BCM2835_CLOCK_V3D]     = REGISTER_VPU_CLK(
+-              SOC_ALL,
+-              .name = "v3d",
+-              .ctl_reg = CM_V3DCTL,
+-              .div_reg = CM_V3DDIV,
+-              .int_bits = 4,
+-              .frac_bits = 8,
+-              .tcnt_mux = 4),
++
++      /*
++       * CLOCK_V3D is used for v3d clock. Controlled by firmware, see
++       * clk-raspberrypi.c.
++       */
++
+       /*
+        * VPU clock.  This doesn't have an enable bit, since it drives
+        * the bus for everything else, and is special so it doesn't need
diff --git a/target/linux/bcm27xx/patches-5.4/950-0347-overlays-Remove-hack-from-uart0-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0347-overlays-Remove-hack-from-uart0-overlay.patch
deleted file mode 100644 (file)
index ac70b35..0000000
+++ /dev/null
@@ -1,45 +0,0 @@
-From d24bb9c4b5d3b0bb2bd5dd922bae3fce894ab87e Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Tue, 1 Oct 2019 10:19:50 +0100
-Subject: [PATCH] overlays: Remove hack from uart0 overlay
-
-The uart0 overlay contained a hack to return GPIOs 14 and 15 to inputs
-when the UART0 function was moved to alternative pins. This has the
-unwanted side effect of claiming GPIOs 14 & 15, preventing them being
-used for something else.
-
-See: https://github.com/raspberrypi/linux/issues/2856
-     https://www.raspberrypi.org/forums/viewtopic.php?f=98&t=252911
-
-Signed-off-by: Stefan Enge <stefan.enge@escatec.com>
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/boot/dts/overlays/uart0-overlay.dts | 13 ++++++-------
- 1 file changed, 6 insertions(+), 7 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/uart0-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/uart0-overlay.dts
-@@ -17,17 +17,16 @@
-               target = <&gpio>;
-               __overlay__ {
-                       uart0_pins: uart0_pins {
--                              brcm,pins = <14 15 14 15>;
--                              brcm,function = <0 0 4 4>; /* alt0 */
--                              brcm,pull = <0 0 0 2>;
-+                              brcm,pins = <14 15>;
-+                              brcm,function = <4>; /* alt0 */
-+                              brcm,pull = <0 2>;
-                       };
-               };
-       };
-       __overrides__ {
--              txd0_pin = <&uart0_pins>,"brcm,pins:8";
--              rxd0_pin = <&uart0_pins>,"brcm,pins:12";
--              pin_func = <&uart0_pins>,"brcm,function:8",
--                         <&uart0_pins>,"brcm,function:12";
-+              txd0_pin = <&uart0_pins>,"brcm,pins:0";
-+              rxd0_pin = <&uart0_pins>,"brcm,pins:4";
-+              pin_func = <&uart0_pins>,"brcm,function:0";
-       };
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0348-arm-dts-Correct-Pi-4B-LED-values.patch b/target/linux/bcm27xx/patches-5.4/950-0348-arm-dts-Correct-Pi-4B-LED-values.patch
new file mode 100644 (file)
index 0000000..a3bae52
--- /dev/null
@@ -0,0 +1,39 @@
+From 4768e4d0e87e5814d3f315f7a575cad123fc2e36 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Fri, 22 Nov 2019 15:08:25 +0000
+Subject: [PATCH] arm/dts: Correct Pi 4B LED values
+
+The initial PHY LED settings are wrong Pi 4B (the correct values got
+dropped somewhere along the way). The PHY declaration should arguably
+go in a separate file included by bcm2711-rpi-4-b.dts, but we can
+fix that as we switch over to using more of the upstream BCM2711
+support in 5.4 and later.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/boot/dts/bcm2838.dtsi    | 2 +-
+ arch/arm/boot/dts/overlays/README | 2 +-
+ 2 files changed, 2 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2838.dtsi
++++ b/arch/arm/boot/dts/bcm2838.dtsi
+@@ -380,7 +380,7 @@
+                                       /* No interrupts - use PHY_POLL */
+                                       max-speed = <1000>;
+                                       reg = <0x1>;
+-                                      led-modes = <0x02 0x02>;
++                                      led-modes = <0x00 0x08>; /* link/activity link */
+                               };
+                       };
+               };
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -128,7 +128,7 @@ Params:
+                                 8=Link                   9=Activity
+         eth_led1                Set mode of LED1 (usually green) (Pi3B+ default
+-                                "6", Pi4 default "0"). See eth_led0 for legal
++                                "6", Pi4 default "8"). See eth_led0 for legal
+                                 values.
+         eth_max_speed           Set the maximum speed a link is allowed
diff --git a/target/linux/bcm27xx/patches-5.4/950-0348-arm-dts-overlays-pitft35-resistive-add-upstream-comp.patch b/target/linux/bcm27xx/patches-5.4/950-0348-arm-dts-overlays-pitft35-resistive-add-upstream-comp.patch
deleted file mode 100644 (file)
index ba19abb..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From 47a9af99289ef0b9d60a72cd7147958e4745468c Mon Sep 17 00:00:00 2001
-From: Peter Robinson <pbrobinson@gmail.com>
-Date: Sun, 17 Nov 2019 16:20:24 +0000
-Subject: [PATCH] arm: dts: overlays: pitft35-resistive: add upstream
- compatible
-
-The upstream hx8357d driver uses "adafruit,yx350hv15" for the compatible
-string explicitly for this screen config and not a hx8357d generic for
-the controller so add that in as well so it will work with an unmodified
-upstream kernel driver. We leave the downstream as the priority.
-
-Signed-off-by: Peter Robinson <pbrobinson@gmail.com>
----
- arch/arm/boot/dts/overlays/pitft35-resistive-overlay.dts | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/overlays/pitft35-resistive-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/pitft35-resistive-overlay.dts
-@@ -49,7 +49,7 @@
-                       #size-cells = <0>;
-                       pitft: pitft@0{
--                              compatible = "himax,hx8357d";
-+                              compatible = "himax,hx8357d", "adafruit,yx350hv15";
-                               reg = <0>;
-                               pinctrl-names = "default";
-                               pinctrl-0 = <&pitft_pins>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0349-drm-v3d-Set-dma_mask-as-well-as-coherent_dma_mask.patch b/target/linux/bcm27xx/patches-5.4/950-0349-drm-v3d-Set-dma_mask-as-well-as-coherent_dma_mask.patch
new file mode 100644 (file)
index 0000000..67cdd44
--- /dev/null
@@ -0,0 +1,27 @@
+From 159ccf0090f202cf031fa429df22e8b3f775ece8 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Fri, 22 Nov 2019 16:23:32 +0000
+Subject: [PATCH] drm/v3d: Set dma_mask as well as coherent_dma_mask
+
+Both coherent_dma_mask and dma_mask act as constraints on allocations
+and bounce buffer usage, so be sure to set dma_mask to the appropriate
+value otherwise the effective mask could be incorrect.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/gpu/drm/v3d/v3d_drv.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/v3d/v3d_drv.c
++++ b/drivers/gpu/drm/v3d/v3d_drv.c
+@@ -259,8 +259,8 @@ static int v3d_platform_drm_probe(struct
+               goto dev_free;
+       mmu_debug = V3D_READ(V3D_MMU_DEBUG_INFO);
+-      dev->coherent_dma_mask =
+-              DMA_BIT_MASK(30 + V3D_GET_FIELD(mmu_debug, V3D_MMU_PA_WIDTH));
++      dma_set_mask_and_coherent(dev,
++              DMA_BIT_MASK(30 + V3D_GET_FIELD(mmu_debug, V3D_MMU_PA_WIDTH)));
+       v3d->va_width = 30 + V3D_GET_FIELD(mmu_debug, V3D_MMU_VA_WIDTH);
+       ident1 = V3D_READ(V3D_HUB_IDENT1);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0349-v3d_drv-Handle-missing-clock-more-gracefully.patch b/target/linux/bcm27xx/patches-5.4/950-0349-v3d_drv-Handle-missing-clock-more-gracefully.patch
deleted file mode 100644 (file)
index 812d6f1..0000000
+++ /dev/null
@@ -1,25 +0,0 @@
-From 43406ddc1adaebe9b03d010fd024a96cee139cc2 Mon Sep 17 00:00:00 2001
-From: popcornmix <popcornmix@gmail.com>
-Date: Fri, 23 Aug 2019 16:34:38 +0100
-Subject: [PATCH] v3d_drv: Handle missing clock more gracefully
-
-Signed-off-by: popcornmix <popcornmix@gmail.com>
----
- drivers/gpu/drm/v3d/v3d_drv.c | 6 +++---
- 1 file changed, 3 insertions(+), 3 deletions(-)
-
---- a/drivers/gpu/drm/v3d/v3d_drv.c
-+++ b/drivers/gpu/drm/v3d/v3d_drv.c
-@@ -286,9 +286,9 @@ static int v3d_platform_drm_probe(struct
-       }
-       v3d->clk = devm_clk_get(dev, NULL);
--      if (IS_ERR(v3d->clk)) {
--              if (ret != -EPROBE_DEFER)
--                      dev_err(dev, "Failed to get clock\n");
-+      if (IS_ERR_OR_NULL(v3d->clk)) {
-+              if (PTR_ERR(v3d->clk) != -EPROBE_DEFER)
-+                      dev_err(dev, "Failed to get clock (%ld)\n", PTR_ERR(v3d->clk));
-               goto dev_free;
-       }
-       v3d->clk_up_rate = clk_get_rate(v3d->clk);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0350-arm-dts-2711-Add-pcie0-alias.patch b/target/linux/bcm27xx/patches-5.4/950-0350-arm-dts-2711-Add-pcie0-alias.patch
new file mode 100644 (file)
index 0000000..fa00fb1
--- /dev/null
@@ -0,0 +1,24 @@
+From ea94fb0b5693c354e5281eb3fcdbc9700cdd3d7f Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Thu, 28 Nov 2019 15:49:08 +0000
+Subject: [PATCH] arm/dts: 2711: Add 'pcie0' alias
+
+It is useful for the firmware to be able to locate the pcie DT node,
+so add an alias pointing to it in the same way that "ethernet0"
+points to the genet.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -30,6 +30,7 @@
+               /delete-property/ ethernet;
+               /delete-property/ intc;
+               ethernet0 = &genet;
++              pcie0 = &pcie_0;
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0350-v3d_gem-Kick-the-clock-so-firmware-knows-we-are-usin.patch b/target/linux/bcm27xx/patches-5.4/950-0350-v3d_gem-Kick-the-clock-so-firmware-knows-we-are-usin.patch
deleted file mode 100644 (file)
index 1892a14..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From dfc842c139ef08e21647c43c19c2a23090b65b27 Mon Sep 17 00:00:00 2001
-From: popcornmix <popcornmix@gmail.com>
-Date: Thu, 5 Sep 2019 17:59:14 +0100
-Subject: [PATCH] v3d_gem: Kick the clock so firmware knows we are
- using firmware clock interface
-
-Setting the v3d clock to low value allows firmware to handle dvfs in case
-where v3d hardware is not being actively used (e.g. console use).
-
-Signed-off-by: popcornmix <popcornmix@gmail.com>
----
- drivers/gpu/drm/v3d/v3d_gem.c | 4 ++++
- 1 file changed, 4 insertions(+)
-
---- a/drivers/gpu/drm/v3d/v3d_gem.c
-+++ b/drivers/gpu/drm/v3d/v3d_gem.c
-@@ -918,6 +918,10 @@ v3d_gem_init(struct drm_device *dev)
-       mutex_init(&v3d->clk_lock);
-       INIT_DELAYED_WORK(&v3d->clk_down_work, v3d_clock_down_work);
-+      /* kick the clock so firmware knows we are using firmware clock interface */
-+      v3d_clock_up_get(v3d);
-+      v3d_clock_up_put(v3d);
-+
-       /* Note: We don't allocate address 0.  Various bits of HW
-        * treat 0 as special, such as the occlusion query counters
-        * where 0 means "disabled".
diff --git a/target/linux/bcm27xx/patches-5.4/950-0351-clk-bcm2835-Disable-v3d-clock.patch b/target/linux/bcm27xx/patches-5.4/950-0351-clk-bcm2835-Disable-v3d-clock.patch
deleted file mode 100644 (file)
index a7a5221..0000000
+++ /dev/null
@@ -1,58 +0,0 @@
-From 6c37f43308f29a59bc67d4ed010f8fbbf076ec79 Mon Sep 17 00:00:00 2001
-From: popcornmix <popcornmix@gmail.com>
-Date: Tue, 3 Sep 2019 20:28:00 +0100
-Subject: [PATCH] clk-bcm2835: Disable v3d clock
-
-This is controlled by firmware, see clk-raspberrypi.c
-
-Signed-off-by: popcornmix <popcornmix@gmail.com>
----
- drivers/clk/bcm/clk-bcm2835.c | 30 ++++++++++++------------------
- 1 file changed, 12 insertions(+), 18 deletions(-)
-
---- a/drivers/clk/bcm/clk-bcm2835.c
-+++ b/drivers/clk/bcm/clk-bcm2835.c
-@@ -1734,16 +1734,12 @@ static const struct bcm2835_clk_desc clk
-               .hold_mask = CM_PLLA_HOLDCORE,
-               .fixed_divider = 1,
-               .flags = CLK_SET_RATE_PARENT),
--      [BCM2835_PLLA_PER]      = REGISTER_PLL_DIV(
--              SOC_ALL,
--              .name = "plla_per",
--              .source_pll = "plla",
--              .cm_reg = CM_PLLA,
--              .a2w_reg = A2W_PLLA_PER,
--              .load_mask = CM_PLLA_LOADPER,
--              .hold_mask = CM_PLLA_HOLDPER,
--              .fixed_divider = 1,
--              .flags = CLK_SET_RATE_PARENT),
-+
-+      /*
-+       * PLLA_PER is used for gpu clocks. Controlled by firmware, see
-+       * clk-raspberrypi.c.
-+       */
-+
-       [BCM2835_PLLA_DSI0]     = REGISTER_PLL_DIV(
-               SOC_ALL,
-               .name = "plla_dsi0",
-@@ -2021,14 +2017,12 @@ static const struct bcm2835_clk_desc clk
-               .int_bits = 6,
-               .frac_bits = 0,
-               .tcnt_mux = 3),
--      [BCM2835_CLOCK_V3D]     = REGISTER_VPU_CLK(
--              SOC_ALL,
--              .name = "v3d",
--              .ctl_reg = CM_V3DCTL,
--              .div_reg = CM_V3DDIV,
--              .int_bits = 4,
--              .frac_bits = 8,
--              .tcnt_mux = 4),
-+
-+      /*
-+       * CLOCK_V3D is used for v3d clock. Controlled by firmware, see
-+       * clk-raspberrypi.c.
-+       */
-+
-       /*
-        * VPU clock.  This doesn't have an enable bit, since it drives
-        * the bus for everything else, and is special so it doesn't need
diff --git a/target/linux/bcm27xx/patches-5.4/950-0351-rpi-cirrus-wm5102-overlay-fix-pinctrl-configuration.patch b/target/linux/bcm27xx/patches-5.4/950-0351-rpi-cirrus-wm5102-overlay-fix-pinctrl-configuration.patch
new file mode 100644 (file)
index 0000000..61f49d5
--- /dev/null
@@ -0,0 +1,123 @@
+From 01f45f7d4403e40f28f626296bec3ccae1b1f65b Mon Sep 17 00:00:00 2001
+From: Matthias Reichl <hias@horus.com>
+Date: Sat, 30 Nov 2019 23:10:26 +0100
+Subject: [PATCH] rpi-cirrus-wm5102-overlay: fix pinctrl configuration
+
+Separate GPIOs connected to wm5102 and wm8804 into 2 pinctrl
+blocks and properly reference them from the DT nodes to have
+correct pinmux owners.
+
+Setup spi0 to use only one CS line on GPIO7 so that GPIO8 is
+no longer claimed by spi0 but can be used by wm8804.
+
+Signed-off-by: Matthias Reichl <hias@horus.com>
+---
+ .../overlays/rpi-cirrus-wm5102-overlay.dts    | 40 ++++++++++++++-----
+ 1 file changed, 30 insertions(+), 10 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/rpi-cirrus-wm5102-overlay.dts
++++ b/arch/arm/boot/dts/overlays/rpi-cirrus-wm5102-overlay.dts
+@@ -18,19 +18,31 @@
+       fragment@1 {
+               target = <&gpio>;
+               __overlay__ {
+-                      wlf_pins: wlf_pins {
+-                              brcm,pins = <17 22 27 8>;
++                      wlf_5102_pins: wlf_5102_pins {
++                              brcm,pins = <17 22 27>;
+                               brcm,function = <
+                                       BCM2835_FSEL_GPIO_OUT
+                                       BCM2835_FSEL_GPIO_OUT
+                                       BCM2835_FSEL_GPIO_IN
+-                                      BCM2835_FSEL_GPIO_OUT
+                               >;
+                       };
++                      wlf_8804_pins: wlf_8804_pins {
++                              brcm,pins = <8>;
++                              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++                      };
+               };
+       };
+       fragment@2 {
++              target = <&spi0_cs_pins>;
++              __overlay__ {
++                      brcm,pins = <7>;
++                      brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++              };
++      };
++
++
++      fragment@3 {
+               target-path = "/";
+               __overlay__ {
+                       rpi_cirrus_reg_1v8: rpi_cirrus_reg_1v8 {
+@@ -43,30 +55,34 @@
+               };
+       };
+-      fragment@3 {
++      fragment@4 {
+               target = <&spidev0>;
+               __overlay__ {
+                       status = "disabled";
+               };
+       };
+-      fragment@4 {
++      fragment@5 {
+               target = <&spidev1>;
+               __overlay__ {
+                       status = "disabled";
+               };
+       };
+-      fragment@5 {
++      fragment@6 {
+               target = <&spi0>;
+               __overlay__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+                       status = "okay";
++                      cs-gpios = <&gpio 7 GPIO_ACTIVE_LOW>;
+-                      wm5102@1{
++                      wm5102@0{
+                               compatible = "wlf,wm5102";
+-                              reg = <1>;
++                              reg = <0>;
++
++                              pinctrl-names = "default";
++                              pinctrl-0 = <&wlf_5102_pins>;
+                               spi-max-frequency = <500000>;
+@@ -123,7 +139,7 @@
+               };
+       };
+-      fragment@6 {
++      fragment@7 {
+               target = <&i2c1>;
+               __overlay__ {
+                       status = "okay";
+@@ -134,6 +150,10 @@
+                               compatible = "wlf,wm8804";
+                               reg = <0x3b>;
+                               status = "okay";
++
++                              pinctrl-names = "default";
++                              pinctrl-0 = <&wlf_8804_pins>;
++
+                               PVDD-supply = <&vdd_3v3_reg>;
+                               DVDD-supply = <&vdd_3v3_reg>;
+                               wlf,reset-gpio = <&gpio 8 GPIO_ACTIVE_HIGH>;
+@@ -141,7 +161,7 @@
+               };
+       };
+-      fragment@7 {
++      fragment@8 {
+               target = <&sound>;
+               __overlay__ {
+                       compatible = "wlf,rpi-cirrus";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0352-raspberrypi-cpufreq-Only-report-integer-pll-divisor-.patch b/target/linux/bcm27xx/patches-5.4/950-0352-raspberrypi-cpufreq-Only-report-integer-pll-divisor-.patch
deleted file mode 100644 (file)
index 192b13b..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-From 814af1a008845b61a08111f2f9cf7e66511ab362 Mon Sep 17 00:00:00 2001
-From: popcornmix <popcornmix@gmail.com>
-Date: Fri, 13 Sep 2019 13:45:11 +0100
-Subject: [PATCH] raspberrypi-cpufreq: Only report integer pll divisor
- frequencies
-
----
- drivers/cpufreq/raspberrypi-cpufreq.c | 7 ++++++-
- 1 file changed, 6 insertions(+), 1 deletion(-)
-
---- a/drivers/cpufreq/raspberrypi-cpufreq.c
-+++ b/drivers/cpufreq/raspberrypi-cpufreq.c
-@@ -8,6 +8,7 @@
- #include <linux/clk.h>
- #include <linux/cpu.h>
- #include <linux/cpufreq.h>
-+#include <linux/math64.h>
- #include <linux/module.h>
- #include <linux/platform_device.h>
- #include <linux/pm_opp.h>
-@@ -22,6 +23,7 @@ static int raspberrypi_cpufreq_probe(str
-       unsigned long min, max;
-       unsigned long rate;
-       struct clk *clk;
-+      int div;
-       int ret;
-       cpu_dev = get_cpu_device(0);
-@@ -44,7 +46,10 @@ static int raspberrypi_cpufreq_probe(str
-       max = roundup(clk_round_rate(clk, ULONG_MAX), RASPBERRYPI_FREQ_INTERVAL);
-       clk_put(clk);
--      for (rate = min; rate <= max; rate += RASPBERRYPI_FREQ_INTERVAL) {
-+      for (div = 2; ; div++) {
-+              rate = div_u64((u64)max * 2, div);
-+              if (rate < min)
-+                      break;
-               ret = dev_pm_opp_add(cpu_dev, rate, 0);
-               if (ret)
-                       goto remove_opp;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0352-staging-vchiq_arm-Set-up-dma-ranges-on-child-devices.patch b/target/linux/bcm27xx/patches-5.4/950-0352-staging-vchiq_arm-Set-up-dma-ranges-on-child-devices.patch
new file mode 100644 (file)
index 0000000..0a6660b
--- /dev/null
@@ -0,0 +1,33 @@
+From a9b691174273348a6818213b9f008ae555e1c98c Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 29 Jan 2019 16:13:25 +0000
+Subject: [PATCH] staging: vchiq_arm: Set up dma ranges on child
+ devices
+
+The VCHIQ driver now loads the audio, camera, codec, and vc-sm
+drivers as platform drivers. However they were not being given
+the correct DMA configuration.
+
+Call of_dma_configure with the parent (VCHIQ) parameters to be
+inherited by the child.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../staging/vc04_services/interface/vchiq_arm/vchiq_arm.c   | 6 ++++++
+ 1 file changed, 6 insertions(+)
+
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
+@@ -3195,6 +3195,12 @@ vchiq_register_child(struct platform_dev
+               child = NULL;
+       }
++      /*
++       * We want the dma-ranges etc to be copied from the parent VCHIQ device
++       * to be passed on to the children too.
++       */
++      of_dma_configure(&new_dev->dev, pdev->dev.of_node, true);
++
+       return child;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0353-arm-dts-Correct-Pi-4B-LED-values.patch b/target/linux/bcm27xx/patches-5.4/950-0353-arm-dts-Correct-Pi-4B-LED-values.patch
deleted file mode 100644 (file)
index a3bae52..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-From 4768e4d0e87e5814d3f315f7a575cad123fc2e36 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Fri, 22 Nov 2019 15:08:25 +0000
-Subject: [PATCH] arm/dts: Correct Pi 4B LED values
-
-The initial PHY LED settings are wrong Pi 4B (the correct values got
-dropped somewhere along the way). The PHY declaration should arguably
-go in a separate file included by bcm2711-rpi-4-b.dts, but we can
-fix that as we switch over to using more of the upstream BCM2711
-support in 5.4 and later.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/boot/dts/bcm2838.dtsi    | 2 +-
- arch/arm/boot/dts/overlays/README | 2 +-
- 2 files changed, 2 insertions(+), 2 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2838.dtsi
-+++ b/arch/arm/boot/dts/bcm2838.dtsi
-@@ -380,7 +380,7 @@
-                                       /* No interrupts - use PHY_POLL */
-                                       max-speed = <1000>;
-                                       reg = <0x1>;
--                                      led-modes = <0x02 0x02>;
-+                                      led-modes = <0x00 0x08>; /* link/activity link */
-                               };
-                       };
-               };
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -128,7 +128,7 @@ Params:
-                                 8=Link                   9=Activity
-         eth_led1                Set mode of LED1 (usually green) (Pi3B+ default
--                                "6", Pi4 default "0"). See eth_led0 for legal
-+                                "6", Pi4 default "8"). See eth_led0 for legal
-                                 values.
-         eth_max_speed           Set the maximum speed a link is allowed
diff --git a/target/linux/bcm27xx/patches-5.4/950-0353-staging-vchiq-Use-the-old-dma-controller-for-OF-conf.patch b/target/linux/bcm27xx/patches-5.4/950-0353-staging-vchiq-Use-the-old-dma-controller-for-OF-conf.patch
new file mode 100644 (file)
index 0000000..eb35a81
--- /dev/null
@@ -0,0 +1,51 @@
+From 6aa74a52e014952b1a144def670a03a7deb0e112 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Tue, 18 Jun 2019 12:15:50 +0100
+Subject: [PATCH] staging: vchiq: Use the old dma controller for OF
+ config on platform devices
+
+vchiq on Pi4 is no longer under the soc node, therefore it
+doesn't get the dma-ranges for the VPU.
+
+Switch to using the configuration of the old dma controller as
+that will set the dma-ranges correctly.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ .../interface/vchiq_arm/vchiq_arm.c             | 17 ++++++++++++++---
+ 1 file changed, 14 insertions(+), 3 deletions(-)
+
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
+@@ -3181,6 +3181,7 @@ vchiq_register_child(struct platform_dev
+ {
+       struct platform_device_info pdevinfo;
+       struct platform_device *child;
++      struct device_node *np;
+       memset(&pdevinfo, 0, sizeof(pdevinfo));
+@@ -3196,10 +3197,20 @@ vchiq_register_child(struct platform_dev
+       }
+       /*
+-       * We want the dma-ranges etc to be copied from the parent VCHIQ device
+-       * to be passed on to the children too.
++       * We want the dma-ranges etc to be copied from a device with the
++       * correct dma-ranges for the VPU.
++       * VCHIQ on Pi4 is now under scb which doesn't get those dma-ranges.
++       * Take the "dma" node as going to be suitable as it sees the world
++       * through the same eyes as the VPU.
+        */
+-      of_dma_configure(&new_dev->dev, pdev->dev.of_node, true);
++      np = of_find_node_by_path("dma");
++      if (!np)
++              np = pdev->dev.of_node;
++
++      of_dma_configure(&child->dev, np, true);
++
++      if (np != pdev->dev.of_node)
++              of_node_put(np);
+       return child;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0354-drm-v3d-Set-dma_mask-as-well-as-coherent_dma_mask.patch b/target/linux/bcm27xx/patches-5.4/950-0354-drm-v3d-Set-dma_mask-as-well-as-coherent_dma_mask.patch
deleted file mode 100644 (file)
index 67cdd44..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From 159ccf0090f202cf031fa429df22e8b3f775ece8 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Fri, 22 Nov 2019 16:23:32 +0000
-Subject: [PATCH] drm/v3d: Set dma_mask as well as coherent_dma_mask
-
-Both coherent_dma_mask and dma_mask act as constraints on allocations
-and bounce buffer usage, so be sure to set dma_mask to the appropriate
-value otherwise the effective mask could be incorrect.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/gpu/drm/v3d/v3d_drv.c | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/v3d/v3d_drv.c
-+++ b/drivers/gpu/drm/v3d/v3d_drv.c
-@@ -259,8 +259,8 @@ static int v3d_platform_drm_probe(struct
-               goto dev_free;
-       mmu_debug = V3D_READ(V3D_MMU_DEBUG_INFO);
--      dev->coherent_dma_mask =
--              DMA_BIT_MASK(30 + V3D_GET_FIELD(mmu_debug, V3D_MMU_PA_WIDTH));
-+      dma_set_mask_and_coherent(dev,
-+              DMA_BIT_MASK(30 + V3D_GET_FIELD(mmu_debug, V3D_MMU_PA_WIDTH)));
-       v3d->va_width = 30 + V3D_GET_FIELD(mmu_debug, V3D_MMU_VA_WIDTH);
-       ident1 = V3D_READ(V3D_HUB_IDENT1);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0354-dwc_otg-checking-the-urb-transfer_buffer-too-early-3.patch b/target/linux/bcm27xx/patches-5.4/950-0354-dwc_otg-checking-the-urb-transfer_buffer-too-early-3.patch
new file mode 100644 (file)
index 0000000..3bc9abd
--- /dev/null
@@ -0,0 +1,59 @@
+From 271a9dfee2eb426ca9ec1ef51c6205de8496b803 Mon Sep 17 00:00:00 2001
+From: Hui Wang <hui.wang@canonical.com>
+Date: Sun, 17 Nov 2019 10:31:46 +0800
+Subject: [PATCH] dwc_otg: checking the urb->transfer_buffer too early
+ (#3332)
+
+After enable the HIGHMEM and VMSPLIT_3G, the dwc_otg driver doesn't
+work well on Pi2/3 boards with 1G physical ram. Users experience
+the failure when copying a file of 600M size to the USB stick. And
+at the same time, the dmesg shows:
+usb 1-1.1.2: reset high-speed USB device number 8 using dwc_otg
+sd 0:0:0:0: [sda] tag#0 FAILED Result: hostbyte=DID_ERROR driverbyte=DRIVER_OK
+blk_update_request: I/O error, dev sda, sector 3024048 op 0x1:(WRITE) flags 0x4000 phys_seg 15 prio class 0
+
+When this happens, the sg_buf sent to the driver is located in the
+highmem region, the usb_sg_init() in the core/message.c will leave
+transfer_buffer to NULL if the sg_buf is in highmem, but in the
+dwc_otg driver, it returns -EINVAL unconditionally if transfer_buffer
+is NULL.
+
+The driver can handle the situation of buffer to be NULL, if it is in
+DMA mode, it will convert an address from transfer_dma.
+
+But if the conversion fails or it is in the PIO mode, we should check
+buffer and return -EINVAL if it is NULL.
+
+BugLink: https://bugs.launchpad.net/bugs/1852510
+Signed-off-by: Hui Wang <hui.wang@canonical.com>
+---
+ drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c | 11 +++++++----
+ 1 file changed, 7 insertions(+), 4 deletions(-)
+
+--- a/drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c
++++ b/drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c
+@@ -782,10 +782,6 @@ static int dwc_otg_urb_enqueue(struct us
+               dump_urb_info(urb, "dwc_otg_urb_enqueue");
+       }
+ #endif
+-
+-      if (!urb->transfer_buffer && urb->transfer_buffer_length)
+-              return -EINVAL;
+-
+       if ((usb_pipetype(urb->pipe) == PIPE_ISOCHRONOUS)
+           || (usb_pipetype(urb->pipe) == PIPE_INTERRUPT)) {
+               if (!dwc_otg_hcd_is_bandwidth_allocated
+@@ -842,6 +838,13 @@ static int dwc_otg_urb_enqueue(struct us
+                             &urb->transfer_dma, buf);
+       }
++      if (!buf && urb->transfer_buffer_length) {
++              DWC_FREE(dwc_otg_urb);
++              DWC_ERROR("transfer_buffer is NULL in PIO mode or both "
++                         "transfer_buffer and transfer_dma are NULL in DMA mode\n");
++              return -EINVAL;
++      }
++
+       if (!(urb->transfer_flags & URB_NO_INTERRUPT))
+               flags |= URB_GIVEBACK_ASAP;
+       if (urb->transfer_flags & URB_ZERO_PACKET)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0355-arm-dts-2711-Add-pcie0-alias.patch b/target/linux/bcm27xx/patches-5.4/950-0355-arm-dts-2711-Add-pcie0-alias.patch
deleted file mode 100644 (file)
index fa00fb1..0000000
+++ /dev/null
@@ -1,24 +0,0 @@
-From ea94fb0b5693c354e5281eb3fcdbc9700cdd3d7f Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Thu, 28 Nov 2019 15:49:08 +0000
-Subject: [PATCH] arm/dts: 2711: Add 'pcie0' alias
-
-It is useful for the firmware to be able to locate the pcie DT node,
-so add an alias pointing to it in the same way that "ethernet0"
-points to the genet.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -30,6 +30,7 @@
-               /delete-property/ ethernet;
-               /delete-property/ intc;
-               ethernet0 = &genet;
-+              pcie0 = &pcie_0;
-       };
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0355-overlays-Make-mcp342x-run-time-compatible.patch b/target/linux/bcm27xx/patches-5.4/950-0355-overlays-Make-mcp342x-run-time-compatible.patch
new file mode 100644 (file)
index 0000000..1b4809d
--- /dev/null
@@ -0,0 +1,209 @@
+From 00f01136b1c165e0f4a190fcb5ec8aa11428362f Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Mon, 9 Dec 2019 12:32:20 +0000
+Subject: [PATCH] overlays: Make mcp342x run-time compatible
+
+The order of processing of run-time overlays differs from that done by
+the firmware. This means that certain parameter processing techniques
+are not compatible with run-time use.  The mcp342x overlay is one such
+overlay, but it is easy to change the implementation without changing
+the interface.
+
+See: https://www.raspberrypi.org/forums/viewtopic.php?f=107&t=258294
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ .../arm/boot/dts/overlays/mcp342x-overlay.dts | 133 ++++++++++++++----
+ 1 file changed, 102 insertions(+), 31 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/mcp342x-overlay.dts
++++ b/arch/arm/boot/dts/overlays/mcp342x-overlay.dts
+@@ -8,14 +8,15 @@
+       fragment@0 {
+               target = <&i2c1>;
+-              __overlay__ {
++              __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+                       status = "okay";
+-                      mcp342x: mcp@68 {
++                      mcp3421: mcp@68 {
+                               reg = <0x68>;
++                              compatible = "microchip,mcp3421";
+                               status = "okay";
+                       };
+@@ -23,71 +24,141 @@
+       };
+       fragment@1 {
+-              target = <&mcp342x>;
++              target = <&i2c1>;
+               __dormant__ {
+-                      compatible = "microchip,mcp3421";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      status = "okay";
++
++                      mcp3422: mcp@68 {
++                              reg = <0x68>;
++                              compatible = "microchip,mcp3422";
++
++                              status = "okay";
++                      };
+               };
+       };
+       fragment@2 {
+-              target = <&mcp342x>;
++              target = <&i2c1>;
+               __dormant__ {
+-                      compatible = "microchip,mcp3422";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      status = "okay";
++
++                      mcp3423: mcp@68 {
++                              reg = <0x68>;
++                              compatible = "microchip,mcp3423";
++
++                              status = "okay";
++                      };
+               };
+       };
+       fragment@3 {
+-              target = <&mcp342x>;
++              target = <&i2c1>;
+               __dormant__ {
+-                      compatible = "microchip,mcp3423";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      status = "okay";
++
++                      mcp3424: mcp@68 {
++                              reg = <0x68>;
++                              compatible = "microchip,mcp3424";
++
++                              status = "okay";
++                      };
+               };
+       };
+       fragment@4 {
+-              target = <&mcp342x>;
++              target = <&i2c1>;
+               __dormant__ {
+-                      compatible = "microchip,mcp3424";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      status = "okay";
++
++                      mcp3425: mcp@68 {
++                              reg = <0x68>;
++                              compatible = "microchip,mcp3425","mcp3425";
++
++                              status = "okay";
++                      };
+               };
+       };
+       fragment@5 {
+-              target = <&mcp342x>;
++              target = <&i2c1>;
+               __dormant__ {
+-                      compatible = "microchip,mcp3425";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      status = "okay";
++
++                      mcp3426: mcp@68 {
++                              reg = <0x68>;
++                              compatible = "microchip,mcp3426";
++
++                              status = "okay";
++                      };
+               };
+       };
+       fragment@6 {
+-              target = <&mcp342x>;
++              target = <&i2c1>;
+               __dormant__ {
+-                      compatible = "microchip,mcp3426";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      status = "okay";
++
++                      mcp3427: mcp@68 {
++                              reg = <0x68>;
++                              compatible = "microchip,mcp3427";
++
++                              status = "okay";
++                      };
+               };
+       };
+       fragment@7 {
+-              target = <&mcp342x>;
++              target = <&i2c1>;
+               __dormant__ {
+-                      compatible = "microchip,mcp3427";
+-              };
+-      };
++                      #address-cells = <1>;
++                      #size-cells = <0>;
+-      fragment@8 {
+-              target = <&mcp342x>;
+-              __dormant__ {
+-                      compatible = "microchip,mcp3428";
++                      status = "okay";
++
++                      mcp3428: mcp@68 {
++                              reg = <0x68>;
++                              compatible = "microchip,mcp3428";
++
++                              status = "okay";
++                      };
+               };
+       };
+       __overrides__ {
+-              addr = <&mcp342x>,"reg:0";
+-              mcp3421 = <0>,"=1";
+-              mcp3422 = <0>,"=2";
+-              mcp3423 = <0>,"=3";
+-              mcp3424 = <0>,"=4";
+-              mcp3425 = <0>,"=5";
+-              mcp3426 = <0>,"=6";
+-              mcp3427 = <0>,"=7";
+-              mcp3428 = <0>,"=8";
++              addr = <&mcp3421>,"reg:0",
++                     <&mcp3422>,"reg:0",
++                     <&mcp3423>,"reg:0",
++                     <&mcp3424>,"reg:0",
++                     <&mcp3425>,"reg:0",
++                     <&mcp3426>,"reg:0",
++                     <&mcp3427>,"reg:0",
++                     <&mcp3428>,"reg:0";
++              mcp3421 = <0>,"=0";
++              mcp3422 = <0>,"=1";
++              mcp3423 = <0>,"=2";
++              mcp3424 = <0>,"=3";
++              mcp3425 = <0>,"=4";
++              mcp3426 = <0>,"=5";
++              mcp3427 = <0>,"=6";
++              mcp3428 = <0>,"=7";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0356-rpi-cirrus-wm5102-overlay-fix-pinctrl-configuration.patch b/target/linux/bcm27xx/patches-5.4/950-0356-rpi-cirrus-wm5102-overlay-fix-pinctrl-configuration.patch
deleted file mode 100644 (file)
index 61f49d5..0000000
+++ /dev/null
@@ -1,123 +0,0 @@
-From 01f45f7d4403e40f28f626296bec3ccae1b1f65b Mon Sep 17 00:00:00 2001
-From: Matthias Reichl <hias@horus.com>
-Date: Sat, 30 Nov 2019 23:10:26 +0100
-Subject: [PATCH] rpi-cirrus-wm5102-overlay: fix pinctrl configuration
-
-Separate GPIOs connected to wm5102 and wm8804 into 2 pinctrl
-blocks and properly reference them from the DT nodes to have
-correct pinmux owners.
-
-Setup spi0 to use only one CS line on GPIO7 so that GPIO8 is
-no longer claimed by spi0 but can be used by wm8804.
-
-Signed-off-by: Matthias Reichl <hias@horus.com>
----
- .../overlays/rpi-cirrus-wm5102-overlay.dts    | 40 ++++++++++++++-----
- 1 file changed, 30 insertions(+), 10 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/rpi-cirrus-wm5102-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/rpi-cirrus-wm5102-overlay.dts
-@@ -18,19 +18,31 @@
-       fragment@1 {
-               target = <&gpio>;
-               __overlay__ {
--                      wlf_pins: wlf_pins {
--                              brcm,pins = <17 22 27 8>;
-+                      wlf_5102_pins: wlf_5102_pins {
-+                              brcm,pins = <17 22 27>;
-                               brcm,function = <
-                                       BCM2835_FSEL_GPIO_OUT
-                                       BCM2835_FSEL_GPIO_OUT
-                                       BCM2835_FSEL_GPIO_IN
--                                      BCM2835_FSEL_GPIO_OUT
-                               >;
-                       };
-+                      wlf_8804_pins: wlf_8804_pins {
-+                              brcm,pins = <8>;
-+                              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
-+                      };
-               };
-       };
-       fragment@2 {
-+              target = <&spi0_cs_pins>;
-+              __overlay__ {
-+                      brcm,pins = <7>;
-+                      brcm,function = <BCM2835_FSEL_GPIO_OUT>;
-+              };
-+      };
-+
-+
-+      fragment@3 {
-               target-path = "/";
-               __overlay__ {
-                       rpi_cirrus_reg_1v8: rpi_cirrus_reg_1v8 {
-@@ -43,30 +55,34 @@
-               };
-       };
--      fragment@3 {
-+      fragment@4 {
-               target = <&spidev0>;
-               __overlay__ {
-                       status = "disabled";
-               };
-       };
--      fragment@4 {
-+      fragment@5 {
-               target = <&spidev1>;
-               __overlay__ {
-                       status = "disabled";
-               };
-       };
--      fragment@5 {
-+      fragment@6 {
-               target = <&spi0>;
-               __overlay__ {
-                       #address-cells = <1>;
-                       #size-cells = <0>;
-                       status = "okay";
-+                      cs-gpios = <&gpio 7 GPIO_ACTIVE_LOW>;
--                      wm5102@1{
-+                      wm5102@0{
-                               compatible = "wlf,wm5102";
--                              reg = <1>;
-+                              reg = <0>;
-+
-+                              pinctrl-names = "default";
-+                              pinctrl-0 = <&wlf_5102_pins>;
-                               spi-max-frequency = <500000>;
-@@ -123,7 +139,7 @@
-               };
-       };
--      fragment@6 {
-+      fragment@7 {
-               target = <&i2c1>;
-               __overlay__ {
-                       status = "okay";
-@@ -134,6 +150,10 @@
-                               compatible = "wlf,wm8804";
-                               reg = <0x3b>;
-                               status = "okay";
-+
-+                              pinctrl-names = "default";
-+                              pinctrl-0 = <&wlf_8804_pins>;
-+
-                               PVDD-supply = <&vdd_3v3_reg>;
-                               DVDD-supply = <&vdd_3v3_reg>;
-                               wlf,reset-gpio = <&gpio 8 GPIO_ACTIVE_HIGH>;
-@@ -141,7 +161,7 @@
-               };
-       };
--      fragment@7 {
-+      fragment@8 {
-               target = <&sound>;
-               __overlay__ {
-                       compatible = "wlf,rpi-cirrus";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0356-rpi-cirrus-wm5102-overlay-use-reset-gpios-instead-of.patch b/target/linux/bcm27xx/patches-5.4/950-0356-rpi-cirrus-wm5102-overlay-use-reset-gpios-instead-of.patch
new file mode 100644 (file)
index 0000000..e1ffd3b
--- /dev/null
@@ -0,0 +1,26 @@
+From ea2cfc97596be37164d2f5d3d1a4f5e2d6cca062 Mon Sep 17 00:00:00 2001
+From: Matthias Reichl <hias@horus.com>
+Date: Mon, 16 Dec 2019 23:25:44 +0100
+Subject: [PATCH] rpi-cirrus-wm5102-overlay: use reset-gpios instead of
+ wlf,reset
+
+wlf,reset has been deprecated in favour of the standard reset-gpios
+DT property in commit fced2963d84b44990f4aa99ed7268223c294c0df so
+let's use that instead of the old property.
+
+Signed-off-by: Matthias Reichl <hias@horus.com>
+---
+ arch/arm/boot/dts/overlays/rpi-cirrus-wm5102-overlay.dts | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/rpi-cirrus-wm5102-overlay.dts
++++ b/arch/arm/boot/dts/overlays/rpi-cirrus-wm5102-overlay.dts
+@@ -104,7 +104,7 @@
+                               SPKVDDR-supply = <&vdd_5v0_reg>;
+                               DCVDD-supply = <&arizona_ldo1>;
+-                              wlf,reset = <&gpio 17 GPIO_ACTIVE_HIGH>;
++                              reset-gpios = <&gpio 17 GPIO_ACTIVE_HIGH>;
+                               wlf,ldoena = <&gpio 22 GPIO_ACTIVE_HIGH>;
+                               wlf,gpio-defaults = <
+                                       ARIZONA_GP_DEFAULT
diff --git a/target/linux/bcm27xx/patches-5.4/950-0357-sound-soc-only-first-codec-is-master-in-multicodec-s.patch b/target/linux/bcm27xx/patches-5.4/950-0357-sound-soc-only-first-codec-is-master-in-multicodec-s.patch
new file mode 100644 (file)
index 0000000..263f353
--- /dev/null
@@ -0,0 +1,34 @@
+From 3a0fad11000e1533c3132e024304cbe8b4f0f826 Mon Sep 17 00:00:00 2001
+From: Johannes Krude <johannes@krude.de>
+Date: Sat, 16 Nov 2019 12:41:06 +0100
+Subject: [PATCH] sound/soc: only first codec is master in multicodec
+ setup
+
+When using multiple codecs, at most one codec should generate the master
+clock. All codecs except the first are therefore configured for slave
+mode.
+
+Signed-off-by: Johannes Krude <johannes@krude.de>
+---
+ sound/soc/soc-core.c | 10 +++++++++-
+ 1 file changed, 9 insertions(+), 1 deletion(-)
+
+--- a/sound/soc/soc-core.c
++++ b/sound/soc/soc-core.c
+@@ -1656,7 +1656,15 @@ int snd_soc_runtime_set_dai_fmt(struct s
+       int ret;
+       for_each_rtd_codec_dai(rtd, i, codec_dai) {
+-              ret = snd_soc_dai_set_fmt(codec_dai, dai_fmt);
++              unsigned int codec_dai_fmt = dai_fmt;
++
++              // there can only be one master when using multiple codecs
++              if (i && (codec_dai_fmt & SND_SOC_DAIFMT_MASTER_MASK)) {
++                      codec_dai_fmt &= ~SND_SOC_DAIFMT_MASTER_MASK;
++                      codec_dai_fmt |= SND_SOC_DAIFMT_CBS_CFS;
++              }
++
++              ret = snd_soc_dai_set_fmt(codec_dai, codec_dai_fmt);
+               if (ret != 0 && ret != -ENOTSUPP) {
+                       dev_warn(codec_dai->dev,
+                                "ASoC: Failed to set DAI format: %d\n", ret);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0357-staging-vchiq_arm-Set-up-dma-ranges-on-child-devices.patch b/target/linux/bcm27xx/patches-5.4/950-0357-staging-vchiq_arm-Set-up-dma-ranges-on-child-devices.patch
deleted file mode 100644 (file)
index 0a6660b..0000000
+++ /dev/null
@@ -1,33 +0,0 @@
-From a9b691174273348a6818213b9f008ae555e1c98c Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 29 Jan 2019 16:13:25 +0000
-Subject: [PATCH] staging: vchiq_arm: Set up dma ranges on child
- devices
-
-The VCHIQ driver now loads the audio, camera, codec, and vc-sm
-drivers as platform drivers. However they were not being given
-the correct DMA configuration.
-
-Call of_dma_configure with the parent (VCHIQ) parameters to be
-inherited by the child.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../staging/vc04_services/interface/vchiq_arm/vchiq_arm.c   | 6 ++++++
- 1 file changed, 6 insertions(+)
-
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-@@ -3195,6 +3195,12 @@ vchiq_register_child(struct platform_dev
-               child = NULL;
-       }
-+      /*
-+       * We want the dma-ranges etc to be copied from the parent VCHIQ device
-+       * to be passed on to the children too.
-+       */
-+      of_dma_configure(&new_dev->dev, pdev->dev.of_node, true);
-+
-       return child;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0358-Allow-simultaneous-use-of-JustBoom-DAC-and-Digi.patch b/target/linux/bcm27xx/patches-5.4/950-0358-Allow-simultaneous-use-of-JustBoom-DAC-and-Digi.patch
new file mode 100644 (file)
index 0000000..d0d1e28
--- /dev/null
@@ -0,0 +1,432 @@
+From eecd29a4a5ede49427e48ea27e372b96d11f3d04 Mon Sep 17 00:00:00 2001
+From: Johannes Krude <johannes@krude.de>
+Date: Sat, 16 Nov 2019 13:14:43 +0100
+Subject: [PATCH] Allow simultaneous use of JustBoom DAC and Digi
+
+Signed-off-by: Johannes Krude <johannes@krude.de>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |  20 ++
+ .../dts/overlays/justboom-both-overlay.dts    |  65 +++++
+ sound/soc/bcm/Kconfig                         |  12 +
+ sound/soc/bcm/Makefile                        |   2 +
+ sound/soc/bcm/justboom-both.c                 | 266 ++++++++++++++++++
+ 11 files changed, 371 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/justboom-both-overlay.dts
+ create mode 100644 sound/soc/bcm/justboom-both.c
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -86,6 +86,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       iqaudio-digi-wm8804-audio.dtbo \
+       irs1125.dtbo \
+       jedec-spi-nor.dtbo \
++      justboom-both.dtbo \
+       justboom-dac.dtbo \
+       justboom-digi.dtbo \
+       ltc294x.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1388,6 +1388,26 @@ Params: flash-spi<n>-<m>        Enables
+                                 on SPI<n>, CS#<m>.
++Name:   justboom-both
++Info:   Simultaneous usage of an justboom-dac and justboom-digi based
++        card
++Load:   dtoverlay=justboom-both,<param>=<val>
++Params: 24db_digital_gain       Allow gain to be applied via the PCM512x codec
++                                Digital volume control. Enable with
++                                "dtoverlay=justboom-dac,24db_digital_gain"
++                                (The default behaviour is that the Digital
++                                volume control is limited to a maximum of
++                                0dB. ie. it can attenuate but not provide
++                                gain. For most users, this will be desired
++                                as it will prevent clipping. By appending
++                                the 24dB_digital_gain parameter, the Digital
++                                volume control will allow up to 24dB of
++                                gain. If this parameter is enabled, it is the
++                                responsibility of the user to ensure that
++                                the Digital volume control is set to a value
++                                that does not result in clipping/distortion!)
++
++
+ Name:   justboom-dac
+ Info:   Configures the JustBoom DAC HAT, Amp HAT, DAC Zero and Amp Zero audio
+         cards
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/justboom-both-overlay.dts
+@@ -0,0 +1,65 @@
++// SPDX-License-Identifier: GPL-2.0
++// Definitions for JustBoom Both (Digi+DAC)
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&i2s>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@1 {
++              target = <&i2c1>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      wm8804@3b {
++                              #sound-dai-cells = <0>;
++                              compatible = "wlf,wm8804";
++                              reg = <0x3b>;
++                              PVDD-supply = <&vdd_3v3_reg>;
++                              DVDD-supply = <&vdd_3v3_reg>;
++                              status = "okay";
++                      };
++              };
++      };
++
++      fragment@2 {
++              target = <&i2c1>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      pcm5122@4d {
++                              #sound-dai-cells = <0>;
++                              compatible = "ti,pcm5122";
++                              reg = <0x4d>;
++                              AVDD-supply = <&vdd_3v3_reg>;
++                              DVDD-supply = <&vdd_3v3_reg>;
++                              CPVDD-supply = <&vdd_3v3_reg>;
++                              status = "okay";
++                      };
++              };
++      };
++
++      fragment@3 {
++              target = <&sound>;
++              frag3: __overlay__ {
++                      compatible = "justboom,justboom-both";
++                      i2s-controller = <&i2s>;
++                      status = "okay";
++              };
++      };
++
++      __overrides__ {
++              24db_digital_gain = <&frag3>,"justboom,24db_digital_gain?";
++      };
++};
+--- a/sound/soc/bcm/Kconfig
++++ b/sound/soc/bcm/Kconfig
+@@ -105,6 +105,18 @@ config SND_BCM2708_SOC_RPI_PROTO
+       help
+         Say Y or M if you want to add support for Audio Codec Board PROTO (WM8731).
++config SND_BCM2708_SOC_JUSTBOOM_BOTH
++      tristate "Support for simultaneous JustBoom Digi and JustBoom DAC"
++      depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
++      select SND_SOC_WM8804
++      select SND_SOC_PCM512x
++      help
++              Say Y or M if you want to add support for simultaneous
++              JustBoom Digi and JustBoom DAC.
++
++              This is not the right choice if you only have one but both of
++              these cards.
++
+ config SND_BCM2708_SOC_JUSTBOOM_DAC
+       tristate "Support for JustBoom DAC"
+       depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
+--- a/sound/soc/bcm/Makefile
++++ b/sound/soc/bcm/Makefile
+@@ -17,6 +17,7 @@ snd-soc-hifiberry-dacplus-objs := hifibe
+ snd-soc-hifiberry-dacplusadc-objs := hifiberry_dacplusadc.o
+ snd-soc-hifiberry-dacplusadcpro-objs := hifiberry_dacplusadcpro.o
+ snd-soc-hifiberry-dacplusdsp-objs := hifiberry_dacplusdsp.o
++snd-soc-justboom-both-objs := justboom-both.o
+ snd-soc-justboom-dac-objs := justboom-dac.o
+ snd-soc-rpi-cirrus-objs := rpi-cirrus.o
+ snd-soc-rpi-proto-objs := rpi-proto.o
+@@ -43,6 +44,7 @@ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_D
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC) += snd-soc-hifiberry-dacplusadc.o
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADCPRO) += snd-soc-hifiberry-dacplusadcpro.o
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSDSP) += snd-soc-hifiberry-dacplusdsp.o
++obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_BOTH) += snd-soc-justboom-both.o
+ obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_DAC) += snd-soc-justboom-dac.o
+ obj-$(CONFIG_SND_BCM2708_SOC_RPI_CIRRUS) += snd-soc-rpi-cirrus.o
+ obj-$(CONFIG_SND_BCM2708_SOC_RPI_PROTO) += snd-soc-rpi-proto.o
+--- /dev/null
++++ b/sound/soc/bcm/justboom-both.c
+@@ -0,0 +1,266 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * rpi--wm8804.c -- ALSA SoC Raspberry Pi soundcard.
++ *
++ * Authors: Johannes Krude <johannes@krude.de
++ *
++ * Driver for when connecting simultaneously justboom-digi and justboom-dac
++ *
++ * Based upon code from:
++ * justboom-digi.c
++ * by Milan Neskovic <info@justboom.co>
++ * justboom-dac.c
++ * by Milan Neskovic <info@justboom.co>
++ *
++ * This program is free software; you can redistribute it and/or
++ * modify it under the terms of the GNU General Public License
++ * version 2 as published by the Free Software Foundation.
++ *
++ * This program is distributed in the hope that it will be useful, but
++ * WITHOUT ANY WARRANTY; without even the implied warranty of
++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
++ * General Public License for more details.
++ */
++
++#include <linux/module.h>
++#include <linux/platform_device.h>
++
++#include <sound/core.h>
++#include <sound/pcm.h>
++#include <sound/pcm_params.h>
++#include <sound/soc.h>
++#include <sound/jack.h>
++
++#include "../codecs/wm8804.h"
++#include "../codecs/pcm512x.h"
++
++
++static bool digital_gain_0db_limit = true;
++
++static int snd_rpi_justboom_both_init(struct snd_soc_pcm_runtime *rtd)
++{
++      struct snd_soc_component *digi = rtd->codec_dais[0]->component;
++      struct snd_soc_component *dac = rtd->codec_dais[1]->component;
++
++      /* enable  TX output */
++      snd_soc_component_update_bits(digi, WM8804_PWRDN, 0x4, 0x0);
++
++      snd_soc_component_update_bits(dac, PCM512x_GPIO_EN, 0x08, 0x08);
++      snd_soc_component_update_bits(dac, PCM512x_GPIO_OUTPUT_4, 0xf, 0x02);
++      snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
++
++      if (digital_gain_0db_limit) {
++              int ret;
++              struct snd_soc_card *card = rtd->card;
++
++              ret = snd_soc_limit_volume(card, "Digital Playback Volume",
++                                                                      207);
++              if (ret < 0)
++                      dev_warn(card->dev, "Failed to set volume limit: %d\n",
++                                                                      ret);
++      }
++
++      return 0;
++}
++
++static int snd_rpi_justboom_both_hw_params(struct snd_pcm_substream *substream,
++                                     struct snd_pcm_hw_params *params)
++{
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++      struct snd_soc_dai *codec_dai = rtd->codec_dai;
++      struct snd_soc_component *digi = rtd->codec_dais[0]->component;
++      struct snd_soc_dai *cpu_dai = rtd->cpu_dai;
++
++      int sysclk = 27000000; /* This is fixed on this board */
++
++      long mclk_freq    = 0;
++      int mclk_div      = 1;
++      int sampling_freq = 1;
++
++      int ret;
++
++      int samplerate = params_rate(params);
++
++      if (samplerate <= 96000) {
++              mclk_freq = samplerate*256;
++              mclk_div  = WM8804_MCLKDIV_256FS;
++      } else {
++              mclk_freq = samplerate*128;
++              mclk_div  = WM8804_MCLKDIV_128FS;
++      }
++
++      switch (samplerate) {
++      case 32000:
++              sampling_freq = 0x03;
++              break;
++      case 44100:
++              sampling_freq = 0x00;
++              break;
++      case 48000:
++              sampling_freq = 0x02;
++              break;
++      case 88200:
++              sampling_freq = 0x08;
++              break;
++      case 96000:
++              sampling_freq = 0x0a;
++              break;
++      case 176400:
++              sampling_freq = 0x0c;
++              break;
++      case 192000:
++              sampling_freq = 0x0e;
++              break;
++      default:
++              dev_err(rtd->card->dev,
++              "Failed to set WM8804 SYSCLK, unsupported samplerate %d\n",
++              samplerate);
++      }
++
++      snd_soc_dai_set_clkdiv(codec_dai, WM8804_MCLK_DIV, mclk_div);
++      snd_soc_dai_set_pll(codec_dai, 0, 0, sysclk, mclk_freq);
++
++      ret = snd_soc_dai_set_sysclk(codec_dai, WM8804_TX_CLKSRC_PLL,
++                                      sysclk, SND_SOC_CLOCK_OUT);
++      if (ret < 0) {
++              dev_err(rtd->card->dev,
++              "Failed to set WM8804 SYSCLK: %d\n", ret);
++              return ret;
++      }
++
++      /* Enable TX output */
++      snd_soc_component_update_bits(digi, WM8804_PWRDN, 0x4, 0x0);
++
++      /* Power on */
++      snd_soc_component_update_bits(digi, WM8804_PWRDN, 0x9, 0);
++
++      /* set sampling frequency status bits */
++      snd_soc_component_update_bits(digi, WM8804_SPDTX4, 0x0f, sampling_freq);
++
++      return snd_soc_dai_set_bclk_ratio(cpu_dai, 64);
++}
++
++static int snd_rpi_justboom_both_startup(struct snd_pcm_substream *substream)
++{
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++      struct snd_soc_component *digi = rtd->codec_dais[0]->component;
++      struct snd_soc_component *dac = rtd->codec_dais[1]->component;
++
++      /* turn on digital output */
++      snd_soc_component_update_bits(digi, WM8804_PWRDN, 0x3c, 0x00);
++
++      snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
++
++      return 0;
++}
++
++static void snd_rpi_justboom_both_shutdown(struct snd_pcm_substream *substream)
++{
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++      struct snd_soc_component *digi = rtd->codec_dais[0]->component;
++      struct snd_soc_component *dac = rtd->codec_dais[1]->component;
++
++      snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x00);
++
++      /* turn off output */
++      snd_soc_component_update_bits(digi, WM8804_PWRDN, 0x3c, 0x3c);
++}
++
++/* machine stream operations */
++static struct snd_soc_ops snd_rpi_justboom_both_ops = {
++      .hw_params = snd_rpi_justboom_both_hw_params,
++      .startup   = snd_rpi_justboom_both_startup,
++      .shutdown  = snd_rpi_justboom_both_shutdown,
++};
++
++SND_SOC_DAILINK_DEFS(rpi_justboom_both,
++      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
++      DAILINK_COMP_ARRAY(COMP_CODEC("pcm512x.1-004d", "pcm512x-hifi"),
++                         COMP_CODEC("wm8804.1-003b", "wm8804-spdif")),
++      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2708-i2s.0")));
++
++static struct snd_soc_dai_link snd_rpi_justboom_both_dai[] = {
++{
++      .name           = "JustBoom Digi",
++      .stream_name    = "JustBoom Digi HiFi",
++      .dai_fmt        = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF |
++                                      SND_SOC_DAIFMT_CBM_CFM,
++      .ops            = &snd_rpi_justboom_both_ops,
++      .init           = snd_rpi_justboom_both_init,
++      SND_SOC_DAILINK_REG(rpi_justboom_both),
++},
++};
++
++/* audio machine driver */
++static struct snd_soc_card snd_rpi_justboom_both = {
++      .name             = "snd_rpi_justboom_both",
++      .driver_name      = "JustBoomBoth",
++      .owner            = THIS_MODULE,
++      .dai_link         = snd_rpi_justboom_both_dai,
++      .num_links        = ARRAY_SIZE(snd_rpi_justboom_both_dai),
++};
++
++static int snd_rpi_justboom_both_probe(struct platform_device *pdev)
++{
++      int ret = 0;
++      struct snd_soc_card *card = &snd_rpi_justboom_both;
++
++      snd_rpi_justboom_both.dev = &pdev->dev;
++
++      if (pdev->dev.of_node) {
++              struct device_node *i2s_node;
++              struct snd_soc_dai_link *dai = &snd_rpi_justboom_both_dai[0];
++
++              i2s_node = of_parse_phandle(pdev->dev.of_node,
++                                          "i2s-controller", 0);
++
++              if (i2s_node) {
++                      int i;
++
++                      for (i = 0; i < card->num_links; i++) {
++                              dai->cpus->dai_name = NULL;
++                              dai->cpus->of_node = i2s_node;
++                              dai->platforms->name = NULL;
++                              dai->platforms->of_node = i2s_node;
++                      }
++              }
++
++              digital_gain_0db_limit = !of_property_read_bool(
++                      pdev->dev.of_node, "justboom,24db_digital_gain");
++      }
++
++      ret = snd_soc_register_card(card);
++      if (ret && ret != -EPROBE_DEFER) {
++              dev_err(&pdev->dev,
++                      "snd_soc_register_card() failed: %d\n", ret);
++      }
++
++      return ret;
++}
++
++static int snd_rpi_justboom_both_remove(struct platform_device *pdev)
++{
++      return snd_soc_unregister_card(&snd_rpi_justboom_both);
++}
++
++static const struct of_device_id snd_rpi_justboom_both_of_match[] = {
++      { .compatible = "justboom,justboom-both", },
++      {},
++};
++MODULE_DEVICE_TABLE(of, snd_rpi_justboom_both_of_match);
++
++static struct platform_driver snd_rpi_justboom_both_driver = {
++      .driver = {
++              .name   = "snd-rpi-justboom-both",
++              .owner  = THIS_MODULE,
++              .of_match_table = snd_rpi_justboom_both_of_match,
++      },
++      .probe          = snd_rpi_justboom_both_probe,
++      .remove         = snd_rpi_justboom_both_remove,
++};
++
++module_platform_driver(snd_rpi_justboom_both_driver);
++
++MODULE_AUTHOR("Johannes Krude <johannes@krude.de>");
++MODULE_DESCRIPTION("ASoC Driver for simultaneous use of JustBoom PI Digi & DAC HAT Sound Cards");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0358-staging-vchiq-Use-the-old-dma-controller-for-OF-conf.patch b/target/linux/bcm27xx/patches-5.4/950-0358-staging-vchiq-Use-the-old-dma-controller-for-OF-conf.patch
deleted file mode 100644 (file)
index eb35a81..0000000
+++ /dev/null
@@ -1,51 +0,0 @@
-From 6aa74a52e014952b1a144def670a03a7deb0e112 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Tue, 18 Jun 2019 12:15:50 +0100
-Subject: [PATCH] staging: vchiq: Use the old dma controller for OF
- config on platform devices
-
-vchiq on Pi4 is no longer under the soc node, therefore it
-doesn't get the dma-ranges for the VPU.
-
-Switch to using the configuration of the old dma controller as
-that will set the dma-ranges correctly.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- .../interface/vchiq_arm/vchiq_arm.c             | 17 ++++++++++++++---
- 1 file changed, 14 insertions(+), 3 deletions(-)
-
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-@@ -3181,6 +3181,7 @@ vchiq_register_child(struct platform_dev
- {
-       struct platform_device_info pdevinfo;
-       struct platform_device *child;
-+      struct device_node *np;
-       memset(&pdevinfo, 0, sizeof(pdevinfo));
-@@ -3196,10 +3197,20 @@ vchiq_register_child(struct platform_dev
-       }
-       /*
--       * We want the dma-ranges etc to be copied from the parent VCHIQ device
--       * to be passed on to the children too.
-+       * We want the dma-ranges etc to be copied from a device with the
-+       * correct dma-ranges for the VPU.
-+       * VCHIQ on Pi4 is now under scb which doesn't get those dma-ranges.
-+       * Take the "dma" node as going to be suitable as it sees the world
-+       * through the same eyes as the VPU.
-        */
--      of_dma_configure(&new_dev->dev, pdev->dev.of_node, true);
-+      np = of_find_node_by_path("dma");
-+      if (!np)
-+              np = pdev->dev.of_node;
-+
-+      of_dma_configure(&child->dev, np, true);
-+
-+      if (np != pdev->dev.of_node)
-+              of_node_put(np);
-       return child;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0359-dwc_otg-checking-the-urb-transfer_buffer-too-early-3.patch b/target/linux/bcm27xx/patches-5.4/950-0359-dwc_otg-checking-the-urb-transfer_buffer-too-early-3.patch
deleted file mode 100644 (file)
index 3bc9abd..0000000
+++ /dev/null
@@ -1,59 +0,0 @@
-From 271a9dfee2eb426ca9ec1ef51c6205de8496b803 Mon Sep 17 00:00:00 2001
-From: Hui Wang <hui.wang@canonical.com>
-Date: Sun, 17 Nov 2019 10:31:46 +0800
-Subject: [PATCH] dwc_otg: checking the urb->transfer_buffer too early
- (#3332)
-
-After enable the HIGHMEM and VMSPLIT_3G, the dwc_otg driver doesn't
-work well on Pi2/3 boards with 1G physical ram. Users experience
-the failure when copying a file of 600M size to the USB stick. And
-at the same time, the dmesg shows:
-usb 1-1.1.2: reset high-speed USB device number 8 using dwc_otg
-sd 0:0:0:0: [sda] tag#0 FAILED Result: hostbyte=DID_ERROR driverbyte=DRIVER_OK
-blk_update_request: I/O error, dev sda, sector 3024048 op 0x1:(WRITE) flags 0x4000 phys_seg 15 prio class 0
-
-When this happens, the sg_buf sent to the driver is located in the
-highmem region, the usb_sg_init() in the core/message.c will leave
-transfer_buffer to NULL if the sg_buf is in highmem, but in the
-dwc_otg driver, it returns -EINVAL unconditionally if transfer_buffer
-is NULL.
-
-The driver can handle the situation of buffer to be NULL, if it is in
-DMA mode, it will convert an address from transfer_dma.
-
-But if the conversion fails or it is in the PIO mode, we should check
-buffer and return -EINVAL if it is NULL.
-
-BugLink: https://bugs.launchpad.net/bugs/1852510
-Signed-off-by: Hui Wang <hui.wang@canonical.com>
----
- drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c | 11 +++++++----
- 1 file changed, 7 insertions(+), 4 deletions(-)
-
---- a/drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c
-+++ b/drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c
-@@ -782,10 +782,6 @@ static int dwc_otg_urb_enqueue(struct us
-               dump_urb_info(urb, "dwc_otg_urb_enqueue");
-       }
- #endif
--
--      if (!urb->transfer_buffer && urb->transfer_buffer_length)
--              return -EINVAL;
--
-       if ((usb_pipetype(urb->pipe) == PIPE_ISOCHRONOUS)
-           || (usb_pipetype(urb->pipe) == PIPE_INTERRUPT)) {
-               if (!dwc_otg_hcd_is_bandwidth_allocated
-@@ -842,6 +838,13 @@ static int dwc_otg_urb_enqueue(struct us
-                             &urb->transfer_dma, buf);
-       }
-+      if (!buf && urb->transfer_buffer_length) {
-+              DWC_FREE(dwc_otg_urb);
-+              DWC_ERROR("transfer_buffer is NULL in PIO mode or both "
-+                         "transfer_buffer and transfer_dma are NULL in DMA mode\n");
-+              return -EINVAL;
-+      }
-+
-       if (!(urb->transfer_flags & URB_NO_INTERRUPT))
-               flags |= URB_GIVEBACK_ASAP;
-       if (urb->transfer_flags & URB_ZERO_PACKET)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0359-overlays-dht11-Allow-multiple-instantiation.patch b/target/linux/bcm27xx/patches-5.4/950-0359-overlays-dht11-Allow-multiple-instantiation.patch
new file mode 100644 (file)
index 0000000..b75f1d4
--- /dev/null
@@ -0,0 +1,34 @@
+From 5c1a2df946720816c155ff38b01bcd49a0f44f78 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Wed, 18 Dec 2019 10:41:33 +0000
+Subject: [PATCH] overlays: dht11: Allow multiple instantiation
+
+Add addresses to the dht11 and dht11_pins nodes to allow unique names
+to be generated by assigning to the "reg" property.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/boot/dts/overlays/dht11-overlay.dts | 6 ++++--
+ 1 file changed, 4 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/dht11-overlay.dts
++++ b/arch/arm/boot/dts/overlays/dht11-overlay.dts
+@@ -24,7 +24,7 @@
+       fragment@1 {
+               target = <&gpio>;
+               __overlay__ {
+-                      dht11_pins: dht11_pins {
++                      dht11_pins: dht11_pins@0 {
+                               brcm,pins = <4>;
+                               brcm,function = <0>; // in
+                               brcm,pull = <0>; // off
+@@ -34,6 +34,8 @@
+       __overrides__ {
+               gpiopin = <&dht11_pins>,"brcm,pins:0",
+-                      <&dht11>,"gpios:4";
++                      <&dht11_pins>, "reg:0",
++                      <&dht11>,"gpios:4",
++                      <&dht11>,"reg:0";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0360-overlays-Make-mcp342x-run-time-compatible.patch b/target/linux/bcm27xx/patches-5.4/950-0360-overlays-Make-mcp342x-run-time-compatible.patch
deleted file mode 100644 (file)
index 1b4809d..0000000
+++ /dev/null
@@ -1,209 +0,0 @@
-From 00f01136b1c165e0f4a190fcb5ec8aa11428362f Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Mon, 9 Dec 2019 12:32:20 +0000
-Subject: [PATCH] overlays: Make mcp342x run-time compatible
-
-The order of processing of run-time overlays differs from that done by
-the firmware. This means that certain parameter processing techniques
-are not compatible with run-time use.  The mcp342x overlay is one such
-overlay, but it is easy to change the implementation without changing
-the interface.
-
-See: https://www.raspberrypi.org/forums/viewtopic.php?f=107&t=258294
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- .../arm/boot/dts/overlays/mcp342x-overlay.dts | 133 ++++++++++++++----
- 1 file changed, 102 insertions(+), 31 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/mcp342x-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/mcp342x-overlay.dts
-@@ -8,14 +8,15 @@
-       fragment@0 {
-               target = <&i2c1>;
--              __overlay__ {
-+              __dormant__ {
-                       #address-cells = <1>;
-                       #size-cells = <0>;
-                       status = "okay";
--                      mcp342x: mcp@68 {
-+                      mcp3421: mcp@68 {
-                               reg = <0x68>;
-+                              compatible = "microchip,mcp3421";
-                               status = "okay";
-                       };
-@@ -23,71 +24,141 @@
-       };
-       fragment@1 {
--              target = <&mcp342x>;
-+              target = <&i2c1>;
-               __dormant__ {
--                      compatible = "microchip,mcp3421";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      status = "okay";
-+
-+                      mcp3422: mcp@68 {
-+                              reg = <0x68>;
-+                              compatible = "microchip,mcp3422";
-+
-+                              status = "okay";
-+                      };
-               };
-       };
-       fragment@2 {
--              target = <&mcp342x>;
-+              target = <&i2c1>;
-               __dormant__ {
--                      compatible = "microchip,mcp3422";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      status = "okay";
-+
-+                      mcp3423: mcp@68 {
-+                              reg = <0x68>;
-+                              compatible = "microchip,mcp3423";
-+
-+                              status = "okay";
-+                      };
-               };
-       };
-       fragment@3 {
--              target = <&mcp342x>;
-+              target = <&i2c1>;
-               __dormant__ {
--                      compatible = "microchip,mcp3423";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      status = "okay";
-+
-+                      mcp3424: mcp@68 {
-+                              reg = <0x68>;
-+                              compatible = "microchip,mcp3424";
-+
-+                              status = "okay";
-+                      };
-               };
-       };
-       fragment@4 {
--              target = <&mcp342x>;
-+              target = <&i2c1>;
-               __dormant__ {
--                      compatible = "microchip,mcp3424";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      status = "okay";
-+
-+                      mcp3425: mcp@68 {
-+                              reg = <0x68>;
-+                              compatible = "microchip,mcp3425","mcp3425";
-+
-+                              status = "okay";
-+                      };
-               };
-       };
-       fragment@5 {
--              target = <&mcp342x>;
-+              target = <&i2c1>;
-               __dormant__ {
--                      compatible = "microchip,mcp3425";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      status = "okay";
-+
-+                      mcp3426: mcp@68 {
-+                              reg = <0x68>;
-+                              compatible = "microchip,mcp3426";
-+
-+                              status = "okay";
-+                      };
-               };
-       };
-       fragment@6 {
--              target = <&mcp342x>;
-+              target = <&i2c1>;
-               __dormant__ {
--                      compatible = "microchip,mcp3426";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      status = "okay";
-+
-+                      mcp3427: mcp@68 {
-+                              reg = <0x68>;
-+                              compatible = "microchip,mcp3427";
-+
-+                              status = "okay";
-+                      };
-               };
-       };
-       fragment@7 {
--              target = <&mcp342x>;
-+              target = <&i2c1>;
-               __dormant__ {
--                      compatible = "microchip,mcp3427";
--              };
--      };
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
--      fragment@8 {
--              target = <&mcp342x>;
--              __dormant__ {
--                      compatible = "microchip,mcp3428";
-+                      status = "okay";
-+
-+                      mcp3428: mcp@68 {
-+                              reg = <0x68>;
-+                              compatible = "microchip,mcp3428";
-+
-+                              status = "okay";
-+                      };
-               };
-       };
-       __overrides__ {
--              addr = <&mcp342x>,"reg:0";
--              mcp3421 = <0>,"=1";
--              mcp3422 = <0>,"=2";
--              mcp3423 = <0>,"=3";
--              mcp3424 = <0>,"=4";
--              mcp3425 = <0>,"=5";
--              mcp3426 = <0>,"=6";
--              mcp3427 = <0>,"=7";
--              mcp3428 = <0>,"=8";
-+              addr = <&mcp3421>,"reg:0",
-+                     <&mcp3422>,"reg:0",
-+                     <&mcp3423>,"reg:0",
-+                     <&mcp3424>,"reg:0",
-+                     <&mcp3425>,"reg:0",
-+                     <&mcp3426>,"reg:0",
-+                     <&mcp3427>,"reg:0",
-+                     <&mcp3428>,"reg:0";
-+              mcp3421 = <0>,"=0";
-+              mcp3422 = <0>,"=1";
-+              mcp3423 = <0>,"=2";
-+              mcp3424 = <0>,"=3";
-+              mcp3425 = <0>,"=4";
-+              mcp3426 = <0>,"=5";
-+              mcp3427 = <0>,"=6";
-+              mcp3428 = <0>,"=7";
-       };
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0360-overlays-i2c-rtc-Add-pcf85363-support.patch b/target/linux/bcm27xx/patches-5.4/950-0360-overlays-i2c-rtc-Add-pcf85363-support.patch
new file mode 100644 (file)
index 0000000..93a699a
--- /dev/null
@@ -0,0 +1,56 @@
+From 32dbe4ebb10b96eed117852f1643bf1f854d96c0 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Sun, 22 Dec 2019 15:29:40 +0000
+Subject: [PATCH] overlays: i2c-rtc: Add pcf85363 support
+
+See: https://github.com/raspberrypi/firmware/issues/1309
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/boot/dts/overlays/README              |  2 ++
+ arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts | 16 ++++++++++++++++
+ 2 files changed, 18 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1078,6 +1078,8 @@ Params: abx80x                  Select o
+         pcf8523                 Select the PCF8523 device
++        pcf85363                Select the PCF85363 device
++
+         pcf8563                 Select the PCF8563 device
+         rv3028                  Select the Micro Crystal RV3028 device
+--- a/arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts
+@@ -188,6 +188,21 @@
+               };
+       };
++      fragment@12 {
++              target = <&i2c_arm>;
++             __dormant__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      pcf85363@51 {
++                              compatible = "nxp,pcf85363";
++                              reg = <0x51>;
++                              status = "okay";
++                      };
++              };
++      };
++
+       __overrides__ {
+               abx80x = <0>,"+0";
+               ds1307 = <0>,"+1";
+@@ -201,6 +216,7 @@
+               m41t62 = <0>,"+9";
+               rv3028 = <0>,"+10";
+               pcf2129 = <0>,"+11";
++              pcf85363 = <0>,"+12";
+               addr = <&abx80x>, "reg:0",
+                      <&ds1307>, "reg:0",
diff --git a/target/linux/bcm27xx/patches-5.4/950-0361-pinctrl-bcm2835-Remove-gpiochip-on-error.patch b/target/linux/bcm27xx/patches-5.4/950-0361-pinctrl-bcm2835-Remove-gpiochip-on-error.patch
new file mode 100644 (file)
index 0000000..31a1a24
--- /dev/null
@@ -0,0 +1,25 @@
+From 0cddfafa817a776063ba6f00fb439d9a415235f9 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Mon, 6 Jan 2020 16:04:30 +0000
+Subject: [PATCH] pinctrl: bcm2835: Remove gpiochip on error
+
+A failure in gpiochip_irqchip_add leads to a leak of a gpiochip. Fix
+the leak with the use of devm_gpiochip_add_data.
+
+Fixes: 85ae9e512f43 ("pinctrl: bcm2835: switch to GPIOLIB_IRQCHIP")
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/pinctrl/bcm/pinctrl-bcm2835.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/pinctrl/bcm/pinctrl-bcm2835.c
++++ b/drivers/pinctrl/bcm/pinctrl-bcm2835.c
+@@ -1135,7 +1135,7 @@ static int bcm2835_pinctrl_probe(struct
+               raw_spin_lock_init(&pc->irq_lock[i]);
+       }
+-      err = gpiochip_add_data(&pc->gpio_chip, pc);
++      err = devm_gpiochip_add_data(dev, &pc->gpio_chip, pc);
+       if (err) {
+               dev_err(dev, "could not add GPIO chip\n");
+               return err;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0361-rpi-cirrus-wm5102-overlay-use-reset-gpios-instead-of.patch b/target/linux/bcm27xx/patches-5.4/950-0361-rpi-cirrus-wm5102-overlay-use-reset-gpios-instead-of.patch
deleted file mode 100644 (file)
index e1ffd3b..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From ea2cfc97596be37164d2f5d3d1a4f5e2d6cca062 Mon Sep 17 00:00:00 2001
-From: Matthias Reichl <hias@horus.com>
-Date: Mon, 16 Dec 2019 23:25:44 +0100
-Subject: [PATCH] rpi-cirrus-wm5102-overlay: use reset-gpios instead of
- wlf,reset
-
-wlf,reset has been deprecated in favour of the standard reset-gpios
-DT property in commit fced2963d84b44990f4aa99ed7268223c294c0df so
-let's use that instead of the old property.
-
-Signed-off-by: Matthias Reichl <hias@horus.com>
----
- arch/arm/boot/dts/overlays/rpi-cirrus-wm5102-overlay.dts | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/overlays/rpi-cirrus-wm5102-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/rpi-cirrus-wm5102-overlay.dts
-@@ -104,7 +104,7 @@
-                               SPKVDDR-supply = <&vdd_5v0_reg>;
-                               DCVDD-supply = <&arizona_ldo1>;
--                              wlf,reset = <&gpio 17 GPIO_ACTIVE_HIGH>;
-+                              reset-gpios = <&gpio 17 GPIO_ACTIVE_HIGH>;
-                               wlf,ldoena = <&gpio 22 GPIO_ACTIVE_HIGH>;
-                               wlf,gpio-defaults = <
-                                       ARIZONA_GP_DEFAULT
diff --git a/target/linux/bcm27xx/patches-5.4/950-0362-pinctrl-bcm2835-Change-init-order-for-gpio-hogs.patch b/target/linux/bcm27xx/patches-5.4/950-0362-pinctrl-bcm2835-Change-init-order-for-gpio-hogs.patch
new file mode 100644 (file)
index 0000000..3865ae1
--- /dev/null
@@ -0,0 +1,88 @@
+From 27cb8bf0442f677380a1df93b93b7589b7ce5243 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Mon, 6 Jan 2020 14:05:42 +0000
+Subject: [PATCH] pinctrl: bcm2835: Change init order for gpio hogs
+
+pinctrl-bcm2835 is a combined pinctrl/gpio driver. Currently the gpio
+side is registered first, but this breaks gpio hogs (which are
+configured during gpiochip_add_data). Part of the hog initialisation
+is a call to pinctrl_gpio_request, and since the pinctrl driver hasn't
+yet been registered this results in an -EPROBE_DEFER from which it can
+never recover.
+
+Change the initialisation sequence to register the pinctrl driver
+first.
+
+See: https://www.raspberrypi.org/forums/viewtopic.php?f=107&t=260600
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/pinctrl/bcm/pinctrl-bcm2835.c | 40 ++++++++++++---------------
+ 1 file changed, 17 insertions(+), 23 deletions(-)
+
+--- a/drivers/pinctrl/bcm/pinctrl-bcm2835.c
++++ b/drivers/pinctrl/bcm/pinctrl-bcm2835.c
+@@ -1135,9 +1135,25 @@ static int bcm2835_pinctrl_probe(struct
+               raw_spin_lock_init(&pc->irq_lock[i]);
+       }
++      match = of_match_node(bcm2835_pinctrl_match, pdev->dev.of_node);
++      if (match) {
++              bcm2835_pinctrl_desc.confops =
++                      (const struct pinconf_ops *)match->data;
++      }
++
++      pc->pctl_dev = devm_pinctrl_register(dev, &bcm2835_pinctrl_desc, pc);
++      if (IS_ERR(pc->pctl_dev))
++              return PTR_ERR(pc->pctl_dev);
++
++      pc->gpio_range = bcm2835_pinctrl_gpio_range;
++      pc->gpio_range.base = pc->gpio_chip.base;
++      pc->gpio_range.gc = &pc->gpio_chip;
++      pinctrl_add_gpio_range(pc->pctl_dev, &pc->gpio_range);
++
+       err = devm_gpiochip_add_data(dev, &pc->gpio_chip, pc);
+       if (err) {
+               dev_err(dev, "could not add GPIO chip\n");
++              pinctrl_remove_gpio_range(pc->pctl_dev, &pc->gpio_range);
+               return err;
+       }
+@@ -1145,6 +1161,7 @@ static int bcm2835_pinctrl_probe(struct
+                                  0, handle_level_irq, IRQ_TYPE_NONE);
+       if (err) {
+               dev_info(dev, "could not add irqchip\n");
++              pinctrl_remove_gpio_range(pc->pctl_dev, &pc->gpio_range);
+               return err;
+       }
+@@ -1167,29 +1184,6 @@ static int bcm2835_pinctrl_probe(struct
+                                            bcm2835_gpio_irq_handler);
+       }
+-      match = of_match_node(bcm2835_pinctrl_match, pdev->dev.of_node);
+-      if (match) {
+-              bcm2835_pinctrl_desc.confops =
+-                      (const struct pinconf_ops *)match->data;
+-      }
+-
+-      match = of_match_node(bcm2835_pinctrl_match, pdev->dev.of_node);
+-      if (match) {
+-              bcm2835_pinctrl_desc.confops =
+-                      (const struct pinconf_ops *)match->data;
+-      }
+-
+-      pc->pctl_dev = devm_pinctrl_register(dev, &bcm2835_pinctrl_desc, pc);
+-      if (IS_ERR(pc->pctl_dev)) {
+-              gpiochip_remove(&pc->gpio_chip);
+-              return PTR_ERR(pc->pctl_dev);
+-      }
+-
+-      pc->gpio_range = bcm2835_pinctrl_gpio_range;
+-      pc->gpio_range.base = pc->gpio_chip.base;
+-      pc->gpio_range.gc = &pc->gpio_chip;
+-      pinctrl_add_gpio_range(pc->pctl_dev, &pc->gpio_range);
+-
+       return 0;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0362-sound-soc-only-first-codec-is-master-in-multicodec-s.patch b/target/linux/bcm27xx/patches-5.4/950-0362-sound-soc-only-first-codec-is-master-in-multicodec-s.patch
deleted file mode 100644 (file)
index 263f353..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From 3a0fad11000e1533c3132e024304cbe8b4f0f826 Mon Sep 17 00:00:00 2001
-From: Johannes Krude <johannes@krude.de>
-Date: Sat, 16 Nov 2019 12:41:06 +0100
-Subject: [PATCH] sound/soc: only first codec is master in multicodec
- setup
-
-When using multiple codecs, at most one codec should generate the master
-clock. All codecs except the first are therefore configured for slave
-mode.
-
-Signed-off-by: Johannes Krude <johannes@krude.de>
----
- sound/soc/soc-core.c | 10 +++++++++-
- 1 file changed, 9 insertions(+), 1 deletion(-)
-
---- a/sound/soc/soc-core.c
-+++ b/sound/soc/soc-core.c
-@@ -1656,7 +1656,15 @@ int snd_soc_runtime_set_dai_fmt(struct s
-       int ret;
-       for_each_rtd_codec_dai(rtd, i, codec_dai) {
--              ret = snd_soc_dai_set_fmt(codec_dai, dai_fmt);
-+              unsigned int codec_dai_fmt = dai_fmt;
-+
-+              // there can only be one master when using multiple codecs
-+              if (i && (codec_dai_fmt & SND_SOC_DAIFMT_MASTER_MASK)) {
-+                      codec_dai_fmt &= ~SND_SOC_DAIFMT_MASTER_MASK;
-+                      codec_dai_fmt |= SND_SOC_DAIFMT_CBS_CFS;
-+              }
-+
-+              ret = snd_soc_dai_set_fmt(codec_dai, codec_dai_fmt);
-               if (ret != 0 && ret != -ENOTSUPP) {
-                       dev_warn(codec_dai->dev,
-                                "ASoC: Failed to set DAI format: %d\n", ret);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0363-Allow-simultaneous-use-of-JustBoom-DAC-and-Digi.patch b/target/linux/bcm27xx/patches-5.4/950-0363-Allow-simultaneous-use-of-JustBoom-DAC-and-Digi.patch
deleted file mode 100644 (file)
index d0d1e28..0000000
+++ /dev/null
@@ -1,432 +0,0 @@
-From eecd29a4a5ede49427e48ea27e372b96d11f3d04 Mon Sep 17 00:00:00 2001
-From: Johannes Krude <johannes@krude.de>
-Date: Sat, 16 Nov 2019 13:14:43 +0100
-Subject: [PATCH] Allow simultaneous use of JustBoom DAC and Digi
-
-Signed-off-by: Johannes Krude <johannes@krude.de>
----
- arch/arm/boot/dts/overlays/Makefile           |   1 +
- arch/arm/boot/dts/overlays/README             |  20 ++
- .../dts/overlays/justboom-both-overlay.dts    |  65 +++++
- sound/soc/bcm/Kconfig                         |  12 +
- sound/soc/bcm/Makefile                        |   2 +
- sound/soc/bcm/justboom-both.c                 | 266 ++++++++++++++++++
- 11 files changed, 371 insertions(+)
- create mode 100644 arch/arm/boot/dts/overlays/justboom-both-overlay.dts
- create mode 100644 sound/soc/bcm/justboom-both.c
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -86,6 +86,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       iqaudio-digi-wm8804-audio.dtbo \
-       irs1125.dtbo \
-       jedec-spi-nor.dtbo \
-+      justboom-both.dtbo \
-       justboom-dac.dtbo \
-       justboom-digi.dtbo \
-       ltc294x.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -1388,6 +1388,26 @@ Params: flash-spi<n>-<m>        Enables
-                                 on SPI<n>, CS#<m>.
-+Name:   justboom-both
-+Info:   Simultaneous usage of an justboom-dac and justboom-digi based
-+        card
-+Load:   dtoverlay=justboom-both,<param>=<val>
-+Params: 24db_digital_gain       Allow gain to be applied via the PCM512x codec
-+                                Digital volume control. Enable with
-+                                "dtoverlay=justboom-dac,24db_digital_gain"
-+                                (The default behaviour is that the Digital
-+                                volume control is limited to a maximum of
-+                                0dB. ie. it can attenuate but not provide
-+                                gain. For most users, this will be desired
-+                                as it will prevent clipping. By appending
-+                                the 24dB_digital_gain parameter, the Digital
-+                                volume control will allow up to 24dB of
-+                                gain. If this parameter is enabled, it is the
-+                                responsibility of the user to ensure that
-+                                the Digital volume control is set to a value
-+                                that does not result in clipping/distortion!)
-+
-+
- Name:   justboom-dac
- Info:   Configures the JustBoom DAC HAT, Amp HAT, DAC Zero and Amp Zero audio
-         cards
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/justboom-both-overlay.dts
-@@ -0,0 +1,65 @@
-+// SPDX-License-Identifier: GPL-2.0
-+// Definitions for JustBoom Both (Digi+DAC)
-+/dts-v1/;
-+/plugin/;
-+
-+/ {
-+      compatible = "brcm,bcm2835";
-+
-+      fragment@0 {
-+              target = <&i2s>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@1 {
-+              target = <&i2c1>;
-+              __overlay__ {
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "okay";
-+
-+                      wm8804@3b {
-+                              #sound-dai-cells = <0>;
-+                              compatible = "wlf,wm8804";
-+                              reg = <0x3b>;
-+                              PVDD-supply = <&vdd_3v3_reg>;
-+                              DVDD-supply = <&vdd_3v3_reg>;
-+                              status = "okay";
-+                      };
-+              };
-+      };
-+
-+      fragment@2 {
-+              target = <&i2c1>;
-+              __overlay__ {
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "okay";
-+
-+                      pcm5122@4d {
-+                              #sound-dai-cells = <0>;
-+                              compatible = "ti,pcm5122";
-+                              reg = <0x4d>;
-+                              AVDD-supply = <&vdd_3v3_reg>;
-+                              DVDD-supply = <&vdd_3v3_reg>;
-+                              CPVDD-supply = <&vdd_3v3_reg>;
-+                              status = "okay";
-+                      };
-+              };
-+      };
-+
-+      fragment@3 {
-+              target = <&sound>;
-+              frag3: __overlay__ {
-+                      compatible = "justboom,justboom-both";
-+                      i2s-controller = <&i2s>;
-+                      status = "okay";
-+              };
-+      };
-+
-+      __overrides__ {
-+              24db_digital_gain = <&frag3>,"justboom,24db_digital_gain?";
-+      };
-+};
---- a/sound/soc/bcm/Kconfig
-+++ b/sound/soc/bcm/Kconfig
-@@ -105,6 +105,18 @@ config SND_BCM2708_SOC_RPI_PROTO
-       help
-         Say Y or M if you want to add support for Audio Codec Board PROTO (WM8731).
-+config SND_BCM2708_SOC_JUSTBOOM_BOTH
-+      tristate "Support for simultaneous JustBoom Digi and JustBoom DAC"
-+      depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
-+      select SND_SOC_WM8804
-+      select SND_SOC_PCM512x
-+      help
-+              Say Y or M if you want to add support for simultaneous
-+              JustBoom Digi and JustBoom DAC.
-+
-+              This is not the right choice if you only have one but both of
-+              these cards.
-+
- config SND_BCM2708_SOC_JUSTBOOM_DAC
-       tristate "Support for JustBoom DAC"
-       depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
---- a/sound/soc/bcm/Makefile
-+++ b/sound/soc/bcm/Makefile
-@@ -17,6 +17,7 @@ snd-soc-hifiberry-dacplus-objs := hifibe
- snd-soc-hifiberry-dacplusadc-objs := hifiberry_dacplusadc.o
- snd-soc-hifiberry-dacplusadcpro-objs := hifiberry_dacplusadcpro.o
- snd-soc-hifiberry-dacplusdsp-objs := hifiberry_dacplusdsp.o
-+snd-soc-justboom-both-objs := justboom-both.o
- snd-soc-justboom-dac-objs := justboom-dac.o
- snd-soc-rpi-cirrus-objs := rpi-cirrus.o
- snd-soc-rpi-proto-objs := rpi-proto.o
-@@ -43,6 +44,7 @@ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_D
- obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC) += snd-soc-hifiberry-dacplusadc.o
- obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADCPRO) += snd-soc-hifiberry-dacplusadcpro.o
- obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSDSP) += snd-soc-hifiberry-dacplusdsp.o
-+obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_BOTH) += snd-soc-justboom-both.o
- obj-$(CONFIG_SND_BCM2708_SOC_JUSTBOOM_DAC) += snd-soc-justboom-dac.o
- obj-$(CONFIG_SND_BCM2708_SOC_RPI_CIRRUS) += snd-soc-rpi-cirrus.o
- obj-$(CONFIG_SND_BCM2708_SOC_RPI_PROTO) += snd-soc-rpi-proto.o
---- /dev/null
-+++ b/sound/soc/bcm/justboom-both.c
-@@ -0,0 +1,266 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * rpi--wm8804.c -- ALSA SoC Raspberry Pi soundcard.
-+ *
-+ * Authors: Johannes Krude <johannes@krude.de
-+ *
-+ * Driver for when connecting simultaneously justboom-digi and justboom-dac
-+ *
-+ * Based upon code from:
-+ * justboom-digi.c
-+ * by Milan Neskovic <info@justboom.co>
-+ * justboom-dac.c
-+ * by Milan Neskovic <info@justboom.co>
-+ *
-+ * This program is free software; you can redistribute it and/or
-+ * modify it under the terms of the GNU General Public License
-+ * version 2 as published by the Free Software Foundation.
-+ *
-+ * This program is distributed in the hope that it will be useful, but
-+ * WITHOUT ANY WARRANTY; without even the implied warranty of
-+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
-+ * General Public License for more details.
-+ */
-+
-+#include <linux/module.h>
-+#include <linux/platform_device.h>
-+
-+#include <sound/core.h>
-+#include <sound/pcm.h>
-+#include <sound/pcm_params.h>
-+#include <sound/soc.h>
-+#include <sound/jack.h>
-+
-+#include "../codecs/wm8804.h"
-+#include "../codecs/pcm512x.h"
-+
-+
-+static bool digital_gain_0db_limit = true;
-+
-+static int snd_rpi_justboom_both_init(struct snd_soc_pcm_runtime *rtd)
-+{
-+      struct snd_soc_component *digi = rtd->codec_dais[0]->component;
-+      struct snd_soc_component *dac = rtd->codec_dais[1]->component;
-+
-+      /* enable  TX output */
-+      snd_soc_component_update_bits(digi, WM8804_PWRDN, 0x4, 0x0);
-+
-+      snd_soc_component_update_bits(dac, PCM512x_GPIO_EN, 0x08, 0x08);
-+      snd_soc_component_update_bits(dac, PCM512x_GPIO_OUTPUT_4, 0xf, 0x02);
-+      snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
-+
-+      if (digital_gain_0db_limit) {
-+              int ret;
-+              struct snd_soc_card *card = rtd->card;
-+
-+              ret = snd_soc_limit_volume(card, "Digital Playback Volume",
-+                                                                      207);
-+              if (ret < 0)
-+                      dev_warn(card->dev, "Failed to set volume limit: %d\n",
-+                                                                      ret);
-+      }
-+
-+      return 0;
-+}
-+
-+static int snd_rpi_justboom_both_hw_params(struct snd_pcm_substream *substream,
-+                                     struct snd_pcm_hw_params *params)
-+{
-+      struct snd_soc_pcm_runtime *rtd = substream->private_data;
-+      struct snd_soc_dai *codec_dai = rtd->codec_dai;
-+      struct snd_soc_component *digi = rtd->codec_dais[0]->component;
-+      struct snd_soc_dai *cpu_dai = rtd->cpu_dai;
-+
-+      int sysclk = 27000000; /* This is fixed on this board */
-+
-+      long mclk_freq    = 0;
-+      int mclk_div      = 1;
-+      int sampling_freq = 1;
-+
-+      int ret;
-+
-+      int samplerate = params_rate(params);
-+
-+      if (samplerate <= 96000) {
-+              mclk_freq = samplerate*256;
-+              mclk_div  = WM8804_MCLKDIV_256FS;
-+      } else {
-+              mclk_freq = samplerate*128;
-+              mclk_div  = WM8804_MCLKDIV_128FS;
-+      }
-+
-+      switch (samplerate) {
-+      case 32000:
-+              sampling_freq = 0x03;
-+              break;
-+      case 44100:
-+              sampling_freq = 0x00;
-+              break;
-+      case 48000:
-+              sampling_freq = 0x02;
-+              break;
-+      case 88200:
-+              sampling_freq = 0x08;
-+              break;
-+      case 96000:
-+              sampling_freq = 0x0a;
-+              break;
-+      case 176400:
-+              sampling_freq = 0x0c;
-+              break;
-+      case 192000:
-+              sampling_freq = 0x0e;
-+              break;
-+      default:
-+              dev_err(rtd->card->dev,
-+              "Failed to set WM8804 SYSCLK, unsupported samplerate %d\n",
-+              samplerate);
-+      }
-+
-+      snd_soc_dai_set_clkdiv(codec_dai, WM8804_MCLK_DIV, mclk_div);
-+      snd_soc_dai_set_pll(codec_dai, 0, 0, sysclk, mclk_freq);
-+
-+      ret = snd_soc_dai_set_sysclk(codec_dai, WM8804_TX_CLKSRC_PLL,
-+                                      sysclk, SND_SOC_CLOCK_OUT);
-+      if (ret < 0) {
-+              dev_err(rtd->card->dev,
-+              "Failed to set WM8804 SYSCLK: %d\n", ret);
-+              return ret;
-+      }
-+
-+      /* Enable TX output */
-+      snd_soc_component_update_bits(digi, WM8804_PWRDN, 0x4, 0x0);
-+
-+      /* Power on */
-+      snd_soc_component_update_bits(digi, WM8804_PWRDN, 0x9, 0);
-+
-+      /* set sampling frequency status bits */
-+      snd_soc_component_update_bits(digi, WM8804_SPDTX4, 0x0f, sampling_freq);
-+
-+      return snd_soc_dai_set_bclk_ratio(cpu_dai, 64);
-+}
-+
-+static int snd_rpi_justboom_both_startup(struct snd_pcm_substream *substream)
-+{
-+      struct snd_soc_pcm_runtime *rtd = substream->private_data;
-+      struct snd_soc_component *digi = rtd->codec_dais[0]->component;
-+      struct snd_soc_component *dac = rtd->codec_dais[1]->component;
-+
-+      /* turn on digital output */
-+      snd_soc_component_update_bits(digi, WM8804_PWRDN, 0x3c, 0x00);
-+
-+      snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
-+
-+      return 0;
-+}
-+
-+static void snd_rpi_justboom_both_shutdown(struct snd_pcm_substream *substream)
-+{
-+      struct snd_soc_pcm_runtime *rtd = substream->private_data;
-+      struct snd_soc_component *digi = rtd->codec_dais[0]->component;
-+      struct snd_soc_component *dac = rtd->codec_dais[1]->component;
-+
-+      snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x00);
-+
-+      /* turn off output */
-+      snd_soc_component_update_bits(digi, WM8804_PWRDN, 0x3c, 0x3c);
-+}
-+
-+/* machine stream operations */
-+static struct snd_soc_ops snd_rpi_justboom_both_ops = {
-+      .hw_params = snd_rpi_justboom_both_hw_params,
-+      .startup   = snd_rpi_justboom_both_startup,
-+      .shutdown  = snd_rpi_justboom_both_shutdown,
-+};
-+
-+SND_SOC_DAILINK_DEFS(rpi_justboom_both,
-+      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
-+      DAILINK_COMP_ARRAY(COMP_CODEC("pcm512x.1-004d", "pcm512x-hifi"),
-+                         COMP_CODEC("wm8804.1-003b", "wm8804-spdif")),
-+      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2708-i2s.0")));
-+
-+static struct snd_soc_dai_link snd_rpi_justboom_both_dai[] = {
-+{
-+      .name           = "JustBoom Digi",
-+      .stream_name    = "JustBoom Digi HiFi",
-+      .dai_fmt        = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF |
-+                                      SND_SOC_DAIFMT_CBM_CFM,
-+      .ops            = &snd_rpi_justboom_both_ops,
-+      .init           = snd_rpi_justboom_both_init,
-+      SND_SOC_DAILINK_REG(rpi_justboom_both),
-+},
-+};
-+
-+/* audio machine driver */
-+static struct snd_soc_card snd_rpi_justboom_both = {
-+      .name             = "snd_rpi_justboom_both",
-+      .driver_name      = "JustBoomBoth",
-+      .owner            = THIS_MODULE,
-+      .dai_link         = snd_rpi_justboom_both_dai,
-+      .num_links        = ARRAY_SIZE(snd_rpi_justboom_both_dai),
-+};
-+
-+static int snd_rpi_justboom_both_probe(struct platform_device *pdev)
-+{
-+      int ret = 0;
-+      struct snd_soc_card *card = &snd_rpi_justboom_both;
-+
-+      snd_rpi_justboom_both.dev = &pdev->dev;
-+
-+      if (pdev->dev.of_node) {
-+              struct device_node *i2s_node;
-+              struct snd_soc_dai_link *dai = &snd_rpi_justboom_both_dai[0];
-+
-+              i2s_node = of_parse_phandle(pdev->dev.of_node,
-+                                          "i2s-controller", 0);
-+
-+              if (i2s_node) {
-+                      int i;
-+
-+                      for (i = 0; i < card->num_links; i++) {
-+                              dai->cpus->dai_name = NULL;
-+                              dai->cpus->of_node = i2s_node;
-+                              dai->platforms->name = NULL;
-+                              dai->platforms->of_node = i2s_node;
-+                      }
-+              }
-+
-+              digital_gain_0db_limit = !of_property_read_bool(
-+                      pdev->dev.of_node, "justboom,24db_digital_gain");
-+      }
-+
-+      ret = snd_soc_register_card(card);
-+      if (ret && ret != -EPROBE_DEFER) {
-+              dev_err(&pdev->dev,
-+                      "snd_soc_register_card() failed: %d\n", ret);
-+      }
-+
-+      return ret;
-+}
-+
-+static int snd_rpi_justboom_both_remove(struct platform_device *pdev)
-+{
-+      return snd_soc_unregister_card(&snd_rpi_justboom_both);
-+}
-+
-+static const struct of_device_id snd_rpi_justboom_both_of_match[] = {
-+      { .compatible = "justboom,justboom-both", },
-+      {},
-+};
-+MODULE_DEVICE_TABLE(of, snd_rpi_justboom_both_of_match);
-+
-+static struct platform_driver snd_rpi_justboom_both_driver = {
-+      .driver = {
-+              .name   = "snd-rpi-justboom-both",
-+              .owner  = THIS_MODULE,
-+              .of_match_table = snd_rpi_justboom_both_of_match,
-+      },
-+      .probe          = snd_rpi_justboom_both_probe,
-+      .remove         = snd_rpi_justboom_both_remove,
-+};
-+
-+module_platform_driver(snd_rpi_justboom_both_driver);
-+
-+MODULE_AUTHOR("Johannes Krude <johannes@krude.de>");
-+MODULE_DESCRIPTION("ASoC Driver for simultaneous use of JustBoom PI Digi & DAC HAT Sound Cards");
-+MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0363-Pisound-MIDI-communication-fixes-for-scaled-down-CPU.patch b/target/linux/bcm27xx/patches-5.4/950-0363-Pisound-MIDI-communication-fixes-for-scaled-down-CPU.patch
new file mode 100644 (file)
index 0000000..0221803
--- /dev/null
@@ -0,0 +1,100 @@
+From 67dd4d137557909279a21c1b5de87a24c84903f9 Mon Sep 17 00:00:00 2001
+From: Giedrius <giedrius@blokas.io>
+Date: Tue, 7 Jan 2020 11:04:21 +0200
+Subject: [PATCH] Pisound: MIDI communication fixes for scaled down
+ CPU.
+MIME-Version: 1.0
+Content-Type: text/plain; charset=UTF-8
+Content-Transfer-Encoding: 8bit
+
+* Increased maximum SPI communication speed to avoid running too slow
+  when the CPU is scaled down and losing MIDI data.
+
+* Keep track of buffer usage in millibytes for higher precision.
+
+Signed-off-by: Giedrius Trainavičius <giedrius@blokas.io>
+---
+ sound/soc/bcm/pisound.c | 31 ++++++++++++++++++-------------
+ 1 file changed, 18 insertions(+), 13 deletions(-)
+
+--- a/sound/soc/bcm/pisound.c
++++ b/sound/soc/bcm/pisound.c
+@@ -1,6 +1,6 @@
+ /*
+  * Pisound Linux kernel module.
+- * Copyright (C) 2016-2019  Vilniaus Blokas UAB, https://blokas.io/pisound
++ * Copyright (C) 2016-2020  Vilniaus Blokas UAB, https://blokas.io/pisound
+  *
+  * This program is free software; you can redistribute it and/or
+  * modify it under the terms of the GNU General Public License
+@@ -326,7 +326,7 @@ static void spi_transfer(const uint8_t *
+       transfer.tx_buf = txbuf;
+       transfer.rx_buf = rxbuf;
+       transfer.len = len;
+-      transfer.speed_hz = 100000;
++      transfer.speed_hz = 150000;
+       transfer.delay_usecs = 10;
+       spi_message_add_tail(&transfer, &msg);
+@@ -403,9 +403,9 @@ static struct spi_device *pisnd_spi_find
+ static void pisnd_work_handler(struct work_struct *work)
+ {
+       enum { TRANSFER_SIZE = 4 };
+-      enum { PISOUND_OUTPUT_BUFFER_SIZE = 128 };
+-      enum { MIDI_BYTES_PER_SECOND = 3125 };
+-      int out_buffer_used = 0;
++      enum { PISOUND_OUTPUT_BUFFER_SIZE_MILLIBYTES = 127 * 1000 };
++      enum { MIDI_MILLIBYTES_PER_JIFFIE = (3125 * 1000) / HZ };
++      int out_buffer_used_millibytes = 0;
+       unsigned long now;
+       uint8_t val;
+       uint8_t txbuf[TRANSFER_SIZE];
+@@ -445,7 +445,9 @@ static void pisnd_work_handler(struct wo
+                       had_data = false;
+                       memset(txbuf, 0, sizeof(txbuf));
+                       for (i = 0; i < sizeof(txbuf) &&
+-                              out_buffer_used < PISOUND_OUTPUT_BUFFER_SIZE;
++                              ((out_buffer_used_millibytes+1000 <
++                              PISOUND_OUTPUT_BUFFER_SIZE_MILLIBYTES) ||
++                              g_ledFlashDurationChanged);
+                               i += 2) {
+                               val = 0;
+@@ -458,7 +460,7 @@ static void pisnd_work_handler(struct wo
+                               } else if (kfifo_get(&spi_fifo_out, &val)) {
+                                       txbuf[i+0] = 0x0f;
+                                       txbuf[i+1] = val;
+-                                      ++out_buffer_used;
++                                      out_buffer_used_millibytes += 1000;
+                               }
+                       }
+@@ -469,12 +471,14 @@ static void pisnd_work_handler(struct wo
+                        * rate.
+                        */
+                       now = jiffies;
+-                      out_buffer_used -=
+-                              (MIDI_BYTES_PER_SECOND / HZ) /
+-                              (now - last_transfer_at);
+-                      if (out_buffer_used < 0)
+-                              out_buffer_used = 0;
+-                      last_transfer_at = now;
++                      if (now != last_transfer_at) {
++                              out_buffer_used_millibytes -=
++                                      (now - last_transfer_at) *
++                                      MIDI_MILLIBYTES_PER_JIFFIE;
++                              if (out_buffer_used_millibytes < 0)
++                                      out_buffer_used_millibytes = 0;
++                              last_transfer_at = now;
++                      }
+                       for (i = 0; i < sizeof(rxbuf); i += 2) {
+                               if (rxbuf[i]) {
+@@ -489,6 +493,7 @@ static void pisnd_work_handler(struct wo
+                       || !kfifo_is_empty(&spi_fifo_out)
+                       || pisnd_spi_has_more()
+                       || g_ledFlashDurationChanged
++                      || out_buffer_used_millibytes != 0
+                       );
+               if (!kfifo_is_empty(&spi_fifo_in) && g_recvCallback)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0364-ARM-dts-bcm283x-Remove-simple-bus-from-fixed-clocks.patch b/target/linux/bcm27xx/patches-5.4/950-0364-ARM-dts-bcm283x-Remove-simple-bus-from-fixed-clocks.patch
new file mode 100644 (file)
index 0000000..db90055
--- /dev/null
@@ -0,0 +1,51 @@
+From 238506ebdea7a0bb928af8403287d5b0d71cdfee Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Fri, 16 Aug 2019 22:32:02 +0200
+Subject: [PATCH] ARM: dts: bcm283x: Remove simple-bus from fixed
+ clocks
+
+commit 4b2d24662126b1e2a6b95c9dfe9e9044e105e5bd upstream.
+
+The fixed clocks doesn't form some kind of bus. So let's remove it.
+This fixes the follow DT schema warnings:
+
+clocks: clock@3:reg:0: [3] is too short
+clocks: clock@4:reg:0: [4] is too short
+clocks: $nodename:0: 'clocks' does not match '^(bus|soc|axi|ahb|apb)(@[0-9a-f]+)?$'
+clocks: #size-cells:0:0: 0 is not one of [1, 2]
+clocks: 'ranges' is a required property
+clock@3: 'reg' does not match any of the regexes: 'pinctrl-[0-9]+'
+clock@4: 'reg' does not match any of the regexes: 'pinctrl-[0-9]+'
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ arch/arm/boot/dts/bcm283x.dtsi | 10 ++--------
+ 1 file changed, 2 insertions(+), 8 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm283x.dtsi
++++ b/arch/arm/boot/dts/bcm283x.dtsi
+@@ -635,22 +635,16 @@
+       };
+       clocks {
+-              compatible = "simple-bus";
+-              #address-cells = <1>;
+-              #size-cells = <0>;
+-
+               /* The oscillator is the root of the clock tree. */
+-              clk_osc: clock@3 {
++              clk_osc: clk-osc {
+                       compatible = "fixed-clock";
+-                      reg = <3>;
+                       #clock-cells = <0>;
+                       clock-output-names = "osc";
+                       clock-frequency = <19200000>;
+               };
+-              clk_usb: clock@4 {
++              clk_usb: clk-usb {
+                       compatible = "fixed-clock";
+-                      reg = <4>;
+                       #clock-cells = <0>;
+                       clock-output-names = "otg";
+                       clock-frequency = <480000000>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0364-overlays-dht11-Allow-multiple-instantiation.patch b/target/linux/bcm27xx/patches-5.4/950-0364-overlays-dht11-Allow-multiple-instantiation.patch
deleted file mode 100644 (file)
index b75f1d4..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From 5c1a2df946720816c155ff38b01bcd49a0f44f78 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Wed, 18 Dec 2019 10:41:33 +0000
-Subject: [PATCH] overlays: dht11: Allow multiple instantiation
-
-Add addresses to the dht11 and dht11_pins nodes to allow unique names
-to be generated by assigning to the "reg" property.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/boot/dts/overlays/dht11-overlay.dts | 6 ++++--
- 1 file changed, 4 insertions(+), 2 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/dht11-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/dht11-overlay.dts
-@@ -24,7 +24,7 @@
-       fragment@1 {
-               target = <&gpio>;
-               __overlay__ {
--                      dht11_pins: dht11_pins {
-+                      dht11_pins: dht11_pins@0 {
-                               brcm,pins = <4>;
-                               brcm,function = <0>; // in
-                               brcm,pull = <0>; // off
-@@ -34,6 +34,8 @@
-       __overrides__ {
-               gpiopin = <&dht11_pins>,"brcm,pins:0",
--                      <&dht11>,"gpios:4";
-+                      <&dht11_pins>, "reg:0",
-+                      <&dht11>,"gpios:4",
-+                      <&dht11>,"reg:0";
-       };
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0365-ARM-dts-bcm283x-Move-system-timer-back-to-bcm283x.dt.patch b/target/linux/bcm27xx/patches-5.4/950-0365-ARM-dts-bcm283x-Move-system-timer-back-to-bcm283x.dt.patch
new file mode 100644 (file)
index 0000000..3ececc8
--- /dev/null
@@ -0,0 +1,73 @@
+From fcd4bc412167d2a79bf63603e883f4960ca6b2a1 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Fri, 27 Dec 2019 11:15:00 +0100
+Subject: [PATCH] ARM: dts: bcm283x: Move system timer back to
+ bcm283x.dtsi
+
+During Raspberry Pi 4 upstream discussion Tim Gover confirmed that the
+system timer also exists on BCM2711. So move it back to bcm283x.dtsi and
+overwrite the interrupt definition in bcm2838.dtsi.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ arch/arm/boot/dts/bcm2835-common.dtsi | 11 -----------
+ arch/arm/boot/dts/bcm2838.dtsi        |  7 +++++++
+ arch/arm/boot/dts/bcm283x.dtsi        | 11 +++++++++++
+ 3 files changed, 18 insertions(+), 11 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2835-common.dtsi
++++ b/arch/arm/boot/dts/bcm2835-common.dtsi
+@@ -6,17 +6,6 @@
+ / {
+       soc {
+-              timer@7e003000 {
+-                      compatible = "brcm,bcm2835-system-timer";
+-                      reg = <0x7e003000 0x1000>;
+-                      interrupts = <1 0>, <1 1>, <1 2>, <1 3>;
+-                      /* This could be a reference to BCM2835_CLOCK_TIMER,
+-                       * but we don't have the driver using the common clock
+-                       * support yet.
+-                       */
+-                      clock-frequency = <1000000>;
+-              };
+-
+               intc: interrupt-controller@7e00b200 {
+                       compatible = "brcm,bcm2835-armctrl-ic";
+                       reg = <0x7e00b200 0x200>;
+--- a/arch/arm/boot/dts/bcm2838.dtsi
++++ b/arch/arm/boot/dts/bcm2838.dtsi
+@@ -711,6 +711,13 @@
+       interrupts = <GIC_SPI 120 IRQ_TYPE_LEVEL_HIGH>;
+ };
++&system_timer {
++      interrupts = <GIC_SPI 64 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 65 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 66 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 67 IRQ_TYPE_LEVEL_HIGH>;
++};
++
+ &uart0 {
+       interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
+ };
+--- a/arch/arm/boot/dts/bcm283x.dtsi
++++ b/arch/arm/boot/dts/bcm283x.dtsi
+@@ -56,6 +56,17 @@
+               #address-cells = <1>;
+               #size-cells = <1>;
++              system_timer: timer@7e003000 {
++                      compatible = "brcm,bcm2835-system-timer";
++                      reg = <0x7e003000 0x1000>;
++                      interrupts = <1 0>, <1 1>, <1 2>, <1 3>;
++                      /* This could be a reference to BCM2835_CLOCK_TIMER,
++                       * but we don't have the driver using the common clock
++                       * support yet.
++                       */
++                      clock-frequency = <1000000>;
++              };
++
+               txp: txp@7e004000 {
+                       compatible = "brcm,bcm2835-txp";
+                       reg = <0x7e004000 0x20>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0365-overlays-i2c-rtc-Add-pcf85363-support.patch b/target/linux/bcm27xx/patches-5.4/950-0365-overlays-i2c-rtc-Add-pcf85363-support.patch
deleted file mode 100644 (file)
index 93a699a..0000000
+++ /dev/null
@@ -1,56 +0,0 @@
-From 32dbe4ebb10b96eed117852f1643bf1f854d96c0 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Sun, 22 Dec 2019 15:29:40 +0000
-Subject: [PATCH] overlays: i2c-rtc: Add pcf85363 support
-
-See: https://github.com/raspberrypi/firmware/issues/1309
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/boot/dts/overlays/README              |  2 ++
- arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts | 16 ++++++++++++++++
- 2 files changed, 18 insertions(+)
-
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -1078,6 +1078,8 @@ Params: abx80x                  Select o
-         pcf8523                 Select the PCF8523 device
-+        pcf85363                Select the PCF85363 device
-+
-         pcf8563                 Select the PCF8563 device
-         rv3028                  Select the Micro Crystal RV3028 device
---- a/arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts
-@@ -188,6 +188,21 @@
-               };
-       };
-+      fragment@12 {
-+              target = <&i2c_arm>;
-+             __dormant__ {
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "okay";
-+
-+                      pcf85363@51 {
-+                              compatible = "nxp,pcf85363";
-+                              reg = <0x51>;
-+                              status = "okay";
-+                      };
-+              };
-+      };
-+
-       __overrides__ {
-               abx80x = <0>,"+0";
-               ds1307 = <0>,"+1";
-@@ -201,6 +216,7 @@
-               m41t62 = <0>,"+9";
-               rv3028 = <0>,"+10";
-               pcf2129 = <0>,"+11";
-+              pcf85363 = <0>,"+12";
-               addr = <&abx80x>, "reg:0",
-                      <&ds1307>, "reg:0",
diff --git a/target/linux/bcm27xx/patches-5.4/950-0366-ARM-dts-bcm283x-Move-pixelvalve-to-bcm2835-common.dt.patch b/target/linux/bcm27xx/patches-5.4/950-0366-ARM-dts-bcm283x-Move-pixelvalve-to-bcm2835-common.dt.patch
new file mode 100644 (file)
index 0000000..218a846
--- /dev/null
@@ -0,0 +1,112 @@
+From d884dfd722a8207749f5c6c08b69287f0c75a553 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Fri, 27 Dec 2019 16:06:13 +0100
+Subject: [PATCH] ARM: dts: bcm283x: Move pixelvalve to
+ bcm2835-common.dtsi
+
+According to Eric Anholt the pixelvalves doesn't exists on BCM2711.
+So move it to bcm2835-common.dtsi.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ arch/arm/boot/dts/bcm2835-common.dtsi | 18 ++++++++++++++++++
+ arch/arm/boot/dts/bcm2838.dtsi        | 12 ------------
+ arch/arm/boot/dts/bcm283x.dtsi        | 18 ------------------
+ 3 files changed, 18 insertions(+), 30 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2835-common.dtsi
++++ b/arch/arm/boot/dts/bcm2835-common.dtsi
+@@ -13,6 +13,18 @@
+                       #interrupt-cells = <2>;
+               };
++              pixelvalve@7e206000 {
++                      compatible = "brcm,bcm2835-pixelvalve0";
++                      reg = <0x7e206000 0x100>;
++                      interrupts = <2 13>; /* pwa0 */
++              };
++
++              pixelvalve@7e207000 {
++                      compatible = "brcm,bcm2835-pixelvalve1";
++                      reg = <0x7e207000 0x100>;
++                      interrupts = <2 14>; /* pwa1 */
++              };
++
+               thermal: thermal@7e212000 {
+                       compatible = "brcm,bcm2835-thermal";
+                       reg = <0x7e212000 0x8>;
+@@ -21,6 +33,12 @@
+                       status = "disabled";
+               };
++              pixelvalve@7e807000 {
++                      compatible = "brcm,bcm2835-pixelvalve2";
++                      reg = <0x7e807000 0x100>;
++                      interrupts = <2 10>; /* pixelvalve */
++              };
++
+               v3d: v3d@7ec00000 {
+                       compatible = "brcm,bcm2835-v3d";
+                       reg = <0x7ec00000 0x1000>;
+--- a/arch/arm/boot/dts/bcm2838.dtsi
++++ b/arch/arm/boot/dts/bcm2838.dtsi
+@@ -188,14 +188,6 @@
+                       status = "disabled";
+               };
+-              pixelvalve@7e206000 {
+-                      interrupts = <GIC_SPI 109 IRQ_TYPE_LEVEL_HIGH>;
+-              };
+-
+-              pixelvalve@7e207000 {
+-                      interrupts = <GIC_SPI 110 IRQ_TYPE_LEVEL_HIGH>;
+-              };
+-
+               pwm1: pwm@7e20c800 {
+                       compatible = "brcm,bcm2835-pwm";
+                       reg = <0x7e20c800 0x28>;
+@@ -217,10 +209,6 @@
+               hvs@7e400000 {
+                       interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
+               };
+-
+-              pixelvalve@7e807000 {
+-                      interrupts = <GIC_SPI 106 IRQ_TYPE_LEVEL_HIGH>;
+-              };
+       };
+       arm-pmu {
+--- a/arch/arm/boot/dts/bcm283x.dtsi
++++ b/arch/arm/boot/dts/bcm283x.dtsi
+@@ -432,18 +432,6 @@
+                       status = "disabled";
+               };
+-              pixelvalve@7e206000 {
+-                      compatible = "brcm,bcm2835-pixelvalve0";
+-                      reg = <0x7e206000 0x100>;
+-                      interrupts = <2 13>; /* pwa0 */
+-              };
+-
+-              pixelvalve@7e207000 {
+-                      compatible = "brcm,bcm2835-pixelvalve1";
+-                      reg = <0x7e207000 0x100>;
+-                      interrupts = <2 14>; /* pwa1 */
+-              };
+-
+               dpi: dpi@7e208000 {
+                       compatible = "brcm,bcm2835-dpi";
+                       reg = <0x7e208000 0x8c>;
+@@ -608,12 +596,6 @@
+                       status = "disabled";
+               };
+-              pixelvalve@7e807000 {
+-                      compatible = "brcm,bcm2835-pixelvalve2";
+-                      reg = <0x7e807000 0x100>;
+-                      interrupts = <2 10>; /* pixelvalve */
+-              };
+-
+               hdmi: hdmi@7e902000 {
+                       compatible = "brcm,bcm2835-hdmi";
+                       reg = <0x7e902000 0x600>,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0366-pinctrl-bcm2835-Remove-gpiochip-on-error.patch b/target/linux/bcm27xx/patches-5.4/950-0366-pinctrl-bcm2835-Remove-gpiochip-on-error.patch
deleted file mode 100644 (file)
index 31a1a24..0000000
+++ /dev/null
@@ -1,25 +0,0 @@
-From 0cddfafa817a776063ba6f00fb439d9a415235f9 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Mon, 6 Jan 2020 16:04:30 +0000
-Subject: [PATCH] pinctrl: bcm2835: Remove gpiochip on error
-
-A failure in gpiochip_irqchip_add leads to a leak of a gpiochip. Fix
-the leak with the use of devm_gpiochip_add_data.
-
-Fixes: 85ae9e512f43 ("pinctrl: bcm2835: switch to GPIOLIB_IRQCHIP")
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/pinctrl/bcm/pinctrl-bcm2835.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/pinctrl/bcm/pinctrl-bcm2835.c
-+++ b/drivers/pinctrl/bcm/pinctrl-bcm2835.c
-@@ -1135,7 +1135,7 @@ static int bcm2835_pinctrl_probe(struct
-               raw_spin_lock_init(&pc->irq_lock[i]);
-       }
--      err = gpiochip_add_data(&pc->gpio_chip, pc);
-+      err = devm_gpiochip_add_data(dev, &pc->gpio_chip, pc);
-       if (err) {
-               dev_err(dev, "could not add GPIO chip\n");
-               return err;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0367-ARM-dts-bcm2838-rpi-4-b-Fix-memory-node.patch b/target/linux/bcm27xx/patches-5.4/950-0367-ARM-dts-bcm2838-rpi-4-b-Fix-memory-node.patch
new file mode 100644 (file)
index 0000000..1acf84b
--- /dev/null
@@ -0,0 +1,26 @@
+From 91ebd8e0ceb2de047e89e1253ff8ddefbc8aa65e Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Wed, 25 Dec 2019 15:32:29 +0100
+Subject: [PATCH] ARM: dts: bcm2838-rpi-4-b: Fix memory node
+
+We need to declare the proper device type, otherwise U-Boot won't boot
+with this devicetree. While we are this let the bootloader set the actual
+memory size.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ arch/arm/boot/dts/bcm2838-rpi-4-b.dts | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
+@@ -14,7 +14,8 @@
+       };
+       memory@0 {
+-              reg = <0 0 0x40000000>;
++              device_type = "memory";
++              reg = <0x0 0x0 0x0>;
+       };
+       leds {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0367-pinctrl-bcm2835-Change-init-order-for-gpio-hogs.patch b/target/linux/bcm27xx/patches-5.4/950-0367-pinctrl-bcm2835-Change-init-order-for-gpio-hogs.patch
deleted file mode 100644 (file)
index 3865ae1..0000000
+++ /dev/null
@@ -1,88 +0,0 @@
-From 27cb8bf0442f677380a1df93b93b7589b7ce5243 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Mon, 6 Jan 2020 14:05:42 +0000
-Subject: [PATCH] pinctrl: bcm2835: Change init order for gpio hogs
-
-pinctrl-bcm2835 is a combined pinctrl/gpio driver. Currently the gpio
-side is registered first, but this breaks gpio hogs (which are
-configured during gpiochip_add_data). Part of the hog initialisation
-is a call to pinctrl_gpio_request, and since the pinctrl driver hasn't
-yet been registered this results in an -EPROBE_DEFER from which it can
-never recover.
-
-Change the initialisation sequence to register the pinctrl driver
-first.
-
-See: https://www.raspberrypi.org/forums/viewtopic.php?f=107&t=260600
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/pinctrl/bcm/pinctrl-bcm2835.c | 40 ++++++++++++---------------
- 1 file changed, 17 insertions(+), 23 deletions(-)
-
---- a/drivers/pinctrl/bcm/pinctrl-bcm2835.c
-+++ b/drivers/pinctrl/bcm/pinctrl-bcm2835.c
-@@ -1135,9 +1135,25 @@ static int bcm2835_pinctrl_probe(struct
-               raw_spin_lock_init(&pc->irq_lock[i]);
-       }
-+      match = of_match_node(bcm2835_pinctrl_match, pdev->dev.of_node);
-+      if (match) {
-+              bcm2835_pinctrl_desc.confops =
-+                      (const struct pinconf_ops *)match->data;
-+      }
-+
-+      pc->pctl_dev = devm_pinctrl_register(dev, &bcm2835_pinctrl_desc, pc);
-+      if (IS_ERR(pc->pctl_dev))
-+              return PTR_ERR(pc->pctl_dev);
-+
-+      pc->gpio_range = bcm2835_pinctrl_gpio_range;
-+      pc->gpio_range.base = pc->gpio_chip.base;
-+      pc->gpio_range.gc = &pc->gpio_chip;
-+      pinctrl_add_gpio_range(pc->pctl_dev, &pc->gpio_range);
-+
-       err = devm_gpiochip_add_data(dev, &pc->gpio_chip, pc);
-       if (err) {
-               dev_err(dev, "could not add GPIO chip\n");
-+              pinctrl_remove_gpio_range(pc->pctl_dev, &pc->gpio_range);
-               return err;
-       }
-@@ -1145,6 +1161,7 @@ static int bcm2835_pinctrl_probe(struct
-                                  0, handle_level_irq, IRQ_TYPE_NONE);
-       if (err) {
-               dev_info(dev, "could not add irqchip\n");
-+              pinctrl_remove_gpio_range(pc->pctl_dev, &pc->gpio_range);
-               return err;
-       }
-@@ -1167,29 +1184,6 @@ static int bcm2835_pinctrl_probe(struct
-                                            bcm2835_gpio_irq_handler);
-       }
--      match = of_match_node(bcm2835_pinctrl_match, pdev->dev.of_node);
--      if (match) {
--              bcm2835_pinctrl_desc.confops =
--                      (const struct pinconf_ops *)match->data;
--      }
--
--      match = of_match_node(bcm2835_pinctrl_match, pdev->dev.of_node);
--      if (match) {
--              bcm2835_pinctrl_desc.confops =
--                      (const struct pinconf_ops *)match->data;
--      }
--
--      pc->pctl_dev = devm_pinctrl_register(dev, &bcm2835_pinctrl_desc, pc);
--      if (IS_ERR(pc->pctl_dev)) {
--              gpiochip_remove(&pc->gpio_chip);
--              return PTR_ERR(pc->pctl_dev);
--      }
--
--      pc->gpio_range = bcm2835_pinctrl_gpio_range;
--      pc->gpio_range.base = pc->gpio_chip.base;
--      pc->gpio_range.gc = &pc->gpio_chip;
--      pinctrl_add_gpio_range(pc->pctl_dev, &pc->gpio_range);
--
-       return 0;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0368-ARM-dts-bcm2838-rpi-4-b-Backport-BT-part-from-upstre.patch b/target/linux/bcm27xx/patches-5.4/950-0368-ARM-dts-bcm2838-rpi-4-b-Backport-BT-part-from-upstre.patch
new file mode 100644 (file)
index 0000000..ce0b881
--- /dev/null
@@ -0,0 +1,27 @@
+From 10430ccee66023c26c90cdbc0d6381b41dcecfb7 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Wed, 25 Dec 2019 15:43:41 +0100
+Subject: [PATCH] ARM: dts: bcm2838-rpi-4-b: Backport BT part from
+ upstream
+
+The CYW43455 on the Raspberry Pi 4 doesn't use an external pin as lower
+power clock anymore. So drop the GPIO clock from pinctrl. While we are at
+this add the missing declaration of hardware flow control.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ arch/arm/boot/dts/bcm2838-rpi-4-b.dts | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
+@@ -101,7 +101,8 @@
+ /* uart0 communicates with the BT module */
+ &uart0 {
+       pinctrl-names = "default";
+-      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32 &gpclk2_gpio43>;
++      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32>;
++      uart-has-rtscts;
+       status = "okay";
+       bluetooth {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0368-Pisound-MIDI-communication-fixes-for-scaled-down-CPU.patch b/target/linux/bcm27xx/patches-5.4/950-0368-Pisound-MIDI-communication-fixes-for-scaled-down-CPU.patch
deleted file mode 100644 (file)
index 0221803..0000000
+++ /dev/null
@@ -1,100 +0,0 @@
-From 67dd4d137557909279a21c1b5de87a24c84903f9 Mon Sep 17 00:00:00 2001
-From: Giedrius <giedrius@blokas.io>
-Date: Tue, 7 Jan 2020 11:04:21 +0200
-Subject: [PATCH] Pisound: MIDI communication fixes for scaled down
- CPU.
-MIME-Version: 1.0
-Content-Type: text/plain; charset=UTF-8
-Content-Transfer-Encoding: 8bit
-
-* Increased maximum SPI communication speed to avoid running too slow
-  when the CPU is scaled down and losing MIDI data.
-
-* Keep track of buffer usage in millibytes for higher precision.
-
-Signed-off-by: Giedrius Trainavičius <giedrius@blokas.io>
----
- sound/soc/bcm/pisound.c | 31 ++++++++++++++++++-------------
- 1 file changed, 18 insertions(+), 13 deletions(-)
-
---- a/sound/soc/bcm/pisound.c
-+++ b/sound/soc/bcm/pisound.c
-@@ -1,6 +1,6 @@
- /*
-  * Pisound Linux kernel module.
-- * Copyright (C) 2016-2019  Vilniaus Blokas UAB, https://blokas.io/pisound
-+ * Copyright (C) 2016-2020  Vilniaus Blokas UAB, https://blokas.io/pisound
-  *
-  * This program is free software; you can redistribute it and/or
-  * modify it under the terms of the GNU General Public License
-@@ -326,7 +326,7 @@ static void spi_transfer(const uint8_t *
-       transfer.tx_buf = txbuf;
-       transfer.rx_buf = rxbuf;
-       transfer.len = len;
--      transfer.speed_hz = 100000;
-+      transfer.speed_hz = 150000;
-       transfer.delay_usecs = 10;
-       spi_message_add_tail(&transfer, &msg);
-@@ -403,9 +403,9 @@ static struct spi_device *pisnd_spi_find
- static void pisnd_work_handler(struct work_struct *work)
- {
-       enum { TRANSFER_SIZE = 4 };
--      enum { PISOUND_OUTPUT_BUFFER_SIZE = 128 };
--      enum { MIDI_BYTES_PER_SECOND = 3125 };
--      int out_buffer_used = 0;
-+      enum { PISOUND_OUTPUT_BUFFER_SIZE_MILLIBYTES = 127 * 1000 };
-+      enum { MIDI_MILLIBYTES_PER_JIFFIE = (3125 * 1000) / HZ };
-+      int out_buffer_used_millibytes = 0;
-       unsigned long now;
-       uint8_t val;
-       uint8_t txbuf[TRANSFER_SIZE];
-@@ -445,7 +445,9 @@ static void pisnd_work_handler(struct wo
-                       had_data = false;
-                       memset(txbuf, 0, sizeof(txbuf));
-                       for (i = 0; i < sizeof(txbuf) &&
--                              out_buffer_used < PISOUND_OUTPUT_BUFFER_SIZE;
-+                              ((out_buffer_used_millibytes+1000 <
-+                              PISOUND_OUTPUT_BUFFER_SIZE_MILLIBYTES) ||
-+                              g_ledFlashDurationChanged);
-                               i += 2) {
-                               val = 0;
-@@ -458,7 +460,7 @@ static void pisnd_work_handler(struct wo
-                               } else if (kfifo_get(&spi_fifo_out, &val)) {
-                                       txbuf[i+0] = 0x0f;
-                                       txbuf[i+1] = val;
--                                      ++out_buffer_used;
-+                                      out_buffer_used_millibytes += 1000;
-                               }
-                       }
-@@ -469,12 +471,14 @@ static void pisnd_work_handler(struct wo
-                        * rate.
-                        */
-                       now = jiffies;
--                      out_buffer_used -=
--                              (MIDI_BYTES_PER_SECOND / HZ) /
--                              (now - last_transfer_at);
--                      if (out_buffer_used < 0)
--                              out_buffer_used = 0;
--                      last_transfer_at = now;
-+                      if (now != last_transfer_at) {
-+                              out_buffer_used_millibytes -=
-+                                      (now - last_transfer_at) *
-+                                      MIDI_MILLIBYTES_PER_JIFFIE;
-+                              if (out_buffer_used_millibytes < 0)
-+                                      out_buffer_used_millibytes = 0;
-+                              last_transfer_at = now;
-+                      }
-                       for (i = 0; i < sizeof(rxbuf); i += 2) {
-                               if (rxbuf[i]) {
-@@ -489,6 +493,7 @@ static void pisnd_work_handler(struct wo
-                       || !kfifo_is_empty(&spi_fifo_out)
-                       || pisnd_spi_has_more()
-                       || g_ledFlashDurationChanged
-+                      || out_buffer_used_millibytes != 0
-                       );
-               if (!kfifo_is_empty(&spi_fifo_in) && g_recvCallback)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0369-ARM-dts-bcm2838-Backport-node-names-from-upstream.patch b/target/linux/bcm27xx/patches-5.4/950-0369-ARM-dts-bcm2838-Backport-node-names-from-upstream.patch
new file mode 100644 (file)
index 0000000..fb3a6a3
--- /dev/null
@@ -0,0 +1,42 @@
+From 92606b5e0000c25f5daae6c17b0ab71e9fb4c3b4 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Wed, 25 Dec 2019 15:55:29 +0100
+Subject: [PATCH] ARM: dts: bcm2838: Backport node names from upstream
+
+According to devicetree specification the node name should describe
+the general class of device like ethernet or interrupt-controller.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ arch/arm/boot/dts/bcm2838.dtsi | 6 +++---
+ 1 file changed, 3 insertions(+), 3 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2838.dtsi
++++ b/arch/arm/boot/dts/bcm2838.dtsi
+@@ -27,7 +27,7 @@
+                       reg = <0x40000000 0x100>;
+               };
+-              gicv2: gic400@40041000 {
++              gicv2: interrupt-controller@40041000 {
+                       interrupt-controller;
+                       #interrupt-cells = <3>;
+                       compatible = "arm,gic-400";
+@@ -346,7 +346,7 @@
+                       status = "okay";
+               };
+-              genet: genet@7d580000 {
++              genet: ethernet@7d580000 {
+                       compatible = "brcm,genet-v5";
+                       reg = <0x0 0x7d580000 0x10000>;
+                       status = "okay";
+@@ -362,7 +362,7 @@
+                               compatible = "brcm,genet-mdio-v5";
+                               reg = <0xe14 0x8>;
+                               reg-names = "mdio";
+-                              phy1: genet-phy@0 {
++                              phy1: ethernet-phy@0 {
+                                       compatible =
+                                               "ethernet-phy-ieee802.3-c22";
+                                       /* No interrupts - use PHY_POLL */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0369-ARM-dts-bcm283x-Remove-simple-bus-from-fixed-clocks.patch b/target/linux/bcm27xx/patches-5.4/950-0369-ARM-dts-bcm283x-Remove-simple-bus-from-fixed-clocks.patch
deleted file mode 100644 (file)
index db90055..0000000
+++ /dev/null
@@ -1,51 +0,0 @@
-From 238506ebdea7a0bb928af8403287d5b0d71cdfee Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Fri, 16 Aug 2019 22:32:02 +0200
-Subject: [PATCH] ARM: dts: bcm283x: Remove simple-bus from fixed
- clocks
-
-commit 4b2d24662126b1e2a6b95c9dfe9e9044e105e5bd upstream.
-
-The fixed clocks doesn't form some kind of bus. So let's remove it.
-This fixes the follow DT schema warnings:
-
-clocks: clock@3:reg:0: [3] is too short
-clocks: clock@4:reg:0: [4] is too short
-clocks: $nodename:0: 'clocks' does not match '^(bus|soc|axi|ahb|apb)(@[0-9a-f]+)?$'
-clocks: #size-cells:0:0: 0 is not one of [1, 2]
-clocks: 'ranges' is a required property
-clock@3: 'reg' does not match any of the regexes: 'pinctrl-[0-9]+'
-clock@4: 'reg' does not match any of the regexes: 'pinctrl-[0-9]+'
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- arch/arm/boot/dts/bcm283x.dtsi | 10 ++--------
- 1 file changed, 2 insertions(+), 8 deletions(-)
-
---- a/arch/arm/boot/dts/bcm283x.dtsi
-+++ b/arch/arm/boot/dts/bcm283x.dtsi
-@@ -635,22 +635,16 @@
-       };
-       clocks {
--              compatible = "simple-bus";
--              #address-cells = <1>;
--              #size-cells = <0>;
--
-               /* The oscillator is the root of the clock tree. */
--              clk_osc: clock@3 {
-+              clk_osc: clk-osc {
-                       compatible = "fixed-clock";
--                      reg = <3>;
-                       #clock-cells = <0>;
-                       clock-output-names = "osc";
-                       clock-frequency = <19200000>;
-               };
--              clk_usb: clock@4 {
-+              clk_usb: clk-usb {
-                       compatible = "fixed-clock";
--                      reg = <4>;
-                       #clock-cells = <0>;
-                       clock-output-names = "otg";
-                       clock-frequency = <480000000>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0370-ARM-dts-bcm283x-Move-intc-label-to-bcm2835-common.dt.patch b/target/linux/bcm27xx/patches-5.4/950-0370-ARM-dts-bcm283x-Move-intc-label-to-bcm2835-common.dt.patch
new file mode 100644 (file)
index 0000000..ef26293
--- /dev/null
@@ -0,0 +1,36 @@
+From b124d4fdc62b91441173854872c26bea6e36d2e5 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Wed, 25 Dec 2019 18:01:57 +0100
+Subject: [PATCH] ARM: dts: bcm283x: Move intc label to
+ bcm2835-common.dtsi
+
+The intc label isn't defined in bcm283x.dtsi, so we cannot use it there.
+So move it to bcm2835-common.dtsi.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ arch/arm/boot/dts/bcm2835-common.dtsi | 2 ++
+ arch/arm/boot/dts/bcm283x.dtsi        | 1 -
+ 2 files changed, 2 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/bcm2835-common.dtsi
++++ b/arch/arm/boot/dts/bcm2835-common.dtsi
+@@ -5,6 +5,8 @@
+  */
+ / {
++      interrupt-parent = <&intc>;
++
+       soc {
+               intc: interrupt-controller@7e00b200 {
+                       compatible = "brcm,bcm2835-armctrl-ic";
+--- a/arch/arm/boot/dts/bcm283x.dtsi
++++ b/arch/arm/boot/dts/bcm283x.dtsi
+@@ -18,7 +18,6 @@
+ / {
+       compatible = "brcm,bcm2835";
+       model = "BCM2835";
+-      interrupt-parent = <&intc>;
+       #address-cells = <1>;
+       #size-cells = <1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0370-ARM-dts-bcm283x-Move-system-timer-back-to-bcm283x.dt.patch b/target/linux/bcm27xx/patches-5.4/950-0370-ARM-dts-bcm283x-Move-system-timer-back-to-bcm283x.dt.patch
deleted file mode 100644 (file)
index 3ececc8..0000000
+++ /dev/null
@@ -1,73 +0,0 @@
-From fcd4bc412167d2a79bf63603e883f4960ca6b2a1 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Fri, 27 Dec 2019 11:15:00 +0100
-Subject: [PATCH] ARM: dts: bcm283x: Move system timer back to
- bcm283x.dtsi
-
-During Raspberry Pi 4 upstream discussion Tim Gover confirmed that the
-system timer also exists on BCM2711. So move it back to bcm283x.dtsi and
-overwrite the interrupt definition in bcm2838.dtsi.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- arch/arm/boot/dts/bcm2835-common.dtsi | 11 -----------
- arch/arm/boot/dts/bcm2838.dtsi        |  7 +++++++
- arch/arm/boot/dts/bcm283x.dtsi        | 11 +++++++++++
- 3 files changed, 18 insertions(+), 11 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2835-common.dtsi
-+++ b/arch/arm/boot/dts/bcm2835-common.dtsi
-@@ -6,17 +6,6 @@
- / {
-       soc {
--              timer@7e003000 {
--                      compatible = "brcm,bcm2835-system-timer";
--                      reg = <0x7e003000 0x1000>;
--                      interrupts = <1 0>, <1 1>, <1 2>, <1 3>;
--                      /* This could be a reference to BCM2835_CLOCK_TIMER,
--                       * but we don't have the driver using the common clock
--                       * support yet.
--                       */
--                      clock-frequency = <1000000>;
--              };
--
-               intc: interrupt-controller@7e00b200 {
-                       compatible = "brcm,bcm2835-armctrl-ic";
-                       reg = <0x7e00b200 0x200>;
---- a/arch/arm/boot/dts/bcm2838.dtsi
-+++ b/arch/arm/boot/dts/bcm2838.dtsi
-@@ -711,6 +711,13 @@
-       interrupts = <GIC_SPI 120 IRQ_TYPE_LEVEL_HIGH>;
- };
-+&system_timer {
-+      interrupts = <GIC_SPI 64 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 65 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 66 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 67 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
- &uart0 {
-       interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
- };
---- a/arch/arm/boot/dts/bcm283x.dtsi
-+++ b/arch/arm/boot/dts/bcm283x.dtsi
-@@ -56,6 +56,17 @@
-               #address-cells = <1>;
-               #size-cells = <1>;
-+              system_timer: timer@7e003000 {
-+                      compatible = "brcm,bcm2835-system-timer";
-+                      reg = <0x7e003000 0x1000>;
-+                      interrupts = <1 0>, <1 1>, <1 2>, <1 3>;
-+                      /* This could be a reference to BCM2835_CLOCK_TIMER,
-+                       * but we don't have the driver using the common clock
-+                       * support yet.
-+                       */
-+                      clock-frequency = <1000000>;
-+              };
-+
-               txp: txp@7e004000 {
-                       compatible = "brcm,bcm2835-txp";
-                       reg = <0x7e004000 0x20>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0371-ARM-dts-bcm2838-Remove-always-on-from-armv7-timer.patch b/target/linux/bcm27xx/patches-5.4/950-0371-ARM-dts-bcm2838-Remove-always-on-from-armv7-timer.patch
new file mode 100644 (file)
index 0000000..0e2a786
--- /dev/null
@@ -0,0 +1,23 @@
+From 2810c8dae6aa7749bc787329d1d5841d0fdaea97 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Wed, 25 Dec 2019 18:19:28 +0100
+Subject: [PATCH] ARM: dts: bcm2838: Remove always-on from armv7-timer
+
+After moving bcm2835-system-timer to bcm283x.dtsi there is no need for
+the always-on for armv7-timer anymore.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ arch/arm/boot/dts/bcm2838.dtsi | 1 -
+ 1 file changed, 1 deletion(-)
+
+--- a/arch/arm/boot/dts/bcm2838.dtsi
++++ b/arch/arm/boot/dts/bcm2838.dtsi
+@@ -231,7 +231,6 @@
+                            <GIC_PPI 10 (GIC_CPU_MASK_SIMPLE(4) |
+                                         IRQ_TYPE_LEVEL_LOW)>;
+               arm,cpu-registers-not-fw-configured;
+-              always-on;
+       };
+       cpus: cpus {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0371-ARM-dts-bcm283x-Move-pixelvalve-to-bcm2835-common.dt.patch b/target/linux/bcm27xx/patches-5.4/950-0371-ARM-dts-bcm283x-Move-pixelvalve-to-bcm2835-common.dt.patch
deleted file mode 100644 (file)
index 218a846..0000000
+++ /dev/null
@@ -1,112 +0,0 @@
-From d884dfd722a8207749f5c6c08b69287f0c75a553 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Fri, 27 Dec 2019 16:06:13 +0100
-Subject: [PATCH] ARM: dts: bcm283x: Move pixelvalve to
- bcm2835-common.dtsi
-
-According to Eric Anholt the pixelvalves doesn't exists on BCM2711.
-So move it to bcm2835-common.dtsi.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- arch/arm/boot/dts/bcm2835-common.dtsi | 18 ++++++++++++++++++
- arch/arm/boot/dts/bcm2838.dtsi        | 12 ------------
- arch/arm/boot/dts/bcm283x.dtsi        | 18 ------------------
- 3 files changed, 18 insertions(+), 30 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2835-common.dtsi
-+++ b/arch/arm/boot/dts/bcm2835-common.dtsi
-@@ -13,6 +13,18 @@
-                       #interrupt-cells = <2>;
-               };
-+              pixelvalve@7e206000 {
-+                      compatible = "brcm,bcm2835-pixelvalve0";
-+                      reg = <0x7e206000 0x100>;
-+                      interrupts = <2 13>; /* pwa0 */
-+              };
-+
-+              pixelvalve@7e207000 {
-+                      compatible = "brcm,bcm2835-pixelvalve1";
-+                      reg = <0x7e207000 0x100>;
-+                      interrupts = <2 14>; /* pwa1 */
-+              };
-+
-               thermal: thermal@7e212000 {
-                       compatible = "brcm,bcm2835-thermal";
-                       reg = <0x7e212000 0x8>;
-@@ -21,6 +33,12 @@
-                       status = "disabled";
-               };
-+              pixelvalve@7e807000 {
-+                      compatible = "brcm,bcm2835-pixelvalve2";
-+                      reg = <0x7e807000 0x100>;
-+                      interrupts = <2 10>; /* pixelvalve */
-+              };
-+
-               v3d: v3d@7ec00000 {
-                       compatible = "brcm,bcm2835-v3d";
-                       reg = <0x7ec00000 0x1000>;
---- a/arch/arm/boot/dts/bcm2838.dtsi
-+++ b/arch/arm/boot/dts/bcm2838.dtsi
-@@ -188,14 +188,6 @@
-                       status = "disabled";
-               };
--              pixelvalve@7e206000 {
--                      interrupts = <GIC_SPI 109 IRQ_TYPE_LEVEL_HIGH>;
--              };
--
--              pixelvalve@7e207000 {
--                      interrupts = <GIC_SPI 110 IRQ_TYPE_LEVEL_HIGH>;
--              };
--
-               pwm1: pwm@7e20c800 {
-                       compatible = "brcm,bcm2835-pwm";
-                       reg = <0x7e20c800 0x28>;
-@@ -217,10 +209,6 @@
-               hvs@7e400000 {
-                       interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
-               };
--
--              pixelvalve@7e807000 {
--                      interrupts = <GIC_SPI 106 IRQ_TYPE_LEVEL_HIGH>;
--              };
-       };
-       arm-pmu {
---- a/arch/arm/boot/dts/bcm283x.dtsi
-+++ b/arch/arm/boot/dts/bcm283x.dtsi
-@@ -432,18 +432,6 @@
-                       status = "disabled";
-               };
--              pixelvalve@7e206000 {
--                      compatible = "brcm,bcm2835-pixelvalve0";
--                      reg = <0x7e206000 0x100>;
--                      interrupts = <2 13>; /* pwa0 */
--              };
--
--              pixelvalve@7e207000 {
--                      compatible = "brcm,bcm2835-pixelvalve1";
--                      reg = <0x7e207000 0x100>;
--                      interrupts = <2 14>; /* pwa1 */
--              };
--
-               dpi: dpi@7e208000 {
-                       compatible = "brcm,bcm2835-dpi";
-                       reg = <0x7e208000 0x8c>;
-@@ -608,12 +596,6 @@
-                       status = "disabled";
-               };
--              pixelvalve@7e807000 {
--                      compatible = "brcm,bcm2835-pixelvalve2";
--                      reg = <0x7e807000 0x100>;
--                      interrupts = <2 10>; /* pixelvalve */
--              };
--
-               hdmi: hdmi@7e902000 {
-                       compatible = "brcm,bcm2835-hdmi";
-                       reg = <0x7e902000 0x600>,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0372-ARM-dts-bcm2838-rpi-4-b-Fix-memory-node.patch b/target/linux/bcm27xx/patches-5.4/950-0372-ARM-dts-bcm2838-rpi-4-b-Fix-memory-node.patch
deleted file mode 100644 (file)
index 1acf84b..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From 91ebd8e0ceb2de047e89e1253ff8ddefbc8aa65e Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Wed, 25 Dec 2019 15:32:29 +0100
-Subject: [PATCH] ARM: dts: bcm2838-rpi-4-b: Fix memory node
-
-We need to declare the proper device type, otherwise U-Boot won't boot
-with this devicetree. While we are this let the bootloader set the actual
-memory size.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- arch/arm/boot/dts/bcm2838-rpi-4-b.dts | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
-@@ -14,7 +14,8 @@
-       };
-       memory@0 {
--              reg = <0 0 0x40000000>;
-+              device_type = "memory";
-+              reg = <0x0 0x0 0x0>;
-       };
-       leds {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0372-net-bcmgenet-Add-RGMII_RXID-support.patch b/target/linux/bcm27xx/patches-5.4/950-0372-net-bcmgenet-Add-RGMII_RXID-support.patch
new file mode 100644 (file)
index 0000000..0e13394
--- /dev/null
@@ -0,0 +1,28 @@
+From b0aff8993c458396b82ad7d0792199f971413bb8 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Wed, 25 Dec 2019 16:35:54 +0100
+Subject: [PATCH] net: bcmgenet: Add RGMII_RXID support
+
+This adds the missing support for the PHY mode RGMII_RXID.
+It's necessary for the Raspberry Pi 4.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ drivers/net/ethernet/broadcom/genet/bcmmii.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/net/ethernet/broadcom/genet/bcmmii.c
++++ b/drivers/net/ethernet/broadcom/genet/bcmmii.c
+@@ -274,10 +274,11 @@ int bcmgenet_mii_config(struct net_devic
+               id_mode_dis = BIT(16);
+               /* fall through */
+       case PHY_INTERFACE_MODE_RGMII_TXID:
++      case PHY_INTERFACE_MODE_RGMII_RXID:
+               if (id_mode_dis)
+                       phy_name = "external RGMII (no delay)";
+               else
+-                      phy_name = "external RGMII (TX delay)";
++                      phy_name = "external RGMII";
+               bcmgenet_sys_writel(priv,
+                                   PORT_MODE_EXT_GPHY, SYS_PORT_CTRL);
+               break;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0373-ARM-dts-bcm2838-Backport-genet-from-upstream.patch b/target/linux/bcm27xx/patches-5.4/950-0373-ARM-dts-bcm2838-Backport-genet-from-upstream.patch
new file mode 100644 (file)
index 0000000..ffd7d8e
--- /dev/null
@@ -0,0 +1,97 @@
+From 30bd619480b6a2b92d404a61a1e90ddb76ae4be8 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Wed, 25 Dec 2019 16:40:47 +0100
+Subject: [PATCH] ARM: dts: bcm2838: Backport genet from upstream
+
+This backport all genet differences (different compatible, right PHY mode,
+board specific stuff) from upstream.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 14 ++++++++++++++
+ arch/arm/boot/dts/bcm2838-rpi-4-b.dts | 14 ++++++++++++++
+ arch/arm/boot/dts/bcm2838.dtsi        | 17 ++++-------------
+ 3 files changed, 32 insertions(+), 13 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -134,6 +134,20 @@
+       vqmmc-supply = <&sd_io_1v8_reg>;
+ };
++&genet {
++      phy-handle = <&phy1>;
++      phy-mode = "rgmii-rxid";
++      status = "okay";
++};
++
++&genet_mdio {
++      phy1: ethernet-phy@1 {
++              /* No PHY interrupt */
++              reg = <0x1>;
++              led-modes = <0x00 0x08>; /* link/activity link */
++      };
++};
++
+ &leds {
+       act_led: act {
+               label = "led0";
+--- a/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
+@@ -98,6 +98,20 @@
+       vqmmc-supply = <&sd_io_1v8_reg>;
+ };
++&genet {
++      phy-handle = <&phy1>;
++      phy-mode = "rgmii-rxid";
++      status = "okay";
++};
++
++&genet_mdio {
++      phy1: ethernet-phy@1 {
++              /* No PHY interrupt */
++              reg = <0x1>;
++              led-modes = <0x00 0x08>; /* link/activity link */
++      };
++};
++
+ /* uart0 communicates with the BT module */
+ &uart0 {
+       pinctrl-names = "default";
+--- a/arch/arm/boot/dts/bcm2838.dtsi
++++ b/arch/arm/boot/dts/bcm2838.dtsi
+@@ -346,29 +346,20 @@
+               };
+               genet: ethernet@7d580000 {
+-                      compatible = "brcm,genet-v5";
++                      compatible = "brcm,bcm2711-genet-v5", "brcm,genet-v5";
+                       reg = <0x0 0x7d580000 0x10000>;
+-                      status = "okay";
+                       #address-cells = <0x1>;
+                       #size-cells = <0x1>;
+                       interrupts = <GIC_SPI 157 IRQ_TYPE_LEVEL_HIGH>,
+                                    <GIC_SPI 158 IRQ_TYPE_LEVEL_HIGH>;
+-                      phy-handle = <&phy1>;
+-                      phy-mode = "rgmii";
+-                      mdio@e14 {
++                      status = "disabled";
++
++                      genet_mdio: mdio@e14 {
+                               #address-cells = <0x0>;
+                               #size-cells = <0x1>;
+                               compatible = "brcm,genet-mdio-v5";
+                               reg = <0xe14 0x8>;
+                               reg-names = "mdio";
+-                              phy1: ethernet-phy@0 {
+-                                      compatible =
+-                                              "ethernet-phy-ieee802.3-c22";
+-                                      /* No interrupts - use PHY_POLL */
+-                                      max-speed = <1000>;
+-                                      reg = <0x1>;
+-                                      led-modes = <0x00 0x08>; /* link/activity link */
+-                              };
+                       };
+               };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0373-ARM-dts-bcm2838-rpi-4-b-Backport-BT-part-from-upstre.patch b/target/linux/bcm27xx/patches-5.4/950-0373-ARM-dts-bcm2838-rpi-4-b-Backport-BT-part-from-upstre.patch
deleted file mode 100644 (file)
index ce0b881..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From 10430ccee66023c26c90cdbc0d6381b41dcecfb7 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Wed, 25 Dec 2019 15:43:41 +0100
-Subject: [PATCH] ARM: dts: bcm2838-rpi-4-b: Backport BT part from
- upstream
-
-The CYW43455 on the Raspberry Pi 4 doesn't use an external pin as lower
-power clock anymore. So drop the GPIO clock from pinctrl. While we are at
-this add the missing declaration of hardware flow control.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- arch/arm/boot/dts/bcm2838-rpi-4-b.dts | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
-@@ -101,7 +101,8 @@
- /* uart0 communicates with the BT module */
- &uart0 {
-       pinctrl-names = "default";
--      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32 &gpclk2_gpio43>;
-+      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32>;
-+      uart-has-rtscts;
-       status = "okay";
-       bluetooth {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0374-ARM-bcm-Backport-BCM2711-support-from-upstream.patch b/target/linux/bcm27xx/patches-5.4/950-0374-ARM-bcm-Backport-BCM2711-support-from-upstream.patch
new file mode 100644 (file)
index 0000000..8d16152
--- /dev/null
@@ -0,0 +1,86 @@
+From 88dacbcd946d2e0cd06337ab3f393064ab6aba82 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Fri, 27 Dec 2019 11:40:56 +0100
+Subject: [PATCH] ARM: bcm: Backport BCM2711 support from upstream
+
+Make the BCM2711 a different machine, but keep it in board_bcm2835.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ arch/arm/mach-bcm/Kconfig         |  4 ++--
+ arch/arm/mach-bcm/board_bcm2835.c | 17 +++++++++++++++--
+ arch/arm64/Kconfig.platforms      |  5 +++--
+ 3 files changed, 20 insertions(+), 6 deletions(-)
+
+--- a/arch/arm/mach-bcm/Kconfig
++++ b/arch/arm/mach-bcm/Kconfig
+@@ -161,7 +161,7 @@ config ARCH_BCM2835
+       select GPIOLIB
+       select ARM_AMBA
+       select ARM_ERRATA_411920 if ARCH_MULTI_V6
+-      select ARM_GIC
++      select ARM_GIC if ARCH_MULTI_V7
+       select ARM_TIMER_SP804
+       select HAVE_ARM_ARCH_TIMER if ARCH_MULTI_V7
+       select TIMER_OF
+@@ -175,7 +175,7 @@ config ARCH_BCM2835
+       select ZONE_DMA if ARM_LPAE
+       select MFD_CORE
+       help
+-        This enables support for the Broadcom BCM2835 and BCM2836 SoCs.
++        This enables support for the Broadcom BCM2711 and BCM283x SoCs.
+         This SoC is used in the Raspberry Pi and Roku 2 devices.
+ config ARCH_BCM_53573
+--- a/arch/arm/mach-bcm/board_bcm2835.c
++++ b/arch/arm/mach-bcm/board_bcm2835.c
+@@ -109,17 +109,30 @@ static const char * const bcm2835_compat
+ #ifdef CONFIG_ARCH_MULTI_V7
+       "brcm,bcm2836",
+       "brcm,bcm2837",
+-      "brcm,bcm2711",
+ #endif
+       NULL
+ };
+ DT_MACHINE_START(BCM2835, "BCM2835")
++      .map_io = bcm2835_map_io,
++      .init_machine = bcm2835_init,
++      .dt_compat = bcm2835_compat,
++      .smp = smp_ops(bcm2836_smp_ops),
++MACHINE_END
++
++static const char * const bcm2711_compat[] = {
++#ifdef CONFIG_ARCH_MULTI_V7
++      "brcm,bcm2711",
++#endif
++      NULL
++};
++
++DT_MACHINE_START(BCM2711, "BCM2711")
+ #if defined(CONFIG_ZONE_DMA) && defined(CONFIG_ARM_LPAE)
+       .dma_zone_size  = SZ_1G,
+ #endif
+       .map_io = bcm2835_map_io,
+       .init_machine = bcm2835_init,
+-      .dt_compat = bcm2835_compat,
++      .dt_compat = bcm2711_compat,
+       .smp = smp_ops(bcm2836_smp_ops),
+ MACHINE_END
+--- a/arch/arm64/Kconfig.platforms
++++ b/arch/arm64/Kconfig.platforms
+@@ -37,11 +37,12 @@ config ARCH_BCM2835
+       select PINCTRL
+       select PINCTRL_BCM2835
+       select ARM_AMBA
++      select ARM_GIC
+       select ARM_TIMER_SP804
+       select HAVE_ARM_ARCH_TIMER
+       help
+-        This enables support for the Broadcom BCM2837 SoC.
+-        This SoC is used in the Raspberry Pi 3 device.
++        This enables support for the Broadcom BCM2837 and BCM2711 SoC.
++        These SoCs are used in the Raspberry Pi 3 and 4 devices.
+ config ARCH_BCM_IPROC
+       bool "Broadcom iProc SoC Family"
diff --git a/target/linux/bcm27xx/patches-5.4/950-0374-ARM-dts-bcm2838-Backport-node-names-from-upstream.patch b/target/linux/bcm27xx/patches-5.4/950-0374-ARM-dts-bcm2838-Backport-node-names-from-upstream.patch
deleted file mode 100644 (file)
index fb3a6a3..0000000
+++ /dev/null
@@ -1,42 +0,0 @@
-From 92606b5e0000c25f5daae6c17b0ab71e9fb4c3b4 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Wed, 25 Dec 2019 15:55:29 +0100
-Subject: [PATCH] ARM: dts: bcm2838: Backport node names from upstream
-
-According to devicetree specification the node name should describe
-the general class of device like ethernet or interrupt-controller.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- arch/arm/boot/dts/bcm2838.dtsi | 6 +++---
- 1 file changed, 3 insertions(+), 3 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2838.dtsi
-+++ b/arch/arm/boot/dts/bcm2838.dtsi
-@@ -27,7 +27,7 @@
-                       reg = <0x40000000 0x100>;
-               };
--              gicv2: gic400@40041000 {
-+              gicv2: interrupt-controller@40041000 {
-                       interrupt-controller;
-                       #interrupt-cells = <3>;
-                       compatible = "arm,gic-400";
-@@ -346,7 +346,7 @@
-                       status = "okay";
-               };
--              genet: genet@7d580000 {
-+              genet: ethernet@7d580000 {
-                       compatible = "brcm,genet-v5";
-                       reg = <0x0 0x7d580000 0x10000>;
-                       status = "okay";
-@@ -362,7 +362,7 @@
-                               compatible = "brcm,genet-mdio-v5";
-                               reg = <0xe14 0x8>;
-                               reg-names = "mdio";
--                              phy1: genet-phy@0 {
-+                              phy1: ethernet-phy@0 {
-                                       compatible =
-                                               "ethernet-phy-ieee802.3-c22";
-                                       /* No interrupts - use PHY_POLL */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0375-ARM-dts-bcm283x-Move-intc-label-to-bcm2835-common.dt.patch b/target/linux/bcm27xx/patches-5.4/950-0375-ARM-dts-bcm283x-Move-intc-label-to-bcm2835-common.dt.patch
deleted file mode 100644 (file)
index ef26293..0000000
+++ /dev/null
@@ -1,36 +0,0 @@
-From b124d4fdc62b91441173854872c26bea6e36d2e5 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Wed, 25 Dec 2019 18:01:57 +0100
-Subject: [PATCH] ARM: dts: bcm283x: Move intc label to
- bcm2835-common.dtsi
-
-The intc label isn't defined in bcm283x.dtsi, so we cannot use it there.
-So move it to bcm2835-common.dtsi.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- arch/arm/boot/dts/bcm2835-common.dtsi | 2 ++
- arch/arm/boot/dts/bcm283x.dtsi        | 1 -
- 2 files changed, 2 insertions(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/bcm2835-common.dtsi
-+++ b/arch/arm/boot/dts/bcm2835-common.dtsi
-@@ -5,6 +5,8 @@
-  */
- / {
-+      interrupt-parent = <&intc>;
-+
-       soc {
-               intc: interrupt-controller@7e00b200 {
-                       compatible = "brcm,bcm2835-armctrl-ic";
---- a/arch/arm/boot/dts/bcm283x.dtsi
-+++ b/arch/arm/boot/dts/bcm283x.dtsi
-@@ -18,7 +18,6 @@
- / {
-       compatible = "brcm,bcm2835";
-       model = "BCM2835";
--      interrupt-parent = <&intc>;
-       #address-cells = <1>;
-       #size-cells = <1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0375-hwrng-iproc-rng200-Add-support-for-BCM2711.patch b/target/linux/bcm27xx/patches-5.4/950-0375-hwrng-iproc-rng200-Add-support-for-BCM2711.patch
new file mode 100644 (file)
index 0000000..7a47128
--- /dev/null
@@ -0,0 +1,29 @@
+From d19e54299471dbdf92a3115ec6591a81c527f786 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Fri, 27 Dec 2019 11:55:59 +0100
+Subject: [PATCH] hwrng: iproc-rng200 - Add support for BCM2711
+
+commit 0f95b09a5f624964d520c8f6a2674090fb98ae25 upstream.
+
+BCM2711 features a RNG200 hardware random number generator block.
+So make the driver available.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+Signed-off-by: Stephen Brennan <stephen@brennan.io>
+Reviewed-by: Matthias Brugger <mbrugger@suse.com>
+Reviewed-by: Florian Fainelli <f.fainelli@gmail.com>
+Signed-off-by: Herbert Xu <herbert@gondor.apana.org.au>
+---
+ drivers/char/hw_random/iproc-rng200.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/char/hw_random/iproc-rng200.c
++++ b/drivers/char/hw_random/iproc-rng200.c
+@@ -292,6 +292,7 @@ static int iproc_rng200_probe(struct pla
+ }
+ static const struct of_device_id iproc_rng200_of_match[] = {
++      { .compatible = "brcm,bcm2711-rng200", },
+       { .compatible = "brcm,bcm7211-rng200", },
+       { .compatible = "brcm,bcm7278-rng200", },
+       { .compatible = "brcm,iproc-rng200", },
diff --git a/target/linux/bcm27xx/patches-5.4/950-0376-ARM-dts-bcm2838-Add-upstream-RNG-compatible.patch b/target/linux/bcm27xx/patches-5.4/950-0376-ARM-dts-bcm2838-Add-upstream-RNG-compatible.patch
new file mode 100644 (file)
index 0000000..2feda73
--- /dev/null
@@ -0,0 +1,24 @@
+From 0f4d508ca3dc0eac4ef4ac85190da58285f1580f Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Fri, 27 Dec 2019 12:01:17 +0100
+Subject: [PATCH] ARM: dts: bcm2838: Add upstream RNG compatible
+
+This adds the ability to use the RNG with an upstream kernel.
+Keep the old one for backward compatibility.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+---
+ arch/arm/boot/dts/bcm2838.dtsi | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/bcm2838.dtsi
++++ b/arch/arm/boot/dts/bcm2838.dtsi
+@@ -682,7 +682,7 @@
+ };
+ &rng {
+-      compatible = "brcm,bcm2838-rng200";
++      compatible = "brcm,bcm2711-rng200", "brcm,bcm2838-rng200";
+ };
+ &sdhost {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0376-ARM-dts-bcm2838-Remove-always-on-from-armv7-timer.patch b/target/linux/bcm27xx/patches-5.4/950-0376-ARM-dts-bcm2838-Remove-always-on-from-armv7-timer.patch
deleted file mode 100644 (file)
index 0e2a786..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-From 2810c8dae6aa7749bc787329d1d5841d0fdaea97 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Wed, 25 Dec 2019 18:19:28 +0100
-Subject: [PATCH] ARM: dts: bcm2838: Remove always-on from armv7-timer
-
-After moving bcm2835-system-timer to bcm283x.dtsi there is no need for
-the always-on for armv7-timer anymore.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- arch/arm/boot/dts/bcm2838.dtsi | 1 -
- 1 file changed, 1 deletion(-)
-
---- a/arch/arm/boot/dts/bcm2838.dtsi
-+++ b/arch/arm/boot/dts/bcm2838.dtsi
-@@ -231,7 +231,6 @@
-                            <GIC_PPI 10 (GIC_CPU_MASK_SIMPLE(4) |
-                                         IRQ_TYPE_LEVEL_LOW)>;
-               arm,cpu-registers-not-fw-configured;
--              always-on;
-       };
-       cpus: cpus {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0377-driver-char-rpivid-Destroy-the-legacy-device-on-remo.patch b/target/linux/bcm27xx/patches-5.4/950-0377-driver-char-rpivid-Destroy-the-legacy-device-on-remo.patch
new file mode 100644 (file)
index 0000000..49d885c
--- /dev/null
@@ -0,0 +1,26 @@
+From d0be0df98679b7a9a30ba74c065ed30301e2bd22 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 15 Jan 2020 13:59:57 +0000
+Subject: [PATCH] driver: char: rpivid: Destroy the legacy device on
+ remove
+
+The legacy name support created a new device that was never destroyed.
+If the driver was unloaded and reloaded, it failed due to the
+device already existing.
+
+Fixes: "75f1d14 driver: char: rpivid - also support legacy name"
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/char/broadcom/rpivid-mem.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/char/broadcom/rpivid-mem.c
++++ b/drivers/char/broadcom/rpivid-mem.c
+@@ -233,6 +233,7 @@ static int rpivid_mem_remove(struct plat
+       struct device *dev = &pdev->dev;
+       struct rpivid_mem_priv *priv = platform_get_drvdata(pdev);
++      device_destroy(priv->class, priv->devid + 1);
+       device_destroy(priv->class, priv->devid);
+       class_destroy(priv->class);
+       cdev_del(&priv->rpivid_mem_cdev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0377-net-bcmgenet-Add-RGMII_RXID-support.patch b/target/linux/bcm27xx/patches-5.4/950-0377-net-bcmgenet-Add-RGMII_RXID-support.patch
deleted file mode 100644 (file)
index 0e13394..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From b0aff8993c458396b82ad7d0792199f971413bb8 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Wed, 25 Dec 2019 16:35:54 +0100
-Subject: [PATCH] net: bcmgenet: Add RGMII_RXID support
-
-This adds the missing support for the PHY mode RGMII_RXID.
-It's necessary for the Raspberry Pi 4.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- drivers/net/ethernet/broadcom/genet/bcmmii.c | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/drivers/net/ethernet/broadcom/genet/bcmmii.c
-+++ b/drivers/net/ethernet/broadcom/genet/bcmmii.c
-@@ -274,10 +274,11 @@ int bcmgenet_mii_config(struct net_devic
-               id_mode_dis = BIT(16);
-               /* fall through */
-       case PHY_INTERFACE_MODE_RGMII_TXID:
-+      case PHY_INTERFACE_MODE_RGMII_RXID:
-               if (id_mode_dis)
-                       phy_name = "external RGMII (no delay)";
-               else
--                      phy_name = "external RGMII (TX delay)";
-+                      phy_name = "external RGMII";
-               bcmgenet_sys_writel(priv,
-                                   PORT_MODE_EXT_GPHY, SYS_PORT_CTRL);
-               break;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0378-ARM-dts-bcm2838-Backport-genet-from-upstream.patch b/target/linux/bcm27xx/patches-5.4/950-0378-ARM-dts-bcm2838-Backport-genet-from-upstream.patch
deleted file mode 100644 (file)
index ffd7d8e..0000000
+++ /dev/null
@@ -1,97 +0,0 @@
-From 30bd619480b6a2b92d404a61a1e90ddb76ae4be8 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Wed, 25 Dec 2019 16:40:47 +0100
-Subject: [PATCH] ARM: dts: bcm2838: Backport genet from upstream
-
-This backport all genet differences (different compatible, right PHY mode,
-board specific stuff) from upstream.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 14 ++++++++++++++
- arch/arm/boot/dts/bcm2838-rpi-4-b.dts | 14 ++++++++++++++
- arch/arm/boot/dts/bcm2838.dtsi        | 17 ++++-------------
- 3 files changed, 32 insertions(+), 13 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -134,6 +134,20 @@
-       vqmmc-supply = <&sd_io_1v8_reg>;
- };
-+&genet {
-+      phy-handle = <&phy1>;
-+      phy-mode = "rgmii-rxid";
-+      status = "okay";
-+};
-+
-+&genet_mdio {
-+      phy1: ethernet-phy@1 {
-+              /* No PHY interrupt */
-+              reg = <0x1>;
-+              led-modes = <0x00 0x08>; /* link/activity link */
-+      };
-+};
-+
- &leds {
-       act_led: act {
-               label = "led0";
---- a/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
-@@ -98,6 +98,20 @@
-       vqmmc-supply = <&sd_io_1v8_reg>;
- };
-+&genet {
-+      phy-handle = <&phy1>;
-+      phy-mode = "rgmii-rxid";
-+      status = "okay";
-+};
-+
-+&genet_mdio {
-+      phy1: ethernet-phy@1 {
-+              /* No PHY interrupt */
-+              reg = <0x1>;
-+              led-modes = <0x00 0x08>; /* link/activity link */
-+      };
-+};
-+
- /* uart0 communicates with the BT module */
- &uart0 {
-       pinctrl-names = "default";
---- a/arch/arm/boot/dts/bcm2838.dtsi
-+++ b/arch/arm/boot/dts/bcm2838.dtsi
-@@ -346,29 +346,20 @@
-               };
-               genet: ethernet@7d580000 {
--                      compatible = "brcm,genet-v5";
-+                      compatible = "brcm,bcm2711-genet-v5", "brcm,genet-v5";
-                       reg = <0x0 0x7d580000 0x10000>;
--                      status = "okay";
-                       #address-cells = <0x1>;
-                       #size-cells = <0x1>;
-                       interrupts = <GIC_SPI 157 IRQ_TYPE_LEVEL_HIGH>,
-                                    <GIC_SPI 158 IRQ_TYPE_LEVEL_HIGH>;
--                      phy-handle = <&phy1>;
--                      phy-mode = "rgmii";
--                      mdio@e14 {
-+                      status = "disabled";
-+
-+                      genet_mdio: mdio@e14 {
-                               #address-cells = <0x0>;
-                               #size-cells = <0x1>;
-                               compatible = "brcm,genet-mdio-v5";
-                               reg = <0xe14 0x8>;
-                               reg-names = "mdio";
--                              phy1: ethernet-phy@0 {
--                                      compatible =
--                                              "ethernet-phy-ieee802.3-c22";
--                                      /* No interrupts - use PHY_POLL */
--                                      max-speed = <1000>;
--                                      reg = <0x1>;
--                                      led-modes = <0x00 0x08>; /* link/activity link */
--                              };
-                       };
-               };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0378-driver-char-rpivid-Clean-up-error-handling-use-of-ER.patch b/target/linux/bcm27xx/patches-5.4/950-0378-driver-char-rpivid-Clean-up-error-handling-use-of-ER.patch
new file mode 100644 (file)
index 0000000..b61e2c5
--- /dev/null
@@ -0,0 +1,62 @@
+From 8b95d0d18fcfb940fb0d171663ce5c93b8fb0024 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 21 Jan 2020 16:24:45 +0000
+Subject: [PATCH] driver: char: rpivid: Clean up error handling use of
+ ERR_PTR/IS_ERR
+
+The driver used an unnecessary intermediate void* variable so it
+only called ERR_PTR once to convert to the error value.
+
+Switch to converting as the error arises to remove these intermediate
+variables.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/char/broadcom/rpivid-mem.c | 17 +++++++----------
+ 1 file changed, 7 insertions(+), 10 deletions(-)
+
+--- a/drivers/char/broadcom/rpivid-mem.c
++++ b/drivers/char/broadcom/rpivid-mem.c
+@@ -130,10 +130,8 @@ static const struct of_device_id rpivid_
+ static int rpivid_mem_probe(struct platform_device *pdev)
+ {
+       int err;
+-      void *ptr_err;
+       const struct of_device_id *id;
+       struct device *dev = &pdev->dev;
+-      struct device *rpivid_mem_dev;
+       struct resource *ioresource;
+       struct rpivid_mem_priv *priv;
+@@ -183,16 +181,16 @@ static int rpivid_mem_probe(struct platf
+       /* Create sysfs entries */
+       priv->class = class_create(THIS_MODULE, priv->name);
+-      ptr_err = priv->class;
+-      if (IS_ERR(ptr_err))
++      if (IS_ERR(priv->class)) {
++              err = PTR_ERR(priv->class);
+               goto failed_class_create;
++      }
+-      rpivid_mem_dev = device_create(priv->class, NULL,
+-                                      priv->devid, NULL,
+-                                      priv->name);
+-      ptr_err = rpivid_mem_dev;
+-      if (IS_ERR(ptr_err))
++      dev = device_create(priv->class, NULL, priv->devid, NULL, priv->name);
++      if (IS_ERR(dev)) {
++              err = PTR_ERR(dev);
+               goto failed_device_create;
++      }
+       /* Legacy alias */
+       {
+@@ -217,7 +215,6 @@ failed_device_create:
+       class_destroy(priv->class);
+ failed_class_create:
+       cdev_del(&priv->rpivid_mem_cdev);
+-      err = PTR_ERR(ptr_err);
+ failed_cdev_add:
+       unregister_chrdev_region(priv->devid, 1);
+ failed_alloc_chrdev:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0379-ARM-bcm-Backport-BCM2711-support-from-upstream.patch b/target/linux/bcm27xx/patches-5.4/950-0379-ARM-bcm-Backport-BCM2711-support-from-upstream.patch
deleted file mode 100644 (file)
index 8d16152..0000000
+++ /dev/null
@@ -1,86 +0,0 @@
-From 88dacbcd946d2e0cd06337ab3f393064ab6aba82 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Fri, 27 Dec 2019 11:40:56 +0100
-Subject: [PATCH] ARM: bcm: Backport BCM2711 support from upstream
-
-Make the BCM2711 a different machine, but keep it in board_bcm2835.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- arch/arm/mach-bcm/Kconfig         |  4 ++--
- arch/arm/mach-bcm/board_bcm2835.c | 17 +++++++++++++++--
- arch/arm64/Kconfig.platforms      |  5 +++--
- 3 files changed, 20 insertions(+), 6 deletions(-)
-
---- a/arch/arm/mach-bcm/Kconfig
-+++ b/arch/arm/mach-bcm/Kconfig
-@@ -161,7 +161,7 @@ config ARCH_BCM2835
-       select GPIOLIB
-       select ARM_AMBA
-       select ARM_ERRATA_411920 if ARCH_MULTI_V6
--      select ARM_GIC
-+      select ARM_GIC if ARCH_MULTI_V7
-       select ARM_TIMER_SP804
-       select HAVE_ARM_ARCH_TIMER if ARCH_MULTI_V7
-       select TIMER_OF
-@@ -175,7 +175,7 @@ config ARCH_BCM2835
-       select ZONE_DMA if ARM_LPAE
-       select MFD_CORE
-       help
--        This enables support for the Broadcom BCM2835 and BCM2836 SoCs.
-+        This enables support for the Broadcom BCM2711 and BCM283x SoCs.
-         This SoC is used in the Raspberry Pi and Roku 2 devices.
- config ARCH_BCM_53573
---- a/arch/arm/mach-bcm/board_bcm2835.c
-+++ b/arch/arm/mach-bcm/board_bcm2835.c
-@@ -109,17 +109,30 @@ static const char * const bcm2835_compat
- #ifdef CONFIG_ARCH_MULTI_V7
-       "brcm,bcm2836",
-       "brcm,bcm2837",
--      "brcm,bcm2711",
- #endif
-       NULL
- };
- DT_MACHINE_START(BCM2835, "BCM2835")
-+      .map_io = bcm2835_map_io,
-+      .init_machine = bcm2835_init,
-+      .dt_compat = bcm2835_compat,
-+      .smp = smp_ops(bcm2836_smp_ops),
-+MACHINE_END
-+
-+static const char * const bcm2711_compat[] = {
-+#ifdef CONFIG_ARCH_MULTI_V7
-+      "brcm,bcm2711",
-+#endif
-+      NULL
-+};
-+
-+DT_MACHINE_START(BCM2711, "BCM2711")
- #if defined(CONFIG_ZONE_DMA) && defined(CONFIG_ARM_LPAE)
-       .dma_zone_size  = SZ_1G,
- #endif
-       .map_io = bcm2835_map_io,
-       .init_machine = bcm2835_init,
--      .dt_compat = bcm2835_compat,
-+      .dt_compat = bcm2711_compat,
-       .smp = smp_ops(bcm2836_smp_ops),
- MACHINE_END
---- a/arch/arm64/Kconfig.platforms
-+++ b/arch/arm64/Kconfig.platforms
-@@ -37,11 +37,12 @@ config ARCH_BCM2835
-       select PINCTRL
-       select PINCTRL_BCM2835
-       select ARM_AMBA
-+      select ARM_GIC
-       select ARM_TIMER_SP804
-       select HAVE_ARM_ARCH_TIMER
-       help
--        This enables support for the Broadcom BCM2837 SoC.
--        This SoC is used in the Raspberry Pi 3 device.
-+        This enables support for the Broadcom BCM2837 and BCM2711 SoC.
-+        These SoCs are used in the Raspberry Pi 3 and 4 devices.
- config ARCH_BCM_IPROC
-       bool "Broadcom iProc SoC Family"
diff --git a/target/linux/bcm27xx/patches-5.4/950-0379-driver-char-rpivid-Add-error-handling-to-the-legacy-.patch b/target/linux/bcm27xx/patches-5.4/950-0379-driver-char-rpivid-Add-error-handling-to-the-legacy-.patch
new file mode 100644 (file)
index 0000000..52aa87e
--- /dev/null
@@ -0,0 +1,42 @@
+From 7b4ea31990c1c43ad8ea86d42c1e451c85933d87 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 15 Jan 2020 14:02:43 +0000
+Subject: [PATCH] driver: char: rpivid: Add error handling to the
+ legacy device load
+
+The return value from device_create for the legacy device was never
+checked or handled. Add the required error handling.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/char/broadcom/rpivid-mem.c | 11 +++++++++--
+ 1 file changed, 9 insertions(+), 2 deletions(-)
+
+--- a/drivers/char/broadcom/rpivid-mem.c
++++ b/drivers/char/broadcom/rpivid-mem.c
+@@ -201,9 +201,14 @@ static int rpivid_mem_probe(struct platf
+               oldname[3] = 'g';
+               oldname[4] = 'o';
+               oldname[5] = 'n';
+-              (void)device_create(priv->class, NULL, priv->devid + 1, NULL,
+-                                     oldname + 1);
++              dev = device_create(priv->class, NULL, priv->devid + 1, NULL,
++                                  oldname + 1);
+               kfree(oldname);
++
++              if (IS_ERR(dev)) {
++                      err = PTR_ERR(dev);
++                      goto failed_legacy_device_create;
++              }
+       }
+       dev_info(priv->dev, "%s initialised: Registers at 0x%08lx length 0x%08lx",
+@@ -211,6 +216,8 @@ static int rpivid_mem_probe(struct platf
+       return 0;
++failed_legacy_device_create:
++      device_destroy(priv->class, priv->devid);
+ failed_device_create:
+       class_destroy(priv->class);
+ failed_class_create:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0380-driver-char-rpivid-Fix-coding-style-whitespace-issue.patch b/target/linux/bcm27xx/patches-5.4/950-0380-driver-char-rpivid-Fix-coding-style-whitespace-issue.patch
new file mode 100644 (file)
index 0000000..26d0c98
--- /dev/null
@@ -0,0 +1,31 @@
+From c9faef0f02397b30c389352ab9915fe529889143 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 15 Jan 2020 14:05:45 +0000
+Subject: [PATCH] driver: char: rpivid: Fix coding style whitespace
+ issues.
+
+Makes checkpatch happier.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/char/broadcom/rpivid-mem.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/char/broadcom/rpivid-mem.c
++++ b/drivers/char/broadcom/rpivid-mem.c
+@@ -66,6 +66,7 @@ static int rpivid_mem_open(struct inode
+       int dev = iminor(inode);
+       int ret = 0;
+       struct rpivid_mem_priv *priv;
++
+       if (dev != DEVICE_MINOR && dev != DEVICE_MINOR + 1)
+               ret = -ENXIO;
+@@ -135,7 +136,6 @@ static int rpivid_mem_probe(struct platf
+       struct resource *ioresource;
+       struct rpivid_mem_priv *priv;
+-
+       /* Allocate buffers and instance data */
+       priv = kzalloc(sizeof(struct rpivid_mem_priv), GFP_KERNEL);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0380-hwrng-iproc-rng200-Add-support-for-BCM2711.patch b/target/linux/bcm27xx/patches-5.4/950-0380-hwrng-iproc-rng200-Add-support-for-BCM2711.patch
deleted file mode 100644 (file)
index 7a47128..0000000
+++ /dev/null
@@ -1,29 +0,0 @@
-From d19e54299471dbdf92a3115ec6591a81c527f786 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Fri, 27 Dec 2019 11:55:59 +0100
-Subject: [PATCH] hwrng: iproc-rng200 - Add support for BCM2711
-
-commit 0f95b09a5f624964d520c8f6a2674090fb98ae25 upstream.
-
-BCM2711 features a RNG200 hardware random number generator block.
-So make the driver available.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
-Signed-off-by: Stephen Brennan <stephen@brennan.io>
-Reviewed-by: Matthias Brugger <mbrugger@suse.com>
-Reviewed-by: Florian Fainelli <f.fainelli@gmail.com>
-Signed-off-by: Herbert Xu <herbert@gondor.apana.org.au>
----
- drivers/char/hw_random/iproc-rng200.c | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/drivers/char/hw_random/iproc-rng200.c
-+++ b/drivers/char/hw_random/iproc-rng200.c
-@@ -292,6 +292,7 @@ static int iproc_rng200_probe(struct pla
- }
- static const struct of_device_id iproc_rng200_of_match[] = {
-+      { .compatible = "brcm,bcm2711-rng200", },
-       { .compatible = "brcm,bcm7211-rng200", },
-       { .compatible = "brcm,bcm7278-rng200", },
-       { .compatible = "brcm,iproc-rng200", },
diff --git a/target/linux/bcm27xx/patches-5.4/950-0381-ARM-dts-bcm2838-Add-upstream-RNG-compatible.patch b/target/linux/bcm27xx/patches-5.4/950-0381-ARM-dts-bcm2838-Add-upstream-RNG-compatible.patch
deleted file mode 100644 (file)
index 2feda73..0000000
+++ /dev/null
@@ -1,24 +0,0 @@
-From 0f4d508ca3dc0eac4ef4ac85190da58285f1580f Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Fri, 27 Dec 2019 12:01:17 +0100
-Subject: [PATCH] ARM: dts: bcm2838: Add upstream RNG compatible
-
-This adds the ability to use the RNG with an upstream kernel.
-Keep the old one for backward compatibility.
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
----
- arch/arm/boot/dts/bcm2838.dtsi | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/bcm2838.dtsi
-+++ b/arch/arm/boot/dts/bcm2838.dtsi
-@@ -682,7 +682,7 @@
- };
- &rng {
--      compatible = "brcm,bcm2838-rng200";
-+      compatible = "brcm,bcm2711-rng200", "brcm,bcm2838-rng200";
- };
- &sdhost {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0381-driver-char-rpimem-Add-SPDX-licence-header.patch b/target/linux/bcm27xx/patches-5.4/950-0381-driver-char-rpimem-Add-SPDX-licence-header.patch
new file mode 100644 (file)
index 0000000..86b9400
--- /dev/null
@@ -0,0 +1,19 @@
+From aa5c03a34b59ad840eeac990185c06b631a1e87e Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 15 Jan 2020 14:07:16 +0000
+Subject: [PATCH] driver: char: rpimem: Add SPDX licence header.
+
+Stops checkpatch complaining.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/char/broadcom/rpivid-mem.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/char/broadcom/rpivid-mem.c
++++ b/drivers/char/broadcom/rpivid-mem.c
+@@ -1,3 +1,4 @@
++// SPDX-License-Identifier: GPL-2.0 OR BSD-3-Clause
+ /**
+  * rpivid-mem.c - character device access to the RPiVid decoder registers
+  *
diff --git a/target/linux/bcm27xx/patches-5.4/950-0382-driver-char-rpivid-Destroy-the-legacy-device-on-remo.patch b/target/linux/bcm27xx/patches-5.4/950-0382-driver-char-rpivid-Destroy-the-legacy-device-on-remo.patch
deleted file mode 100644 (file)
index 49d885c..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From d0be0df98679b7a9a30ba74c065ed30301e2bd22 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 15 Jan 2020 13:59:57 +0000
-Subject: [PATCH] driver: char: rpivid: Destroy the legacy device on
- remove
-
-The legacy name support created a new device that was never destroyed.
-If the driver was unloaded and reloaded, it failed due to the
-device already existing.
-
-Fixes: "75f1d14 driver: char: rpivid - also support legacy name"
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/char/broadcom/rpivid-mem.c | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/drivers/char/broadcom/rpivid-mem.c
-+++ b/drivers/char/broadcom/rpivid-mem.c
-@@ -233,6 +233,7 @@ static int rpivid_mem_remove(struct plat
-       struct device *dev = &pdev->dev;
-       struct rpivid_mem_priv *priv = platform_get_drvdata(pdev);
-+      device_destroy(priv->class, priv->devid + 1);
-       device_destroy(priv->class, priv->devid);
-       class_destroy(priv->class);
-       cdev_del(&priv->rpivid_mem_cdev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0382-driver-char-rpivid-Fix-access-to-freed-memory.patch b/target/linux/bcm27xx/patches-5.4/950-0382-driver-char-rpivid-Fix-access-to-freed-memory.patch
new file mode 100644 (file)
index 0000000..67147fa
--- /dev/null
@@ -0,0 +1,27 @@
+From be492eed9f4724798a7b85cf8779772dc901f986 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 21 Jan 2020 16:44:14 +0000
+Subject: [PATCH] driver: char: rpivid: Fix access to freed memory
+
+The error path during probe frees the private memory block, and
+then promptly dereferences it to log an error message.
+
+Use the base device instead of the pointer to it in the private
+structure.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/char/broadcom/rpivid-mem.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/char/broadcom/rpivid-mem.c
++++ b/drivers/char/broadcom/rpivid-mem.c
+@@ -229,7 +229,7 @@ failed_alloc_chrdev:
+ failed_get_resource:
+       kfree(priv);
+ failed_inst_alloc:
+-      dev_err(priv->dev, "could not load rpivid_mem");
++      dev_err(&pdev->dev, "could not load rpivid_mem");
+       return err;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0383-add-BME680-to-i2c-sensor-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0383-add-BME680-to-i2c-sensor-overlay.patch
new file mode 100644 (file)
index 0000000..0c2fe6a
--- /dev/null
@@ -0,0 +1,67 @@
+From 13047f38ca9adef0c0a0b0afce420dc912290d35 Mon Sep 17 00:00:00 2001
+From: Willem Remie <w.remie@drebble.io>
+Date: Thu, 9 Jan 2020 21:16:49 +0100
+Subject: [PATCH] add BME680 to i2c-sensor overlay
+
+---
+ arch/arm/boot/dts/overlays/README             |  7 +++++--
+ .../boot/dts/overlays/i2c-sensor-overlay.dts  | 19 ++++++++++++++++++-
+ 2 files changed, 23 insertions(+), 3 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1159,12 +1159,15 @@ Name:   i2c-sensor
+ Info:   Adds support for a number of I2C barometric pressure and temperature
+         sensors on i2c_arm
+ Load:   dtoverlay=i2c-sensor,<param>=<val>
+-Params: addr                    Set the address for the BME280, BMP280, DS1621,
+-                                HDC100X, LM75, SHT3x or TMP102
++Params: addr                    Set the address for the BME280, BME680, BMP280,
++                                DS1621, HDC100X, LM75, SHT3x or TMP102
+         bme280                  Select the Bosch Sensortronic BME280
+                                 Valid addresses 0x76-0x77, default 0x76
++        bme680                  Select the Bosch Sensortronic BME680
++                                Valid addresses 0x76-0x77, default 0x76
++
+         bmp085                  Select the Bosch Sensortronic BMP085
+         bmp180                  Select the Bosch Sensortronic BMP180
+--- a/arch/arm/boot/dts/overlays/i2c-sensor-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-sensor-overlay.dts
+@@ -216,10 +216,26 @@
+               };
+       };
++      fragment@14 {
++              target = <&i2c_arm>;
++              __dormant__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      bme680: bme680@76 {
++                              compatible = "bosch,bme680";
++                              reg = <0x76>;
++                              status = "okay";
++                      };
++              };
++      };
++
++
+       __overrides__ {
+               addr =  <&bme280>,"reg:0", <&bmp280>,"reg:0", <&tmp102>,"reg:0",
+                       <&lm75>,"reg:0", <&hdc100x>,"reg:0", <&sht3x>,"reg:0",
+-                      <&ds1621>,"reg:0";
++                      <&ds1621>,"reg:0", <&bme680>,"reg:0";
+               bme280 = <0>,"+0";
+               bmp085 = <0>,"+1";
+               bmp180 = <0>,"+2";
+@@ -235,5 +251,6 @@
+               sht3x = <0>,"+11";
+               ds1621 = <0>,"+12";
+               max17040 = <0>,"+13";
++              bme680 = <0>,"+14";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0383-driver-char-rpivid-Clean-up-error-handling-use-of-ER.patch b/target/linux/bcm27xx/patches-5.4/950-0383-driver-char-rpivid-Clean-up-error-handling-use-of-ER.patch
deleted file mode 100644 (file)
index b61e2c5..0000000
+++ /dev/null
@@ -1,62 +0,0 @@
-From 8b95d0d18fcfb940fb0d171663ce5c93b8fb0024 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Tue, 21 Jan 2020 16:24:45 +0000
-Subject: [PATCH] driver: char: rpivid: Clean up error handling use of
- ERR_PTR/IS_ERR
-
-The driver used an unnecessary intermediate void* variable so it
-only called ERR_PTR once to convert to the error value.
-
-Switch to converting as the error arises to remove these intermediate
-variables.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/char/broadcom/rpivid-mem.c | 17 +++++++----------
- 1 file changed, 7 insertions(+), 10 deletions(-)
-
---- a/drivers/char/broadcom/rpivid-mem.c
-+++ b/drivers/char/broadcom/rpivid-mem.c
-@@ -130,10 +130,8 @@ static const struct of_device_id rpivid_
- static int rpivid_mem_probe(struct platform_device *pdev)
- {
-       int err;
--      void *ptr_err;
-       const struct of_device_id *id;
-       struct device *dev = &pdev->dev;
--      struct device *rpivid_mem_dev;
-       struct resource *ioresource;
-       struct rpivid_mem_priv *priv;
-@@ -183,16 +181,16 @@ static int rpivid_mem_probe(struct platf
-       /* Create sysfs entries */
-       priv->class = class_create(THIS_MODULE, priv->name);
--      ptr_err = priv->class;
--      if (IS_ERR(ptr_err))
-+      if (IS_ERR(priv->class)) {
-+              err = PTR_ERR(priv->class);
-               goto failed_class_create;
-+      }
--      rpivid_mem_dev = device_create(priv->class, NULL,
--                                      priv->devid, NULL,
--                                      priv->name);
--      ptr_err = rpivid_mem_dev;
--      if (IS_ERR(ptr_err))
-+      dev = device_create(priv->class, NULL, priv->devid, NULL, priv->name);
-+      if (IS_ERR(dev)) {
-+              err = PTR_ERR(dev);
-               goto failed_device_create;
-+      }
-       /* Legacy alias */
-       {
-@@ -217,7 +215,6 @@ failed_device_create:
-       class_destroy(priv->class);
- failed_class_create:
-       cdev_del(&priv->rpivid_mem_cdev);
--      err = PTR_ERR(ptr_err);
- failed_cdev_add:
-       unregister_chrdev_region(priv->devid, 1);
- failed_alloc_chrdev:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0384-driver-char-rpivid-Add-error-handling-to-the-legacy-.patch b/target/linux/bcm27xx/patches-5.4/950-0384-driver-char-rpivid-Add-error-handling-to-the-legacy-.patch
deleted file mode 100644 (file)
index 52aa87e..0000000
+++ /dev/null
@@ -1,42 +0,0 @@
-From 7b4ea31990c1c43ad8ea86d42c1e451c85933d87 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 15 Jan 2020 14:02:43 +0000
-Subject: [PATCH] driver: char: rpivid: Add error handling to the
- legacy device load
-
-The return value from device_create for the legacy device was never
-checked or handled. Add the required error handling.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/char/broadcom/rpivid-mem.c | 11 +++++++++--
- 1 file changed, 9 insertions(+), 2 deletions(-)
-
---- a/drivers/char/broadcom/rpivid-mem.c
-+++ b/drivers/char/broadcom/rpivid-mem.c
-@@ -201,9 +201,14 @@ static int rpivid_mem_probe(struct platf
-               oldname[3] = 'g';
-               oldname[4] = 'o';
-               oldname[5] = 'n';
--              (void)device_create(priv->class, NULL, priv->devid + 1, NULL,
--                                     oldname + 1);
-+              dev = device_create(priv->class, NULL, priv->devid + 1, NULL,
-+                                  oldname + 1);
-               kfree(oldname);
-+
-+              if (IS_ERR(dev)) {
-+                      err = PTR_ERR(dev);
-+                      goto failed_legacy_device_create;
-+              }
-       }
-       dev_info(priv->dev, "%s initialised: Registers at 0x%08lx length 0x%08lx",
-@@ -211,6 +216,8 @@ static int rpivid_mem_probe(struct platf
-       return 0;
-+failed_legacy_device_create:
-+      device_destroy(priv->class, priv->devid);
- failed_device_create:
-       class_destroy(priv->class);
- failed_class_create:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0384-dwc_otg-constrain-endpoint-max-packet-and-transfer-s.patch b/target/linux/bcm27xx/patches-5.4/950-0384-dwc_otg-constrain-endpoint-max-packet-and-transfer-s.patch
new file mode 100644 (file)
index 0000000..4de95a5
--- /dev/null
@@ -0,0 +1,43 @@
+From b7944a79716c115d881898e6a95705b262e7c1c9 Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Tue, 7 Jan 2020 10:08:19 +0000
+Subject: [PATCH] dwc_otg: constrain endpoint max packet and transfer
+ size on split IN
+
+The hcd would unconditionally set the transfer length to the endpoint
+packet size for non-isoc IN transfers. If the remaining buffer length
+was less than the length of returned data, random memory would get
+scribbled over, with bad effects if it crossed a page boundary.
+
+Force a babble error if this happens by limiting the max transfer size
+to the available buffer space. DMA will stop writing to memory on a
+babble condition.
+
+The hardware expects xfersize to be an integer multiple of maxpacket
+size, so override hcchar.b.mps as well.
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
+---
+ drivers/usb/host/dwc_otg/dwc_otg_hcd.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/usb/host/dwc_otg/dwc_otg_hcd.c
++++ b/drivers/usb/host/dwc_otg/dwc_otg_hcd.c
+@@ -1811,7 +1811,7 @@ int fiq_fsm_queue_split_transaction(dwc_
+       st->nr_errors = 0;
+       st->hcchar_copy.d32 = 0;
+-      st->hcchar_copy.b.mps = hc->max_packet;
++      st->hcchar_copy.b.mps = min_t(uint32_t, hc->xfer_len, hc->max_packet);
+       st->hcchar_copy.b.epdir = hc->ep_is_in;
+       st->hcchar_copy.b.devaddr = hc->dev_addr;
+       st->hcchar_copy.b.epnum = hc->ep_num;
+@@ -1856,7 +1856,7 @@ int fiq_fsm_queue_split_transaction(dwc_
+       st->hctsiz_copy.b.pid = hc->data_pid_start;
+       if (hc->ep_is_in || (hc->xfer_len > hc->max_packet)) {
+-              hc->xfer_len = hc->max_packet;
++              hc->xfer_len = min_t(uint32_t, hc->xfer_len, hc->max_packet);
+       } else if (!hc->ep_is_in && (hc->xfer_len > 188)) {
+               hc->xfer_len = 188;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0385-driver-char-rpivid-Fix-coding-style-whitespace-issue.patch b/target/linux/bcm27xx/patches-5.4/950-0385-driver-char-rpivid-Fix-coding-style-whitespace-issue.patch
deleted file mode 100644 (file)
index 26d0c98..0000000
+++ /dev/null
@@ -1,31 +0,0 @@
-From c9faef0f02397b30c389352ab9915fe529889143 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 15 Jan 2020 14:05:45 +0000
-Subject: [PATCH] driver: char: rpivid: Fix coding style whitespace
- issues.
-
-Makes checkpatch happier.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/char/broadcom/rpivid-mem.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/char/broadcom/rpivid-mem.c
-+++ b/drivers/char/broadcom/rpivid-mem.c
-@@ -66,6 +66,7 @@ static int rpivid_mem_open(struct inode
-       int dev = iminor(inode);
-       int ret = 0;
-       struct rpivid_mem_priv *priv;
-+
-       if (dev != DEVICE_MINOR && dev != DEVICE_MINOR + 1)
-               ret = -ENXIO;
-@@ -135,7 +136,6 @@ static int rpivid_mem_probe(struct platf
-       struct resource *ioresource;
-       struct rpivid_mem_priv *priv;
--
-       /* Allocate buffers and instance data */
-       priv = kzalloc(sizeof(struct rpivid_mem_priv), GFP_KERNEL);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0385-dwc_otg-fiq_fsm-pause-when-cancelling-split-transact.patch b/target/linux/bcm27xx/patches-5.4/950-0385-dwc_otg-fiq_fsm-pause-when-cancelling-split-transact.patch
new file mode 100644 (file)
index 0000000..0a7356f
--- /dev/null
@@ -0,0 +1,95 @@
+From 09648b92a71b03450e9482f0cc5bd22298f78d44 Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Wed, 8 Jan 2020 12:48:09 +0000
+Subject: [PATCH] dwc_otg: fiq_fsm: pause when cancelling split
+ transactions
+
+Non-periodic splits will DMA to/from the driver-provided transfer_buffer,
+which may be freed immediately after the dequeue call returns. Block until
+we know the transfer is complete.
+
+A similar delay is needed when cleaning up disconnects, as the FIQ could
+have started a periodic transfer in the previous microframe to the one
+that triggered a disconnect.
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
+---
+ drivers/usb/host/dwc_otg/dwc_otg_hcd.c    | 33 +++++++++++++++++++++--
+ drivers/usb/host/dwc_otg/dwc_otg_os_dep.h |  1 +
+ 2 files changed, 32 insertions(+), 2 deletions(-)
+
+--- a/drivers/usb/host/dwc_otg/dwc_otg_hcd.c
++++ b/drivers/usb/host/dwc_otg/dwc_otg_hcd.c
+@@ -175,6 +175,7 @@ static void kill_urbs_in_qh_list(dwc_otg
+       dwc_list_link_t *qh_item, *qh_tmp;
+       dwc_otg_qh_t *qh;
+       dwc_otg_qtd_t *qtd, *qtd_tmp;
++      int quiesced = 0;
+       DWC_LIST_FOREACH_SAFE(qh_item, qh_tmp, qh_list) {
+               qh = DWC_LIST_ENTRY(qh_item, dwc_otg_qh_t, qh_list_entry);
+@@ -198,8 +199,17 @@ static void kill_urbs_in_qh_list(dwc_otg
+                               qh->channel->halt_status = DWC_OTG_HC_XFER_URB_DEQUEUE;
+                               qh->channel->halt_pending = 1;
+                               if (hcd->fiq_state->channel[n].fsm == FIQ_HS_ISOC_TURBO ||
+-                                      hcd->fiq_state->channel[n].fsm == FIQ_HS_ISOC_SLEEPING)
++                                  hcd->fiq_state->channel[n].fsm == FIQ_HS_ISOC_SLEEPING)
+                                       hcd->fiq_state->channel[n].fsm = FIQ_HS_ISOC_ABORTED;
++                              /* We're called from disconnect callback or in the middle of freeing the HCD here,
++                               * so FIQ is disabled, top-level interrupts masked and we're holding the spinlock.
++                               * No further URBs will be submitted, but wait 1 microframe for any previously
++                               * submitted periodic DMA to finish.
++                               */
++                              if (!quiesced) {
++                                      udelay(125);
++                                      quiesced = 1;
++                              }
+                       } else {
+                               dwc_otg_hc_halt(hcd->core_if, qh->channel,
+                                               DWC_OTG_HC_XFER_URB_DEQUEUE);
+@@ -600,15 +610,34 @@ int dwc_otg_hcd_urb_dequeue(dwc_otg_hcd_
+                       /* In FIQ FSM mode, we need to shut down carefully.
+                        * The FIQ may attempt to restart a disabled channel */
+                       if (fiq_fsm_enable && (hcd->fiq_state->channel[n].fsm != FIQ_PASSTHROUGH)) {
++                              int retries = 3;
++                              int running = 0;
++                              enum fiq_fsm_state state;
++
+                               local_fiq_disable();
+                               fiq_fsm_spin_lock(&hcd->fiq_state->lock);
+                               qh->channel->halt_status = DWC_OTG_HC_XFER_URB_DEQUEUE;
+                               qh->channel->halt_pending = 1;
+                               if (hcd->fiq_state->channel[n].fsm == FIQ_HS_ISOC_TURBO ||
+-                                      hcd->fiq_state->channel[n].fsm == FIQ_HS_ISOC_SLEEPING)
++                                  hcd->fiq_state->channel[n].fsm == FIQ_HS_ISOC_SLEEPING)
+                                       hcd->fiq_state->channel[n].fsm = FIQ_HS_ISOC_ABORTED;
+                               fiq_fsm_spin_unlock(&hcd->fiq_state->lock);
+                               local_fiq_enable();
++
++                              if (dwc_qh_is_non_per(qh)) {
++                                      do {
++                                              state = READ_ONCE(hcd->fiq_state->channel[n].fsm);
++                                              running = (state != FIQ_NP_SPLIT_DONE) &&
++                                                        (state != FIQ_NP_SPLIT_LS_ABORTED) &&
++                                                        (state != FIQ_NP_SPLIT_HS_ABORTED);
++                                              if (!running)
++                                                      break;
++                                              udelay(125);
++                                      } while(--retries);
++                                      if (!retries)
++                                              DWC_WARN("Timed out waiting for FSM NP transfer to complete on %d",
++                                                       qh->channel->hc_num);
++                              }
+                       } else {
+                               dwc_otg_hc_halt(hcd->core_if, qh->channel,
+                                               DWC_OTG_HC_XFER_URB_DEQUEUE);
+--- a/drivers/usb/host/dwc_otg/dwc_otg_os_dep.h
++++ b/drivers/usb/host/dwc_otg/dwc_otg_os_dep.h
+@@ -27,6 +27,7 @@
+ #include <linux/workqueue.h>
+ #include <linux/stat.h>
+ #include <linux/pci.h>
++#include <linux/compiler.h>
+ #include <linux/version.h>
diff --git a/target/linux/bcm27xx/patches-5.4/950-0386-driver-char-rpimem-Add-SPDX-licence-header.patch b/target/linux/bcm27xx/patches-5.4/950-0386-driver-char-rpimem-Add-SPDX-licence-header.patch
deleted file mode 100644 (file)
index 86b9400..0000000
+++ /dev/null
@@ -1,19 +0,0 @@
-From aa5c03a34b59ad840eeac990185c06b631a1e87e Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 15 Jan 2020 14:07:16 +0000
-Subject: [PATCH] driver: char: rpimem: Add SPDX licence header.
-
-Stops checkpatch complaining.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/char/broadcom/rpivid-mem.c | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/drivers/char/broadcom/rpivid-mem.c
-+++ b/drivers/char/broadcom/rpivid-mem.c
-@@ -1,3 +1,4 @@
-+// SPDX-License-Identifier: GPL-2.0 OR BSD-3-Clause
- /**
-  * rpivid-mem.c - character device access to the RPiVid decoder registers
-  *
diff --git a/target/linux/bcm27xx/patches-5.4/950-0386-dwc_otg-fiq_fsm-add-a-barrier-on-entry-into-FIQ-hand.patch b/target/linux/bcm27xx/patches-5.4/950-0386-dwc_otg-fiq_fsm-add-a-barrier-on-entry-into-FIQ-hand.patch
new file mode 100644 (file)
index 0000000..e986f42
--- /dev/null
@@ -0,0 +1,49 @@
+From edbbc60ed86f4b690838e6c4b0aed48803e334cc Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Mon, 13 Jan 2020 15:54:55 +0000
+Subject: [PATCH] dwc_otg: fiq_fsm: add a barrier on entry into FIQ
+ handler(s)
+
+On BCM2835, there is no hardware guarantee that multiple outstanding
+reads to different peripherals will complete in-order. The FIQ code
+uses peripheral reads without barriers for performance, so in the case
+where a read to a slow peripheral was issued immediately prior to FIQ
+entry, the first peripheral read that the FIQ did could end up with
+wrong read data returned.
+
+Add dsb(sy) on entry so that all outstanding reads are retired.
+
+The FIQ only issues reads to the dwc_otg core, so per-read barriers
+in the handler itself are not required.
+
+On BCM2836 and BCM2837 the barrier is not strictly required due to
+differences in how the peripheral bus is implemented, but having
+arch-specific handlers that introduce different latencies is risky.
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
+---
+ drivers/usb/host/dwc_otg/dwc_otg_fiq_fsm.c | 6 ++++++
+ 1 file changed, 6 insertions(+)
+
+--- a/drivers/usb/host/dwc_otg/dwc_otg_fiq_fsm.c
++++ b/drivers/usb/host/dwc_otg/dwc_otg_fiq_fsm.c
+@@ -1259,6 +1259,9 @@ void notrace dwc_otg_fiq_fsm(struct fiq_
+       haintmsk_data_t haintmsk;
+       int kick_irq = 0;
++      /* Ensure peripheral reads issued prior to FIQ entry are complete */
++      dsb(sy);
++
+       gintsts_handled.d32 = 0;
+       haint_handled.d32 = 0;
+@@ -1379,6 +1382,9 @@ void notrace dwc_otg_fiq_nop(struct fiq_
+       gintmsk_data_t gintmsk;
+       hfnum_data_t hfnum;
++      /* Ensure peripheral reads issued prior to FIQ entry are complete */
++      dsb(sy);
++
+       fiq_fsm_spin_lock(&state->lock);
+       hfnum.d32 = FIQ_READ(state->dwc_regs_base + HFNUM);
+       gintsts.d32 = FIQ_READ(state->dwc_regs_base + GINTSTS);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0387-Add-universal-device-tree-overlay-for-SPI-devices.patch b/target/linux/bcm27xx/patches-5.4/950-0387-Add-universal-device-tree-overlay-for-SPI-devices.patch
new file mode 100644 (file)
index 0000000..cb8fa91
--- /dev/null
@@ -0,0 +1,273 @@
+From 17159731ae064a70031d746284855b7d30f17407 Mon Sep 17 00:00:00 2001
+From: Ed Spiridonov <edo.rus@gmail.com>
+Date: Tue, 10 Dec 2019 22:45:04 +0300
+Subject: [PATCH] Add universal device tree overlay for SPI devices
+
+Just specify the SPI address and device name ("compatible" property).
+This overlay lacks any device-specific parameter support!
+(some of them could be added later)
+
+Examples:
+1. SPI NOR flash on spi0.1, maximum SPI clock frequency 45MHz:
+    dtoverlay=anyspi:spi0-1,dev="jedec,spi-nor",speed=45000000
+2. MCP3204 ADC on spi1.2, maximum SPI clock frequency 500kHz:
+    dtoverlay=anyspi:spi1-2,dev="microchip,mcp3204"
+
+Signed-off-by: Ed Spiridonov <edo.rus@gmail.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |  23 ++
+ arch/arm/boot/dts/overlays/anyspi-overlay.dts | 205 ++++++++++++++++++
+ 3 files changed, 229 insertions(+)
+ create mode 100755 arch/arm/boot/dts/overlays/anyspi-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -15,6 +15,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       allo-katana-dac-audio.dtbo \
+       allo-piano-dac-pcm512x-audio.dtbo \
+       allo-piano-dac-plus-pcm512x-audio.dtbo \
++      anyspi.dtbo \
+       apds9960.dtbo \
+       applepi-dac.dtbo \
+       at86rf233.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -441,6 +441,29 @@ Params: 24db_digital_gain       Allow ga
+                                 better voice quality. (default Off)
++Name:   anyspi
++Info:   Universal device tree overlay for SPI devices
++
++        Just specify the SPI address and device name ("compatible" property).
++        This overlay lacks any device-specific parameter support!
++
++        For devices on spi1 or spi2, the interfaces should be enabled
++        with one of the spi1-1/2/3cs and/or spi2-1/2/3cs overlays.
++
++        Examples:
++        1. SPI NOR flash on spi0.1, maximum SPI clock frequency 45MHz:
++            dtoverlay=anyspi:spi0-1,dev="jedec,spi-nor",speed=45000000
++        2. MCP3204 ADC on spi1.2, maximum SPI clock frequency 500kHz:
++            dtoverlay=anyspi:spi1-2,dev="microchip,mcp3204"
++Load:   dtoverlay=anyspi,<param>=<val>
++Params: spi<n>-<m>              Configure device at spi<n>, cs<m>
++                                (boolean, required)
++        dev                     Set device name to search compatible module
++                                (string, required)
++        speed                   Set SPI clock frequency in Hz
++                                (integer, optional, default 500000)
++
++
+ Name:   apds9960
+ Info:   Configures the AVAGO APDS9960 digital proximity, ambient light, RGB and
+         gesture sensor
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/anyspi-overlay.dts
+@@ -0,0 +1,205 @@
++/*
++ * Universal device tree overlay for SPI devices
++ */
++
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&spidev0>;
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@1 {
++              target = <&spidev1>;
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@2 {
++              target-path = "spi1/spidev@0";
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@3 {
++              target-path = "spi1/spidev@1";
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@4 {
++              target-path = "spi1/spidev@2";
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@5 {
++              target-path = "spi2/spidev@0";
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@6 {
++              target-path = "spi2/spidev@1";
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@7 {
++              target-path = "spi2/spidev@2";
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@8 {
++              target = <&spi0>;
++              __dormant__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      anyspi_00: anyspi@0 {
++                              reg = <0>;
++                              spi-max-frequency = <500000>;
++                      };
++              };
++      };
++
++      fragment@9 {
++              target = <&spi0>;
++              __dormant__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      anyspi_01: anyspi@1 {
++                              reg = <1>;
++                              spi-max-frequency = <500000>;
++                      };
++              };
++      };
++
++      fragment@10 {
++              target = <&spi1>;
++              __dormant__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      anyspi_10: anyspi@0 {
++                              reg = <0>;
++                              spi-max-frequency = <500000>;
++                      };
++              };
++      };
++
++      fragment@11 {
++              target = <&spi1>;
++              __dormant__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      anyspi_11: anyspi@1 {
++                              reg = <1>;
++                              spi-max-frequency = <500000>;
++                      };
++              };
++      };
++
++      fragment@12 {
++              target = <&spi1>;
++              __dormant__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      anyspi_12: anyspi@2 {
++                              reg = <2>;
++                              spi-max-frequency = <500000>;
++                      };
++              };
++      };
++
++      fragment@13 {
++              target = <&spi2>;
++              __dormant__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      anyspi_20: anyspi@0 {
++                              reg = <0>;
++                              spi-max-frequency = <500000>;
++                      };
++              };
++      };
++
++      fragment@14 {
++              target = <&spi2>;
++              __dormant__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      anyspi_21: anyspi@1 {
++                              reg = <1>;
++                              spi-max-frequency = <500000>;
++                      };
++              };
++      };
++
++      fragment@15 {
++              target = <&spi2>;
++              __dormant__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      anyspi_22: anyspi@2 {
++                              reg = <2>;
++                              spi-max-frequency = <500000>;
++                      };
++              };
++      };
++
++      __overrides__ {
++              spi0-0 = <0>, "+0+8";
++              spi0-1 = <0>, "+1+9";
++              spi1-0 = <0>, "+2+10";
++              spi1-1 = <0>, "+3+11";
++              spi1-2 = <0>, "+4+12";
++              spi2-0 = <0>, "+5+13";
++              spi2-1 = <0>, "+6+14";
++              spi2-2 = <0>, "+7+15";
++              dev = <&anyspi_00>,"compatible",
++                    <&anyspi_01>,"compatible",
++                    <&anyspi_10>,"compatible",
++                    <&anyspi_11>,"compatible",
++                    <&anyspi_12>,"compatible",
++                    <&anyspi_20>,"compatible",
++                    <&anyspi_21>,"compatible",
++                    <&anyspi_22>,"compatible";
++              speed = <&anyspi_00>, "spi-max-frequency:0",
++                      <&anyspi_01>, "spi-max-frequency:0",
++                      <&anyspi_10>, "spi-max-frequency:0",
++                      <&anyspi_11>, "spi-max-frequency:0",
++                      <&anyspi_12>, "spi-max-frequency:0",
++                      <&anyspi_20>, "spi-max-frequency:0",
++                      <&anyspi_21>, "spi-max-frequency:0",
++                      <&anyspi_22>, "spi-max-frequency:0";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0387-driver-char-rpivid-Fix-access-to-freed-memory.patch b/target/linux/bcm27xx/patches-5.4/950-0387-driver-char-rpivid-Fix-access-to-freed-memory.patch
deleted file mode 100644 (file)
index 67147fa..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From be492eed9f4724798a7b85cf8779772dc901f986 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Tue, 21 Jan 2020 16:44:14 +0000
-Subject: [PATCH] driver: char: rpivid: Fix access to freed memory
-
-The error path during probe frees the private memory block, and
-then promptly dereferences it to log an error message.
-
-Use the base device instead of the pointer to it in the private
-structure.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/char/broadcom/rpivid-mem.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/char/broadcom/rpivid-mem.c
-+++ b/drivers/char/broadcom/rpivid-mem.c
-@@ -229,7 +229,7 @@ failed_alloc_chrdev:
- failed_get_resource:
-       kfree(priv);
- failed_inst_alloc:
--      dev_err(priv->dev, "could not load rpivid_mem");
-+      dev_err(&pdev->dev, "could not load rpivid_mem");
-       return err;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0388-add-BME680-to-i2c-sensor-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0388-add-BME680-to-i2c-sensor-overlay.patch
deleted file mode 100644 (file)
index 0c2fe6a..0000000
+++ /dev/null
@@ -1,67 +0,0 @@
-From 13047f38ca9adef0c0a0b0afce420dc912290d35 Mon Sep 17 00:00:00 2001
-From: Willem Remie <w.remie@drebble.io>
-Date: Thu, 9 Jan 2020 21:16:49 +0100
-Subject: [PATCH] add BME680 to i2c-sensor overlay
-
----
- arch/arm/boot/dts/overlays/README             |  7 +++++--
- .../boot/dts/overlays/i2c-sensor-overlay.dts  | 19 ++++++++++++++++++-
- 2 files changed, 23 insertions(+), 3 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -1159,12 +1159,15 @@ Name:   i2c-sensor
- Info:   Adds support for a number of I2C barometric pressure and temperature
-         sensors on i2c_arm
- Load:   dtoverlay=i2c-sensor,<param>=<val>
--Params: addr                    Set the address for the BME280, BMP280, DS1621,
--                                HDC100X, LM75, SHT3x or TMP102
-+Params: addr                    Set the address for the BME280, BME680, BMP280,
-+                                DS1621, HDC100X, LM75, SHT3x or TMP102
-         bme280                  Select the Bosch Sensortronic BME280
-                                 Valid addresses 0x76-0x77, default 0x76
-+        bme680                  Select the Bosch Sensortronic BME680
-+                                Valid addresses 0x76-0x77, default 0x76
-+
-         bmp085                  Select the Bosch Sensortronic BMP085
-         bmp180                  Select the Bosch Sensortronic BMP180
---- a/arch/arm/boot/dts/overlays/i2c-sensor-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/i2c-sensor-overlay.dts
-@@ -216,10 +216,26 @@
-               };
-       };
-+      fragment@14 {
-+              target = <&i2c_arm>;
-+              __dormant__ {
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "okay";
-+
-+                      bme680: bme680@76 {
-+                              compatible = "bosch,bme680";
-+                              reg = <0x76>;
-+                              status = "okay";
-+                      };
-+              };
-+      };
-+
-+
-       __overrides__ {
-               addr =  <&bme280>,"reg:0", <&bmp280>,"reg:0", <&tmp102>,"reg:0",
-                       <&lm75>,"reg:0", <&hdc100x>,"reg:0", <&sht3x>,"reg:0",
--                      <&ds1621>,"reg:0";
-+                      <&ds1621>,"reg:0", <&bme680>,"reg:0";
-               bme280 = <0>,"+0";
-               bmp085 = <0>,"+1";
-               bmp180 = <0>,"+2";
-@@ -235,5 +251,6 @@
-               sht3x = <0>,"+11";
-               ds1621 = <0>,"+12";
-               max17040 = <0>,"+13";
-+              bme680 = <0>,"+14";
-       };
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0388-sound-Add-the-HiFiBerry-DAC-HD-version.patch b/target/linux/bcm27xx/patches-5.4/950-0388-sound-Add-the-HiFiBerry-DAC-HD-version.patch
new file mode 100644 (file)
index 0000000..6b9a6bd
--- /dev/null
@@ -0,0 +1,801 @@
+From 221b442eb7e5b4ed16151b5501f4b905a9b8455c Mon Sep 17 00:00:00 2001
+From: =?UTF-8?q?J=C3=B6rg=20Schambacher?=
+ <j-schambacher@users.noreply.github.com>
+Date: Tue, 21 Jan 2020 15:58:39 +0100
+Subject: [PATCH] sound: Add the HiFiBerry DAC+HD version
+
+This adds the driver for the DAC+HD version supporting HiFiBerry's
+PCM179x based DACs. It also adds PLL control for clock generation.
+
+Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |   6 +
+ .../overlays/hifiberry-dacplushd-overlay.dts  | 106 ++++++
+ drivers/clk/Kconfig                           |   3 +
+ drivers/clk/Makefile                          |   1 +
+ drivers/clk/clk-hifiberry-dachd.c             | 333 ++++++++++++++++++
+ sound/soc/bcm/Kconfig                         |   9 +
+ sound/soc/bcm/Makefile                        |   2 +
+ sound/soc/bcm/hifiberry_dacplushd.c           | 238 +++++++++++++
+ 14 files changed, 704 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/hifiberry-dacplushd-overlay.dts
+ create mode 100644 drivers/clk/clk-hifiberry-dachd.c
+ create mode 100644 sound/soc/bcm/hifiberry_dacplushd.c
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -57,6 +57,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       hifiberry-dacplusadc.dtbo \
+       hifiberry-dacplusadcpro.dtbo \
+       hifiberry-dacplusdsp.dtbo \
++      hifiberry-dacplushd.dtbo \
+       hifiberry-digi.dtbo \
+       hifiberry-digi-pro.dtbo \
+       hy28a.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -956,6 +956,12 @@ Load:   dtoverlay=hifiberry-dacplusdsp
+ Params: <None>
++Name:   hifiberry-dacplushd
++Info:   Configures the HifiBerry DAC+ HD audio card
++Load:   dtoverlay=hifiberry-dacplushd
++Params: <None>
++
++
+ Name:   hifiberry-digi
+ Info:   Configures the HifiBerry Digi and Digi+ audio card
+ Load:   dtoverlay=hifiberry-digi
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/hifiberry-dacplushd-overlay.dts
+@@ -0,0 +1,106 @@
++// Definitions for HiFiBerry DAC+ HD
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/gpio/gpio.h>
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target-path = "/clocks";
++              __overlay__ {
++                      dachd_osc: pll_dachd_osc {
++                              compatible = "hifiberry,dachd-clk";
++                              #clock-cells = <0>;
++                      };
++              };
++      };
++
++      fragment@1 {
++              target = <&i2s>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@2 {
++              target = <&i2c1>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      pcm1792a@4c {
++                              compatible = "ti,pcm1792a";
++                              #sound-dai-cells = <0>;
++                              #clock-cells = <0>;
++                              clocks = <&dachd_osc>;
++                              reg = <0x4c>;
++                              status = "okay";
++                      };
++                      pll: pll@62 {
++                              compatible = "hifiberry,dachd-clk";
++                              #clock-cells = <0>;
++                              reg = <0x62>;
++                              clocks = <&dachd_osc>;
++                              status = "okay";
++                              common_pll_regs = [
++                                      02 53 03 00 07 20 0F 00
++                                      10 0D 11 1D 12 0D 13 8C
++                                      14 8C 15 8C 16 8C 17 8C
++                                      18 2A 1C 00 1D 0F 1F 00
++                                      2A 00 2C 00 2F 00 30 00
++                                      31 00 32 00 34 00 37 00
++                                      38 00 39 00 3A 00 3B 01
++                                      3E 00 3F 00 40 00 41 00
++                                      5A 00 5B 00 95 00 96 00
++                                      97 00 98 00 99 00 9A 00
++                                      9B 00 A2 00 A3 00 A4 00
++                                      B7 92 ];
++                              192k_pll_regs = [
++                                      1A 0C 1B 35 1E F0 20 09
++                                      21 50 2B 02 2D 10 2E 40
++                                      33 01 35 22 36 80 3C 22
++                                      3D 46 ];
++                              96k_pll_regs = [
++                                      1A 0C 1B 35 1E F0 20 09
++                                      21 50 2B 02 2D 10 2E 40
++                                      33 01 35 47 36 00 3C 32
++                                      3D 46 ];
++                              48k_pll_regs = [
++                                      1A 0C 1B 35 1E F0 20 09
++                                      21 50 2B 02 2D 10 2E 40
++                                      33 01 35 90 36 00 3C 42
++                                      3D 46 ];
++                              176k4_pll_regs = [
++                                      1A 3D 1B 09 1E F3 20 13
++                                      21 75 2B 04 2D 11 2E E0
++                                      33 02 35 25 36 C0 3C 22
++                                      3D 7A ];
++                              88k2_pll_regs = [
++                                      1A 3D 1B 09 1E F3 20 13
++                                      21 75 2B 04 2D 11 2E E0
++                                      33 01 35 4D 36 80 3C 32
++                                      3D 7A ];
++                              44k1_pll_regs = [
++                                      1A 3D 1B 09 1E F3 20 13
++                                      21 75 2B 04 2D 11 2E E0
++                                      33 01 35 9D 36 00 3C 42
++                                      3D 7A ];
++                      };
++              };
++      };
++
++      fragment@3 {
++              target = <&sound>;
++              __overlay__ {
++                      compatible = "hifiberry,hifiberry-dacplushd";
++                      i2s-controller = <&i2s>;
++                      clocks = <&pll 0>;
++                      reset-gpio = <&gpio 16 GPIO_ACTIVE_LOW>;
++                      status = "okay";
++              };
++      };
++
++};
+--- a/drivers/clk/Kconfig
++++ b/drivers/clk/Kconfig
+@@ -70,6 +70,9 @@ config COMMON_CLK_HI655X
+         multi-function device has one fixed-rate oscillator, clocked
+         at 32KHz.
++config COMMON_CLK_HIFIBERRY_DACPLUSHD
++      tristate
++
+ config COMMON_CLK_HIFIBERRY_DACPRO
+       tristate
+--- a/drivers/clk/Makefile
++++ b/drivers/clk/Makefile
+@@ -36,6 +36,7 @@ obj-$(CONFIG_ARCH_HIGHBANK)          += clk-high
+ obj-$(CONFIG_CLK_HSDK)                        += clk-hsdk-pll.o
+ obj-$(CONFIG_COMMON_CLK_LOCHNAGAR)    += clk-lochnagar.o
+ obj-$(CONFIG_COMMON_CLK_HIFIBERRY_DACPRO)     += clk-hifiberry-dacpro.o
++obj-$(CONFIG_COMMON_CLK_HIFIBERRY_DACPLUSHD)  += clk-hifiberry-dachd.o
+ obj-$(CONFIG_COMMON_CLK_MAX77686)     += clk-max77686.o
+ obj-$(CONFIG_COMMON_CLK_MAX9485)      += clk-max9485.o
+ obj-$(CONFIG_ARCH_MILBEAUT_M10V)      += clk-milbeaut.o
+--- /dev/null
++++ b/drivers/clk/clk-hifiberry-dachd.c
+@@ -0,0 +1,333 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * Clock Driver for HiFiBerry DAC+ HD
++ *
++ * Author: Joerg Schambacher, i2Audio GmbH for HiFiBerry
++ *         Copyright 2020
++ *
++ * This program is free software; you can redistribute it and/or
++ * modify it under the terms of the GNU General Public License
++ * version 2 as published by the Free Software Foundation.
++ *
++ * This program is distributed in the hope that it will be useful, but
++ * WITHOUT ANY WARRANTY; without even the implied warranty of
++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
++ * General Public License for more details.
++ */
++
++#include <linux/clk-provider.h>
++#include <linux/clk.h>
++#include <linux/kernel.h>
++#include <linux/module.h>
++#include <linux/of.h>
++#include <linux/slab.h>
++#include <linux/platform_device.h>
++#include <linux/i2c.h>
++#include <linux/regmap.h>
++
++#define NO_PLL_RESET                  0
++#define PLL_RESET                     1
++#define HIFIBERRY_PLL_MAX_REGISTER    256
++#define DEFAULT_RATE                  44100
++
++static struct reg_default hifiberry_pll_reg_defaults[] = {
++      {0x02, 0x53}, {0x03, 0x00}, {0x07, 0x20}, {0x0F, 0x00},
++      {0x10, 0x0D}, {0x11, 0x1D}, {0x12, 0x0D}, {0x13, 0x8C},
++      {0x14, 0x8C}, {0x15, 0x8C}, {0x16, 0x8C}, {0x17, 0x8C},
++      {0x18, 0x2A}, {0x1C, 0x00}, {0x1D, 0x0F}, {0x1F, 0x00},
++      {0x2A, 0x00}, {0x2C, 0x00}, {0x2F, 0x00}, {0x30, 0x00},
++      {0x31, 0x00}, {0x32, 0x00}, {0x34, 0x00}, {0x37, 0x00},
++      {0x38, 0x00}, {0x39, 0x00}, {0x3A, 0x00}, {0x3B, 0x01},
++      {0x3E, 0x00}, {0x3F, 0x00}, {0x40, 0x00}, {0x41, 0x00},
++      {0x5A, 0x00}, {0x5B, 0x00}, {0x95, 0x00}, {0x96, 0x00},
++      {0x97, 0x00}, {0x98, 0x00}, {0x99, 0x00}, {0x9A, 0x00},
++      {0x9B, 0x00}, {0xA2, 0x00}, {0xA3, 0x00}, {0xA4, 0x00},
++      {0xB7, 0x92},
++      {0x1A, 0x3D}, {0x1B, 0x09}, {0x1E, 0xF3}, {0x20, 0x13},
++      {0x21, 0x75}, {0x2B, 0x04}, {0x2D, 0x11}, {0x2E, 0xE0},
++      {0x3D, 0x7A},
++      {0x35, 0x9D}, {0x36, 0x00}, {0x3C, 0x42},
++      { 177, 0xAC},
++};
++static struct reg_default common_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
++static int num_common_pll_regs;
++static struct reg_default dedicated_192k_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
++static int num_dedicated_192k_pll_regs;
++static struct reg_default dedicated_96k_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
++static int num_dedicated_96k_pll_regs;
++static struct reg_default dedicated_48k_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
++static int num_dedicated_48k_pll_regs;
++static struct reg_default dedicated_176k4_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
++static int num_dedicated_176k4_pll_regs;
++static struct reg_default dedicated_88k2_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
++static int num_dedicated_88k2_pll_regs;
++static struct reg_default dedicated_44k1_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
++static int num_dedicated_44k1_pll_regs;
++
++/**
++ * struct clk_hifiberry_drvdata - Common struct to the HiFiBerry DAC HD Clk
++ * @hw: clk_hw for the common clk framework
++ */
++struct clk_hifiberry_drvdata {
++      struct regmap *regmap;
++      struct clk *clk;
++      struct clk_hw hw;
++      unsigned long rate;
++};
++
++#define to_hifiberry_clk(_hw) \
++      container_of(_hw, struct clk_hifiberry_drvdata, hw)
++
++static int clk_hifiberry_dachd_write_pll_regs(struct regmap *regmap,
++                              struct reg_default *regs,
++                              int num, int do_pll_reset)
++{
++      int i;
++      int ret = 0;
++      char pll_soft_reset[] = { 177, 0xAC, };
++
++      for (i = 0; i < num; i++) {
++              ret |= regmap_write(regmap, regs[i].reg, regs[i].def);
++              if (ret)
++                      return ret;
++      }
++      if (do_pll_reset) {
++              ret |= regmap_write(regmap, pll_soft_reset[0],
++                                              pll_soft_reset[1]);
++              mdelay(10);
++      }
++      return ret;
++}
++
++static unsigned long clk_hifiberry_dachd_recalc_rate(struct clk_hw *hw,
++      unsigned long parent_rate)
++{
++      return to_hifiberry_clk(hw)->rate;
++}
++
++static long clk_hifiberry_dachd_round_rate(struct clk_hw *hw,
++      unsigned long rate, unsigned long *parent_rate)
++{
++      return rate;
++}
++
++static int clk_hifiberry_dachd_set_rate(struct clk_hw *hw,
++      unsigned long rate, unsigned long parent_rate)
++{
++      int ret;
++      struct clk_hifiberry_drvdata *drvdata = to_hifiberry_clk(hw);
++
++      switch (rate) {
++      case 44100:
++              ret = clk_hifiberry_dachd_write_pll_regs(drvdata->regmap,
++                      dedicated_44k1_pll_regs, num_dedicated_44k1_pll_regs,
++                      PLL_RESET);
++              break;
++      case 88200:
++              ret = clk_hifiberry_dachd_write_pll_regs(drvdata->regmap,
++                      dedicated_88k2_pll_regs, num_dedicated_88k2_pll_regs,
++                      PLL_RESET);
++              break;
++      case 176400:
++              ret = clk_hifiberry_dachd_write_pll_regs(drvdata->regmap,
++                      dedicated_176k4_pll_regs, num_dedicated_176k4_pll_regs,
++                      PLL_RESET);
++              break;
++      case 48000:
++              ret = clk_hifiberry_dachd_write_pll_regs(drvdata->regmap,
++                      dedicated_48k_pll_regs, num_dedicated_48k_pll_regs,
++                      PLL_RESET);
++              break;
++      case 96000:
++              ret = clk_hifiberry_dachd_write_pll_regs(drvdata->regmap,
++                      dedicated_96k_pll_regs, num_dedicated_96k_pll_regs,
++                      PLL_RESET);
++              break;
++      case 192000:
++              ret = clk_hifiberry_dachd_write_pll_regs(drvdata->regmap,
++                      dedicated_192k_pll_regs, num_dedicated_192k_pll_regs,
++                      PLL_RESET);
++              break;
++      default:
++              ret = -EINVAL;
++              break;
++      }
++      to_hifiberry_clk(hw)->rate = rate;
++
++      return ret;
++}
++
++const struct clk_ops clk_hifiberry_dachd_rate_ops = {
++      .recalc_rate = clk_hifiberry_dachd_recalc_rate,
++      .round_rate = clk_hifiberry_dachd_round_rate,
++      .set_rate = clk_hifiberry_dachd_set_rate,
++};
++
++static int clk_hifiberry_get_prop_values(struct device *dev,
++                                      char *prop_name,
++                                      struct reg_default *regs)
++{
++      int ret;
++      int i;
++      u8 tmp[2 * HIFIBERRY_PLL_MAX_REGISTER];
++
++      ret = of_property_read_variable_u8_array(dev->of_node, prop_name,
++                      tmp, 0, 2 * HIFIBERRY_PLL_MAX_REGISTER);
++      if (ret < 0)
++              return ret;
++      if (ret & 1) {
++              dev_err(dev,
++                      "%s <%s> -> #%i odd number of bytes for reg/val pairs!",
++                      __func__,
++                      prop_name,
++                      ret);
++              return -EINVAL;
++      }
++      ret /= 2;
++      for (i = 0; i < ret; i++) {
++              regs[i].reg = (u32)tmp[2 * i];
++              regs[i].def = (u32)tmp[2 * i + 1];
++      }
++      return ret;
++}
++
++
++static int clk_hifiberry_dachd_dt_parse(struct device *dev)
++{
++      num_common_pll_regs = clk_hifiberry_get_prop_values(dev,
++                              "common_pll_regs", common_pll_regs);
++      num_dedicated_44k1_pll_regs = clk_hifiberry_get_prop_values(dev,
++                              "44k1_pll_regs", dedicated_44k1_pll_regs);
++      num_dedicated_88k2_pll_regs = clk_hifiberry_get_prop_values(dev,
++                              "88k2_pll_regs", dedicated_88k2_pll_regs);
++      num_dedicated_176k4_pll_regs = clk_hifiberry_get_prop_values(dev,
++                              "176k4_pll_regs", dedicated_176k4_pll_regs);
++      num_dedicated_48k_pll_regs = clk_hifiberry_get_prop_values(dev,
++                              "48k_pll_regs", dedicated_48k_pll_regs);
++      num_dedicated_96k_pll_regs = clk_hifiberry_get_prop_values(dev,
++                              "96k_pll_regs", dedicated_96k_pll_regs);
++      num_dedicated_192k_pll_regs = clk_hifiberry_get_prop_values(dev,
++                              "192k_pll_regs", dedicated_192k_pll_regs);
++      return 0;
++}
++
++
++static int clk_hifiberry_dachd_remove(struct device *dev)
++{
++      of_clk_del_provider(dev->of_node);
++      return 0;
++}
++
++const struct regmap_config hifiberry_pll_regmap = {
++      .reg_bits = 8,
++      .val_bits = 8,
++      .max_register = HIFIBERRY_PLL_MAX_REGISTER,
++      .reg_defaults = hifiberry_pll_reg_defaults,
++      .num_reg_defaults = ARRAY_SIZE(hifiberry_pll_reg_defaults),
++      .cache_type = REGCACHE_RBTREE,
++};
++EXPORT_SYMBOL_GPL(hifiberry_pll_regmap);
++
++
++static int clk_hifiberry_dachd_i2c_probe(struct i2c_client *i2c,
++                           const struct i2c_device_id *id)
++{
++      struct clk_hifiberry_drvdata *hdclk;
++      int ret = 0;
++      struct clk_init_data init;
++      struct device *dev = &i2c->dev;
++      struct device_node *dev_node = dev->of_node;
++      struct regmap_config config = hifiberry_pll_regmap;
++
++      hdclk = devm_kzalloc(&i2c->dev,
++                      sizeof(struct clk_hifiberry_drvdata), GFP_KERNEL);
++      if (!hdclk)
++              return -ENOMEM;
++
++      i2c_set_clientdata(i2c, hdclk);
++
++      hdclk->regmap = devm_regmap_init_i2c(i2c, &config);
++
++      if (IS_ERR(hdclk->regmap))
++              return PTR_ERR(hdclk->regmap);
++
++      /* start PLL to allow detection of DAC */
++      ret = clk_hifiberry_dachd_write_pll_regs(hdclk->regmap,
++                              hifiberry_pll_reg_defaults,
++                              ARRAY_SIZE(hifiberry_pll_reg_defaults),
++                              PLL_RESET);
++      if (ret)
++              return ret;
++
++      clk_hifiberry_dachd_dt_parse(dev);
++
++      /* restart PLL with configs from DTB */
++      ret = clk_hifiberry_dachd_write_pll_regs(hdclk->regmap, common_pll_regs,
++                                      num_common_pll_regs, PLL_RESET);
++      if (ret)
++              return ret;
++
++      init.name = "clk-hifiberry-dachd";
++      init.ops = &clk_hifiberry_dachd_rate_ops;
++      init.flags = 0;
++      init.parent_names = NULL;
++      init.num_parents = 0;
++
++      hdclk->hw.init = &init;
++
++      hdclk->clk = devm_clk_register(dev, &hdclk->hw);
++      if (IS_ERR(hdclk->clk)) {
++              dev_err(dev, "unable to register %s\n", init.name);
++              return PTR_ERR(hdclk->clk);
++      }
++
++      ret = of_clk_add_provider(dev_node, of_clk_src_simple_get, hdclk->clk);
++      if (ret != 0) {
++              dev_err(dev, "Cannot of_clk_add_provider");
++              return ret;
++      }
++
++      ret = clk_set_rate(hdclk->hw.clk, DEFAULT_RATE);
++      if (ret != 0) {
++              dev_err(dev, "Cannot set rate : %d\n",  ret);
++              return -EINVAL;
++      }
++
++      return ret;
++}
++
++static int clk_hifiberry_dachd_i2c_remove(struct i2c_client *i2c)
++{
++      clk_hifiberry_dachd_remove(&i2c->dev);
++      return 0;
++}
++
++static const struct i2c_device_id clk_hifiberry_dachd_i2c_id[] = {
++      { "dachd-clk", },
++      { }
++};
++MODULE_DEVICE_TABLE(i2c, clk_hifiberry_dachd_i2c_id);
++
++static const struct of_device_id clk_hifiberry_dachd_of_match[] = {
++      { .compatible = "hifiberry,dachd-clk", },
++      { }
++};
++MODULE_DEVICE_TABLE(of, clk_hifiberry_dachd_of_match);
++
++static struct i2c_driver clk_hifiberry_dachd_i2c_driver = {
++      .probe          = clk_hifiberry_dachd_i2c_probe,
++      .remove         = clk_hifiberry_dachd_i2c_remove,
++      .id_table       = clk_hifiberry_dachd_i2c_id,
++      .driver         = {
++              .name   = "dachd-clk",
++              .of_match_table = of_match_ptr(clk_hifiberry_dachd_of_match),
++      },
++};
++
++module_i2c_driver(clk_hifiberry_dachd_i2c_driver);
++
++
++MODULE_DESCRIPTION("HiFiBerry DAC+ HD clock driver");
++MODULE_AUTHOR("Joerg Schambacher <joerg@i2audio.com>");
++MODULE_LICENSE("GPL v2");
++MODULE_ALIAS("platform:clk-hifiberry-dachd");
+--- a/sound/soc/bcm/Kconfig
++++ b/sound/soc/bcm/Kconfig
+@@ -42,6 +42,14 @@ config SND_BCM2708_SOC_HIFIBERRY_DACPLUS
+         help
+          Say Y or M if you want to add support for HifiBerry DAC+.
++config SND_BCM2708_SOC_HIFIBERRY_DACPLUSHD
++        tristate "Support for HifiBerry DAC+ HD"
++        depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
++        select SND_SOC_PCM179X_I2C
++        select COMMON_CLK_HIFIBERRY_DACPLUSHD
++        help
++         Say Y or M if you want to add support for HifiBerry DAC+ HD.
++
+ config SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC
+         tristate "Support for HifiBerry DAC+ADC"
+         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
+@@ -56,6 +64,7 @@ config SND_BCM2708_SOC_HIFIBERRY_DACPLUS
+         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
+         select SND_SOC_PCM512x_I2C
+       select SND_SOC_PCM186X_I2C
++        select COMMON_CLK_HIFIBERRY_DACPRO
+         help
+          Say Y or M if you want to add support for HifiBerry DAC+ADC PRO.
+--- a/sound/soc/bcm/Makefile
++++ b/sound/soc/bcm/Makefile
+@@ -14,6 +14,7 @@ snd-soc-googlevoicehat-codec-objs := goo
+ # BCM2708 Machine Support
+ snd-soc-hifiberry-dacplus-objs := hifiberry_dacplus.o
++snd-soc-hifiberry-dacplushd-objs := hifiberry_dacplushd.o
+ snd-soc-hifiberry-dacplusadc-objs := hifiberry_dacplusadc.o
+ snd-soc-hifiberry-dacplusadcpro-objs := hifiberry_dacplusadcpro.o
+ snd-soc-hifiberry-dacplusdsp-objs := hifiberry_dacplusdsp.o
+@@ -41,6 +42,7 @@ snd-soc-rpi-wm8804-soundcard-objs := rpi
+ obj-$(CONFIG_SND_BCM2708_SOC_GOOGLEVOICEHAT_SOUNDCARD)  += snd-soc-googlevoicehat-codec.o
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUS) += snd-soc-hifiberry-dacplus.o
++obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSHD) += snd-soc-hifiberry-dacplushd.o
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC) += snd-soc-hifiberry-dacplusadc.o
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADCPRO) += snd-soc-hifiberry-dacplusadcpro.o
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSDSP) += snd-soc-hifiberry-dacplusdsp.o
+--- /dev/null
++++ b/sound/soc/bcm/hifiberry_dacplushd.c
+@@ -0,0 +1,238 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * ASoC Driver for HiFiBerry DAC+ HD
++ *
++ * Author:    Joerg Schambacher, i2Audio GmbH for HiFiBerry
++ *            Copyright 2020
++ *
++ * This program is free software; you can redistribute it and/or
++ * modify it under the terms of the GNU General Public License
++ * version 2 as published by the Free Software Foundation.
++ *
++ * This program is distributed in the hope that it will be useful, but
++ * WITHOUT ANY WARRANTY; without even the implied warranty of
++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
++ * General Public License for more details.
++ */
++
++#include <linux/module.h>
++#include <linux/platform_device.h>
++#include <linux/kernel.h>
++#include <linux/delay.h>
++#include <linux/module.h>
++#include <linux/of.h>
++#include <linux/delay.h>
++#include <linux/gpio.h>
++#include <linux/gpio/consumer.h>
++#include <sound/core.h>
++#include <sound/pcm.h>
++#include <sound/pcm_params.h>
++#include <sound/soc.h>
++#include <linux/i2c.h>
++#include <linux/clk.h>
++
++#include "../codecs/pcm179x.h"
++
++#define DEFAULT_RATE          44100
++
++struct brd_drv_data {
++      struct regmap *regmap;
++      struct clk *sclk;
++};
++
++static struct brd_drv_data drvdata;
++static struct gpio_desc *reset_gpio;
++static const unsigned int hb_dacplushd_rates[] = {
++      192000, 96000, 48000, 176400, 88200, 44100,
++};
++
++static struct snd_pcm_hw_constraint_list hb_dacplushd_constraints = {
++      .list = hb_dacplushd_rates,
++      .count = ARRAY_SIZE(hb_dacplushd_rates),
++};
++
++static int snd_rpi_hb_dacplushd_startup(struct snd_pcm_substream *substream)
++{
++      /* constraints for standard sample rates */
++      snd_pcm_hw_constraint_list(substream->runtime, 0,
++                              SNDRV_PCM_HW_PARAM_RATE,
++                              &hb_dacplushd_constraints);
++      return 0;
++}
++
++static void snd_rpi_hifiberry_dacplushd_set_sclk(
++              struct snd_soc_component *component,
++              int sample_rate)
++{
++      if (!IS_ERR(drvdata.sclk))
++              clk_set_rate(drvdata.sclk, sample_rate);
++}
++
++static int snd_rpi_hifiberry_dacplushd_init(struct snd_soc_pcm_runtime *rtd)
++{
++      struct snd_soc_dai_link *dai = rtd->dai_link;
++      struct snd_soc_dai *cpu_dai = rtd->cpu_dai;
++
++      dai->name = "HiFiBerry DAC+ HD";
++      dai->stream_name = "HiFiBerry DAC+ HD HiFi";
++      dai->dai_fmt = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF
++              | SND_SOC_DAIFMT_CBM_CFM;
++
++      /* allow only fixed 32 clock counts per channel */
++      snd_soc_dai_set_bclk_ratio(cpu_dai, 32*2);
++
++      return 0;
++}
++
++static int snd_rpi_hifiberry_dacplushd_hw_params(
++      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params)
++{
++      int ret = 0;
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++
++      struct snd_soc_component *component = rtd->codec_dai->component;
++
++      snd_rpi_hifiberry_dacplushd_set_sclk(component, params_rate(params));
++      return ret;
++}
++
++/* machine stream operations */
++static struct snd_soc_ops snd_rpi_hifiberry_dacplushd_ops = {
++      .startup = snd_rpi_hb_dacplushd_startup,
++      .hw_params = snd_rpi_hifiberry_dacplushd_hw_params,
++};
++
++SND_SOC_DAILINK_DEFS(hifi,
++      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
++      DAILINK_COMP_ARRAY(COMP_CODEC("pcm179x.1-004c", "pcm179x-hifi")),
++      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2708-i2s.0")));
++
++
++static struct snd_soc_dai_link snd_rpi_hifiberry_dacplushd_dai[] = {
++{
++      .name           = "HiFiBerry DAC+ HD",
++      .stream_name    = "HiFiBerry DAC+ HD HiFi",
++      .dai_fmt        = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF |
++                              SND_SOC_DAIFMT_CBS_CFS,
++      .ops            = &snd_rpi_hifiberry_dacplushd_ops,
++      .init           = snd_rpi_hifiberry_dacplushd_init,
++      SND_SOC_DAILINK_REG(hifi),
++},
++};
++
++/* audio machine driver */
++static struct snd_soc_card snd_rpi_hifiberry_dacplushd = {
++      .name         = "snd_rpi_hifiberry_dacplushd",
++      .driver_name  = "HifiberryDacplusHD",
++      .owner        = THIS_MODULE,
++      .dai_link     = snd_rpi_hifiberry_dacplushd_dai,
++      .num_links    = ARRAY_SIZE(snd_rpi_hifiberry_dacplushd_dai),
++};
++
++static int snd_rpi_hifiberry_dacplushd_probe(struct platform_device *pdev)
++{
++      int ret = 0;
++      static int dac_reset_done;
++      struct device *dev = &pdev->dev;
++      struct device_node *dev_node = dev->of_node;
++
++      snd_rpi_hifiberry_dacplushd.dev = &pdev->dev;
++
++      /* get GPIO and release DAC from RESET */
++      if (!dac_reset_done) {
++              reset_gpio = gpiod_get(&pdev->dev, "reset", GPIOD_OUT_LOW);
++              if (IS_ERR(reset_gpio)) {
++                      dev_err(&pdev->dev, "gpiod_get() failed\n");
++                      return -EINVAL;
++              }
++              dac_reset_done = 1;
++      }
++      if (!IS_ERR(reset_gpio))
++              gpiod_set_value(reset_gpio, 0);
++      msleep(1);
++      if (!IS_ERR(reset_gpio))
++              gpiod_set_value(reset_gpio, 1);
++      msleep(1);
++      if (!IS_ERR(reset_gpio))
++              gpiod_set_value(reset_gpio, 0);
++
++      if (pdev->dev.of_node) {
++              struct device_node *i2s_node;
++              struct snd_soc_dai_link *dai;
++
++              dai = &snd_rpi_hifiberry_dacplushd_dai[0];
++              i2s_node = of_parse_phandle(pdev->dev.of_node,
++                      "i2s-controller", 0);
++
++              if (i2s_node) {
++                      dai->cpus->of_node = i2s_node;
++                      dai->platforms->of_node = i2s_node;
++                      dai->cpus->dai_name = NULL;
++                      dai->platforms->name = NULL;
++              } else {
++                      return -EPROBE_DEFER;
++              }
++
++      }
++
++      ret = devm_snd_soc_register_card(&pdev->dev,
++                      &snd_rpi_hifiberry_dacplushd);
++      if (ret && ret != -EPROBE_DEFER) {
++              dev_err(&pdev->dev,
++                      "snd_soc_register_card() failed: %d\n", ret);
++              return ret;
++      }
++      if (ret == -EPROBE_DEFER)
++              return ret;
++
++      dev_set_drvdata(dev, &drvdata);
++      if (dev_node == NULL) {
++              dev_err(&pdev->dev, "Device tree node not found\n");
++              return -ENODEV;
++      }
++
++      drvdata.sclk = devm_clk_get(dev, NULL);
++      if (IS_ERR(drvdata.sclk)) {
++              drvdata.sclk = ERR_PTR(-ENOENT);
++              return -ENODEV;
++      }
++
++      clk_set_rate(drvdata.sclk, DEFAULT_RATE);
++
++      return ret;
++}
++
++static int snd_rpi_hifiberry_dacplushd_remove(struct platform_device *pdev)
++{
++      if (IS_ERR(reset_gpio))
++              return -EINVAL;
++
++      /* put DAC into RESET and release GPIO */
++      gpiod_set_value(reset_gpio, 0);
++      gpiod_put(reset_gpio);
++
++      return 0;
++}
++
++static const struct of_device_id snd_rpi_hifiberry_dacplushd_of_match[] = {
++      { .compatible = "hifiberry,hifiberry-dacplushd", },
++      {},
++};
++
++MODULE_DEVICE_TABLE(of, snd_rpi_hifiberry_dacplushd_of_match);
++
++static struct platform_driver snd_rpi_hifiberry_dacplushd_driver = {
++      .driver = {
++              .name   = "snd-rpi-hifiberry-dacplushd",
++              .owner  = THIS_MODULE,
++              .of_match_table = snd_rpi_hifiberry_dacplushd_of_match,
++      },
++      .probe          = snd_rpi_hifiberry_dacplushd_probe,
++      .remove         = snd_rpi_hifiberry_dacplushd_remove,
++};
++
++module_platform_driver(snd_rpi_hifiberry_dacplushd_driver);
++
++MODULE_AUTHOR("Joerg Schambacher <joerg@i2audio.com>");
++MODULE_DESCRIPTION("ASoC Driver for HiFiBerry DAC+ HD");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0389-Initialise-rpi-firmware-before-clk-bcm2835.patch b/target/linux/bcm27xx/patches-5.4/950-0389-Initialise-rpi-firmware-before-clk-bcm2835.patch
new file mode 100644 (file)
index 0000000..cdd5e7e
--- /dev/null
@@ -0,0 +1,47 @@
+From 2c1a5dae2fb127729773685e3cd1e48934edf1f2 Mon Sep 17 00:00:00 2001
+From: Luke Hinds <7058938+lukehinds@users.noreply.github.com>
+Date: Wed, 22 Jan 2020 16:03:00 +0000
+Subject: [PATCH] Initialise rpi-firmware before clk-bcm2835
+
+The IMA (Integrity Measurement Architecture) looks for a TPM (Trusted
+Platform Module) having been registered when it initialises; otherwise
+it assumes there is no TPM. It has been observed on BCM2835 that IMA
+is initialised before TPM, and that initialising the BCM2835 clock
+driver before the firmware driver has the effect of reversing this
+order.
+
+Change the firmware driver to initialise at core_initcall, delaying the
+BCM2835 clock driver to postcore_initcall.
+
+See: https://github.com/raspberrypi/linux/issues/3291
+     https://github.com/raspberrypi/linux/pull/3297
+
+Signed-off-by: Luke Hinds <lhinds@redhat.com>
+Co-authored-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/clk/bcm/clk-bcm2835.c  | 2 +-
+ drivers/firmware/raspberrypi.c | 2 +-
+ 2 files changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/clk/bcm/clk-bcm2835.c
++++ b/drivers/clk/bcm/clk-bcm2835.c
+@@ -2401,7 +2401,7 @@ static int __init __bcm2835_clk_driver_i
+ {
+       return platform_driver_register(&bcm2835_clk_driver);
+ }
+-core_initcall(__bcm2835_clk_driver_init);
++postcore_initcall(__bcm2835_clk_driver_init);
+ MODULE_AUTHOR("Eric Anholt <eric@anholt.net>");
+ MODULE_DESCRIPTION("BCM2835 clock driver");
+--- a/drivers/firmware/raspberrypi.c
++++ b/drivers/firmware/raspberrypi.c
+@@ -416,7 +416,7 @@ out2:
+ out1:
+       return ret;
+ }
+-subsys_initcall(rpi_firmware_init);
++core_initcall(rpi_firmware_init);
+ static void __init rpi_firmware_exit(void)
+ {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0389-dwc_otg-constrain-endpoint-max-packet-and-transfer-s.patch b/target/linux/bcm27xx/patches-5.4/950-0389-dwc_otg-constrain-endpoint-max-packet-and-transfer-s.patch
deleted file mode 100644 (file)
index 4de95a5..0000000
+++ /dev/null
@@ -1,43 +0,0 @@
-From b7944a79716c115d881898e6a95705b262e7c1c9 Mon Sep 17 00:00:00 2001
-From: Jonathan Bell <jonathan@raspberrypi.org>
-Date: Tue, 7 Jan 2020 10:08:19 +0000
-Subject: [PATCH] dwc_otg: constrain endpoint max packet and transfer
- size on split IN
-
-The hcd would unconditionally set the transfer length to the endpoint
-packet size for non-isoc IN transfers. If the remaining buffer length
-was less than the length of returned data, random memory would get
-scribbled over, with bad effects if it crossed a page boundary.
-
-Force a babble error if this happens by limiting the max transfer size
-to the available buffer space. DMA will stop writing to memory on a
-babble condition.
-
-The hardware expects xfersize to be an integer multiple of maxpacket
-size, so override hcchar.b.mps as well.
-
-Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
----
- drivers/usb/host/dwc_otg/dwc_otg_hcd.c | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/usb/host/dwc_otg/dwc_otg_hcd.c
-+++ b/drivers/usb/host/dwc_otg/dwc_otg_hcd.c
-@@ -1811,7 +1811,7 @@ int fiq_fsm_queue_split_transaction(dwc_
-       st->nr_errors = 0;
-       st->hcchar_copy.d32 = 0;
--      st->hcchar_copy.b.mps = hc->max_packet;
-+      st->hcchar_copy.b.mps = min_t(uint32_t, hc->xfer_len, hc->max_packet);
-       st->hcchar_copy.b.epdir = hc->ep_is_in;
-       st->hcchar_copy.b.devaddr = hc->dev_addr;
-       st->hcchar_copy.b.epnum = hc->ep_num;
-@@ -1856,7 +1856,7 @@ int fiq_fsm_queue_split_transaction(dwc_
-       st->hctsiz_copy.b.pid = hc->data_pid_start;
-       if (hc->ep_is_in || (hc->xfer_len > hc->max_packet)) {
--              hc->xfer_len = hc->max_packet;
-+              hc->xfer_len = min_t(uint32_t, hc->xfer_len, hc->max_packet);
-       } else if (!hc->ep_is_in && (hc->xfer_len > 188)) {
-               hc->xfer_len = 188;
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0390-Fix-master-mode-settings-of-HiFiBerry-DAC-ADC-PRO-ca.patch b/target/linux/bcm27xx/patches-5.4/950-0390-Fix-master-mode-settings-of-HiFiBerry-DAC-ADC-PRO-ca.patch
new file mode 100644 (file)
index 0000000..54b366f
--- /dev/null
@@ -0,0 +1,26 @@
+From fa93fc95e5fb4e75a2a5ea930509d80083dee9b3 Mon Sep 17 00:00:00 2001
+From: =?UTF-8?q?J=C3=B6rg=20Schambacher?=
+ <j-schambacher@users.noreply.github.com>
+Date: Thu, 23 Jan 2020 13:32:13 +0100
+Subject: [PATCH] Fix master mode settings of HiFiBerry DAC+ADC PRO
+ card (#3424)
+
+This patch fixes the board DAI setting when in master-mode.
+Wrong setting could have caused random pop noise.
+
+Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
+---
+ sound/soc/bcm/hifiberry_dacplusadcpro.c | 2 ++
+ 1 file changed, 2 insertions(+)
+
+--- a/sound/soc/bcm/hifiberry_dacplusadcpro.c
++++ b/sound/soc/bcm/hifiberry_dacplusadcpro.c
+@@ -285,6 +285,8 @@ static int snd_rpi_hifiberry_dacplusadcp
+               dai->name = "HiFiBerry DAC+ADC Pro";
+               dai->stream_name = "HiFiBerry DAC+ADC Pro HiFi";
++              dai->dai_fmt = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF
++                      | SND_SOC_DAIFMT_CBM_CFM;
+               // set DAC DAI configuration
+               ret = snd_soc_dai_set_fmt(rtd->codec_dais[0],
diff --git a/target/linux/bcm27xx/patches-5.4/950-0390-dwc_otg-fiq_fsm-pause-when-cancelling-split-transact.patch b/target/linux/bcm27xx/patches-5.4/950-0390-dwc_otg-fiq_fsm-pause-when-cancelling-split-transact.patch
deleted file mode 100644 (file)
index 0a7356f..0000000
+++ /dev/null
@@ -1,95 +0,0 @@
-From 09648b92a71b03450e9482f0cc5bd22298f78d44 Mon Sep 17 00:00:00 2001
-From: Jonathan Bell <jonathan@raspberrypi.org>
-Date: Wed, 8 Jan 2020 12:48:09 +0000
-Subject: [PATCH] dwc_otg: fiq_fsm: pause when cancelling split
- transactions
-
-Non-periodic splits will DMA to/from the driver-provided transfer_buffer,
-which may be freed immediately after the dequeue call returns. Block until
-we know the transfer is complete.
-
-A similar delay is needed when cleaning up disconnects, as the FIQ could
-have started a periodic transfer in the previous microframe to the one
-that triggered a disconnect.
-
-Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
----
- drivers/usb/host/dwc_otg/dwc_otg_hcd.c    | 33 +++++++++++++++++++++--
- drivers/usb/host/dwc_otg/dwc_otg_os_dep.h |  1 +
- 2 files changed, 32 insertions(+), 2 deletions(-)
-
---- a/drivers/usb/host/dwc_otg/dwc_otg_hcd.c
-+++ b/drivers/usb/host/dwc_otg/dwc_otg_hcd.c
-@@ -175,6 +175,7 @@ static void kill_urbs_in_qh_list(dwc_otg
-       dwc_list_link_t *qh_item, *qh_tmp;
-       dwc_otg_qh_t *qh;
-       dwc_otg_qtd_t *qtd, *qtd_tmp;
-+      int quiesced = 0;
-       DWC_LIST_FOREACH_SAFE(qh_item, qh_tmp, qh_list) {
-               qh = DWC_LIST_ENTRY(qh_item, dwc_otg_qh_t, qh_list_entry);
-@@ -198,8 +199,17 @@ static void kill_urbs_in_qh_list(dwc_otg
-                               qh->channel->halt_status = DWC_OTG_HC_XFER_URB_DEQUEUE;
-                               qh->channel->halt_pending = 1;
-                               if (hcd->fiq_state->channel[n].fsm == FIQ_HS_ISOC_TURBO ||
--                                      hcd->fiq_state->channel[n].fsm == FIQ_HS_ISOC_SLEEPING)
-+                                  hcd->fiq_state->channel[n].fsm == FIQ_HS_ISOC_SLEEPING)
-                                       hcd->fiq_state->channel[n].fsm = FIQ_HS_ISOC_ABORTED;
-+                              /* We're called from disconnect callback or in the middle of freeing the HCD here,
-+                               * so FIQ is disabled, top-level interrupts masked and we're holding the spinlock.
-+                               * No further URBs will be submitted, but wait 1 microframe for any previously
-+                               * submitted periodic DMA to finish.
-+                               */
-+                              if (!quiesced) {
-+                                      udelay(125);
-+                                      quiesced = 1;
-+                              }
-                       } else {
-                               dwc_otg_hc_halt(hcd->core_if, qh->channel,
-                                               DWC_OTG_HC_XFER_URB_DEQUEUE);
-@@ -600,15 +610,34 @@ int dwc_otg_hcd_urb_dequeue(dwc_otg_hcd_
-                       /* In FIQ FSM mode, we need to shut down carefully.
-                        * The FIQ may attempt to restart a disabled channel */
-                       if (fiq_fsm_enable && (hcd->fiq_state->channel[n].fsm != FIQ_PASSTHROUGH)) {
-+                              int retries = 3;
-+                              int running = 0;
-+                              enum fiq_fsm_state state;
-+
-                               local_fiq_disable();
-                               fiq_fsm_spin_lock(&hcd->fiq_state->lock);
-                               qh->channel->halt_status = DWC_OTG_HC_XFER_URB_DEQUEUE;
-                               qh->channel->halt_pending = 1;
-                               if (hcd->fiq_state->channel[n].fsm == FIQ_HS_ISOC_TURBO ||
--                                      hcd->fiq_state->channel[n].fsm == FIQ_HS_ISOC_SLEEPING)
-+                                  hcd->fiq_state->channel[n].fsm == FIQ_HS_ISOC_SLEEPING)
-                                       hcd->fiq_state->channel[n].fsm = FIQ_HS_ISOC_ABORTED;
-                               fiq_fsm_spin_unlock(&hcd->fiq_state->lock);
-                               local_fiq_enable();
-+
-+                              if (dwc_qh_is_non_per(qh)) {
-+                                      do {
-+                                              state = READ_ONCE(hcd->fiq_state->channel[n].fsm);
-+                                              running = (state != FIQ_NP_SPLIT_DONE) &&
-+                                                        (state != FIQ_NP_SPLIT_LS_ABORTED) &&
-+                                                        (state != FIQ_NP_SPLIT_HS_ABORTED);
-+                                              if (!running)
-+                                                      break;
-+                                              udelay(125);
-+                                      } while(--retries);
-+                                      if (!retries)
-+                                              DWC_WARN("Timed out waiting for FSM NP transfer to complete on %d",
-+                                                       qh->channel->hc_num);
-+                              }
-                       } else {
-                               dwc_otg_hc_halt(hcd->core_if, qh->channel,
-                                               DWC_OTG_HC_XFER_URB_DEQUEUE);
---- a/drivers/usb/host/dwc_otg/dwc_otg_os_dep.h
-+++ b/drivers/usb/host/dwc_otg/dwc_otg_os_dep.h
-@@ -27,6 +27,7 @@
- #include <linux/workqueue.h>
- #include <linux/stat.h>
- #include <linux/pci.h>
-+#include <linux/compiler.h>
- #include <linux/version.h>
diff --git a/target/linux/bcm27xx/patches-5.4/950-0391-dwc_otg-fiq_fsm-add-a-barrier-on-entry-into-FIQ-hand.patch b/target/linux/bcm27xx/patches-5.4/950-0391-dwc_otg-fiq_fsm-add-a-barrier-on-entry-into-FIQ-hand.patch
deleted file mode 100644 (file)
index e986f42..0000000
+++ /dev/null
@@ -1,49 +0,0 @@
-From edbbc60ed86f4b690838e6c4b0aed48803e334cc Mon Sep 17 00:00:00 2001
-From: Jonathan Bell <jonathan@raspberrypi.org>
-Date: Mon, 13 Jan 2020 15:54:55 +0000
-Subject: [PATCH] dwc_otg: fiq_fsm: add a barrier on entry into FIQ
- handler(s)
-
-On BCM2835, there is no hardware guarantee that multiple outstanding
-reads to different peripherals will complete in-order. The FIQ code
-uses peripheral reads without barriers for performance, so in the case
-where a read to a slow peripheral was issued immediately prior to FIQ
-entry, the first peripheral read that the FIQ did could end up with
-wrong read data returned.
-
-Add dsb(sy) on entry so that all outstanding reads are retired.
-
-The FIQ only issues reads to the dwc_otg core, so per-read barriers
-in the handler itself are not required.
-
-On BCM2836 and BCM2837 the barrier is not strictly required due to
-differences in how the peripheral bus is implemented, but having
-arch-specific handlers that introduce different latencies is risky.
-
-Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
----
- drivers/usb/host/dwc_otg/dwc_otg_fiq_fsm.c | 6 ++++++
- 1 file changed, 6 insertions(+)
-
---- a/drivers/usb/host/dwc_otg/dwc_otg_fiq_fsm.c
-+++ b/drivers/usb/host/dwc_otg/dwc_otg_fiq_fsm.c
-@@ -1259,6 +1259,9 @@ void notrace dwc_otg_fiq_fsm(struct fiq_
-       haintmsk_data_t haintmsk;
-       int kick_irq = 0;
-+      /* Ensure peripheral reads issued prior to FIQ entry are complete */
-+      dsb(sy);
-+
-       gintsts_handled.d32 = 0;
-       haint_handled.d32 = 0;
-@@ -1379,6 +1382,9 @@ void notrace dwc_otg_fiq_nop(struct fiq_
-       gintmsk_data_t gintmsk;
-       hfnum_data_t hfnum;
-+      /* Ensure peripheral reads issued prior to FIQ entry are complete */
-+      dsb(sy);
-+
-       fiq_fsm_spin_lock(&state->lock);
-       hfnum.d32 = FIQ_READ(state->dwc_regs_base + HFNUM);
-       gintsts.d32 = FIQ_READ(state->dwc_regs_base + GINTSTS);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0391-overlays-Use-preferred-compatible-strings.patch b/target/linux/bcm27xx/patches-5.4/950-0391-overlays-Use-preferred-compatible-strings.patch
new file mode 100644 (file)
index 0000000..6a24605
--- /dev/null
@@ -0,0 +1,72 @@
+From f50f0425592a8496d6d25b4936caadfe64523c91 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Fri, 24 Jan 2020 09:02:37 +0000
+Subject: [PATCH] overlays: Use preferred compatible strings
+
+Make sure all overlays have correct compatible strings before enabling
+the automated checking.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts | 2 +-
+ arch/arm/boot/dts/overlays/iqaudio-codec-overlay.dts           | 2 +-
+ arch/arm/boot/dts/overlays/pwm-2chan-overlay.dts               | 2 ++
+ arch/arm/boot/dts/overlays/pwm-overlay.dts                     | 2 ++
+ arch/arm/boot/dts/overlays/smi-dev-overlay.dts                 | 2 ++
+ 5 files changed, 8 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts
++++ b/arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts
+@@ -3,7 +3,7 @@
+ /plugin/;
+ / {
+-      compatible = "brcm,bcm2708";
++      compatible = "brcm,bcm2835";
+       fragment@0 {
+               target-path = "/clocks";
+--- a/arch/arm/boot/dts/overlays/iqaudio-codec-overlay.dts
++++ b/arch/arm/boot/dts/overlays/iqaudio-codec-overlay.dts
+@@ -3,7 +3,7 @@
+ /plugin/;
+ / {
+-      compatible = "brcm,bcm2708";
++      compatible = "brcm,bcm2835";
+       fragment@0 {
+               target = <&i2s>;
+--- a/arch/arm/boot/dts/overlays/pwm-2chan-overlay.dts
++++ b/arch/arm/boot/dts/overlays/pwm-2chan-overlay.dts
+@@ -17,6 +17,8 @@ N.B.:
+ */
+ / {
++      compatible = "brcm,bcm2835";
++
+       fragment@0 {
+               target = <&gpio>;
+               __overlay__ {
+--- a/arch/arm/boot/dts/overlays/pwm-overlay.dts
++++ b/arch/arm/boot/dts/overlays/pwm-overlay.dts
+@@ -15,6 +15,8 @@ N.B.:
+ */
+ / {
++      compatible = "brcm,bcm2835";
++
+       fragment@0 {
+               target = <&gpio>;
+               __overlay__ {
+--- a/arch/arm/boot/dts/overlays/smi-dev-overlay.dts
++++ b/arch/arm/boot/dts/overlays/smi-dev-overlay.dts
+@@ -5,6 +5,8 @@
+ /plugin/;
+ /{
++      compatible = "brcm,bcm2835";
++
+       fragment@0 {
+               target = <&soc>;
+               __overlay__ {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0392-Add-universal-device-tree-overlay-for-SPI-devices.patch b/target/linux/bcm27xx/patches-5.4/950-0392-Add-universal-device-tree-overlay-for-SPI-devices.patch
deleted file mode 100644 (file)
index cb8fa91..0000000
+++ /dev/null
@@ -1,273 +0,0 @@
-From 17159731ae064a70031d746284855b7d30f17407 Mon Sep 17 00:00:00 2001
-From: Ed Spiridonov <edo.rus@gmail.com>
-Date: Tue, 10 Dec 2019 22:45:04 +0300
-Subject: [PATCH] Add universal device tree overlay for SPI devices
-
-Just specify the SPI address and device name ("compatible" property).
-This overlay lacks any device-specific parameter support!
-(some of them could be added later)
-
-Examples:
-1. SPI NOR flash on spi0.1, maximum SPI clock frequency 45MHz:
-    dtoverlay=anyspi:spi0-1,dev="jedec,spi-nor",speed=45000000
-2. MCP3204 ADC on spi1.2, maximum SPI clock frequency 500kHz:
-    dtoverlay=anyspi:spi1-2,dev="microchip,mcp3204"
-
-Signed-off-by: Ed Spiridonov <edo.rus@gmail.com>
----
- arch/arm/boot/dts/overlays/Makefile           |   1 +
- arch/arm/boot/dts/overlays/README             |  23 ++
- arch/arm/boot/dts/overlays/anyspi-overlay.dts | 205 ++++++++++++++++++
- 3 files changed, 229 insertions(+)
- create mode 100755 arch/arm/boot/dts/overlays/anyspi-overlay.dts
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -15,6 +15,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       allo-katana-dac-audio.dtbo \
-       allo-piano-dac-pcm512x-audio.dtbo \
-       allo-piano-dac-plus-pcm512x-audio.dtbo \
-+      anyspi.dtbo \
-       apds9960.dtbo \
-       applepi-dac.dtbo \
-       at86rf233.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -441,6 +441,29 @@ Params: 24db_digital_gain       Allow ga
-                                 better voice quality. (default Off)
-+Name:   anyspi
-+Info:   Universal device tree overlay for SPI devices
-+
-+        Just specify the SPI address and device name ("compatible" property).
-+        This overlay lacks any device-specific parameter support!
-+
-+        For devices on spi1 or spi2, the interfaces should be enabled
-+        with one of the spi1-1/2/3cs and/or spi2-1/2/3cs overlays.
-+
-+        Examples:
-+        1. SPI NOR flash on spi0.1, maximum SPI clock frequency 45MHz:
-+            dtoverlay=anyspi:spi0-1,dev="jedec,spi-nor",speed=45000000
-+        2. MCP3204 ADC on spi1.2, maximum SPI clock frequency 500kHz:
-+            dtoverlay=anyspi:spi1-2,dev="microchip,mcp3204"
-+Load:   dtoverlay=anyspi,<param>=<val>
-+Params: spi<n>-<m>              Configure device at spi<n>, cs<m>
-+                                (boolean, required)
-+        dev                     Set device name to search compatible module
-+                                (string, required)
-+        speed                   Set SPI clock frequency in Hz
-+                                (integer, optional, default 500000)
-+
-+
- Name:   apds9960
- Info:   Configures the AVAGO APDS9960 digital proximity, ambient light, RGB and
-         gesture sensor
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/anyspi-overlay.dts
-@@ -0,0 +1,205 @@
-+/*
-+ * Universal device tree overlay for SPI devices
-+ */
-+
-+/dts-v1/;
-+/plugin/;
-+
-+/ {
-+      compatible = "brcm,bcm2835";
-+
-+      fragment@0 {
-+              target = <&spidev0>;
-+              __dormant__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@1 {
-+              target = <&spidev1>;
-+              __dormant__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@2 {
-+              target-path = "spi1/spidev@0";
-+              __dormant__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@3 {
-+              target-path = "spi1/spidev@1";
-+              __dormant__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@4 {
-+              target-path = "spi1/spidev@2";
-+              __dormant__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@5 {
-+              target-path = "spi2/spidev@0";
-+              __dormant__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@6 {
-+              target-path = "spi2/spidev@1";
-+              __dormant__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@7 {
-+              target-path = "spi2/spidev@2";
-+              __dormant__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@8 {
-+              target = <&spi0>;
-+              __dormant__ {
-+                      status = "okay";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      anyspi_00: anyspi@0 {
-+                              reg = <0>;
-+                              spi-max-frequency = <500000>;
-+                      };
-+              };
-+      };
-+
-+      fragment@9 {
-+              target = <&spi0>;
-+              __dormant__ {
-+                      status = "okay";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      anyspi_01: anyspi@1 {
-+                              reg = <1>;
-+                              spi-max-frequency = <500000>;
-+                      };
-+              };
-+      };
-+
-+      fragment@10 {
-+              target = <&spi1>;
-+              __dormant__ {
-+                      status = "okay";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      anyspi_10: anyspi@0 {
-+                              reg = <0>;
-+                              spi-max-frequency = <500000>;
-+                      };
-+              };
-+      };
-+
-+      fragment@11 {
-+              target = <&spi1>;
-+              __dormant__ {
-+                      status = "okay";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      anyspi_11: anyspi@1 {
-+                              reg = <1>;
-+                              spi-max-frequency = <500000>;
-+                      };
-+              };
-+      };
-+
-+      fragment@12 {
-+              target = <&spi1>;
-+              __dormant__ {
-+                      status = "okay";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      anyspi_12: anyspi@2 {
-+                              reg = <2>;
-+                              spi-max-frequency = <500000>;
-+                      };
-+              };
-+      };
-+
-+      fragment@13 {
-+              target = <&spi2>;
-+              __dormant__ {
-+                      status = "okay";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      anyspi_20: anyspi@0 {
-+                              reg = <0>;
-+                              spi-max-frequency = <500000>;
-+                      };
-+              };
-+      };
-+
-+      fragment@14 {
-+              target = <&spi2>;
-+              __dormant__ {
-+                      status = "okay";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      anyspi_21: anyspi@1 {
-+                              reg = <1>;
-+                              spi-max-frequency = <500000>;
-+                      };
-+              };
-+      };
-+
-+      fragment@15 {
-+              target = <&spi2>;
-+              __dormant__ {
-+                      status = "okay";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      anyspi_22: anyspi@2 {
-+                              reg = <2>;
-+                              spi-max-frequency = <500000>;
-+                      };
-+              };
-+      };
-+
-+      __overrides__ {
-+              spi0-0 = <0>, "+0+8";
-+              spi0-1 = <0>, "+1+9";
-+              spi1-0 = <0>, "+2+10";
-+              spi1-1 = <0>, "+3+11";
-+              spi1-2 = <0>, "+4+12";
-+              spi2-0 = <0>, "+5+13";
-+              spi2-1 = <0>, "+6+14";
-+              spi2-2 = <0>, "+7+15";
-+              dev = <&anyspi_00>,"compatible",
-+                    <&anyspi_01>,"compatible",
-+                    <&anyspi_10>,"compatible",
-+                    <&anyspi_11>,"compatible",
-+                    <&anyspi_12>,"compatible",
-+                    <&anyspi_20>,"compatible",
-+                    <&anyspi_21>,"compatible",
-+                    <&anyspi_22>,"compatible";
-+              speed = <&anyspi_00>, "spi-max-frequency:0",
-+                      <&anyspi_01>, "spi-max-frequency:0",
-+                      <&anyspi_10>, "spi-max-frequency:0",
-+                      <&anyspi_11>, "spi-max-frequency:0",
-+                      <&anyspi_12>, "spi-max-frequency:0",
-+                      <&anyspi_20>, "spi-max-frequency:0",
-+                      <&anyspi_21>, "spi-max-frequency:0",
-+                      <&anyspi_22>, "spi-max-frequency:0";
-+      };
-+};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0392-tty-amba-pl011-Add-un-throttle-support.patch b/target/linux/bcm27xx/patches-5.4/950-0392-tty-amba-pl011-Add-un-throttle-support.patch
new file mode 100644 (file)
index 0000000..ced0bf2
--- /dev/null
@@ -0,0 +1,61 @@
+From a3749ee48539fa832b1832cdcae26d34e5d20f00 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Fri, 24 Jan 2020 11:38:28 +0000
+Subject: [PATCH] tty: amba-pl011: Add un/throttle support
+
+The PL011 driver lacks throttle and unthrottle methods. As a result,
+sending more data to the Pi than it can immediately sink while CRTSCTS
+is enabled causes a NULL pointer to be followed.
+
+Add a throttle handler that disables the RX interrupts, and an
+unthrottle handler that reenables them.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/tty/serial/amba-pl011.c | 28 ++++++++++++++++++++++++++++
+ 1 file changed, 28 insertions(+)
+
+--- a/drivers/tty/serial/amba-pl011.c
++++ b/drivers/tty/serial/amba-pl011.c
+@@ -1326,6 +1326,32 @@ static void pl011_start_tx(struct uart_p
+               pl011_start_tx_pio(uap);
+ }
++static void pl011_throttle(struct uart_port *port)
++{
++      struct uart_amba_port *uap =
++          container_of(port, struct uart_amba_port, port);
++      unsigned long flags;
++
++      spin_lock_irqsave(&uap->port.lock, flags);
++      uap->im &= ~(UART011_RTIM | UART011_RXIM);
++      pl011_write(uap->im, uap, REG_IMSC);
++      spin_unlock_irqrestore(&uap->port.lock, flags);
++}
++
++static void pl011_unthrottle(struct uart_port *port)
++{
++      struct uart_amba_port *uap =
++          container_of(port, struct uart_amba_port, port);
++      unsigned long flags;
++
++      spin_lock_irqsave(&uap->port.lock, flags);
++      uap->im |= UART011_RTIM;
++      if (!pl011_dma_rx_running(uap))
++          uap->im |= UART011_RXIM;
++      pl011_write(uap->im, uap, REG_IMSC);
++      spin_unlock_irqrestore(&uap->port.lock, flags);
++}
++
+ static void pl011_stop_rx(struct uart_port *port)
+ {
+       struct uart_amba_port *uap =
+@@ -2167,6 +2193,8 @@ static const struct uart_ops amba_pl011_
+       .stop_tx        = pl011_stop_tx,
+       .start_tx       = pl011_start_tx,
+       .stop_rx        = pl011_stop_rx,
++      .throttle       = pl011_throttle,
++      .unthrottle     = pl011_unthrottle,
+       .enable_ms      = pl011_enable_ms,
+       .break_ctl      = pl011_break_ctl,
+       .startup        = pl011_startup,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0393-Fix-i2c-pwm-pca9685a-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0393-Fix-i2c-pwm-pca9685a-overlay.patch
new file mode 100644 (file)
index 0000000..17bdf39
--- /dev/null
@@ -0,0 +1,20 @@
+From 1cf854cd3531b10168b8f9aeb93bb0ab4b9a9003 Mon Sep 17 00:00:00 2001
+From: MikeDK <m.kaplan@evva.com>
+Date: Sun, 26 Jan 2020 23:33:54 +0100
+Subject: [PATCH] Fix i2c-pwm-pca9685a overlay
+
+---
+ arch/arm/boot/dts/overlays/i2c-pwm-pca9685a-overlay.dts | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/i2c-pwm-pca9685a-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-pwm-pca9685a-overlay.dts
+@@ -13,7 +13,7 @@
+                       status = "okay";
+                       pca: pca@40 {
+-                              compatible = "nxp,pca9685";
++                              compatible = "nxp,pca9685-pwm";
+                               #pwm-cells = <2>;
+                               reg = <0x40>;
+                               status = "okay";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0393-sound-Add-the-HiFiBerry-DAC-HD-version.patch b/target/linux/bcm27xx/patches-5.4/950-0393-sound-Add-the-HiFiBerry-DAC-HD-version.patch
deleted file mode 100644 (file)
index 6b9a6bd..0000000
+++ /dev/null
@@ -1,801 +0,0 @@
-From 221b442eb7e5b4ed16151b5501f4b905a9b8455c Mon Sep 17 00:00:00 2001
-From: =?UTF-8?q?J=C3=B6rg=20Schambacher?=
- <j-schambacher@users.noreply.github.com>
-Date: Tue, 21 Jan 2020 15:58:39 +0100
-Subject: [PATCH] sound: Add the HiFiBerry DAC+HD version
-
-This adds the driver for the DAC+HD version supporting HiFiBerry's
-PCM179x based DACs. It also adds PLL control for clock generation.
-
-Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
----
- arch/arm/boot/dts/overlays/Makefile           |   1 +
- arch/arm/boot/dts/overlays/README             |   6 +
- .../overlays/hifiberry-dacplushd-overlay.dts  | 106 ++++++
- drivers/clk/Kconfig                           |   3 +
- drivers/clk/Makefile                          |   1 +
- drivers/clk/clk-hifiberry-dachd.c             | 333 ++++++++++++++++++
- sound/soc/bcm/Kconfig                         |   9 +
- sound/soc/bcm/Makefile                        |   2 +
- sound/soc/bcm/hifiberry_dacplushd.c           | 238 +++++++++++++
- 14 files changed, 704 insertions(+)
- create mode 100644 arch/arm/boot/dts/overlays/hifiberry-dacplushd-overlay.dts
- create mode 100644 drivers/clk/clk-hifiberry-dachd.c
- create mode 100644 sound/soc/bcm/hifiberry_dacplushd.c
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -57,6 +57,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       hifiberry-dacplusadc.dtbo \
-       hifiberry-dacplusadcpro.dtbo \
-       hifiberry-dacplusdsp.dtbo \
-+      hifiberry-dacplushd.dtbo \
-       hifiberry-digi.dtbo \
-       hifiberry-digi-pro.dtbo \
-       hy28a.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -956,6 +956,12 @@ Load:   dtoverlay=hifiberry-dacplusdsp
- Params: <None>
-+Name:   hifiberry-dacplushd
-+Info:   Configures the HifiBerry DAC+ HD audio card
-+Load:   dtoverlay=hifiberry-dacplushd
-+Params: <None>
-+
-+
- Name:   hifiberry-digi
- Info:   Configures the HifiBerry Digi and Digi+ audio card
- Load:   dtoverlay=hifiberry-digi
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/hifiberry-dacplushd-overlay.dts
-@@ -0,0 +1,106 @@
-+// Definitions for HiFiBerry DAC+ HD
-+/dts-v1/;
-+/plugin/;
-+
-+#include <dt-bindings/gpio/gpio.h>
-+
-+/ {
-+      compatible = "brcm,bcm2835";
-+
-+      fragment@0 {
-+              target-path = "/clocks";
-+              __overlay__ {
-+                      dachd_osc: pll_dachd_osc {
-+                              compatible = "hifiberry,dachd-clk";
-+                              #clock-cells = <0>;
-+                      };
-+              };
-+      };
-+
-+      fragment@1 {
-+              target = <&i2s>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@2 {
-+              target = <&i2c1>;
-+              __overlay__ {
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "okay";
-+
-+                      pcm1792a@4c {
-+                              compatible = "ti,pcm1792a";
-+                              #sound-dai-cells = <0>;
-+                              #clock-cells = <0>;
-+                              clocks = <&dachd_osc>;
-+                              reg = <0x4c>;
-+                              status = "okay";
-+                      };
-+                      pll: pll@62 {
-+                              compatible = "hifiberry,dachd-clk";
-+                              #clock-cells = <0>;
-+                              reg = <0x62>;
-+                              clocks = <&dachd_osc>;
-+                              status = "okay";
-+                              common_pll_regs = [
-+                                      02 53 03 00 07 20 0F 00
-+                                      10 0D 11 1D 12 0D 13 8C
-+                                      14 8C 15 8C 16 8C 17 8C
-+                                      18 2A 1C 00 1D 0F 1F 00
-+                                      2A 00 2C 00 2F 00 30 00
-+                                      31 00 32 00 34 00 37 00
-+                                      38 00 39 00 3A 00 3B 01
-+                                      3E 00 3F 00 40 00 41 00
-+                                      5A 00 5B 00 95 00 96 00
-+                                      97 00 98 00 99 00 9A 00
-+                                      9B 00 A2 00 A3 00 A4 00
-+                                      B7 92 ];
-+                              192k_pll_regs = [
-+                                      1A 0C 1B 35 1E F0 20 09
-+                                      21 50 2B 02 2D 10 2E 40
-+                                      33 01 35 22 36 80 3C 22
-+                                      3D 46 ];
-+                              96k_pll_regs = [
-+                                      1A 0C 1B 35 1E F0 20 09
-+                                      21 50 2B 02 2D 10 2E 40
-+                                      33 01 35 47 36 00 3C 32
-+                                      3D 46 ];
-+                              48k_pll_regs = [
-+                                      1A 0C 1B 35 1E F0 20 09
-+                                      21 50 2B 02 2D 10 2E 40
-+                                      33 01 35 90 36 00 3C 42
-+                                      3D 46 ];
-+                              176k4_pll_regs = [
-+                                      1A 3D 1B 09 1E F3 20 13
-+                                      21 75 2B 04 2D 11 2E E0
-+                                      33 02 35 25 36 C0 3C 22
-+                                      3D 7A ];
-+                              88k2_pll_regs = [
-+                                      1A 3D 1B 09 1E F3 20 13
-+                                      21 75 2B 04 2D 11 2E E0
-+                                      33 01 35 4D 36 80 3C 32
-+                                      3D 7A ];
-+                              44k1_pll_regs = [
-+                                      1A 3D 1B 09 1E F3 20 13
-+                                      21 75 2B 04 2D 11 2E E0
-+                                      33 01 35 9D 36 00 3C 42
-+                                      3D 7A ];
-+                      };
-+              };
-+      };
-+
-+      fragment@3 {
-+              target = <&sound>;
-+              __overlay__ {
-+                      compatible = "hifiberry,hifiberry-dacplushd";
-+                      i2s-controller = <&i2s>;
-+                      clocks = <&pll 0>;
-+                      reset-gpio = <&gpio 16 GPIO_ACTIVE_LOW>;
-+                      status = "okay";
-+              };
-+      };
-+
-+};
---- a/drivers/clk/Kconfig
-+++ b/drivers/clk/Kconfig
-@@ -70,6 +70,9 @@ config COMMON_CLK_HI655X
-         multi-function device has one fixed-rate oscillator, clocked
-         at 32KHz.
-+config COMMON_CLK_HIFIBERRY_DACPLUSHD
-+      tristate
-+
- config COMMON_CLK_HIFIBERRY_DACPRO
-       tristate
---- a/drivers/clk/Makefile
-+++ b/drivers/clk/Makefile
-@@ -36,6 +36,7 @@ obj-$(CONFIG_ARCH_HIGHBANK)          += clk-high
- obj-$(CONFIG_CLK_HSDK)                        += clk-hsdk-pll.o
- obj-$(CONFIG_COMMON_CLK_LOCHNAGAR)    += clk-lochnagar.o
- obj-$(CONFIG_COMMON_CLK_HIFIBERRY_DACPRO)     += clk-hifiberry-dacpro.o
-+obj-$(CONFIG_COMMON_CLK_HIFIBERRY_DACPLUSHD)  += clk-hifiberry-dachd.o
- obj-$(CONFIG_COMMON_CLK_MAX77686)     += clk-max77686.o
- obj-$(CONFIG_COMMON_CLK_MAX9485)      += clk-max9485.o
- obj-$(CONFIG_ARCH_MILBEAUT_M10V)      += clk-milbeaut.o
---- /dev/null
-+++ b/drivers/clk/clk-hifiberry-dachd.c
-@@ -0,0 +1,333 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * Clock Driver for HiFiBerry DAC+ HD
-+ *
-+ * Author: Joerg Schambacher, i2Audio GmbH for HiFiBerry
-+ *         Copyright 2020
-+ *
-+ * This program is free software; you can redistribute it and/or
-+ * modify it under the terms of the GNU General Public License
-+ * version 2 as published by the Free Software Foundation.
-+ *
-+ * This program is distributed in the hope that it will be useful, but
-+ * WITHOUT ANY WARRANTY; without even the implied warranty of
-+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
-+ * General Public License for more details.
-+ */
-+
-+#include <linux/clk-provider.h>
-+#include <linux/clk.h>
-+#include <linux/kernel.h>
-+#include <linux/module.h>
-+#include <linux/of.h>
-+#include <linux/slab.h>
-+#include <linux/platform_device.h>
-+#include <linux/i2c.h>
-+#include <linux/regmap.h>
-+
-+#define NO_PLL_RESET                  0
-+#define PLL_RESET                     1
-+#define HIFIBERRY_PLL_MAX_REGISTER    256
-+#define DEFAULT_RATE                  44100
-+
-+static struct reg_default hifiberry_pll_reg_defaults[] = {
-+      {0x02, 0x53}, {0x03, 0x00}, {0x07, 0x20}, {0x0F, 0x00},
-+      {0x10, 0x0D}, {0x11, 0x1D}, {0x12, 0x0D}, {0x13, 0x8C},
-+      {0x14, 0x8C}, {0x15, 0x8C}, {0x16, 0x8C}, {0x17, 0x8C},
-+      {0x18, 0x2A}, {0x1C, 0x00}, {0x1D, 0x0F}, {0x1F, 0x00},
-+      {0x2A, 0x00}, {0x2C, 0x00}, {0x2F, 0x00}, {0x30, 0x00},
-+      {0x31, 0x00}, {0x32, 0x00}, {0x34, 0x00}, {0x37, 0x00},
-+      {0x38, 0x00}, {0x39, 0x00}, {0x3A, 0x00}, {0x3B, 0x01},
-+      {0x3E, 0x00}, {0x3F, 0x00}, {0x40, 0x00}, {0x41, 0x00},
-+      {0x5A, 0x00}, {0x5B, 0x00}, {0x95, 0x00}, {0x96, 0x00},
-+      {0x97, 0x00}, {0x98, 0x00}, {0x99, 0x00}, {0x9A, 0x00},
-+      {0x9B, 0x00}, {0xA2, 0x00}, {0xA3, 0x00}, {0xA4, 0x00},
-+      {0xB7, 0x92},
-+      {0x1A, 0x3D}, {0x1B, 0x09}, {0x1E, 0xF3}, {0x20, 0x13},
-+      {0x21, 0x75}, {0x2B, 0x04}, {0x2D, 0x11}, {0x2E, 0xE0},
-+      {0x3D, 0x7A},
-+      {0x35, 0x9D}, {0x36, 0x00}, {0x3C, 0x42},
-+      { 177, 0xAC},
-+};
-+static struct reg_default common_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
-+static int num_common_pll_regs;
-+static struct reg_default dedicated_192k_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
-+static int num_dedicated_192k_pll_regs;
-+static struct reg_default dedicated_96k_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
-+static int num_dedicated_96k_pll_regs;
-+static struct reg_default dedicated_48k_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
-+static int num_dedicated_48k_pll_regs;
-+static struct reg_default dedicated_176k4_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
-+static int num_dedicated_176k4_pll_regs;
-+static struct reg_default dedicated_88k2_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
-+static int num_dedicated_88k2_pll_regs;
-+static struct reg_default dedicated_44k1_pll_regs[HIFIBERRY_PLL_MAX_REGISTER];
-+static int num_dedicated_44k1_pll_regs;
-+
-+/**
-+ * struct clk_hifiberry_drvdata - Common struct to the HiFiBerry DAC HD Clk
-+ * @hw: clk_hw for the common clk framework
-+ */
-+struct clk_hifiberry_drvdata {
-+      struct regmap *regmap;
-+      struct clk *clk;
-+      struct clk_hw hw;
-+      unsigned long rate;
-+};
-+
-+#define to_hifiberry_clk(_hw) \
-+      container_of(_hw, struct clk_hifiberry_drvdata, hw)
-+
-+static int clk_hifiberry_dachd_write_pll_regs(struct regmap *regmap,
-+                              struct reg_default *regs,
-+                              int num, int do_pll_reset)
-+{
-+      int i;
-+      int ret = 0;
-+      char pll_soft_reset[] = { 177, 0xAC, };
-+
-+      for (i = 0; i < num; i++) {
-+              ret |= regmap_write(regmap, regs[i].reg, regs[i].def);
-+              if (ret)
-+                      return ret;
-+      }
-+      if (do_pll_reset) {
-+              ret |= regmap_write(regmap, pll_soft_reset[0],
-+                                              pll_soft_reset[1]);
-+              mdelay(10);
-+      }
-+      return ret;
-+}
-+
-+static unsigned long clk_hifiberry_dachd_recalc_rate(struct clk_hw *hw,
-+      unsigned long parent_rate)
-+{
-+      return to_hifiberry_clk(hw)->rate;
-+}
-+
-+static long clk_hifiberry_dachd_round_rate(struct clk_hw *hw,
-+      unsigned long rate, unsigned long *parent_rate)
-+{
-+      return rate;
-+}
-+
-+static int clk_hifiberry_dachd_set_rate(struct clk_hw *hw,
-+      unsigned long rate, unsigned long parent_rate)
-+{
-+      int ret;
-+      struct clk_hifiberry_drvdata *drvdata = to_hifiberry_clk(hw);
-+
-+      switch (rate) {
-+      case 44100:
-+              ret = clk_hifiberry_dachd_write_pll_regs(drvdata->regmap,
-+                      dedicated_44k1_pll_regs, num_dedicated_44k1_pll_regs,
-+                      PLL_RESET);
-+              break;
-+      case 88200:
-+              ret = clk_hifiberry_dachd_write_pll_regs(drvdata->regmap,
-+                      dedicated_88k2_pll_regs, num_dedicated_88k2_pll_regs,
-+                      PLL_RESET);
-+              break;
-+      case 176400:
-+              ret = clk_hifiberry_dachd_write_pll_regs(drvdata->regmap,
-+                      dedicated_176k4_pll_regs, num_dedicated_176k4_pll_regs,
-+                      PLL_RESET);
-+              break;
-+      case 48000:
-+              ret = clk_hifiberry_dachd_write_pll_regs(drvdata->regmap,
-+                      dedicated_48k_pll_regs, num_dedicated_48k_pll_regs,
-+                      PLL_RESET);
-+              break;
-+      case 96000:
-+              ret = clk_hifiberry_dachd_write_pll_regs(drvdata->regmap,
-+                      dedicated_96k_pll_regs, num_dedicated_96k_pll_regs,
-+                      PLL_RESET);
-+              break;
-+      case 192000:
-+              ret = clk_hifiberry_dachd_write_pll_regs(drvdata->regmap,
-+                      dedicated_192k_pll_regs, num_dedicated_192k_pll_regs,
-+                      PLL_RESET);
-+              break;
-+      default:
-+              ret = -EINVAL;
-+              break;
-+      }
-+      to_hifiberry_clk(hw)->rate = rate;
-+
-+      return ret;
-+}
-+
-+const struct clk_ops clk_hifiberry_dachd_rate_ops = {
-+      .recalc_rate = clk_hifiberry_dachd_recalc_rate,
-+      .round_rate = clk_hifiberry_dachd_round_rate,
-+      .set_rate = clk_hifiberry_dachd_set_rate,
-+};
-+
-+static int clk_hifiberry_get_prop_values(struct device *dev,
-+                                      char *prop_name,
-+                                      struct reg_default *regs)
-+{
-+      int ret;
-+      int i;
-+      u8 tmp[2 * HIFIBERRY_PLL_MAX_REGISTER];
-+
-+      ret = of_property_read_variable_u8_array(dev->of_node, prop_name,
-+                      tmp, 0, 2 * HIFIBERRY_PLL_MAX_REGISTER);
-+      if (ret < 0)
-+              return ret;
-+      if (ret & 1) {
-+              dev_err(dev,
-+                      "%s <%s> -> #%i odd number of bytes for reg/val pairs!",
-+                      __func__,
-+                      prop_name,
-+                      ret);
-+              return -EINVAL;
-+      }
-+      ret /= 2;
-+      for (i = 0; i < ret; i++) {
-+              regs[i].reg = (u32)tmp[2 * i];
-+              regs[i].def = (u32)tmp[2 * i + 1];
-+      }
-+      return ret;
-+}
-+
-+
-+static int clk_hifiberry_dachd_dt_parse(struct device *dev)
-+{
-+      num_common_pll_regs = clk_hifiberry_get_prop_values(dev,
-+                              "common_pll_regs", common_pll_regs);
-+      num_dedicated_44k1_pll_regs = clk_hifiberry_get_prop_values(dev,
-+                              "44k1_pll_regs", dedicated_44k1_pll_regs);
-+      num_dedicated_88k2_pll_regs = clk_hifiberry_get_prop_values(dev,
-+                              "88k2_pll_regs", dedicated_88k2_pll_regs);
-+      num_dedicated_176k4_pll_regs = clk_hifiberry_get_prop_values(dev,
-+                              "176k4_pll_regs", dedicated_176k4_pll_regs);
-+      num_dedicated_48k_pll_regs = clk_hifiberry_get_prop_values(dev,
-+                              "48k_pll_regs", dedicated_48k_pll_regs);
-+      num_dedicated_96k_pll_regs = clk_hifiberry_get_prop_values(dev,
-+                              "96k_pll_regs", dedicated_96k_pll_regs);
-+      num_dedicated_192k_pll_regs = clk_hifiberry_get_prop_values(dev,
-+                              "192k_pll_regs", dedicated_192k_pll_regs);
-+      return 0;
-+}
-+
-+
-+static int clk_hifiberry_dachd_remove(struct device *dev)
-+{
-+      of_clk_del_provider(dev->of_node);
-+      return 0;
-+}
-+
-+const struct regmap_config hifiberry_pll_regmap = {
-+      .reg_bits = 8,
-+      .val_bits = 8,
-+      .max_register = HIFIBERRY_PLL_MAX_REGISTER,
-+      .reg_defaults = hifiberry_pll_reg_defaults,
-+      .num_reg_defaults = ARRAY_SIZE(hifiberry_pll_reg_defaults),
-+      .cache_type = REGCACHE_RBTREE,
-+};
-+EXPORT_SYMBOL_GPL(hifiberry_pll_regmap);
-+
-+
-+static int clk_hifiberry_dachd_i2c_probe(struct i2c_client *i2c,
-+                           const struct i2c_device_id *id)
-+{
-+      struct clk_hifiberry_drvdata *hdclk;
-+      int ret = 0;
-+      struct clk_init_data init;
-+      struct device *dev = &i2c->dev;
-+      struct device_node *dev_node = dev->of_node;
-+      struct regmap_config config = hifiberry_pll_regmap;
-+
-+      hdclk = devm_kzalloc(&i2c->dev,
-+                      sizeof(struct clk_hifiberry_drvdata), GFP_KERNEL);
-+      if (!hdclk)
-+              return -ENOMEM;
-+
-+      i2c_set_clientdata(i2c, hdclk);
-+
-+      hdclk->regmap = devm_regmap_init_i2c(i2c, &config);
-+
-+      if (IS_ERR(hdclk->regmap))
-+              return PTR_ERR(hdclk->regmap);
-+
-+      /* start PLL to allow detection of DAC */
-+      ret = clk_hifiberry_dachd_write_pll_regs(hdclk->regmap,
-+                              hifiberry_pll_reg_defaults,
-+                              ARRAY_SIZE(hifiberry_pll_reg_defaults),
-+                              PLL_RESET);
-+      if (ret)
-+              return ret;
-+
-+      clk_hifiberry_dachd_dt_parse(dev);
-+
-+      /* restart PLL with configs from DTB */
-+      ret = clk_hifiberry_dachd_write_pll_regs(hdclk->regmap, common_pll_regs,
-+                                      num_common_pll_regs, PLL_RESET);
-+      if (ret)
-+              return ret;
-+
-+      init.name = "clk-hifiberry-dachd";
-+      init.ops = &clk_hifiberry_dachd_rate_ops;
-+      init.flags = 0;
-+      init.parent_names = NULL;
-+      init.num_parents = 0;
-+
-+      hdclk->hw.init = &init;
-+
-+      hdclk->clk = devm_clk_register(dev, &hdclk->hw);
-+      if (IS_ERR(hdclk->clk)) {
-+              dev_err(dev, "unable to register %s\n", init.name);
-+              return PTR_ERR(hdclk->clk);
-+      }
-+
-+      ret = of_clk_add_provider(dev_node, of_clk_src_simple_get, hdclk->clk);
-+      if (ret != 0) {
-+              dev_err(dev, "Cannot of_clk_add_provider");
-+              return ret;
-+      }
-+
-+      ret = clk_set_rate(hdclk->hw.clk, DEFAULT_RATE);
-+      if (ret != 0) {
-+              dev_err(dev, "Cannot set rate : %d\n",  ret);
-+              return -EINVAL;
-+      }
-+
-+      return ret;
-+}
-+
-+static int clk_hifiberry_dachd_i2c_remove(struct i2c_client *i2c)
-+{
-+      clk_hifiberry_dachd_remove(&i2c->dev);
-+      return 0;
-+}
-+
-+static const struct i2c_device_id clk_hifiberry_dachd_i2c_id[] = {
-+      { "dachd-clk", },
-+      { }
-+};
-+MODULE_DEVICE_TABLE(i2c, clk_hifiberry_dachd_i2c_id);
-+
-+static const struct of_device_id clk_hifiberry_dachd_of_match[] = {
-+      { .compatible = "hifiberry,dachd-clk", },
-+      { }
-+};
-+MODULE_DEVICE_TABLE(of, clk_hifiberry_dachd_of_match);
-+
-+static struct i2c_driver clk_hifiberry_dachd_i2c_driver = {
-+      .probe          = clk_hifiberry_dachd_i2c_probe,
-+      .remove         = clk_hifiberry_dachd_i2c_remove,
-+      .id_table       = clk_hifiberry_dachd_i2c_id,
-+      .driver         = {
-+              .name   = "dachd-clk",
-+              .of_match_table = of_match_ptr(clk_hifiberry_dachd_of_match),
-+      },
-+};
-+
-+module_i2c_driver(clk_hifiberry_dachd_i2c_driver);
-+
-+
-+MODULE_DESCRIPTION("HiFiBerry DAC+ HD clock driver");
-+MODULE_AUTHOR("Joerg Schambacher <joerg@i2audio.com>");
-+MODULE_LICENSE("GPL v2");
-+MODULE_ALIAS("platform:clk-hifiberry-dachd");
---- a/sound/soc/bcm/Kconfig
-+++ b/sound/soc/bcm/Kconfig
-@@ -42,6 +42,14 @@ config SND_BCM2708_SOC_HIFIBERRY_DACPLUS
-         help
-          Say Y or M if you want to add support for HifiBerry DAC+.
-+config SND_BCM2708_SOC_HIFIBERRY_DACPLUSHD
-+        tristate "Support for HifiBerry DAC+ HD"
-+        depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
-+        select SND_SOC_PCM179X_I2C
-+        select COMMON_CLK_HIFIBERRY_DACPLUSHD
-+        help
-+         Say Y or M if you want to add support for HifiBerry DAC+ HD.
-+
- config SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC
-         tristate "Support for HifiBerry DAC+ADC"
-         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
-@@ -56,6 +64,7 @@ config SND_BCM2708_SOC_HIFIBERRY_DACPLUS
-         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
-         select SND_SOC_PCM512x_I2C
-       select SND_SOC_PCM186X_I2C
-+        select COMMON_CLK_HIFIBERRY_DACPRO
-         help
-          Say Y or M if you want to add support for HifiBerry DAC+ADC PRO.
---- a/sound/soc/bcm/Makefile
-+++ b/sound/soc/bcm/Makefile
-@@ -14,6 +14,7 @@ snd-soc-googlevoicehat-codec-objs := goo
- # BCM2708 Machine Support
- snd-soc-hifiberry-dacplus-objs := hifiberry_dacplus.o
-+snd-soc-hifiberry-dacplushd-objs := hifiberry_dacplushd.o
- snd-soc-hifiberry-dacplusadc-objs := hifiberry_dacplusadc.o
- snd-soc-hifiberry-dacplusadcpro-objs := hifiberry_dacplusadcpro.o
- snd-soc-hifiberry-dacplusdsp-objs := hifiberry_dacplusdsp.o
-@@ -41,6 +42,7 @@ snd-soc-rpi-wm8804-soundcard-objs := rpi
- obj-$(CONFIG_SND_BCM2708_SOC_GOOGLEVOICEHAT_SOUNDCARD)  += snd-soc-googlevoicehat-codec.o
- obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUS) += snd-soc-hifiberry-dacplus.o
-+obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSHD) += snd-soc-hifiberry-dacplushd.o
- obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADC) += snd-soc-hifiberry-dacplusadc.o
- obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSADCPRO) += snd-soc-hifiberry-dacplusadcpro.o
- obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUSDSP) += snd-soc-hifiberry-dacplusdsp.o
---- /dev/null
-+++ b/sound/soc/bcm/hifiberry_dacplushd.c
-@@ -0,0 +1,238 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * ASoC Driver for HiFiBerry DAC+ HD
-+ *
-+ * Author:    Joerg Schambacher, i2Audio GmbH for HiFiBerry
-+ *            Copyright 2020
-+ *
-+ * This program is free software; you can redistribute it and/or
-+ * modify it under the terms of the GNU General Public License
-+ * version 2 as published by the Free Software Foundation.
-+ *
-+ * This program is distributed in the hope that it will be useful, but
-+ * WITHOUT ANY WARRANTY; without even the implied warranty of
-+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
-+ * General Public License for more details.
-+ */
-+
-+#include <linux/module.h>
-+#include <linux/platform_device.h>
-+#include <linux/kernel.h>
-+#include <linux/delay.h>
-+#include <linux/module.h>
-+#include <linux/of.h>
-+#include <linux/delay.h>
-+#include <linux/gpio.h>
-+#include <linux/gpio/consumer.h>
-+#include <sound/core.h>
-+#include <sound/pcm.h>
-+#include <sound/pcm_params.h>
-+#include <sound/soc.h>
-+#include <linux/i2c.h>
-+#include <linux/clk.h>
-+
-+#include "../codecs/pcm179x.h"
-+
-+#define DEFAULT_RATE          44100
-+
-+struct brd_drv_data {
-+      struct regmap *regmap;
-+      struct clk *sclk;
-+};
-+
-+static struct brd_drv_data drvdata;
-+static struct gpio_desc *reset_gpio;
-+static const unsigned int hb_dacplushd_rates[] = {
-+      192000, 96000, 48000, 176400, 88200, 44100,
-+};
-+
-+static struct snd_pcm_hw_constraint_list hb_dacplushd_constraints = {
-+      .list = hb_dacplushd_rates,
-+      .count = ARRAY_SIZE(hb_dacplushd_rates),
-+};
-+
-+static int snd_rpi_hb_dacplushd_startup(struct snd_pcm_substream *substream)
-+{
-+      /* constraints for standard sample rates */
-+      snd_pcm_hw_constraint_list(substream->runtime, 0,
-+                              SNDRV_PCM_HW_PARAM_RATE,
-+                              &hb_dacplushd_constraints);
-+      return 0;
-+}
-+
-+static void snd_rpi_hifiberry_dacplushd_set_sclk(
-+              struct snd_soc_component *component,
-+              int sample_rate)
-+{
-+      if (!IS_ERR(drvdata.sclk))
-+              clk_set_rate(drvdata.sclk, sample_rate);
-+}
-+
-+static int snd_rpi_hifiberry_dacplushd_init(struct snd_soc_pcm_runtime *rtd)
-+{
-+      struct snd_soc_dai_link *dai = rtd->dai_link;
-+      struct snd_soc_dai *cpu_dai = rtd->cpu_dai;
-+
-+      dai->name = "HiFiBerry DAC+ HD";
-+      dai->stream_name = "HiFiBerry DAC+ HD HiFi";
-+      dai->dai_fmt = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF
-+              | SND_SOC_DAIFMT_CBM_CFM;
-+
-+      /* allow only fixed 32 clock counts per channel */
-+      snd_soc_dai_set_bclk_ratio(cpu_dai, 32*2);
-+
-+      return 0;
-+}
-+
-+static int snd_rpi_hifiberry_dacplushd_hw_params(
-+      struct snd_pcm_substream *substream, struct snd_pcm_hw_params *params)
-+{
-+      int ret = 0;
-+      struct snd_soc_pcm_runtime *rtd = substream->private_data;
-+
-+      struct snd_soc_component *component = rtd->codec_dai->component;
-+
-+      snd_rpi_hifiberry_dacplushd_set_sclk(component, params_rate(params));
-+      return ret;
-+}
-+
-+/* machine stream operations */
-+static struct snd_soc_ops snd_rpi_hifiberry_dacplushd_ops = {
-+      .startup = snd_rpi_hb_dacplushd_startup,
-+      .hw_params = snd_rpi_hifiberry_dacplushd_hw_params,
-+};
-+
-+SND_SOC_DAILINK_DEFS(hifi,
-+      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
-+      DAILINK_COMP_ARRAY(COMP_CODEC("pcm179x.1-004c", "pcm179x-hifi")),
-+      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2708-i2s.0")));
-+
-+
-+static struct snd_soc_dai_link snd_rpi_hifiberry_dacplushd_dai[] = {
-+{
-+      .name           = "HiFiBerry DAC+ HD",
-+      .stream_name    = "HiFiBerry DAC+ HD HiFi",
-+      .dai_fmt        = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF |
-+                              SND_SOC_DAIFMT_CBS_CFS,
-+      .ops            = &snd_rpi_hifiberry_dacplushd_ops,
-+      .init           = snd_rpi_hifiberry_dacplushd_init,
-+      SND_SOC_DAILINK_REG(hifi),
-+},
-+};
-+
-+/* audio machine driver */
-+static struct snd_soc_card snd_rpi_hifiberry_dacplushd = {
-+      .name         = "snd_rpi_hifiberry_dacplushd",
-+      .driver_name  = "HifiberryDacplusHD",
-+      .owner        = THIS_MODULE,
-+      .dai_link     = snd_rpi_hifiberry_dacplushd_dai,
-+      .num_links    = ARRAY_SIZE(snd_rpi_hifiberry_dacplushd_dai),
-+};
-+
-+static int snd_rpi_hifiberry_dacplushd_probe(struct platform_device *pdev)
-+{
-+      int ret = 0;
-+      static int dac_reset_done;
-+      struct device *dev = &pdev->dev;
-+      struct device_node *dev_node = dev->of_node;
-+
-+      snd_rpi_hifiberry_dacplushd.dev = &pdev->dev;
-+
-+      /* get GPIO and release DAC from RESET */
-+      if (!dac_reset_done) {
-+              reset_gpio = gpiod_get(&pdev->dev, "reset", GPIOD_OUT_LOW);
-+              if (IS_ERR(reset_gpio)) {
-+                      dev_err(&pdev->dev, "gpiod_get() failed\n");
-+                      return -EINVAL;
-+              }
-+              dac_reset_done = 1;
-+      }
-+      if (!IS_ERR(reset_gpio))
-+              gpiod_set_value(reset_gpio, 0);
-+      msleep(1);
-+      if (!IS_ERR(reset_gpio))
-+              gpiod_set_value(reset_gpio, 1);
-+      msleep(1);
-+      if (!IS_ERR(reset_gpio))
-+              gpiod_set_value(reset_gpio, 0);
-+
-+      if (pdev->dev.of_node) {
-+              struct device_node *i2s_node;
-+              struct snd_soc_dai_link *dai;
-+
-+              dai = &snd_rpi_hifiberry_dacplushd_dai[0];
-+              i2s_node = of_parse_phandle(pdev->dev.of_node,
-+                      "i2s-controller", 0);
-+
-+              if (i2s_node) {
-+                      dai->cpus->of_node = i2s_node;
-+                      dai->platforms->of_node = i2s_node;
-+                      dai->cpus->dai_name = NULL;
-+                      dai->platforms->name = NULL;
-+              } else {
-+                      return -EPROBE_DEFER;
-+              }
-+
-+      }
-+
-+      ret = devm_snd_soc_register_card(&pdev->dev,
-+                      &snd_rpi_hifiberry_dacplushd);
-+      if (ret && ret != -EPROBE_DEFER) {
-+              dev_err(&pdev->dev,
-+                      "snd_soc_register_card() failed: %d\n", ret);
-+              return ret;
-+      }
-+      if (ret == -EPROBE_DEFER)
-+              return ret;
-+
-+      dev_set_drvdata(dev, &drvdata);
-+      if (dev_node == NULL) {
-+              dev_err(&pdev->dev, "Device tree node not found\n");
-+              return -ENODEV;
-+      }
-+
-+      drvdata.sclk = devm_clk_get(dev, NULL);
-+      if (IS_ERR(drvdata.sclk)) {
-+              drvdata.sclk = ERR_PTR(-ENOENT);
-+              return -ENODEV;
-+      }
-+
-+      clk_set_rate(drvdata.sclk, DEFAULT_RATE);
-+
-+      return ret;
-+}
-+
-+static int snd_rpi_hifiberry_dacplushd_remove(struct platform_device *pdev)
-+{
-+      if (IS_ERR(reset_gpio))
-+              return -EINVAL;
-+
-+      /* put DAC into RESET and release GPIO */
-+      gpiod_set_value(reset_gpio, 0);
-+      gpiod_put(reset_gpio);
-+
-+      return 0;
-+}
-+
-+static const struct of_device_id snd_rpi_hifiberry_dacplushd_of_match[] = {
-+      { .compatible = "hifiberry,hifiberry-dacplushd", },
-+      {},
-+};
-+
-+MODULE_DEVICE_TABLE(of, snd_rpi_hifiberry_dacplushd_of_match);
-+
-+static struct platform_driver snd_rpi_hifiberry_dacplushd_driver = {
-+      .driver = {
-+              .name   = "snd-rpi-hifiberry-dacplushd",
-+              .owner  = THIS_MODULE,
-+              .of_match_table = snd_rpi_hifiberry_dacplushd_of_match,
-+      },
-+      .probe          = snd_rpi_hifiberry_dacplushd_probe,
-+      .remove         = snd_rpi_hifiberry_dacplushd_remove,
-+};
-+
-+module_platform_driver(snd_rpi_hifiberry_dacplushd_driver);
-+
-+MODULE_AUTHOR("Joerg Schambacher <joerg@i2audio.com>");
-+MODULE_DESCRIPTION("ASoC Driver for HiFiBerry DAC+ HD");
-+MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0394-Initialise-rpi-firmware-before-clk-bcm2835.patch b/target/linux/bcm27xx/patches-5.4/950-0394-Initialise-rpi-firmware-before-clk-bcm2835.patch
deleted file mode 100644 (file)
index cdd5e7e..0000000
+++ /dev/null
@@ -1,47 +0,0 @@
-From 2c1a5dae2fb127729773685e3cd1e48934edf1f2 Mon Sep 17 00:00:00 2001
-From: Luke Hinds <7058938+lukehinds@users.noreply.github.com>
-Date: Wed, 22 Jan 2020 16:03:00 +0000
-Subject: [PATCH] Initialise rpi-firmware before clk-bcm2835
-
-The IMA (Integrity Measurement Architecture) looks for a TPM (Trusted
-Platform Module) having been registered when it initialises; otherwise
-it assumes there is no TPM. It has been observed on BCM2835 that IMA
-is initialised before TPM, and that initialising the BCM2835 clock
-driver before the firmware driver has the effect of reversing this
-order.
-
-Change the firmware driver to initialise at core_initcall, delaying the
-BCM2835 clock driver to postcore_initcall.
-
-See: https://github.com/raspberrypi/linux/issues/3291
-     https://github.com/raspberrypi/linux/pull/3297
-
-Signed-off-by: Luke Hinds <lhinds@redhat.com>
-Co-authored-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/clk/bcm/clk-bcm2835.c  | 2 +-
- drivers/firmware/raspberrypi.c | 2 +-
- 2 files changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/clk/bcm/clk-bcm2835.c
-+++ b/drivers/clk/bcm/clk-bcm2835.c
-@@ -2401,7 +2401,7 @@ static int __init __bcm2835_clk_driver_i
- {
-       return platform_driver_register(&bcm2835_clk_driver);
- }
--core_initcall(__bcm2835_clk_driver_init);
-+postcore_initcall(__bcm2835_clk_driver_init);
- MODULE_AUTHOR("Eric Anholt <eric@anholt.net>");
- MODULE_DESCRIPTION("BCM2835 clock driver");
---- a/drivers/firmware/raspberrypi.c
-+++ b/drivers/firmware/raspberrypi.c
-@@ -416,7 +416,7 @@ out2:
- out1:
-       return ret;
- }
--subsys_initcall(rpi_firmware_init);
-+core_initcall(rpi_firmware_init);
- static void __init rpi_firmware_exit(void)
- {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0394-adds-LED-OFF-feature-to-HiFiBerry-DAC-ADC-PRO-sound-.patch b/target/linux/bcm27xx/patches-5.4/950-0394-adds-LED-OFF-feature-to-HiFiBerry-DAC-ADC-PRO-sound-.patch
new file mode 100644 (file)
index 0000000..397a2c3
--- /dev/null
@@ -0,0 +1,89 @@
+From 4a773d6535c3386044490156264ebd2a3b1bc38b Mon Sep 17 00:00:00 2001
+From: j-schambacher <joerg@i2audio.com>
+Date: Mon, 27 Jan 2020 17:45:51 +0100
+Subject: [PATCH] adds LED OFF feature to HiFiBerry DAC+ADC PRO sound
+ card
+
+This adds a DT overlay parameter 'leds_off' which allows
+to switch off the onboard activity LEDs at all times
+which has been requested by some users.
+
+Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
+---
+ arch/arm/boot/dts/overlays/README                 |  2 ++
+ .../overlays/hifiberry-dacplusadcpro-overlay.dts  |  1 +
+ sound/soc/bcm/hifiberry_dacplusadcpro.c           | 15 +++++++++++++--
+ 3 files changed, 16 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -948,6 +948,8 @@ Params: 24db_digital_gain       Allow ga
+                                 that does not result in clipping/distortion!)
+         slave                   Force DAC+ADC Pro into slave mode, using Pi as
+                                 master for bit clock and frame clock.
++        leds_off                If set to 'true' the onboard indicator LEDs
++                                are switched off at all times.
+ Name:   hifiberry-dacplusdsp
+--- a/arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts
++++ b/arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts
+@@ -60,5 +60,6 @@
+               24db_digital_gain =
+                       <&hifiberry_dacplusadcpro>,"hifiberry-dacplusadcpro,24db_digital_gain?";
+               slave = <&hifiberry_dacplusadcpro>,"hifiberry-dacplusadcpro,slave?";
++              leds_off = <&hifiberry_dacplusadcpro>,"hifiberry-dacplusadcpro,leds_off?";
+       };
+ };
+--- a/sound/soc/bcm/hifiberry_dacplusadcpro.c
++++ b/sound/soc/bcm/hifiberry_dacplusadcpro.c
+@@ -54,6 +54,7 @@ struct pcm512x_priv {
+ static bool slave;
+ static bool snd_rpi_hifiberry_is_dacpro;
+ static bool digital_gain_0db_limit = true;
++static bool leds_off;
+ static const unsigned int pcm186x_adc_input_channel_sel_value[] = {
+       0x00, 0x01, 0x02, 0x03, 0x10
+@@ -321,7 +322,10 @@ static int snd_rpi_hifiberry_dacplusadcp
+       snd_soc_component_update_bits(dac, PCM512x_GPIO_EN, 0x08, 0x08);
+       snd_soc_component_update_bits(dac, PCM512x_GPIO_OUTPUT_4, 0x0f, 0x02);
+-      snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
++      if (leds_off)
++              snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x00);
++      else
++              snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
+       ret = pcm1863_add_controls(adc);
+       if (ret < 0)
+@@ -331,7 +335,10 @@ static int snd_rpi_hifiberry_dacplusadcp
+       /* set GPIO2 to output, GPIO3 input */
+       snd_soc_component_write(adc, PCM186X_GPIO3_2_CTRL, 0x00);
+       snd_soc_component_write(adc, PCM186X_GPIO3_2_DIR_CTRL, 0x04);
+-      snd_soc_component_update_bits(adc, PCM186X_GPIO_IN_OUT, 0x40, 0x40);
++      if (leds_off)
++              snd_soc_component_update_bits(adc, PCM186X_GPIO_IN_OUT, 0x40, 0x00);
++      else
++              snd_soc_component_update_bits(adc, PCM186X_GPIO_IN_OUT, 0x40, 0x40);
+       if (digital_gain_0db_limit) {
+               int ret;
+@@ -417,6 +424,8 @@ static int snd_rpi_hifiberry_dacplusadcp
+       struct snd_soc_component *dac = rtd->codec_dais[0]->component;
+       struct snd_soc_component *adc = rtd->codec_dais[1]->component;
++      if (leds_off)
++              return 0;
+       /* switch on respective LED */
+       if (!substream->stream)
+               snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
+@@ -500,6 +509,8 @@ static int snd_rpi_hifiberry_dacplusadcp
+               pdev->dev.of_node, "hifiberry-dacplusadcpro,24db_digital_gain");
+       slave = of_property_read_bool(pdev->dev.of_node,
+                                       "hifiberry-dacplusadcpro,slave");
++      leds_off = of_property_read_bool(pdev->dev.of_node,
++                                      "hifiberry-dacplusadcpro,leds_off");
+       ret = snd_soc_register_card(&snd_rpi_hifiberry_dacplusadcpro);
+       if (ret && ret != -EPROBE_DEFER)
+               dev_err(&pdev->dev,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0395-Fix-master-mode-settings-of-HiFiBerry-DAC-ADC-PRO-ca.patch b/target/linux/bcm27xx/patches-5.4/950-0395-Fix-master-mode-settings-of-HiFiBerry-DAC-ADC-PRO-ca.patch
deleted file mode 100644 (file)
index 54b366f..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From fa93fc95e5fb4e75a2a5ea930509d80083dee9b3 Mon Sep 17 00:00:00 2001
-From: =?UTF-8?q?J=C3=B6rg=20Schambacher?=
- <j-schambacher@users.noreply.github.com>
-Date: Thu, 23 Jan 2020 13:32:13 +0100
-Subject: [PATCH] Fix master mode settings of HiFiBerry DAC+ADC PRO
- card (#3424)
-
-This patch fixes the board DAI setting when in master-mode.
-Wrong setting could have caused random pop noise.
-
-Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
----
- sound/soc/bcm/hifiberry_dacplusadcpro.c | 2 ++
- 1 file changed, 2 insertions(+)
-
---- a/sound/soc/bcm/hifiberry_dacplusadcpro.c
-+++ b/sound/soc/bcm/hifiberry_dacplusadcpro.c
-@@ -285,6 +285,8 @@ static int snd_rpi_hifiberry_dacplusadcp
-               dai->name = "HiFiBerry DAC+ADC Pro";
-               dai->stream_name = "HiFiBerry DAC+ADC Pro HiFi";
-+              dai->dai_fmt = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF
-+                      | SND_SOC_DAIFMT_CBM_CFM;
-               // set DAC DAI configuration
-               ret = snd_soc_dai_set_fmt(rtd->codec_dais[0],
diff --git a/target/linux/bcm27xx/patches-5.4/950-0395-adds-LED-OFF-feature-to-HiFiBerry-DAC-ADC-sound-card.patch b/target/linux/bcm27xx/patches-5.4/950-0395-adds-LED-OFF-feature-to-HiFiBerry-DAC-ADC-sound-card.patch
new file mode 100644 (file)
index 0000000..bd8f405
--- /dev/null
@@ -0,0 +1,76 @@
+From 36949b2ea78d5782faed2fb00a037f37789fa85d Mon Sep 17 00:00:00 2001
+From: j-schambacher <joerg@i2audio.com>
+Date: Mon, 27 Jan 2020 20:37:34 +0100
+Subject: [PATCH] adds LED OFF feature to HiFiBerry DAC+ADC sound card
+
+This adds a DT overlay parameter 'leds_off' which allows
+to switch off the onboard activity LEDs at all times
+which has been requested by some users.
+
+Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
+---
+ arch/arm/boot/dts/overlays/README                      |  2 ++
+ .../boot/dts/overlays/hifiberry-dacplusadc-overlay.dts |  1 +
+ sound/soc/bcm/hifiberry_dacplusadc.c                   | 10 +++++++++-
+ 3 files changed, 12 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -927,6 +927,8 @@ Params: 24db_digital_gain       Allow ga
+                                 that does not result in clipping/distortion!)
+         slave                   Force DAC+ Pro into slave mode, using Pi as
+                                 master for bit clock and frame clock.
++        leds_off                If set to 'true' the onboard indicator LEDs
++                                are switched off at all times.
+ Name:   hifiberry-dacplusadcpro
+--- a/arch/arm/boot/dts/overlays/hifiberry-dacplusadc-overlay.dts
++++ b/arch/arm/boot/dts/overlays/hifiberry-dacplusadc-overlay.dts
+@@ -67,5 +67,6 @@
+               24db_digital_gain =
+                       <&hifiberry_dacplusadc>,"hifiberry,24db_digital_gain?";
+               slave = <&hifiberry_dacplusadc>,"hifiberry-dacplusadc,slave?";
++              leds_off = <&hifiberry_dacplusadc>,"hifiberry-dacplusadc,leds_off?";
+       };
+ };
+--- a/sound/soc/bcm/hifiberry_dacplusadc.c
++++ b/sound/soc/bcm/hifiberry_dacplusadc.c
+@@ -54,6 +54,7 @@ struct pcm512x_priv {
+ static bool slave;
+ static bool snd_rpi_hifiberry_is_dacpro;
+ static bool digital_gain_0db_limit = true;
++static bool leds_off;
+ static void snd_rpi_hifiberry_dacplusadc_select_clk(struct snd_soc_component *component,
+       int clk_id)
+@@ -175,7 +176,10 @@ static int snd_rpi_hifiberry_dacplusadc_
+       snd_soc_component_update_bits(component, PCM512x_GPIO_EN, 0x08, 0x08);
+       snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_4, 0x0f, 0x02);
+-      snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
++      if (leds_off)
++              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x00);
++      else
++              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
+       if (digital_gain_0db_limit) {
+               int ret;
+@@ -254,6 +258,8 @@ static int snd_rpi_hifiberry_dacplusadc_
+       struct snd_soc_pcm_runtime *rtd = substream->private_data;
+       struct snd_soc_component *component = rtd->codec_dai->component;
++      if (leds_off)
++              return 0;
+       snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1,
+                                        0x08, 0x08);
+       hifiberry_dacplusadc_LED_cnt++;
+@@ -330,6 +336,8 @@ static int snd_rpi_hifiberry_dacplusadc_
+               pdev->dev.of_node, "hifiberry,24db_digital_gain");
+       slave = of_property_read_bool(pdev->dev.of_node,
+                                       "hifiberry-dacplusadc,slave");
++      leds_off = of_property_read_bool(pdev->dev.of_node,
++                                      "hifiberry-dacplusadc,leds_off");
+       ret = devm_snd_soc_register_card(&pdev->dev,
+                                                &snd_rpi_hifiberry_dacplusadc);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0396-adds-LED-OFF-feature-to-HiFiBerry-DAC-DAC-PRO-sound-.patch b/target/linux/bcm27xx/patches-5.4/950-0396-adds-LED-OFF-feature-to-HiFiBerry-DAC-DAC-PRO-sound-.patch
new file mode 100644 (file)
index 0000000..a5c3d40
--- /dev/null
@@ -0,0 +1,77 @@
+From 4b3cdf84c4d8156c01fa02e4d511f7529cae488f Mon Sep 17 00:00:00 2001
+From: j-schambacher <joerg@i2audio.com>
+Date: Mon, 27 Jan 2020 20:58:24 +0100
+Subject: [PATCH] adds LED OFF feature to HiFiBerry DAC+/DAC+PRO sound
+ cards
+
+This adds a DT overlay parameter 'leds_off' which allows
+to switch off the onboard activity LEDs at all times
+which has been requested by some users.
+
+Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
+---
+ arch/arm/boot/dts/overlays/README                      |  2 ++
+ .../boot/dts/overlays/hifiberry-dacplus-overlay.dts    |  1 +
+ sound/soc/bcm/hifiberry_dacplus.c                      | 10 +++++++++-
+ 3 files changed, 12 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -906,6 +906,8 @@ Params: 24db_digital_gain       Allow ga
+                                 that does not result in clipping/distortion!)
+         slave                   Force DAC+ Pro into slave mode, using Pi as
+                                 master for bit clock and frame clock.
++        leds_off                If set to 'true' the onboard indicator LEDs
++                                are switched off at all times.
+ Name:   hifiberry-dacplusadc
+--- a/arch/arm/boot/dts/overlays/hifiberry-dacplus-overlay.dts
++++ b/arch/arm/boot/dts/overlays/hifiberry-dacplus-overlay.dts
+@@ -55,5 +55,6 @@
+               24db_digital_gain =
+                       <&hifiberry_dacplus>,"hifiberry,24db_digital_gain?";
+               slave = <&hifiberry_dacplus>,"hifiberry-dacplus,slave?";
++              leds_off = <&hifiberry_dacplus>,"hifiberry-dacplus,leds_off?";
+       };
+ };
+--- a/sound/soc/bcm/hifiberry_dacplus.c
++++ b/sound/soc/bcm/hifiberry_dacplus.c
+@@ -50,6 +50,7 @@ struct pcm512x_priv {
+ static bool slave;
+ static bool snd_rpi_hifiberry_is_dacpro;
+ static bool digital_gain_0db_limit = true;
++static bool leds_off;
+ static void snd_rpi_hifiberry_dacplus_select_clk(struct snd_soc_component *component,
+       int clk_id)
+@@ -171,7 +172,10 @@ static int snd_rpi_hifiberry_dacplus_ini
+       snd_soc_component_update_bits(component, PCM512x_GPIO_EN, 0x08, 0x08);
+       snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_4, 0x0f, 0x02);
+-      snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
++      if (leds_off)
++              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x00);
++      else
++              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
+       if (digital_gain_0db_limit)
+       {
+@@ -249,6 +253,8 @@ static int snd_rpi_hifiberry_dacplus_sta
+       struct snd_soc_pcm_runtime *rtd = substream->private_data;
+       struct snd_soc_component *component = rtd->codec_dai->component;
++      if (leds_off)
++              return 0;
+       snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
+       return 0;
+ }
+@@ -319,6 +325,8 @@ static int snd_rpi_hifiberry_dacplus_pro
+                       pdev->dev.of_node, "hifiberry,24db_digital_gain");
+               slave = of_property_read_bool(pdev->dev.of_node,
+                                               "hifiberry-dacplus,slave");
++              leds_off = of_property_read_bool(pdev->dev.of_node,
++                                              "hifiberry-dacplus,leds_off");
+       }
+       ret = devm_snd_soc_register_card(&pdev->dev,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0396-overlays-Use-preferred-compatible-strings.patch b/target/linux/bcm27xx/patches-5.4/950-0396-overlays-Use-preferred-compatible-strings.patch
deleted file mode 100644 (file)
index 6a24605..0000000
+++ /dev/null
@@ -1,72 +0,0 @@
-From f50f0425592a8496d6d25b4936caadfe64523c91 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Fri, 24 Jan 2020 09:02:37 +0000
-Subject: [PATCH] overlays: Use preferred compatible strings
-
-Make sure all overlays have correct compatible strings before enabling
-the automated checking.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts | 2 +-
- arch/arm/boot/dts/overlays/iqaudio-codec-overlay.dts           | 2 +-
- arch/arm/boot/dts/overlays/pwm-2chan-overlay.dts               | 2 ++
- arch/arm/boot/dts/overlays/pwm-overlay.dts                     | 2 ++
- arch/arm/boot/dts/overlays/smi-dev-overlay.dts                 | 2 ++
- 5 files changed, 8 insertions(+), 2 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts
-@@ -3,7 +3,7 @@
- /plugin/;
- / {
--      compatible = "brcm,bcm2708";
-+      compatible = "brcm,bcm2835";
-       fragment@0 {
-               target-path = "/clocks";
---- a/arch/arm/boot/dts/overlays/iqaudio-codec-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/iqaudio-codec-overlay.dts
-@@ -3,7 +3,7 @@
- /plugin/;
- / {
--      compatible = "brcm,bcm2708";
-+      compatible = "brcm,bcm2835";
-       fragment@0 {
-               target = <&i2s>;
---- a/arch/arm/boot/dts/overlays/pwm-2chan-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/pwm-2chan-overlay.dts
-@@ -17,6 +17,8 @@ N.B.:
- */
- / {
-+      compatible = "brcm,bcm2835";
-+
-       fragment@0 {
-               target = <&gpio>;
-               __overlay__ {
---- a/arch/arm/boot/dts/overlays/pwm-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/pwm-overlay.dts
-@@ -15,6 +15,8 @@ N.B.:
- */
- / {
-+      compatible = "brcm,bcm2835";
-+
-       fragment@0 {
-               target = <&gpio>;
-               __overlay__ {
---- a/arch/arm/boot/dts/overlays/smi-dev-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/smi-dev-overlay.dts
-@@ -5,6 +5,8 @@
- /plugin/;
- /{
-+      compatible = "brcm,bcm2835";
-+
-       fragment@0 {
-               target = <&soc>;
-               __overlay__ {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0397-pisound-Added-reading-Pisound-board-hardware-revisio.patch b/target/linux/bcm27xx/patches-5.4/950-0397-pisound-Added-reading-Pisound-board-hardware-revisio.patch
new file mode 100644 (file)
index 0000000..df6f526
--- /dev/null
@@ -0,0 +1,201 @@
+From 21dace2c687d45819cb0dfc4f32f005da82d9197 Mon Sep 17 00:00:00 2001
+From: gtrainavicius <gtrainavicius@users.noreply.github.com>
+Date: Tue, 28 Jan 2020 14:16:37 +0200
+Subject: [PATCH] pisound: Added reading Pisound board hardware
+ revision and exposing it (#3425)
+
+pisound: Added reading Pisound board hardware revision and exposing it in kernel log and sysfs file:
+
+/sys/kernel/pisound/hw_version
+
+Signed-off-by: Giedrius <giedrius@blokas.io>
+---
+ sound/soc/bcm/pisound.c | 86 ++++++++++++++++++++++++++++-------------
+ 1 file changed, 59 insertions(+), 27 deletions(-)
+
+--- a/sound/soc/bcm/pisound.c
++++ b/sound/soc/bcm/pisound.c
+@@ -51,7 +51,8 @@ static void pisnd_spi_set_callback(pisnd
+ static const char *pisnd_spi_get_serial(void);
+ static const char *pisnd_spi_get_id(void);
+-static const char *pisnd_spi_get_version(void);
++static const char *pisnd_spi_get_fw_version(void);
++static const char *pisnd_spi_get_hw_version(void);
+ static int pisnd_midi_init(struct snd_card *card);
+ static void pisnd_midi_uninit(void);
+@@ -222,7 +223,9 @@ static pisnd_spi_recv_cb g_recvCallback;
+ static char g_serial_num[11];
+ static char g_id[25];
+-static char g_version[5];
++enum { MAX_VERSION_STR_LEN = 6 };
++static char g_fw_version[MAX_VERSION_STR_LEN];
++static char g_hw_version[MAX_VERSION_STR_LEN];
+ static uint8_t g_ledFlashDuration;
+ static bool    g_ledFlashDurationChanged;
+@@ -558,7 +561,8 @@ static int spi_read_info(void)
+       char *p;
+       memset(g_serial_num, 0, sizeof(g_serial_num));
+-      memset(g_version, 0, sizeof(g_version));
++      memset(g_fw_version, 0, sizeof(g_fw_version));
++      strcpy(g_hw_version, "1.0"); // Assume 1.0 hw version.
+       memset(g_id, 0, sizeof(g_id));
+       tmp = spi_transfer16(0);
+@@ -581,12 +585,28 @@ static int spi_read_info(void)
+                               return -EINVAL;
+                       snprintf(
+-                              g_version,
+-                              sizeof(g_version),
++                              g_fw_version,
++                              MAX_VERSION_STR_LEN,
+                               "%x.%02x",
+                               buffer[0],
+                               buffer[1]
+                               );
++
++                      g_fw_version[MAX_VERSION_STR_LEN-1] = '\0';
++                      break;
++              case 3:
++                      if (n != 2)
++                              return -EINVAL;
++
++                      snprintf(
++                              g_hw_version,
++                              MAX_VERSION_STR_LEN,
++                              "%x.%x",
++                              buffer[0],
++                              buffer[1]
++                      );
++
++                      g_hw_version[MAX_VERSION_STR_LEN-1] = '\0';
+                       break;
+               case 1:
+                       if (n >= sizeof(g_serial_num))
+@@ -596,12 +616,14 @@ static int spi_read_info(void)
+                       break;
+               case 2:
+                       {
+-                              if (n >= sizeof(g_id))
++                              if (n*2 >= sizeof(g_id))
+                                       return -EINVAL;
+                               p = g_id;
+                               for (j = 0; j < n; ++j)
+                                       p += sprintf(p, "%02x", buffer[j]);
++
++                              *p = '\0';
+                       }
+                       break;
+               default:
+@@ -619,7 +641,8 @@ static int pisnd_spi_init(struct device
+       memset(g_serial_num, 0, sizeof(g_serial_num));
+       memset(g_id, 0, sizeof(g_id));
+-      memset(g_version, 0, sizeof(g_version));
++      memset(g_fw_version, 0, sizeof(g_fw_version));
++      memset(g_hw_version, 0, sizeof(g_hw_version));
+       spi = pisnd_spi_find_device();
+@@ -729,26 +752,22 @@ static void pisnd_spi_set_callback(pisnd
+ static const char *pisnd_spi_get_serial(void)
+ {
+-      if (strlen(g_serial_num))
+-              return g_serial_num;
+-
+-      return "";
++      return g_serial_num;
+ }
+ static const char *pisnd_spi_get_id(void)
+ {
+-      if (strlen(g_id))
+-              return g_id;
+-
+-      return "";
++      return g_id;
+ }
+-static const char *pisnd_spi_get_version(void)
++static const char *pisnd_spi_get_fw_version(void)
+ {
+-      if (strlen(g_version))
+-              return g_version;
++      return g_fw_version;
++}
+-      return "";
++static const char *pisnd_spi_get_hw_version(void)
++{
++      return g_hw_version;
+ }
+ static const struct of_device_id pisound_of_match[] = {
+@@ -1056,13 +1075,22 @@ static ssize_t pisnd_id_show(
+       return sprintf(buf, "%s\n", pisnd_spi_get_id());
+ }
+-static ssize_t pisnd_version_show(
++static ssize_t pisnd_fw_version_show(
+       struct kobject *kobj,
+       struct kobj_attribute *attr,
+       char *buf
+       )
+ {
+-      return sprintf(buf, "%s\n", pisnd_spi_get_version());
++      return sprintf(buf, "%s\n", pisnd_spi_get_fw_version());
++}
++
++static ssize_t pisnd_hw_version_show(
++      struct kobject *kobj,
++      struct kobj_attribute *attr,
++      char *buf
++)
++{
++      return sprintf(buf, "%s\n", pisnd_spi_get_hw_version());
+ }
+ static ssize_t pisnd_led_store(
+@@ -1087,15 +1115,18 @@ static struct kobj_attribute pisnd_seria
+       __ATTR(serial, 0444, pisnd_serial_show, NULL);
+ static struct kobj_attribute pisnd_id_attribute =
+       __ATTR(id, 0444, pisnd_id_show, NULL);
+-static struct kobj_attribute pisnd_version_attribute =
+-      __ATTR(version, 0444, pisnd_version_show, NULL);
++static struct kobj_attribute pisnd_fw_version_attribute =
++      __ATTR(version, 0444, pisnd_fw_version_show, NULL);
++static struct kobj_attribute pisnd_hw_version_attribute =
++__ATTR(hw_version, 0444, pisnd_hw_version_show, NULL);
+ static struct kobj_attribute pisnd_led_attribute =
+       __ATTR(led, 0644, NULL, pisnd_led_store);
+ static struct attribute *attrs[] = {
+       &pisnd_serial_attribute.attr,
+       &pisnd_id_attribute.attr,
+-      &pisnd_version_attribute.attr,
++      &pisnd_fw_version_attribute.attr,
++      &pisnd_hw_version_attribute.attr,
+       &pisnd_led_attribute.attr,
+       NULL
+ };
+@@ -1114,9 +1145,10 @@ static int pisnd_probe(struct platform_d
+       }
+       printi("Detected Pisound card:\n");
+-      printi("\tSerial:  %s\n", pisnd_spi_get_serial());
+-      printi("\tVersion: %s\n", pisnd_spi_get_version());
+-      printi("\tId:      %s\n", pisnd_spi_get_id());
++      printi("\tSerial:           %s\n", pisnd_spi_get_serial());
++      printi("\tFirmware Version: %s\n", pisnd_spi_get_fw_version());
++      printi("\tHardware Version: %s\n", pisnd_spi_get_hw_version());
++      printi("\tId:               %s\n", pisnd_spi_get_id());
+       pisnd_kobj = kobject_create_and_add("pisound", kernel_kobj);
+       if (!pisnd_kobj) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0397-tty-amba-pl011-Add-un-throttle-support.patch b/target/linux/bcm27xx/patches-5.4/950-0397-tty-amba-pl011-Add-un-throttle-support.patch
deleted file mode 100644 (file)
index ced0bf2..0000000
+++ /dev/null
@@ -1,61 +0,0 @@
-From a3749ee48539fa832b1832cdcae26d34e5d20f00 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Fri, 24 Jan 2020 11:38:28 +0000
-Subject: [PATCH] tty: amba-pl011: Add un/throttle support
-
-The PL011 driver lacks throttle and unthrottle methods. As a result,
-sending more data to the Pi than it can immediately sink while CRTSCTS
-is enabled causes a NULL pointer to be followed.
-
-Add a throttle handler that disables the RX interrupts, and an
-unthrottle handler that reenables them.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/tty/serial/amba-pl011.c | 28 ++++++++++++++++++++++++++++
- 1 file changed, 28 insertions(+)
-
---- a/drivers/tty/serial/amba-pl011.c
-+++ b/drivers/tty/serial/amba-pl011.c
-@@ -1326,6 +1326,32 @@ static void pl011_start_tx(struct uart_p
-               pl011_start_tx_pio(uap);
- }
-+static void pl011_throttle(struct uart_port *port)
-+{
-+      struct uart_amba_port *uap =
-+          container_of(port, struct uart_amba_port, port);
-+      unsigned long flags;
-+
-+      spin_lock_irqsave(&uap->port.lock, flags);
-+      uap->im &= ~(UART011_RTIM | UART011_RXIM);
-+      pl011_write(uap->im, uap, REG_IMSC);
-+      spin_unlock_irqrestore(&uap->port.lock, flags);
-+}
-+
-+static void pl011_unthrottle(struct uart_port *port)
-+{
-+      struct uart_amba_port *uap =
-+          container_of(port, struct uart_amba_port, port);
-+      unsigned long flags;
-+
-+      spin_lock_irqsave(&uap->port.lock, flags);
-+      uap->im |= UART011_RTIM;
-+      if (!pl011_dma_rx_running(uap))
-+          uap->im |= UART011_RXIM;
-+      pl011_write(uap->im, uap, REG_IMSC);
-+      spin_unlock_irqrestore(&uap->port.lock, flags);
-+}
-+
- static void pl011_stop_rx(struct uart_port *port)
- {
-       struct uart_amba_port *uap =
-@@ -2167,6 +2193,8 @@ static const struct uart_ops amba_pl011_
-       .stop_tx        = pl011_stop_tx,
-       .start_tx       = pl011_start_tx,
-       .stop_rx        = pl011_stop_rx,
-+      .throttle       = pl011_throttle,
-+      .unthrottle     = pl011_unthrottle,
-       .enable_ms      = pl011_enable_ms,
-       .break_ctl      = pl011_break_ctl,
-       .startup        = pl011_startup,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0398-Fix-i2c-pwm-pca9685a-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0398-Fix-i2c-pwm-pca9685a-overlay.patch
deleted file mode 100644 (file)
index 17bdf39..0000000
+++ /dev/null
@@ -1,20 +0,0 @@
-From 1cf854cd3531b10168b8f9aeb93bb0ab4b9a9003 Mon Sep 17 00:00:00 2001
-From: MikeDK <m.kaplan@evva.com>
-Date: Sun, 26 Jan 2020 23:33:54 +0100
-Subject: [PATCH] Fix i2c-pwm-pca9685a overlay
-
----
- arch/arm/boot/dts/overlays/i2c-pwm-pca9685a-overlay.dts | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/overlays/i2c-pwm-pca9685a-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/i2c-pwm-pca9685a-overlay.dts
-@@ -13,7 +13,7 @@
-                       status = "okay";
-                       pca: pca@40 {
--                              compatible = "nxp,pca9685";
-+                              compatible = "nxp,pca9685-pwm";
-                               #pwm-cells = <2>;
-                               reg = <0x40>;
-                               status = "okay";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0398-mmc-sdhci-iproc-Fix-vmmc-regulators-on-iProc.patch b/target/linux/bcm27xx/patches-5.4/950-0398-mmc-sdhci-iproc-Fix-vmmc-regulators-on-iProc.patch
new file mode 100644 (file)
index 0000000..4713dde
--- /dev/null
@@ -0,0 +1,46 @@
+From 703920ad5199c46f98cf107c75a2de61608f85fd Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Fri, 2 Aug 2019 15:20:11 +0100
+Subject: [PATCH] mmc: sdhci-iproc: Fix vmmc regulators on iProc
+
+The Linux support for controlling card power via regulators appears to
+be contentious. I would argue that the default behaviour is contrary to
+the SDHCI spec - turning off the power writes a reserved value to the
+SD Bus Voltage Select field of the Power Control Register, which
+seems to kill the Arasan/iProc controller - but fortunately there is a
+hook in sdhci_ops to override the behaviour. Borrow the implementation
+from sdhci_arasan_set_power.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/mmc/host/sdhci-iproc.c | 12 ++++++++++++
+ 1 file changed, 12 insertions(+)
+
+--- a/drivers/mmc/host/sdhci-iproc.c
++++ b/drivers/mmc/host/sdhci-iproc.c
+@@ -173,6 +173,17 @@ static unsigned int sdhci_iproc_get_max_
+               return pltfm_host->clock;
+ }
++static void sdhci_iproc_set_power(struct sdhci_host *host, unsigned char mode,
++                                unsigned short vdd)
++{
++      if (!IS_ERR(host->mmc->supply.vmmc)) {
++              struct mmc_host *mmc = host->mmc;
++
++              mmc_regulator_set_ocr(mmc, mmc->supply.vmmc, vdd);
++      }
++      sdhci_set_power_noreg(host, mode, vdd);
++}
++
+ static const struct sdhci_ops sdhci_iproc_ops = {
+       .set_clock = sdhci_set_clock,
+       .get_max_clock = sdhci_iproc_get_max_clock,
+@@ -190,6 +201,7 @@ static const struct sdhci_ops sdhci_ipro
+       .write_b = sdhci_iproc_writeb,
+       .set_clock = sdhci_set_clock,
+       .get_max_clock = sdhci_iproc_get_max_clock,
++      .set_power = sdhci_iproc_set_power,
+       .set_bus_width = sdhci_set_bus_width,
+       .reset = sdhci_reset,
+       .set_uhs_signaling = sdhci_set_uhs_signaling,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0399-ARM-dts-Declare-RPi-4B-SD-card-power-regulator.patch b/target/linux/bcm27xx/patches-5.4/950-0399-ARM-dts-Declare-RPi-4B-SD-card-power-regulator.patch
new file mode 100644 (file)
index 0000000..a69feb5
--- /dev/null
@@ -0,0 +1,41 @@
+From ade82688b687b3340ca5e7883646ad51291d49cd Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Tue, 30 Jul 2019 12:37:02 +0100
+Subject: [PATCH] ARM: dts: Declare RPi 4B SD card power regulator
+
+Later revisions of the Raspberry Pi 4B have a separate control over the
+SD card power. Expose that control to Linux as a fixed regulator with
+a GPIO enable.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 11 +++++++++++
+ 3 files changed, 13 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -122,6 +122,16 @@
+               states = <1800000 0x1
+                         3300000 0x0>;
+       };
++
++      sd_vcc_reg: sd_vcc_reg {
++              compatible = "regulator-fixed";
++              regulator-name = "vcc-sd";
++              regulator-min-microvolt = <3300000>;
++              regulator-max-microvolt = <3300000>;
++              regulator-boot-on;
++              enable-active-high;
++              gpio = <&expgpio 6 GPIO_ACTIVE_HIGH>;
++      };
+ };
+ &sdhost {
+@@ -132,6 +142,7 @@
+       status = "okay";
+       broken-cd;
+       vqmmc-supply = <&sd_io_1v8_reg>;
++      vmmc-supply = <&sd_vcc_reg>;
+ };
+ &genet {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0399-adds-LED-OFF-feature-to-HiFiBerry-DAC-ADC-PRO-sound-.patch b/target/linux/bcm27xx/patches-5.4/950-0399-adds-LED-OFF-feature-to-HiFiBerry-DAC-ADC-PRO-sound-.patch
deleted file mode 100644 (file)
index 397a2c3..0000000
+++ /dev/null
@@ -1,89 +0,0 @@
-From 4a773d6535c3386044490156264ebd2a3b1bc38b Mon Sep 17 00:00:00 2001
-From: j-schambacher <joerg@i2audio.com>
-Date: Mon, 27 Jan 2020 17:45:51 +0100
-Subject: [PATCH] adds LED OFF feature to HiFiBerry DAC+ADC PRO sound
- card
-
-This adds a DT overlay parameter 'leds_off' which allows
-to switch off the onboard activity LEDs at all times
-which has been requested by some users.
-
-Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
----
- arch/arm/boot/dts/overlays/README                 |  2 ++
- .../overlays/hifiberry-dacplusadcpro-overlay.dts  |  1 +
- sound/soc/bcm/hifiberry_dacplusadcpro.c           | 15 +++++++++++++--
- 3 files changed, 16 insertions(+), 2 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -948,6 +948,8 @@ Params: 24db_digital_gain       Allow ga
-                                 that does not result in clipping/distortion!)
-         slave                   Force DAC+ADC Pro into slave mode, using Pi as
-                                 master for bit clock and frame clock.
-+        leds_off                If set to 'true' the onboard indicator LEDs
-+                                are switched off at all times.
- Name:   hifiberry-dacplusdsp
---- a/arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts
-@@ -60,5 +60,6 @@
-               24db_digital_gain =
-                       <&hifiberry_dacplusadcpro>,"hifiberry-dacplusadcpro,24db_digital_gain?";
-               slave = <&hifiberry_dacplusadcpro>,"hifiberry-dacplusadcpro,slave?";
-+              leds_off = <&hifiberry_dacplusadcpro>,"hifiberry-dacplusadcpro,leds_off?";
-       };
- };
---- a/sound/soc/bcm/hifiberry_dacplusadcpro.c
-+++ b/sound/soc/bcm/hifiberry_dacplusadcpro.c
-@@ -54,6 +54,7 @@ struct pcm512x_priv {
- static bool slave;
- static bool snd_rpi_hifiberry_is_dacpro;
- static bool digital_gain_0db_limit = true;
-+static bool leds_off;
- static const unsigned int pcm186x_adc_input_channel_sel_value[] = {
-       0x00, 0x01, 0x02, 0x03, 0x10
-@@ -321,7 +322,10 @@ static int snd_rpi_hifiberry_dacplusadcp
-       snd_soc_component_update_bits(dac, PCM512x_GPIO_EN, 0x08, 0x08);
-       snd_soc_component_update_bits(dac, PCM512x_GPIO_OUTPUT_4, 0x0f, 0x02);
--      snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
-+      if (leds_off)
-+              snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x00);
-+      else
-+              snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
-       ret = pcm1863_add_controls(adc);
-       if (ret < 0)
-@@ -331,7 +335,10 @@ static int snd_rpi_hifiberry_dacplusadcp
-       /* set GPIO2 to output, GPIO3 input */
-       snd_soc_component_write(adc, PCM186X_GPIO3_2_CTRL, 0x00);
-       snd_soc_component_write(adc, PCM186X_GPIO3_2_DIR_CTRL, 0x04);
--      snd_soc_component_update_bits(adc, PCM186X_GPIO_IN_OUT, 0x40, 0x40);
-+      if (leds_off)
-+              snd_soc_component_update_bits(adc, PCM186X_GPIO_IN_OUT, 0x40, 0x00);
-+      else
-+              snd_soc_component_update_bits(adc, PCM186X_GPIO_IN_OUT, 0x40, 0x40);
-       if (digital_gain_0db_limit) {
-               int ret;
-@@ -417,6 +424,8 @@ static int snd_rpi_hifiberry_dacplusadcp
-       struct snd_soc_component *dac = rtd->codec_dais[0]->component;
-       struct snd_soc_component *adc = rtd->codec_dais[1]->component;
-+      if (leds_off)
-+              return 0;
-       /* switch on respective LED */
-       if (!substream->stream)
-               snd_soc_component_update_bits(dac, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
-@@ -500,6 +509,8 @@ static int snd_rpi_hifiberry_dacplusadcp
-               pdev->dev.of_node, "hifiberry-dacplusadcpro,24db_digital_gain");
-       slave = of_property_read_bool(pdev->dev.of_node,
-                                       "hifiberry-dacplusadcpro,slave");
-+      leds_off = of_property_read_bool(pdev->dev.of_node,
-+                                      "hifiberry-dacplusadcpro,leds_off");
-       ret = snd_soc_register_card(&snd_rpi_hifiberry_dacplusadcpro);
-       if (ret && ret != -EPROBE_DEFER)
-               dev_err(&pdev->dev,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0400-adds-LED-OFF-feature-to-HiFiBerry-DAC-ADC-sound-card.patch b/target/linux/bcm27xx/patches-5.4/950-0400-adds-LED-OFF-feature-to-HiFiBerry-DAC-ADC-sound-card.patch
deleted file mode 100644 (file)
index bd8f405..0000000
+++ /dev/null
@@ -1,76 +0,0 @@
-From 36949b2ea78d5782faed2fb00a037f37789fa85d Mon Sep 17 00:00:00 2001
-From: j-schambacher <joerg@i2audio.com>
-Date: Mon, 27 Jan 2020 20:37:34 +0100
-Subject: [PATCH] adds LED OFF feature to HiFiBerry DAC+ADC sound card
-
-This adds a DT overlay parameter 'leds_off' which allows
-to switch off the onboard activity LEDs at all times
-which has been requested by some users.
-
-Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
----
- arch/arm/boot/dts/overlays/README                      |  2 ++
- .../boot/dts/overlays/hifiberry-dacplusadc-overlay.dts |  1 +
- sound/soc/bcm/hifiberry_dacplusadc.c                   | 10 +++++++++-
- 3 files changed, 12 insertions(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -927,6 +927,8 @@ Params: 24db_digital_gain       Allow ga
-                                 that does not result in clipping/distortion!)
-         slave                   Force DAC+ Pro into slave mode, using Pi as
-                                 master for bit clock and frame clock.
-+        leds_off                If set to 'true' the onboard indicator LEDs
-+                                are switched off at all times.
- Name:   hifiberry-dacplusadcpro
---- a/arch/arm/boot/dts/overlays/hifiberry-dacplusadc-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/hifiberry-dacplusadc-overlay.dts
-@@ -67,5 +67,6 @@
-               24db_digital_gain =
-                       <&hifiberry_dacplusadc>,"hifiberry,24db_digital_gain?";
-               slave = <&hifiberry_dacplusadc>,"hifiberry-dacplusadc,slave?";
-+              leds_off = <&hifiberry_dacplusadc>,"hifiberry-dacplusadc,leds_off?";
-       };
- };
---- a/sound/soc/bcm/hifiberry_dacplusadc.c
-+++ b/sound/soc/bcm/hifiberry_dacplusadc.c
-@@ -54,6 +54,7 @@ struct pcm512x_priv {
- static bool slave;
- static bool snd_rpi_hifiberry_is_dacpro;
- static bool digital_gain_0db_limit = true;
-+static bool leds_off;
- static void snd_rpi_hifiberry_dacplusadc_select_clk(struct snd_soc_component *component,
-       int clk_id)
-@@ -175,7 +176,10 @@ static int snd_rpi_hifiberry_dacplusadc_
-       snd_soc_component_update_bits(component, PCM512x_GPIO_EN, 0x08, 0x08);
-       snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_4, 0x0f, 0x02);
--      snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
-+      if (leds_off)
-+              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x00);
-+      else
-+              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
-       if (digital_gain_0db_limit) {
-               int ret;
-@@ -254,6 +258,8 @@ static int snd_rpi_hifiberry_dacplusadc_
-       struct snd_soc_pcm_runtime *rtd = substream->private_data;
-       struct snd_soc_component *component = rtd->codec_dai->component;
-+      if (leds_off)
-+              return 0;
-       snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1,
-                                        0x08, 0x08);
-       hifiberry_dacplusadc_LED_cnt++;
-@@ -330,6 +336,8 @@ static int snd_rpi_hifiberry_dacplusadc_
-               pdev->dev.of_node, "hifiberry,24db_digital_gain");
-       slave = of_property_read_bool(pdev->dev.of_node,
-                                       "hifiberry-dacplusadc,slave");
-+      leds_off = of_property_read_bool(pdev->dev.of_node,
-+                                      "hifiberry-dacplusadc,leds_off");
-       ret = devm_snd_soc_register_card(&pdev->dev,
-                                                &snd_rpi_hifiberry_dacplusadc);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0400-bcm2838.dtsi-Use-BCM2711-PCIe-compatible-string.patch b/target/linux/bcm27xx/patches-5.4/950-0400-bcm2838.dtsi-Use-BCM2711-PCIe-compatible-string.patch
new file mode 100644 (file)
index 0000000..2f5d87b
--- /dev/null
@@ -0,0 +1,29 @@
+From 8be8dc74799fe7c0e09dfa53aa41e954ffba291c Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Fri, 12 Jul 2019 11:43:03 +0100
+Subject: [PATCH] bcm2838.dtsi: Use BCM2711 PCIe compatible string
+
+The BCM2711 PCIe controller has a limited address range in the B0
+silicon, and the driver uses a compatible string to identify the
+limitation. The current Pi 4 firmware will override the compatible
+string if it detects a downstream DTB and it is running on a newer
+revision but set the default value to enable the workaround for
+backwards-compatibility with old firmware.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/boot/dts/bcm2838.dtsi | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/bcm2838.dtsi
++++ b/arch/arm/boot/dts/bcm2838.dtsi
+@@ -314,7 +314,8 @@
+                       #interrupt-cells = <1>;
+                       #size-cells = <2>;
+                       bus-range = <0x0 0x01>;
+-                      compatible = "brcm,bcm7211-pcie", "brcm,bcm7445-pcie",
++                      compatible = "brcm,bcm2711b0-pcie", // Safe value
++                                   "brcm,bcm2711-pcie",
+                                    "brcm,pci-plat-dev";
+                       max-link-speed = <2>;
+                       tot-num-pcie = <1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0401-ARM-dts-Remove-bcm2838-rpi-4-b.dts.patch b/target/linux/bcm27xx/patches-5.4/950-0401-ARM-dts-Remove-bcm2838-rpi-4-b.dts.patch
new file mode 100644 (file)
index 0000000..10c5d23
--- /dev/null
@@ -0,0 +1,162 @@
+From 3c099a50b3d609206a86896405cfdc8a94cd7aa4 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Wed, 29 Jan 2020 11:29:06 +0000
+Subject: [PATCH] ARM: dts: Remove bcm2838-rpi-4-b.dts
+
+Upstream are not going to use the bcm2838 identifier, so begin the
+cleanup by removing the suggested upstream Pi 4 .dts file.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/boot/dts/Makefile            |   1 -
+ arch/arm/boot/dts/bcm2838-rpi-4-b.dts | 134 --------------------------
+ 2 files changed, 135 deletions(-)
+ delete mode 100644 arch/arm/boot/dts/bcm2838-rpi-4-b.dts
+
+--- a/arch/arm/boot/dts/Makefile
++++ b/arch/arm/boot/dts/Makefile
+@@ -97,7 +97,6 @@ dtb-$(CONFIG_ARCH_BCM2835) += \
+       bcm2837-rpi-3-b.dtb \
+       bcm2837-rpi-3-b-plus.dtb \
+       bcm2837-rpi-cm3-io3.dtb \
+-      bcm2838-rpi-4-b.dtb \
+       bcm2835-rpi-zero.dtb \
+       bcm2835-rpi-zero-w.dtb
+ dtb-$(CONFIG_ARCH_BCM_5301X) += \
+--- a/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
++++ /dev/null
+@@ -1,134 +0,0 @@
+-// SPDX-License-Identifier: GPL-2.0
+-/dts-v1/;
+-#include "bcm2838.dtsi"
+-#include "bcm2835-rpi.dtsi"
+-#include "bcm2838-rpi.dtsi"
+-
+-/ {
+-      compatible = "raspberrypi,4-model-b", "brcm,bcm2711";
+-      model = "Raspberry Pi 4 Model B";
+-
+-      chosen {
+-              /* 8250 auxiliary UART instead of pl011 */
+-              stdout-path = "serial1:115200n8";
+-      };
+-
+-      memory@0 {
+-              device_type = "memory";
+-              reg = <0x0 0x0 0x0>;
+-      };
+-
+-      leds {
+-              act {
+-                      gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
+-              };
+-
+-              pwr {
+-                      label = "PWR";
+-                      gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
+-              };
+-      };
+-
+-      wifi_pwrseq: wifi-pwrseq {
+-              compatible = "mmc-pwrseq-simple";
+-              reset-gpios = <&expgpio 1 GPIO_ACTIVE_LOW>;
+-      };
+-
+-      sd_io_1v8_reg: sd_io_1v8_reg {
+-              status = "okay";
+-              compatible = "regulator-gpio";
+-              vin-supply = <&vdd_5v0_reg>;
+-              regulator-name = "vdd-sd-io";
+-              regulator-min-microvolt = <1800000>;
+-              regulator-max-microvolt = <3300000>;
+-              regulator-boot-on;
+-              regulator-always-on;
+-              regulator-settling-time-us = <5000>;
+-
+-              gpios = <&expgpio 4 GPIO_ACTIVE_HIGH>;
+-              states = <1800000 0x1
+-                        3300000 0x0>;
+-      };
+-};
+-
+-&firmware {
+-      expgpio: gpio {
+-              compatible = "raspberrypi,firmware-gpio";
+-              gpio-controller;
+-              #gpio-cells = <2>;
+-              gpio-line-names = "BT_ON",
+-                                "WL_ON",
+-                                "PWR_LED_OFF",
+-                                "GLOBAL_RESET",
+-                                "VDD_SD_IO_SEL",
+-                                "CAM_GPIO",
+-                                "",
+-                                "";
+-              status = "okay";
+-      };
+-};
+-
+-&pwm1 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&pwm0_gpio40 &pwm1_gpio41>;
+-      status = "okay";
+-};
+-
+-/* SDHCI is used to control the SDIO for wireless */
+-&sdhci {
+-      #address-cells = <1>;
+-      #size-cells = <0>;
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&emmc_gpio34>;
+-      status = "okay";
+-      bus-width = <4>;
+-      non-removable;
+-      mmc-pwrseq = <&wifi_pwrseq>;
+-
+-      brcmf: wifi@1 {
+-              reg = <1>;
+-              compatible = "brcm,bcm4329-fmac";
+-      };
+-};
+-
+-/* EMMC2 is used to drive the SD card */
+-&emmc2 {
+-      status = "okay";
+-      broken-cd;
+-      vqmmc-supply = <&sd_io_1v8_reg>;
+-};
+-
+-&genet {
+-      phy-handle = <&phy1>;
+-      phy-mode = "rgmii-rxid";
+-      status = "okay";
+-};
+-
+-&genet_mdio {
+-      phy1: ethernet-phy@1 {
+-              /* No PHY interrupt */
+-              reg = <0x1>;
+-              led-modes = <0x00 0x08>; /* link/activity link */
+-      };
+-};
+-
+-/* uart0 communicates with the BT module */
+-&uart0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32>;
+-      uart-has-rtscts;
+-      status = "okay";
+-
+-      bluetooth {
+-              compatible = "brcm,bcm43438-bt";
+-              max-speed = <2000000>;
+-              shutdown-gpios = <&expgpio 0 GPIO_ACTIVE_HIGH>;
+-      };
+-};
+-
+-/* uart1 is mapped to the pin header */
+-&uart1 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&uart1_gpio14>;
+-      status = "okay";
+-};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0401-adds-LED-OFF-feature-to-HiFiBerry-DAC-DAC-PRO-sound-.patch b/target/linux/bcm27xx/patches-5.4/950-0401-adds-LED-OFF-feature-to-HiFiBerry-DAC-DAC-PRO-sound-.patch
deleted file mode 100644 (file)
index a5c3d40..0000000
+++ /dev/null
@@ -1,77 +0,0 @@
-From 4b3cdf84c4d8156c01fa02e4d511f7529cae488f Mon Sep 17 00:00:00 2001
-From: j-schambacher <joerg@i2audio.com>
-Date: Mon, 27 Jan 2020 20:58:24 +0100
-Subject: [PATCH] adds LED OFF feature to HiFiBerry DAC+/DAC+PRO sound
- cards
-
-This adds a DT overlay parameter 'leds_off' which allows
-to switch off the onboard activity LEDs at all times
-which has been requested by some users.
-
-Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
----
- arch/arm/boot/dts/overlays/README                      |  2 ++
- .../boot/dts/overlays/hifiberry-dacplus-overlay.dts    |  1 +
- sound/soc/bcm/hifiberry_dacplus.c                      | 10 +++++++++-
- 3 files changed, 12 insertions(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -906,6 +906,8 @@ Params: 24db_digital_gain       Allow ga
-                                 that does not result in clipping/distortion!)
-         slave                   Force DAC+ Pro into slave mode, using Pi as
-                                 master for bit clock and frame clock.
-+        leds_off                If set to 'true' the onboard indicator LEDs
-+                                are switched off at all times.
- Name:   hifiberry-dacplusadc
---- a/arch/arm/boot/dts/overlays/hifiberry-dacplus-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/hifiberry-dacplus-overlay.dts
-@@ -55,5 +55,6 @@
-               24db_digital_gain =
-                       <&hifiberry_dacplus>,"hifiberry,24db_digital_gain?";
-               slave = <&hifiberry_dacplus>,"hifiberry-dacplus,slave?";
-+              leds_off = <&hifiberry_dacplus>,"hifiberry-dacplus,leds_off?";
-       };
- };
---- a/sound/soc/bcm/hifiberry_dacplus.c
-+++ b/sound/soc/bcm/hifiberry_dacplus.c
-@@ -50,6 +50,7 @@ struct pcm512x_priv {
- static bool slave;
- static bool snd_rpi_hifiberry_is_dacpro;
- static bool digital_gain_0db_limit = true;
-+static bool leds_off;
- static void snd_rpi_hifiberry_dacplus_select_clk(struct snd_soc_component *component,
-       int clk_id)
-@@ -171,7 +172,10 @@ static int snd_rpi_hifiberry_dacplus_ini
-       snd_soc_component_update_bits(component, PCM512x_GPIO_EN, 0x08, 0x08);
-       snd_soc_component_update_bits(component, PCM512x_GPIO_OUTPUT_4, 0x0f, 0x02);
--      snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
-+      if (leds_off)
-+              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x00);
-+      else
-+              snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
-       if (digital_gain_0db_limit)
-       {
-@@ -249,6 +253,8 @@ static int snd_rpi_hifiberry_dacplus_sta
-       struct snd_soc_pcm_runtime *rtd = substream->private_data;
-       struct snd_soc_component *component = rtd->codec_dai->component;
-+      if (leds_off)
-+              return 0;
-       snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
-       return 0;
- }
-@@ -319,6 +325,8 @@ static int snd_rpi_hifiberry_dacplus_pro
-                       pdev->dev.of_node, "hifiberry,24db_digital_gain");
-               slave = of_property_read_bool(pdev->dev.of_node,
-                                               "hifiberry-dacplus,slave");
-+              leds_off = of_property_read_bool(pdev->dev.of_node,
-+                                              "hifiberry-dacplus,leds_off");
-       }
-       ret = devm_snd_soc_register_card(&pdev->dev,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0402-pisound-Added-reading-Pisound-board-hardware-revisio.patch b/target/linux/bcm27xx/patches-5.4/950-0402-pisound-Added-reading-Pisound-board-hardware-revisio.patch
deleted file mode 100644 (file)
index df6f526..0000000
+++ /dev/null
@@ -1,201 +0,0 @@
-From 21dace2c687d45819cb0dfc4f32f005da82d9197 Mon Sep 17 00:00:00 2001
-From: gtrainavicius <gtrainavicius@users.noreply.github.com>
-Date: Tue, 28 Jan 2020 14:16:37 +0200
-Subject: [PATCH] pisound: Added reading Pisound board hardware
- revision and exposing it (#3425)
-
-pisound: Added reading Pisound board hardware revision and exposing it in kernel log and sysfs file:
-
-/sys/kernel/pisound/hw_version
-
-Signed-off-by: Giedrius <giedrius@blokas.io>
----
- sound/soc/bcm/pisound.c | 86 ++++++++++++++++++++++++++++-------------
- 1 file changed, 59 insertions(+), 27 deletions(-)
-
---- a/sound/soc/bcm/pisound.c
-+++ b/sound/soc/bcm/pisound.c
-@@ -51,7 +51,8 @@ static void pisnd_spi_set_callback(pisnd
- static const char *pisnd_spi_get_serial(void);
- static const char *pisnd_spi_get_id(void);
--static const char *pisnd_spi_get_version(void);
-+static const char *pisnd_spi_get_fw_version(void);
-+static const char *pisnd_spi_get_hw_version(void);
- static int pisnd_midi_init(struct snd_card *card);
- static void pisnd_midi_uninit(void);
-@@ -222,7 +223,9 @@ static pisnd_spi_recv_cb g_recvCallback;
- static char g_serial_num[11];
- static char g_id[25];
--static char g_version[5];
-+enum { MAX_VERSION_STR_LEN = 6 };
-+static char g_fw_version[MAX_VERSION_STR_LEN];
-+static char g_hw_version[MAX_VERSION_STR_LEN];
- static uint8_t g_ledFlashDuration;
- static bool    g_ledFlashDurationChanged;
-@@ -558,7 +561,8 @@ static int spi_read_info(void)
-       char *p;
-       memset(g_serial_num, 0, sizeof(g_serial_num));
--      memset(g_version, 0, sizeof(g_version));
-+      memset(g_fw_version, 0, sizeof(g_fw_version));
-+      strcpy(g_hw_version, "1.0"); // Assume 1.0 hw version.
-       memset(g_id, 0, sizeof(g_id));
-       tmp = spi_transfer16(0);
-@@ -581,12 +585,28 @@ static int spi_read_info(void)
-                               return -EINVAL;
-                       snprintf(
--                              g_version,
--                              sizeof(g_version),
-+                              g_fw_version,
-+                              MAX_VERSION_STR_LEN,
-                               "%x.%02x",
-                               buffer[0],
-                               buffer[1]
-                               );
-+
-+                      g_fw_version[MAX_VERSION_STR_LEN-1] = '\0';
-+                      break;
-+              case 3:
-+                      if (n != 2)
-+                              return -EINVAL;
-+
-+                      snprintf(
-+                              g_hw_version,
-+                              MAX_VERSION_STR_LEN,
-+                              "%x.%x",
-+                              buffer[0],
-+                              buffer[1]
-+                      );
-+
-+                      g_hw_version[MAX_VERSION_STR_LEN-1] = '\0';
-                       break;
-               case 1:
-                       if (n >= sizeof(g_serial_num))
-@@ -596,12 +616,14 @@ static int spi_read_info(void)
-                       break;
-               case 2:
-                       {
--                              if (n >= sizeof(g_id))
-+                              if (n*2 >= sizeof(g_id))
-                                       return -EINVAL;
-                               p = g_id;
-                               for (j = 0; j < n; ++j)
-                                       p += sprintf(p, "%02x", buffer[j]);
-+
-+                              *p = '\0';
-                       }
-                       break;
-               default:
-@@ -619,7 +641,8 @@ static int pisnd_spi_init(struct device
-       memset(g_serial_num, 0, sizeof(g_serial_num));
-       memset(g_id, 0, sizeof(g_id));
--      memset(g_version, 0, sizeof(g_version));
-+      memset(g_fw_version, 0, sizeof(g_fw_version));
-+      memset(g_hw_version, 0, sizeof(g_hw_version));
-       spi = pisnd_spi_find_device();
-@@ -729,26 +752,22 @@ static void pisnd_spi_set_callback(pisnd
- static const char *pisnd_spi_get_serial(void)
- {
--      if (strlen(g_serial_num))
--              return g_serial_num;
--
--      return "";
-+      return g_serial_num;
- }
- static const char *pisnd_spi_get_id(void)
- {
--      if (strlen(g_id))
--              return g_id;
--
--      return "";
-+      return g_id;
- }
--static const char *pisnd_spi_get_version(void)
-+static const char *pisnd_spi_get_fw_version(void)
- {
--      if (strlen(g_version))
--              return g_version;
-+      return g_fw_version;
-+}
--      return "";
-+static const char *pisnd_spi_get_hw_version(void)
-+{
-+      return g_hw_version;
- }
- static const struct of_device_id pisound_of_match[] = {
-@@ -1056,13 +1075,22 @@ static ssize_t pisnd_id_show(
-       return sprintf(buf, "%s\n", pisnd_spi_get_id());
- }
--static ssize_t pisnd_version_show(
-+static ssize_t pisnd_fw_version_show(
-       struct kobject *kobj,
-       struct kobj_attribute *attr,
-       char *buf
-       )
- {
--      return sprintf(buf, "%s\n", pisnd_spi_get_version());
-+      return sprintf(buf, "%s\n", pisnd_spi_get_fw_version());
-+}
-+
-+static ssize_t pisnd_hw_version_show(
-+      struct kobject *kobj,
-+      struct kobj_attribute *attr,
-+      char *buf
-+)
-+{
-+      return sprintf(buf, "%s\n", pisnd_spi_get_hw_version());
- }
- static ssize_t pisnd_led_store(
-@@ -1087,15 +1115,18 @@ static struct kobj_attribute pisnd_seria
-       __ATTR(serial, 0444, pisnd_serial_show, NULL);
- static struct kobj_attribute pisnd_id_attribute =
-       __ATTR(id, 0444, pisnd_id_show, NULL);
--static struct kobj_attribute pisnd_version_attribute =
--      __ATTR(version, 0444, pisnd_version_show, NULL);
-+static struct kobj_attribute pisnd_fw_version_attribute =
-+      __ATTR(version, 0444, pisnd_fw_version_show, NULL);
-+static struct kobj_attribute pisnd_hw_version_attribute =
-+__ATTR(hw_version, 0444, pisnd_hw_version_show, NULL);
- static struct kobj_attribute pisnd_led_attribute =
-       __ATTR(led, 0644, NULL, pisnd_led_store);
- static struct attribute *attrs[] = {
-       &pisnd_serial_attribute.attr,
-       &pisnd_id_attribute.attr,
--      &pisnd_version_attribute.attr,
-+      &pisnd_fw_version_attribute.attr,
-+      &pisnd_hw_version_attribute.attr,
-       &pisnd_led_attribute.attr,
-       NULL
- };
-@@ -1114,9 +1145,10 @@ static int pisnd_probe(struct platform_d
-       }
-       printi("Detected Pisound card:\n");
--      printi("\tSerial:  %s\n", pisnd_spi_get_serial());
--      printi("\tVersion: %s\n", pisnd_spi_get_version());
--      printi("\tId:      %s\n", pisnd_spi_get_id());
-+      printi("\tSerial:           %s\n", pisnd_spi_get_serial());
-+      printi("\tFirmware Version: %s\n", pisnd_spi_get_fw_version());
-+      printi("\tHardware Version: %s\n", pisnd_spi_get_hw_version());
-+      printi("\tId:               %s\n", pisnd_spi_get_id());
-       pisnd_kobj = kobject_create_and_add("pisound", kernel_kobj);
-       if (!pisnd_kobj) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0402-tty-amba-pl011-Avoid-rare-write-when-full-error.patch b/target/linux/bcm27xx/patches-5.4/950-0402-tty-amba-pl011-Avoid-rare-write-when-full-error.patch
new file mode 100644 (file)
index 0000000..6283b83
--- /dev/null
@@ -0,0 +1,42 @@
+From 66ca4b2544dbd4f10d8f387782f5c7200d1e2167 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Wed, 29 Jan 2020 09:35:19 +0000
+Subject: [PATCH] tty: amba-pl011: Avoid rare write-when-full error
+
+Under some circumstances on BCM283x processors data loss can be
+observed - a single byte missing from the TX output stream. These bytes
+are always the last byte of a batch of 8 written from pl011_tx_chars
+when from_irq is true, meaning that the FIFO full flag is not checked
+before writing.
+
+The transmit optimisation relies on the FIFO being half-empty when the
+TX interrupt is raised. Instrumenting the driver further showed that
+the failure case correlated with the TX FIFO full flag being set at the
+point where the last byte was written to the data register, which
+explains the data loss but not how the FIFO appeared to be prematurely
+full. A possible explanation is that a FIFO write was in flight at the
+time the interrupt was raised, but as yet there is no hypothesis as to
+how this might occur.
+
+In the absence of a clear understanding of the failure mechanism, avoid
+the problem by checking the FIFO levels before writing the last byte of
+the group, which will have minimal performance impact.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/tty/serial/amba-pl011.c | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/drivers/tty/serial/amba-pl011.c
++++ b/drivers/tty/serial/amba-pl011.c
+@@ -1447,6 +1447,10 @@ static bool pl011_tx_chars(struct uart_a
+               if (likely(from_irq) && count-- == 0)
+                       break;
++              if (likely(from_irq) && count == 0 &&
++                  pl011_read(uap, REG_FR) & UART01x_FR_TXFF)
++                      break;
++
+               if (!pl011_tx_char(uap, xmit->buf[xmit->tail], from_irq))
+                       break;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0403-mmc-sdhci-iproc-Fix-vmmc-regulators-on-iProc.patch b/target/linux/bcm27xx/patches-5.4/950-0403-mmc-sdhci-iproc-Fix-vmmc-regulators-on-iProc.patch
deleted file mode 100644 (file)
index 4713dde..0000000
+++ /dev/null
@@ -1,46 +0,0 @@
-From 703920ad5199c46f98cf107c75a2de61608f85fd Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Fri, 2 Aug 2019 15:20:11 +0100
-Subject: [PATCH] mmc: sdhci-iproc: Fix vmmc regulators on iProc
-
-The Linux support for controlling card power via regulators appears to
-be contentious. I would argue that the default behaviour is contrary to
-the SDHCI spec - turning off the power writes a reserved value to the
-SD Bus Voltage Select field of the Power Control Register, which
-seems to kill the Arasan/iProc controller - but fortunately there is a
-hook in sdhci_ops to override the behaviour. Borrow the implementation
-from sdhci_arasan_set_power.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/mmc/host/sdhci-iproc.c | 12 ++++++++++++
- 1 file changed, 12 insertions(+)
-
---- a/drivers/mmc/host/sdhci-iproc.c
-+++ b/drivers/mmc/host/sdhci-iproc.c
-@@ -173,6 +173,17 @@ static unsigned int sdhci_iproc_get_max_
-               return pltfm_host->clock;
- }
-+static void sdhci_iproc_set_power(struct sdhci_host *host, unsigned char mode,
-+                                unsigned short vdd)
-+{
-+      if (!IS_ERR(host->mmc->supply.vmmc)) {
-+              struct mmc_host *mmc = host->mmc;
-+
-+              mmc_regulator_set_ocr(mmc, mmc->supply.vmmc, vdd);
-+      }
-+      sdhci_set_power_noreg(host, mode, vdd);
-+}
-+
- static const struct sdhci_ops sdhci_iproc_ops = {
-       .set_clock = sdhci_set_clock,
-       .get_max_clock = sdhci_iproc_get_max_clock,
-@@ -190,6 +201,7 @@ static const struct sdhci_ops sdhci_ipro
-       .write_b = sdhci_iproc_writeb,
-       .set_clock = sdhci_set_clock,
-       .get_max_clock = sdhci_iproc_get_max_clock,
-+      .set_power = sdhci_iproc_set_power,
-       .set_bus_width = sdhci_set_bus_width,
-       .reset = sdhci_reset,
-       .set_uhs_signaling = sdhci_set_uhs_signaling,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0403-usb-xhci-Raspberry-Pi-FW-loader-for-VIA-VL805.patch b/target/linux/bcm27xx/patches-5.4/950-0403-usb-xhci-Raspberry-Pi-FW-loader-for-VIA-VL805.patch
new file mode 100644 (file)
index 0000000..06e979a
--- /dev/null
@@ -0,0 +1,84 @@
+From 333c4158645fe8aaacbd644bcdf7bc4c5b93cc26 Mon Sep 17 00:00:00 2001
+From: Tim Gover <990920+timg236@users.noreply.github.com>
+Date: Wed, 15 Jan 2020 11:26:19 +0000
+Subject: [PATCH] usb: xhci: Raspberry Pi FW loader for VIA VL805
+
+The VL805 FW may either be loaded from an SPI EEPROM or alternatively
+loaded directly by the VideoCore firmware. A PCI reset will reset
+the VL805 XHCI controller on the Raspberry Pi4 requiring the firmware
+to be reloaded if an SPI EEPROM is not present.
+
+Use a VideoCore mailbox to trigger the loading of the VL805
+firmware (if necessary) after a PCI reset.
+
+Signed-off-by: Tim Gover <tim.gover@raspberrypi.org>
+---
+ drivers/usb/host/pci-quirks.c              | 31 +++++++++++++++++++++-
+ include/soc/bcm2835/raspberrypi-firmware.h |  2 +-
+ 2 files changed, 31 insertions(+), 2 deletions(-)
+
+--- a/drivers/usb/host/pci-quirks.c
++++ b/drivers/usb/host/pci-quirks.c
+@@ -18,7 +18,7 @@
+ #include <linux/dmi.h>
+ #include "pci-quirks.h"
+ #include "xhci-ext-caps.h"
+-
++#include <soc/bcm2835/raspberrypi-firmware.h>
+ #define UHCI_USBLEGSUP                0xc0            /* legacy support */
+ #define UHCI_USBCMD           0               /* command register */
+@@ -634,6 +634,32 @@ EXPORT_SYMBOL_GPL(usb_amd_pt_check_port)
+ #endif /* CONFIG_PCI_DISABLE_COMMON_QUIRKS */
++/* The VL805 firmware may either be loaded from an EEPROM or by the BIOS into
++ * memory. If run from memory it must be reloaded after a PCI fundmental reset.
++ * The Raspberry Pi firmware acts as the BIOS in this case.
++ */
++static void usb_vl805_init(struct pci_dev *pdev)
++{
++#if IS_ENABLED(CONFIG_RASPBERRYPI_FIRMWARE)
++      struct rpi_firmware *fw;
++      struct {
++              u32 dev_addr;
++      } packet;
++      int ret;
++
++      fw = rpi_firmware_get(NULL);
++      if (!fw)
++              return;
++
++      packet.dev_addr = (pdev->bus->number << 20) |
++              (PCI_SLOT(pdev->devfn) << 15) | (PCI_FUNC(pdev->devfn) << 12);
++
++      dev_dbg(&pdev->dev, "RPI_FIRMWARE_NOTIFY_XHCI_RESET %x", packet.dev_addr);
++      ret = rpi_firmware_property(fw, RPI_FIRMWARE_NOTIFY_XHCI_RESET,
++                      &packet, sizeof(packet));
++#endif
++}
++
+ #if IS_ENABLED(CONFIG_USB_UHCI_HCD)
+ /*
+@@ -1222,6 +1248,9 @@ hc_init:
+       if (pdev->vendor == PCI_VENDOR_ID_INTEL)
+               usb_enable_intel_xhci_ports(pdev);
++      if (pdev->vendor == PCI_VENDOR_ID_VIA && pdev->device == 0x3483)
++              usb_vl805_init(pdev);
++
+       op_reg_base = base + XHCI_HC_LENGTH(readl(base));
+       /* Wait for the host controller to be ready before writing any
+--- a/include/soc/bcm2835/raspberrypi-firmware.h
++++ b/include/soc/bcm2835/raspberrypi-firmware.h
+@@ -95,7 +95,7 @@ enum rpi_firmware_property_tag {
+       RPI_FIRMWARE_SET_PERIPH_REG =                         0x00038045,
+       RPI_FIRMWARE_GET_POE_HAT_VAL =                        0x00030049,
+       RPI_FIRMWARE_SET_POE_HAT_VAL =                        0x00030050,
+-
++      RPI_FIRMWARE_NOTIFY_XHCI_RESET =                      0x00030058,
+       /* Dispmanx TAGS */
+       RPI_FIRMWARE_FRAMEBUFFER_ALLOCATE =                   0x00040001,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0404-ARM-dts-Declare-RPi-4B-SD-card-power-regulator.patch b/target/linux/bcm27xx/patches-5.4/950-0404-ARM-dts-Declare-RPi-4B-SD-card-power-regulator.patch
deleted file mode 100644 (file)
index a69feb5..0000000
+++ /dev/null
@@ -1,41 +0,0 @@
-From ade82688b687b3340ca5e7883646ad51291d49cd Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Tue, 30 Jul 2019 12:37:02 +0100
-Subject: [PATCH] ARM: dts: Declare RPi 4B SD card power regulator
-
-Later revisions of the Raspberry Pi 4B have a separate control over the
-SD card power. Expose that control to Linux as a fixed regulator with
-a GPIO enable.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 11 +++++++++++
- 3 files changed, 13 insertions(+), 2 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -122,6 +122,16 @@
-               states = <1800000 0x1
-                         3300000 0x0>;
-       };
-+
-+      sd_vcc_reg: sd_vcc_reg {
-+              compatible = "regulator-fixed";
-+              regulator-name = "vcc-sd";
-+              regulator-min-microvolt = <3300000>;
-+              regulator-max-microvolt = <3300000>;
-+              regulator-boot-on;
-+              enable-active-high;
-+              gpio = <&expgpio 6 GPIO_ACTIVE_HIGH>;
-+      };
- };
- &sdhost {
-@@ -132,6 +142,7 @@
-       status = "okay";
-       broken-cd;
-       vqmmc-supply = <&sd_io_1v8_reg>;
-+      vmmc-supply = <&sd_vcc_reg>;
- };
- &genet {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0404-overlays-Correct-the-eth_led-colour-assignments.patch b/target/linux/bcm27xx/patches-5.4/950-0404-overlays-Correct-the-eth_led-colour-assignments.patch
new file mode 100644 (file)
index 0000000..f1f3290
--- /dev/null
@@ -0,0 +1,51 @@
+From b058c3c898472ad8799bba29365c3295fdd24970 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Wed, 29 Jan 2020 14:32:51 +0000
+Subject: [PATCH] overlays: Correct the eth_led* colour assignments
+
+See: https://github.com/raspberrypi/firmware/issues/1311
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/boot/dts/overlays/README | 17 +++++++++--------
+ 1 file changed, 9 insertions(+), 8 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -109,27 +109,28 @@ Params:
+                                 Legal values are 2, 3, 4, 5 and 0, where
+                                 0 means never downshift (default 2). Pi3B+ only.
+-        eth_led0                Set mode of LED0 (usually orange). The legal
+-                                values are:
++        eth_led0                Set mode of LED0 - amber on Pi3B+ (default "1"),
++                                green on Pi4 (default "0").
++                                The legal values are:
+                                 Pi3B+
+-                                0=link/activity    1=link1000/activity (default)
++                                0=link/activity          1=link1000/activity
+                                 2=link100/activity       3=link10/activity
+                                 4=link100/1000/activity  5=link10/1000/activity
+                                 6=link10/100/activity    14=off    15=on
+                                 Pi4
+-                                0=Speed/Activity (default)       1=Speed
+-                                2=Speed/Flash activity   3=FDX
++                                0=Speed/Activity         1=Speed
++                                2=Flash activity         3=FDX
+                                 4=Off                    5=On
+                                 6=Alt                    7=Speed/Flash
+                                 8=Link                   9=Activity
+-        eth_led1                Set mode of LED1 (usually green) (Pi3B+ default
+-                                "6", Pi4 default "8"). See eth_led0 for legal
+-                                values.
++        eth_led1                Set mode of LED1 - green on Pi3B (default "6"),
++                                amber on Pi4 (default "8"). See eth_led0 for
++                                legal values.
+         eth_max_speed           Set the maximum speed a link is allowed
+                                 to negotiate. Legal values are 10, 100 and
diff --git a/target/linux/bcm27xx/patches-5.4/950-0405-ARM-dts-Add-sd_poll_once-dtparam-to-bcm283x-2711.patch b/target/linux/bcm27xx/patches-5.4/950-0405-ARM-dts-Add-sd_poll_once-dtparam-to-bcm283x-2711.patch
new file mode 100644 (file)
index 0000000..fef644e
--- /dev/null
@@ -0,0 +1,59 @@
+From 3ef2bbe381adc17d135f8f9b22a43a242eb80c63 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Thu, 30 Jan 2020 09:47:00 +0000
+Subject: [PATCH] ARM: dts: Add sd_poll_once dtparam to bcm283x/2711
+
+The old sdtweak overlay allowed the SD interface to be effectively
+disabled unless there was a card present at boot time, but that
+overlay doesn't work on bcm2711 and has largely been replaced by
+a set of sd_* dtparams (which have the advantage of being board-
+specific.
+
+Add an sd_poll_once dtparam to allow the same functionality on
+all Raspberry Pi boards.
+
+See: https://github.com/raspberrypi/linux/issues/3286
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/boot/dts/bcm2708-rpi.dtsi    | 1 +
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 2 ++
+ arch/arm/boot/dts/overlays/README     | 7 +++++++
+ 3 files changed, 10 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2708-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2708-rpi.dtsi
+@@ -92,6 +92,7 @@
+               watchdog = <&watchdog>,"status";
+               random = <&random>,"status";
+               sd_overclock = <&sdhost>,"brcm,overclock-50:0";
++              sd_poll_once = <&sdhost>,"non-removable?";
+               sd_force_pio = <&sdhost>,"brcm,force-pio?";
+               sd_pio_limit = <&sdhost>,"brcm,pio-limit:0";
+               sd_debug     = <&sdhost>,"brcm,debug";
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -363,5 +363,7 @@
+               eth_led0 = <&phy1>,"led-modes:0";
+               eth_led1 = <&phy1>,"led-modes:4";
++
++              sd_poll_once = <&emmc2>, "non-removable?";
+       };
+ };
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -165,6 +165,13 @@ Params:
+         sd_overclock            Clock (in MHz) to use when the MMC framework
+                                 requests 50MHz
++        sd_poll_once            Looks for a card once after booting. Useful
++                                for network booting scenarios to avoid the
++                                overhead of continuous polling. N.B. Using
++                                this option restricts the system to using a
++                                single card per boot (or none at all).
++                                (default off)
++
+         sd_force_pio            Disable DMA support for SD driver (default off)
+         sd_pio_limit            Number of blocks above which to use DMA for
diff --git a/target/linux/bcm27xx/patches-5.4/950-0405-bcm2838.dtsi-Use-BCM2711-PCIe-compatible-string.patch b/target/linux/bcm27xx/patches-5.4/950-0405-bcm2838.dtsi-Use-BCM2711-PCIe-compatible-string.patch
deleted file mode 100644 (file)
index 2f5d87b..0000000
+++ /dev/null
@@ -1,29 +0,0 @@
-From 8be8dc74799fe7c0e09dfa53aa41e954ffba291c Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Fri, 12 Jul 2019 11:43:03 +0100
-Subject: [PATCH] bcm2838.dtsi: Use BCM2711 PCIe compatible string
-
-The BCM2711 PCIe controller has a limited address range in the B0
-silicon, and the driver uses a compatible string to identify the
-limitation. The current Pi 4 firmware will override the compatible
-string if it detects a downstream DTB and it is running on a newer
-revision but set the default value to enable the workaround for
-backwards-compatibility with old firmware.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/boot/dts/bcm2838.dtsi | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/bcm2838.dtsi
-+++ b/arch/arm/boot/dts/bcm2838.dtsi
-@@ -314,7 +314,8 @@
-                       #interrupt-cells = <1>;
-                       #size-cells = <2>;
-                       bus-range = <0x0 0x01>;
--                      compatible = "brcm,bcm7211-pcie", "brcm,bcm7445-pcie",
-+                      compatible = "brcm,bcm2711b0-pcie", // Safe value
-+                                   "brcm,bcm2711-pcie",
-                                    "brcm,pci-plat-dev";
-                       max-link-speed = <2>;
-                       tot-num-pcie = <1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0406-ARM-dts-Remove-bcm2838-rpi-4-b.dts.patch b/target/linux/bcm27xx/patches-5.4/950-0406-ARM-dts-Remove-bcm2838-rpi-4-b.dts.patch
deleted file mode 100644 (file)
index 10c5d23..0000000
+++ /dev/null
@@ -1,162 +0,0 @@
-From 3c099a50b3d609206a86896405cfdc8a94cd7aa4 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Wed, 29 Jan 2020 11:29:06 +0000
-Subject: [PATCH] ARM: dts: Remove bcm2838-rpi-4-b.dts
-
-Upstream are not going to use the bcm2838 identifier, so begin the
-cleanup by removing the suggested upstream Pi 4 .dts file.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/boot/dts/Makefile            |   1 -
- arch/arm/boot/dts/bcm2838-rpi-4-b.dts | 134 --------------------------
- 2 files changed, 135 deletions(-)
- delete mode 100644 arch/arm/boot/dts/bcm2838-rpi-4-b.dts
-
---- a/arch/arm/boot/dts/Makefile
-+++ b/arch/arm/boot/dts/Makefile
-@@ -97,7 +97,6 @@ dtb-$(CONFIG_ARCH_BCM2835) += \
-       bcm2837-rpi-3-b.dtb \
-       bcm2837-rpi-3-b-plus.dtb \
-       bcm2837-rpi-cm3-io3.dtb \
--      bcm2838-rpi-4-b.dtb \
-       bcm2835-rpi-zero.dtb \
-       bcm2835-rpi-zero-w.dtb
- dtb-$(CONFIG_ARCH_BCM_5301X) += \
---- a/arch/arm/boot/dts/bcm2838-rpi-4-b.dts
-+++ /dev/null
-@@ -1,134 +0,0 @@
--// SPDX-License-Identifier: GPL-2.0
--/dts-v1/;
--#include "bcm2838.dtsi"
--#include "bcm2835-rpi.dtsi"
--#include "bcm2838-rpi.dtsi"
--
--/ {
--      compatible = "raspberrypi,4-model-b", "brcm,bcm2711";
--      model = "Raspberry Pi 4 Model B";
--
--      chosen {
--              /* 8250 auxiliary UART instead of pl011 */
--              stdout-path = "serial1:115200n8";
--      };
--
--      memory@0 {
--              device_type = "memory";
--              reg = <0x0 0x0 0x0>;
--      };
--
--      leds {
--              act {
--                      gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
--              };
--
--              pwr {
--                      label = "PWR";
--                      gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
--              };
--      };
--
--      wifi_pwrseq: wifi-pwrseq {
--              compatible = "mmc-pwrseq-simple";
--              reset-gpios = <&expgpio 1 GPIO_ACTIVE_LOW>;
--      };
--
--      sd_io_1v8_reg: sd_io_1v8_reg {
--              status = "okay";
--              compatible = "regulator-gpio";
--              vin-supply = <&vdd_5v0_reg>;
--              regulator-name = "vdd-sd-io";
--              regulator-min-microvolt = <1800000>;
--              regulator-max-microvolt = <3300000>;
--              regulator-boot-on;
--              regulator-always-on;
--              regulator-settling-time-us = <5000>;
--
--              gpios = <&expgpio 4 GPIO_ACTIVE_HIGH>;
--              states = <1800000 0x1
--                        3300000 0x0>;
--      };
--};
--
--&firmware {
--      expgpio: gpio {
--              compatible = "raspberrypi,firmware-gpio";
--              gpio-controller;
--              #gpio-cells = <2>;
--              gpio-line-names = "BT_ON",
--                                "WL_ON",
--                                "PWR_LED_OFF",
--                                "GLOBAL_RESET",
--                                "VDD_SD_IO_SEL",
--                                "CAM_GPIO",
--                                "",
--                                "";
--              status = "okay";
--      };
--};
--
--&pwm1 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&pwm0_gpio40 &pwm1_gpio41>;
--      status = "okay";
--};
--
--/* SDHCI is used to control the SDIO for wireless */
--&sdhci {
--      #address-cells = <1>;
--      #size-cells = <0>;
--      pinctrl-names = "default";
--      pinctrl-0 = <&emmc_gpio34>;
--      status = "okay";
--      bus-width = <4>;
--      non-removable;
--      mmc-pwrseq = <&wifi_pwrseq>;
--
--      brcmf: wifi@1 {
--              reg = <1>;
--              compatible = "brcm,bcm4329-fmac";
--      };
--};
--
--/* EMMC2 is used to drive the SD card */
--&emmc2 {
--      status = "okay";
--      broken-cd;
--      vqmmc-supply = <&sd_io_1v8_reg>;
--};
--
--&genet {
--      phy-handle = <&phy1>;
--      phy-mode = "rgmii-rxid";
--      status = "okay";
--};
--
--&genet_mdio {
--      phy1: ethernet-phy@1 {
--              /* No PHY interrupt */
--              reg = <0x1>;
--              led-modes = <0x00 0x08>; /* link/activity link */
--      };
--};
--
--/* uart0 communicates with the BT module */
--&uart0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32>;
--      uart-has-rtscts;
--      status = "okay";
--
--      bluetooth {
--              compatible = "brcm,bcm43438-bt";
--              max-speed = <2000000>;
--              shutdown-gpios = <&expgpio 0 GPIO_ACTIVE_HIGH>;
--      };
--};
--
--/* uart1 is mapped to the pin header */
--&uart1 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&uart1_gpio14>;
--      status = "okay";
--};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0406-overlays-Add-ssd1306-spi-ssh1106-spi-ssd-1351-spi.patch b/target/linux/bcm27xx/patches-5.4/950-0406-overlays-Add-ssd1306-spi-ssh1106-spi-ssd-1351-spi.patch
new file mode 100644 (file)
index 0000000..77bf63e
--- /dev/null
@@ -0,0 +1,353 @@
+From fe90ee51b283f7cbbce9980b76b3da8b31d39c60 Mon Sep 17 00:00:00 2001
+From: MikeDK <m.kaplan@evva.com>
+Date: Fri, 31 Jan 2020 10:57:21 +0100
+Subject: [PATCH] overlays: Add ssd1306-spi, ssh1106-spi, ssd-1351-spi
+
+Add overlays for SSD1306, SH1106 and SSD1351 based OLED displays.
+SH1106 is present in many 1.3 inch OLEDs and SSD1351 is present in
+1.5 inch RGB OLEDs from AliExpress.
+
+This will load the staging fbtft drivers.
+
+Signed-off-by: Michael Kaplan <m.kaplan@evva.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  3 +
+ arch/arm/boot/dts/overlays/README             | 35 ++++++++
+ .../boot/dts/overlays/sh1106-spi-overlay.dts  | 84 +++++++++++++++++++
+ .../boot/dts/overlays/ssd1306-spi-overlay.dts | 84 +++++++++++++++++++
+ .../boot/dts/overlays/ssd1351-spi-overlay.dts | 83 ++++++++++++++++++
+ 5 files changed, 289 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/sh1106-spi-overlay.dts
+ create mode 100644 arch/arm/boot/dts/overlays/ssd1306-spi-overlay.dts
+ create mode 100644 arch/arm/boot/dts/overlays/ssd1351-spi-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -145,6 +145,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       sdhost.dtbo \
+       sdio.dtbo \
+       sdtweak.dtbo \
++      sh1106-spi.dtbo \
+       smi.dtbo \
+       smi-dev.dtbo \
+       smi-nand.dtbo \
+@@ -168,6 +169,8 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       spi6-1cs.dtbo \
+       spi6-2cs.dtbo \
+       ssd1306.dtbo \
++      ssd1306-spi.dtbo \
++      ssd1351-spi.dtbo \
+       superaudioboard.dtbo \
+       sx150x.dtbo \
+       tc358743.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2145,6 +2145,18 @@ Params: overclock_50            Clock (i
+                                 (default on)
++Name:   sh1106-spi
++Info:   Overlay for SH1106 OLED via SPI using fbtft staging driver.
++Load:   dtoverlay=sh1106-spi,<param>=<val>
++Params: speed                   SPI bus speed (default 4000000)
++        rotate                  Display rotation (0, 90, 180 or 270; default 0)
++        fps                     Delay between frame updates (default 25)
++        debug                   Debug output level (0-7; default 0)
++        dc_pin                  GPIO pin for D/C (default 24)
++        reset_pin               GPIO pin for RESET (default 25)
++        height                  Display height (32 or 64; default 64)
++
++
+ Name:   smi
+ Info:   Enables the Secondary Memory Interface peripheral. Uses GPIOs 2-25!
+ Load:   dtoverlay=smi
+@@ -2428,6 +2440,29 @@ Params: address                 Location
+         https://cdn-shop.adafruit.com/datasheets/SSD1306.pdf
++Name:   ssd1306-spi
++Info:   Overlay for SSD1306 OLED via SPI using fbtft staging driver.
++Load:   dtoverlay=ssd1306-spi,<param>=<val>
++Params: speed                   SPI bus speed (default 10000000)
++        rotate                  Display rotation (0, 90, 180 or 270; default 0)
++        fps                     Delay between frame updates (default 25)
++        debug                   Debug output level (0-7; default 0)
++        dc_pin                  GPIO pin for D/C (default 24)
++        reset_pin               GPIO pin for RESET (default 25)
++        height                  Display height (32 or 64; default 64)
++
++
++Name:   ssd1351-spi
++Info:   Overlay for SSD1351 OLED via SPI using fbtft staging driver.
++Load:   dtoverlay=ssd1351-spi,<param>=<val>
++Params: speed                   SPI bus speed (default 4500000)
++        rotate                  Display rotation (0, 90, 180 or 270; default 0)
++        fps                     Delay between frame updates (default 25)
++        debug                   Debug output level (0-7; default 0)
++        dc_pin                  GPIO pin for D/C (default 24)
++        reset_pin               GPIO pin for RESET (default 25)
++
++
+ Name:   superaudioboard
+ Info:   Configures the SuperAudioBoard sound card
+ Load:   dtoverlay=superaudioboard,<param>=<val>
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/sh1106-spi-overlay.dts
+@@ -0,0 +1,84 @@
++/*
++ * Device Tree overlay for SH1106 based SPI OLED display
++ *
++ */
++
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&spi0>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@1 {
++              target = <&spidev0>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@2 {
++              target = <&spidev1>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@3 {
++              target = <&gpio>;
++              __overlay__ {
++                      sh1106_pins: sh1106_pins {
++                                brcm,pins = <25 24>;
++                                brcm,function = <1 1>; /* out out */
++                      };
++              };
++      };
++
++      fragment@4 {
++              target = <&spi0>;
++              __overlay__ {
++                      /* needed to avoid dtc warning */
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      sh1106: sh1106@0{
++                              compatible = "sinowealth,sh1106";
++                              reg = <0>;
++                              pinctrl-names = "default";
++                              pinctrl-0 = <&sh1106_pins>;
++
++                              spi-max-frequency = <4000000>;
++                              bgr = <0>;
++                              bpp = <1>;
++                              rotate = <0>;
++                              fps = <25>;
++                              buswidth = <8>;
++                              reset-gpios = <&gpio 25 0>;
++                              dc-gpios = <&gpio 24 0>;
++                              debug = <0>;
++
++                              sinowealth,height = <64>;
++                              sinowealth,width = <128>;
++                              sinowealth,page-offset = <0>;
++                      };
++              };
++      };
++
++      __overrides__ {
++              speed     = <&sh1106>,"spi-max-frequency:0";
++              rotate    = <&sh1106>,"rotate:0";
++              fps       = <&sh1106>,"fps:0";
++              debug     = <&sh1106>,"debug:0";
++              dc_pin    = <&sh1106>,"dc-gpios:4",
++                          <&sh1106_pins>,"brcm,pins:4";
++              reset_pin = <&sh1106>,"reset-gpios:4",
++                          <&sh1106_pins>,"brcm,pins:0";
++              height    = <&sh1106>,"sinowealth,height:0";
++      };
++};
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/ssd1306-spi-overlay.dts
+@@ -0,0 +1,84 @@
++/*
++ * Device Tree overlay for SSD1306 based SPI OLED display
++ *
++ */
++
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&spi0>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@1 {
++              target = <&spidev0>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@2 {
++              target = <&spidev1>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@3 {
++              target = <&gpio>;
++              __overlay__ {
++                      ssd1306_pins: ssd1306_pins {
++                                brcm,pins = <25 24>;
++                                brcm,function = <1 1>; /* out out */
++                      };
++              };
++      };
++
++      fragment@4 {
++              target = <&spi0>;
++              __overlay__ {
++                      /* needed to avoid dtc warning */
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      ssd1306: ssd1306@0{
++                              compatible = "solomon,ssd1306";
++                              reg = <0>;
++                              pinctrl-names = "default";
++                              pinctrl-0 = <&ssd1306_pins>;
++
++                              spi-max-frequency = <10000000>;
++                              bgr = <0>;
++                              bpp = <1>;
++                              rotate = <0>;
++                              fps = <25>;
++                              buswidth = <8>;
++                              reset-gpios = <&gpio 25 0>;
++                              dc-gpios = <&gpio 24 0>;
++                              debug = <0>;
++
++                              solomon,height = <64>;
++                              solomon,width = <128>;
++                              solomon,page-offset = <0>;
++                      };
++              };
++      };
++
++      __overrides__ {
++              speed     = <&ssd1306>,"spi-max-frequency:0";
++              rotate    = <&ssd1306>,"rotate:0";
++              fps       = <&ssd1306>,"fps:0";
++              debug     = <&ssd1306>,"debug:0";
++              dc_pin    = <&ssd1306>,"dc-gpios:4",
++                          <&ssd1306_pins>,"brcm,pins:4";
++              reset_pin = <&ssd1306>,"reset-gpios:4",
++                          <&ssd1306_pins>,"brcm,pins:0";
++              height    = <&ssd1306>,"solomon,height:0";
++      };
++};
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/ssd1351-spi-overlay.dts
+@@ -0,0 +1,83 @@
++/*
++ * Device Tree overlay for SSD1351 based SPI OLED display
++ *
++ */
++
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&spi0>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@1 {
++              target = <&spidev0>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@2 {
++              target = <&spidev1>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@3 {
++              target = <&gpio>;
++              __overlay__ {
++                      ssd1351_pins: ssd1351_pins {
++                                brcm,pins = <25 24>;
++                                brcm,function = <1 1>; /* out out */
++                      };
++              };
++      };
++
++      fragment@4 {
++              target = <&spi0>;
++              __overlay__ {
++                      /* needed to avoid dtc warning */
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      ssd1351: ssd1351@0{
++                              compatible = "solomon,ssd1351";
++                              reg = <0>;
++                              pinctrl-names = "default";
++                              pinctrl-0 = <&ssd1351_pins>;
++
++                              spi-max-frequency = <4500000>;
++                              bgr = <0>;
++                              bpp = <16>;
++                              rotate = <0>;
++                              fps = <25>;
++                              buswidth = <8>;
++                              reset-gpios = <&gpio 25 0>;
++                              dc-gpios = <&gpio 24 0>;
++                              debug = <0>;
++
++                              solomon,height = <128>;
++                              solomon,width = <128>;
++                              solomon,page-offset = <0>;
++                      };
++              };
++      };
++
++      __overrides__ {
++              speed     = <&ssd1351>,"spi-max-frequency:0";
++              rotate    = <&ssd1351>,"rotate:0";
++              fps       = <&ssd1351>,"fps:0";
++              debug     = <&ssd1351>,"debug:0";
++              dc_pin    = <&ssd1351>,"dc-gpios:4",
++                          <&ssd1351_pins>,"brcm,pins:4";
++              reset_pin = <&ssd1351>,"reset-gpios:4",
++                          <&ssd1351_pins>,"brcm,pins:0";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0407-overlays-dwc2-Increase-RX-FIFO-size.patch b/target/linux/bcm27xx/patches-5.4/950-0407-overlays-dwc2-Increase-RX-FIFO-size.patch
new file mode 100644 (file)
index 0000000..23bc39b
--- /dev/null
@@ -0,0 +1,46 @@
+From 1257716d9bae9730c43c636046983f5d80c4efc8 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 4 Feb 2020 13:03:21 +0000
+Subject: [PATCH] overlays: dwc2: Increase RX FIFO size
+
+The previous version of the dwc2 overlay set the RX FIFO size to
+256 4-byte words. This sounds large enough for a 1024 byte packet (the
+largest isochronous high speed packet allowed), but it doesn't take
+into account some extra space needed by the hardware.
+
+Minas Harutyunyan at Synopsys (the source of the DWC OTG design)
+came up with a more correct value, 301, but since there is spare packet
+RAM this can be increased to 558 to allow two packets per frame.
+
+Also update the upstream overlay to match.
+
+See: https://github.com/raspberrypi/linux/issues/3447
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/dwc2-overlay.dts     | 2 +-
+ arch/arm/boot/dts/overlays/upstream-overlay.dts | 2 +-
+ 2 files changed, 2 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/dwc2-overlay.dts
++++ b/arch/arm/boot/dts/overlays/dwc2-overlay.dts
+@@ -12,7 +12,7 @@
+                       compatible = "brcm,bcm2835-usb";
+                       dr_mode = "otg";
+                       g-np-tx-fifo-size = <32>;
+-                      g-rx-fifo-size = <256>;
++                      g-rx-fifo-size = <558>;
+                       g-tx-fifo-size = <512 512 512 512 512 256 256>;
+                       status = "okay";
+               };
+--- a/arch/arm/boot/dts/overlays/upstream-overlay.dts
++++ b/arch/arm/boot/dts/overlays/upstream-overlay.dts
+@@ -123,7 +123,7 @@
+                       compatible = "brcm,bcm2835-usb";
+                       dr_mode = "otg";
+                       g-np-tx-fifo-size = <32>;
+-                      g-rx-fifo-size = <256>;
++                      g-rx-fifo-size = <558>;
+                       g-tx-fifo-size = <512 512 512 512 512 256 256>;
+                       status = "okay";
+               };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0407-tty-amba-pl011-Avoid-rare-write-when-full-error.patch b/target/linux/bcm27xx/patches-5.4/950-0407-tty-amba-pl011-Avoid-rare-write-when-full-error.patch
deleted file mode 100644 (file)
index 6283b83..0000000
+++ /dev/null
@@ -1,42 +0,0 @@
-From 66ca4b2544dbd4f10d8f387782f5c7200d1e2167 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Wed, 29 Jan 2020 09:35:19 +0000
-Subject: [PATCH] tty: amba-pl011: Avoid rare write-when-full error
-
-Under some circumstances on BCM283x processors data loss can be
-observed - a single byte missing from the TX output stream. These bytes
-are always the last byte of a batch of 8 written from pl011_tx_chars
-when from_irq is true, meaning that the FIFO full flag is not checked
-before writing.
-
-The transmit optimisation relies on the FIFO being half-empty when the
-TX interrupt is raised. Instrumenting the driver further showed that
-the failure case correlated with the TX FIFO full flag being set at the
-point where the last byte was written to the data register, which
-explains the data loss but not how the FIFO appeared to be prematurely
-full. A possible explanation is that a FIFO write was in flight at the
-time the interrupt was raised, but as yet there is no hypothesis as to
-how this might occur.
-
-In the absence of a clear understanding of the failure mechanism, avoid
-the problem by checking the FIFO levels before writing the last byte of
-the group, which will have minimal performance impact.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/tty/serial/amba-pl011.c | 4 ++++
- 1 file changed, 4 insertions(+)
-
---- a/drivers/tty/serial/amba-pl011.c
-+++ b/drivers/tty/serial/amba-pl011.c
-@@ -1447,6 +1447,10 @@ static bool pl011_tx_chars(struct uart_a
-               if (likely(from_irq) && count-- == 0)
-                       break;
-+              if (likely(from_irq) && count == 0 &&
-+                  pl011_read(uap, REG_FR) & UART01x_FR_TXFF)
-+                      break;
-+
-               if (!pl011_tx_char(uap, xmit->buf[xmit->tail], from_irq))
-                       break;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0408-overlays-Fix-mcp23017-s-addr-parameter.patch b/target/linux/bcm27xx/patches-5.4/950-0408-overlays-Fix-mcp23017-s-addr-parameter.patch
new file mode 100644 (file)
index 0000000..29ad872
--- /dev/null
@@ -0,0 +1,46 @@
+From 9fa750db2d682fa2c124dae609d05d15f93a5e52 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 4 Feb 2020 15:22:55 +0000
+Subject: [PATCH] overlays: Fix mcp23017's addr parameter
+
+The addr parameter of the mcp23017 overlay was broken by the addition
+of the noints parameter; splitting the mcp node in two without also
+modifying the second half from the addr parameter would cause the two
+halves to separate. Change the implementation strategy to patch
+fragment 2 (as was originally proposed). This will prevent the
+overlay from being applied at runtime until the "dtoverlay" command
+is improved, but the overlay already has this restriction due to
+fragment 3 so this isn't a step backwards.
+
+See: https://github.com/raspberrypi/linux/issues/3449
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/mcp23017-overlay.dts | 16 +++++++---------
+ 1 file changed, 7 insertions(+), 9 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/mcp23017-overlay.dts
++++ b/arch/arm/boot/dts/overlays/mcp23017-overlay.dts
+@@ -48,15 +48,13 @@
+       };
+       fragment@4 {
+-              target = <&i2c1>;
+-              __overlay__ {
+-                      mcp23017_irq: mcp@20 {
+-                              #interrupt-cells=<2>;
+-                              interrupt-parent = <&gpio>;
+-                              interrupts = <4 2>;
+-                              interrupt-controller;
+-                              microchip,irq-mirror;
+-                      };
++              target = <&mcp23017>;
++              mcp23017_irq: __overlay__ {
++                      #interrupt-cells=<2>;
++                      interrupt-parent = <&gpio>;
++                      interrupts = <4 2>;
++                      interrupt-controller;
++                      microchip,irq-mirror;
+               };
+       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0408-usb-xhci-Raspberry-Pi-FW-loader-for-VIA-VL805.patch b/target/linux/bcm27xx/patches-5.4/950-0408-usb-xhci-Raspberry-Pi-FW-loader-for-VIA-VL805.patch
deleted file mode 100644 (file)
index 06e979a..0000000
+++ /dev/null
@@ -1,84 +0,0 @@
-From 333c4158645fe8aaacbd644bcdf7bc4c5b93cc26 Mon Sep 17 00:00:00 2001
-From: Tim Gover <990920+timg236@users.noreply.github.com>
-Date: Wed, 15 Jan 2020 11:26:19 +0000
-Subject: [PATCH] usb: xhci: Raspberry Pi FW loader for VIA VL805
-
-The VL805 FW may either be loaded from an SPI EEPROM or alternatively
-loaded directly by the VideoCore firmware. A PCI reset will reset
-the VL805 XHCI controller on the Raspberry Pi4 requiring the firmware
-to be reloaded if an SPI EEPROM is not present.
-
-Use a VideoCore mailbox to trigger the loading of the VL805
-firmware (if necessary) after a PCI reset.
-
-Signed-off-by: Tim Gover <tim.gover@raspberrypi.org>
----
- drivers/usb/host/pci-quirks.c              | 31 +++++++++++++++++++++-
- include/soc/bcm2835/raspberrypi-firmware.h |  2 +-
- 2 files changed, 31 insertions(+), 2 deletions(-)
-
---- a/drivers/usb/host/pci-quirks.c
-+++ b/drivers/usb/host/pci-quirks.c
-@@ -18,7 +18,7 @@
- #include <linux/dmi.h>
- #include "pci-quirks.h"
- #include "xhci-ext-caps.h"
--
-+#include <soc/bcm2835/raspberrypi-firmware.h>
- #define UHCI_USBLEGSUP                0xc0            /* legacy support */
- #define UHCI_USBCMD           0               /* command register */
-@@ -634,6 +634,32 @@ EXPORT_SYMBOL_GPL(usb_amd_pt_check_port)
- #endif /* CONFIG_PCI_DISABLE_COMMON_QUIRKS */
-+/* The VL805 firmware may either be loaded from an EEPROM or by the BIOS into
-+ * memory. If run from memory it must be reloaded after a PCI fundmental reset.
-+ * The Raspberry Pi firmware acts as the BIOS in this case.
-+ */
-+static void usb_vl805_init(struct pci_dev *pdev)
-+{
-+#if IS_ENABLED(CONFIG_RASPBERRYPI_FIRMWARE)
-+      struct rpi_firmware *fw;
-+      struct {
-+              u32 dev_addr;
-+      } packet;
-+      int ret;
-+
-+      fw = rpi_firmware_get(NULL);
-+      if (!fw)
-+              return;
-+
-+      packet.dev_addr = (pdev->bus->number << 20) |
-+              (PCI_SLOT(pdev->devfn) << 15) | (PCI_FUNC(pdev->devfn) << 12);
-+
-+      dev_dbg(&pdev->dev, "RPI_FIRMWARE_NOTIFY_XHCI_RESET %x", packet.dev_addr);
-+      ret = rpi_firmware_property(fw, RPI_FIRMWARE_NOTIFY_XHCI_RESET,
-+                      &packet, sizeof(packet));
-+#endif
-+}
-+
- #if IS_ENABLED(CONFIG_USB_UHCI_HCD)
- /*
-@@ -1222,6 +1248,9 @@ hc_init:
-       if (pdev->vendor == PCI_VENDOR_ID_INTEL)
-               usb_enable_intel_xhci_ports(pdev);
-+      if (pdev->vendor == PCI_VENDOR_ID_VIA && pdev->device == 0x3483)
-+              usb_vl805_init(pdev);
-+
-       op_reg_base = base + XHCI_HC_LENGTH(readl(base));
-       /* Wait for the host controller to be ready before writing any
---- a/include/soc/bcm2835/raspberrypi-firmware.h
-+++ b/include/soc/bcm2835/raspberrypi-firmware.h
-@@ -95,7 +95,7 @@ enum rpi_firmware_property_tag {
-       RPI_FIRMWARE_SET_PERIPH_REG =                         0x00038045,
-       RPI_FIRMWARE_GET_POE_HAT_VAL =                        0x00030049,
-       RPI_FIRMWARE_SET_POE_HAT_VAL =                        0x00030050,
--
-+      RPI_FIRMWARE_NOTIFY_XHCI_RESET =                      0x00030058,
-       /* Dispmanx TAGS */
-       RPI_FIRMWARE_FRAMEBUFFER_ALLOCATE =                   0x00040001,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0409-SQUASH-Fix-spi-driver-compiler-warnings.patch b/target/linux/bcm27xx/patches-5.4/950-0409-SQUASH-Fix-spi-driver-compiler-warnings.patch
new file mode 100644 (file)
index 0000000..4413439
--- /dev/null
@@ -0,0 +1,22 @@
+From 69811ede9ad350beb531082177bdc6da92c7fdb9 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 4 Feb 2020 16:35:12 +0000
+Subject: [PATCH] SQUASH: Fix spi driver compiler warnings
+
+Squash with "spi: spi-bcm2835: Disable forced software CS"
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/spi/spi-bcm2835.c | 2 --
+ 1 file changed, 2 deletions(-)
+
+--- a/drivers/spi/spi-bcm2835.c
++++ b/drivers/spi/spi-bcm2835.c
+@@ -1182,7 +1182,6 @@ static int bcm2835_spi_setup(struct spi_
+ {
+       struct spi_controller *ctlr = spi->controller;
+       struct bcm2835_spi *bs = spi_controller_get_devdata(ctlr);
+-      struct gpio_chip *chip;
+       u32 cs;
+       /*
diff --git a/target/linux/bcm27xx/patches-5.4/950-0409-overlays-Correct-the-eth_led-colour-assignments.patch b/target/linux/bcm27xx/patches-5.4/950-0409-overlays-Correct-the-eth_led-colour-assignments.patch
deleted file mode 100644 (file)
index f1f3290..0000000
+++ /dev/null
@@ -1,51 +0,0 @@
-From b058c3c898472ad8799bba29365c3295fdd24970 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Wed, 29 Jan 2020 14:32:51 +0000
-Subject: [PATCH] overlays: Correct the eth_led* colour assignments
-
-See: https://github.com/raspberrypi/firmware/issues/1311
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/boot/dts/overlays/README | 17 +++++++++--------
- 1 file changed, 9 insertions(+), 8 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -109,27 +109,28 @@ Params:
-                                 Legal values are 2, 3, 4, 5 and 0, where
-                                 0 means never downshift (default 2). Pi3B+ only.
--        eth_led0                Set mode of LED0 (usually orange). The legal
--                                values are:
-+        eth_led0                Set mode of LED0 - amber on Pi3B+ (default "1"),
-+                                green on Pi4 (default "0").
-+                                The legal values are:
-                                 Pi3B+
--                                0=link/activity    1=link1000/activity (default)
-+                                0=link/activity          1=link1000/activity
-                                 2=link100/activity       3=link10/activity
-                                 4=link100/1000/activity  5=link10/1000/activity
-                                 6=link10/100/activity    14=off    15=on
-                                 Pi4
--                                0=Speed/Activity (default)       1=Speed
--                                2=Speed/Flash activity   3=FDX
-+                                0=Speed/Activity         1=Speed
-+                                2=Flash activity         3=FDX
-                                 4=Off                    5=On
-                                 6=Alt                    7=Speed/Flash
-                                 8=Link                   9=Activity
--        eth_led1                Set mode of LED1 (usually green) (Pi3B+ default
--                                "6", Pi4 default "8"). See eth_led0 for legal
--                                values.
-+        eth_led1                Set mode of LED1 - green on Pi3B (default "6"),
-+                                amber on Pi4 (default "8"). See eth_led0 for
-+                                legal values.
-         eth_max_speed           Set the maximum speed a link is allowed
-                                 to negotiate. Legal values are 10, 100 and
diff --git a/target/linux/bcm27xx/patches-5.4/950-0410-ARM-dts-Add-sd_poll_once-dtparam-to-bcm283x-2711.patch b/target/linux/bcm27xx/patches-5.4/950-0410-ARM-dts-Add-sd_poll_once-dtparam-to-bcm283x-2711.patch
deleted file mode 100644 (file)
index fef644e..0000000
+++ /dev/null
@@ -1,59 +0,0 @@
-From 3ef2bbe381adc17d135f8f9b22a43a242eb80c63 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Thu, 30 Jan 2020 09:47:00 +0000
-Subject: [PATCH] ARM: dts: Add sd_poll_once dtparam to bcm283x/2711
-
-The old sdtweak overlay allowed the SD interface to be effectively
-disabled unless there was a card present at boot time, but that
-overlay doesn't work on bcm2711 and has largely been replaced by
-a set of sd_* dtparams (which have the advantage of being board-
-specific.
-
-Add an sd_poll_once dtparam to allow the same functionality on
-all Raspberry Pi boards.
-
-See: https://github.com/raspberrypi/linux/issues/3286
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/boot/dts/bcm2708-rpi.dtsi    | 1 +
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 2 ++
- arch/arm/boot/dts/overlays/README     | 7 +++++++
- 3 files changed, 10 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2708-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2708-rpi.dtsi
-@@ -92,6 +92,7 @@
-               watchdog = <&watchdog>,"status";
-               random = <&random>,"status";
-               sd_overclock = <&sdhost>,"brcm,overclock-50:0";
-+              sd_poll_once = <&sdhost>,"non-removable?";
-               sd_force_pio = <&sdhost>,"brcm,force-pio?";
-               sd_pio_limit = <&sdhost>,"brcm,pio-limit:0";
-               sd_debug     = <&sdhost>,"brcm,debug";
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -363,5 +363,7 @@
-               eth_led0 = <&phy1>,"led-modes:0";
-               eth_led1 = <&phy1>,"led-modes:4";
-+
-+              sd_poll_once = <&emmc2>, "non-removable?";
-       };
- };
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -165,6 +165,13 @@ Params:
-         sd_overclock            Clock (in MHz) to use when the MMC framework
-                                 requests 50MHz
-+        sd_poll_once            Looks for a card once after booting. Useful
-+                                for network booting scenarios to avoid the
-+                                overhead of continuous polling. N.B. Using
-+                                this option restricts the system to using a
-+                                single card per boot (or none at all).
-+                                (default off)
-+
-         sd_force_pio            Disable DMA support for SD driver (default off)
-         sd_pio_limit            Number of blocks above which to use DMA for
diff --git a/target/linux/bcm27xx/patches-5.4/950-0410-overlays-add-hdmi-backlight-hwhack-gpio-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0410-overlays-add-hdmi-backlight-hwhack-gpio-overlay.patch
new file mode 100644 (file)
index 0000000..e94f151
--- /dev/null
@@ -0,0 +1,106 @@
+From c6e4343e441558f45df2685b9ed7c13daf7988be Mon Sep 17 00:00:00 2001
+From: Michael Kaplan <m.kaplan@evva.com>
+Date: Wed, 5 Feb 2020 10:27:23 +0100
+Subject: [PATCH] overlays: add hdmi-backlight-hwhack-gpio-overlay
+
+This is a Devicetree overlay for GPIO based backlight on/off capability.
+
+Use this if you have one of those HDMI displays whose backlight cannot be controlled via DPMS over HDMI and plan to do a little soldering to use an RPi gpio pin for on/off switching.
+
+See: https://www.waveshare.com/wiki/7inch_HDMI_LCD_(C)#Backlight_Control
+
+This was tested with a clone of the Waveshare "7 inch HDMI Touch LCD C" where I soldered two mosfets to override the backlight dip-switch.
+When the overlay is loaded, a sysfs backlight node appears which can be used to modify the brightness value (0 or 1), and is even used by DPMS to switch the display backlight off after the configured timeout.
+(On current Raspbian Buster Desktop, it's also possible to wakeup the display via a tap on the touch display :-) )
+
+Signed-off-by: Michael Kaplan <m.kaplan@evva.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  1 +
+ arch/arm/boot/dts/overlays/README             | 14 ++++++
+ .../hdmi-backlight-hwhack-gpio-overlay.dts    | 47 +++++++++++++++++++
+ 3 files changed, 62 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/hdmi-backlight-hwhack-gpio-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -51,6 +51,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       gpio-poweroff.dtbo \
+       gpio-shutdown.dtbo \
+       hd44780-lcd.dtbo \
++      hdmi-backlight-hwhack-gpio.dtbo \
+       hifiberry-amp.dtbo \
+       hifiberry-dac.dtbo \
+       hifiberry-dacplus.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -883,6 +883,20 @@ Params: pin_d4                  GPIO pin
+         display_width           Width of the display in characters
++Name:   hdmi-backlight-hwhack-gpio
++Info:   Devicetree overlay for GPIO based backlight on/off capability.
++        Use this if you have one of those HDMI displays whose backlight cannot
++        be controlled via DPMS over HDMI and plan to do a little soldering to
++        use an RPi gpio pin for on/off switching. See:
++        https://www.waveshare.com/wiki/7inch_HDMI_LCD_(C)#Backlight_Control
++Load:   dtoverlay=hdmi-backlight-hwhack-gpio,<param>=<val>
++Params: gpio_pin                GPIO pin used (default 17)
++        active_low              Set this to 1 if the display backlight is
++                                switched on when the wire goes low.
++                                Leave the default (value 0) if the backlight
++                                expects a high to switch it on.
++
++
+ Name:   hifiberry-amp
+ Info:   Configures the HifiBerry Amp and Amp+ audio cards
+ Load:   dtoverlay=hifiberry-amp
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/hdmi-backlight-hwhack-gpio-overlay.dts
+@@ -0,0 +1,47 @@
++/*
++ * Devicetree overlay for GPIO based backlight on/off capability.
++ *
++ * Use this if you have one of those HDMI displays whose backlight cannot be
++ * controlled via DPMS over HDMI and plan to do a little soldering to use an
++ * RPi gpio pin for on/off switching.
++ *
++ * See: https://www.waveshare.com/wiki/7inch_HDMI_LCD_(C)#Backlight_Control
++ *
++ */
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@1 {
++              target = <&gpio>;
++              __overlay__ {
++                      hdmi_backlight_hwhack_gpio_pins: hdmi_backlight_hwhack_gpio_pins {
++                              brcm,pins = <17>;
++                              brcm,function = <1>; /* out */
++                      };
++              };
++      };
++
++      fragment@2 {
++              target-path = "/";
++              __overlay__ {
++                      hdmi_backlight_hwhack_gpio: hdmi_backlight_hwhack_gpio {
++                              compatible = "gpio-backlight";
++
++                              pinctrl-names = "default";
++                              pinctrl-0 = <&hdmi_backlight_hwhack_gpio_pins>;
++
++                              gpios = <&gpio 17 0>;
++                              default-on;
++                      };
++              };
++      };
++
++      __overrides__ {
++              gpio_pin   = <&hdmi_backlight_hwhack_gpio>,"gpios:4",
++                           <&hdmi_backlight_hwhack_gpio_pins>,"brcm,pins:0";
++              active_low = <&hdmi_backlight_hwhack_gpio>,"gpios:8";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0411-ARM-dts-Revert-all-changes-to-upstream-dts-files.patch b/target/linux/bcm27xx/patches-5.4/950-0411-ARM-dts-Revert-all-changes-to-upstream-dts-files.patch
new file mode 100644 (file)
index 0000000..403f534
--- /dev/null
@@ -0,0 +1,1929 @@
+From e90536d721612de6a2619ae6727ee12b56bb2660 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 30 Jan 2020 11:39:39 +0000
+Subject: [PATCH] ARM: dts: Revert all changes to upstream dts files
+
+With the possible exception of bcm2711* files where there is a name
+clash, we should not be modifying upstream DTS files.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts      | 348 ++------
+ arch/arm/boot/dts/bcm2711.dtsi             | 888 ++++++++++++++++++++-
+ arch/arm/boot/dts/bcm2835-common.dtsi      | 131 +++
+ arch/arm/boot/dts/bcm2835-rpi-a-plus.dts   |   1 -
+ arch/arm/boot/dts/bcm2835-rpi-a.dts        |   1 -
+ arch/arm/boot/dts/bcm2835-rpi-b-plus.dts   |   1 -
+ arch/arm/boot/dts/bcm2835-rpi-b-rev2.dts   |   1 -
+ arch/arm/boot/dts/bcm2835-rpi-b.dts        |   1 -
+ arch/arm/boot/dts/bcm2835-rpi-zero.dts     |   1 -
+ arch/arm/boot/dts/bcm2835-rpi.dtsi         |  37 -
+ arch/arm/boot/dts/bcm2836-rpi-2-b.dts      |   1 -
+ arch/arm/boot/dts/bcm2837-rpi-3-b.dts      |   1 -
+ arch/arm/boot/dts/bcm283x-rpi-lan7515.dtsi |  15 -
+ arch/arm/boot/dts/bcm283x.dtsi             | 152 +---
+ 14 files changed, 1068 insertions(+), 511 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -1,54 +1,57 @@
++// SPDX-License-Identifier: GPL-2.0
+ /dts-v1/;
+-
+ #include "bcm2711.dtsi"
+-#include "bcm2711-rpi.dtsi"
+-#include "bcm283x-rpi-csi1-2lane.dtsi"
++#include "bcm2835-rpi.dtsi"
++#include "bcm283x-rpi-usb-peripheral.dtsi"
+ / {
+       compatible = "raspberrypi,4-model-b", "brcm,bcm2711";
+       model = "Raspberry Pi 4 Model B";
+-      memory@0 {
+-              device_type = "memory";
+-              reg = <0x0 0x0 0x0>;
++      chosen {
++              /* 8250 auxiliary UART instead of pl011 */
++              stdout-path = "serial1:115200n8";
+       };
+-      chosen {
+-              bootargs = "coherent_pool=1M 8250.nr_uarts=1 cma=64M";
++      /* Will be filled by the bootloader */
++      memory@0 {
++              device_type = "memory";
++              reg = <0 0 0>;
+       };
+       aliases {
+-              serial0 = &uart1;
+-              serial1 = &uart0;
+-              mmc0 = &emmc2;
+-              mmc1 = &mmcnr;
+-              mmc2 = &sdhost;
+-              i2c3 = &i2c3;
+-              i2c4 = &i2c4;
+-              i2c5 = &i2c5;
+-              i2c6 = &i2c6;
+-              /delete-property/ ethernet;
+-              /delete-property/ intc;
+               ethernet0 = &genet;
+-              pcie0 = &pcie_0;
+       };
+-};
+-&soc {
+-      virtgpio: virtgpio {
+-              compatible = "brcm,bcm2835-virtgpio";
+-              gpio-controller;
+-              #gpio-cells = <2>;
+-              firmware = <&firmware>;
+-              status = "okay";
++      leds {
++              act {
++                      gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
++              };
++
++              pwr {
++                      label = "PWR";
++                      gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
++              };
+       };
+-};
+-&mmcnr {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&sdio_pins>;
+-      bus-width = <4>;
+-      status = "okay";
++      wifi_pwrseq: wifi-pwrseq {
++              compatible = "mmc-pwrseq-simple";
++              reset-gpios = <&expgpio 1 GPIO_ACTIVE_LOW>;
++      };
++
++      sd_io_1v8_reg: sd_io_1v8_reg {
++              compatible = "regulator-gpio";
++              regulator-name = "vdd-sd-io";
++              regulator-min-microvolt = <1800000>;
++              regulator-max-microvolt = <3300000>;
++              regulator-boot-on;
++              regulator-always-on;
++              regulator-settling-time-us = <5000>;
++              gpios = <&expgpio 4 GPIO_ACTIVE_HIGH>;
++              states = <1800000 0x1
++                        3300000 0x0>;
++              status = "okay";
++      };
+ };
+ &firmware {
+@@ -68,81 +71,34 @@
+       };
+ };
+-&uart0 {
++&pwm1 {
+       pinctrl-names = "default";
+-      pinctrl-0 = <&uart0_pins &bt_pins>;
++      pinctrl-0 = <&pwm1_0_gpio40 &pwm1_1_gpio41>;
+       status = "okay";
+ };
+-&uart1 {
++/* SDHCI is used to control the SDIO for wireless */
++&sdhci {
++      #address-cells = <1>;
++      #size-cells = <0>;
+       pinctrl-names = "default";
+-      pinctrl-0 = <&uart1_pins>;
++      pinctrl-0 = <&emmc_gpio34>;
++      bus-width = <4>;
++      non-removable;
++      mmc-pwrseq = <&wifi_pwrseq>;
+       status = "okay";
+-};
+-&spi0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&spi0_pins &spi0_cs_pins>;
+-      cs-gpios = <&gpio 8 1>, <&gpio 7 1>;
+-
+-      spidev0: spidev@0{
+-              compatible = "spidev";
+-              reg = <0>;      /* CE0 */
+-              #address-cells = <1>;
+-              #size-cells = <0>;
+-              spi-max-frequency = <125000000>;
+-      };
+-
+-      spidev1: spidev@1{
+-              compatible = "spidev";
+-              reg = <1>;      /* CE1 */
+-              #address-cells = <1>;
+-              #size-cells = <0>;
+-              spi-max-frequency = <125000000>;
+-      };
+-};
+-
+-// =============================================
+-// Board specific stuff here
+-
+-/ {
+-
+-      sd_io_1v8_reg: sd_io_1v8_reg {
+-              status = "okay";
+-              compatible = "regulator-gpio";
+-              vin-supply = <&vdd_5v0_reg>;
+-              regulator-name = "vdd-sd-io";
+-              regulator-min-microvolt = <1800000>;
+-              regulator-max-microvolt = <3300000>;
+-              regulator-boot-on;
+-              regulator-always-on;
+-              regulator-settling-time-us = <5000>;
+-
+-              gpios = <&expgpio 4 GPIO_ACTIVE_HIGH>;
+-              states = <1800000 0x1
+-                        3300000 0x0>;
++      brcmf: wifi@1 {
++              reg = <1>;
++              compatible = "brcm,bcm4329-fmac";
+       };
+-
+-      sd_vcc_reg: sd_vcc_reg {
+-              compatible = "regulator-fixed";
+-              regulator-name = "vcc-sd";
+-              regulator-min-microvolt = <3300000>;
+-              regulator-max-microvolt = <3300000>;
+-              regulator-boot-on;
+-              enable-active-high;
+-              gpio = <&expgpio 6 GPIO_ACTIVE_HIGH>;
+-      };
+-};
+-
+-&sdhost {
+-      status = "disabled";
+ };
++/* EMMC2 is used to drive the SD card */
+ &emmc2 {
+-      status = "okay";
+-      broken-cd;
+       vqmmc-supply = <&sd_io_1v8_reg>;
+-      vmmc-supply = <&sd_vcc_reg>;
++      broken-cd;
++      status = "okay";
+ };
+ &genet {
+@@ -155,200 +111,32 @@
+       phy1: ethernet-phy@1 {
+               /* No PHY interrupt */
+               reg = <0x1>;
+-              led-modes = <0x00 0x08>; /* link/activity link */
+       };
+ };
+-&leds {
+-      act_led: act {
+-              label = "led0";
+-              linux,default-trigger = "mmc0";
+-              gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
+-      };
+-
+-      pwr_led: pwr {
+-              label = "led1";
+-              linux,default-trigger = "default-on";
+-              gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
+-      };
+-};
+-
+-&audio {
++/* uart0 communicates with the BT module */
++&uart0 {
+       pinctrl-names = "default";
+-      pinctrl-0 = <&audio_pins>;
+-};
+-
+-&sdhost_gpio48 {
+-      brcm,pins = <22 23 24 25 26 27>;
+-      brcm,function = <BCM2835_FSEL_ALT0>;
+-};
+-
+-&gpio {
+-      spi0_pins: spi0_pins {
+-              brcm,pins = <9 10 11>;
+-              brcm,function = <BCM2835_FSEL_ALT0>;
+-      };
+-
+-      spi0_cs_pins: spi0_cs_pins {
+-              brcm,pins = <8 7>;
+-              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
+-      };
+-
+-      spi3_pins: spi3_pins {
+-              brcm,pins = <1 2 3>;
+-              brcm,function = <BCM2835_FSEL_ALT3>;
+-      };
+-
+-      spi3_cs_pins: spi3_cs_pins {
+-              brcm,pins = <0 24>;
+-              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
+-      };
+-
+-      spi4_pins: spi4_pins {
+-              brcm,pins = <5 6 7>;
+-              brcm,function = <BCM2835_FSEL_ALT3>;
+-      };
+-
+-      spi4_cs_pins: spi4_cs_pins {
+-              brcm,pins = <4 25>;
+-              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
+-      };
+-
+-      spi5_pins: spi5_pins {
+-              brcm,pins = <13 14 15>;
+-              brcm,function = <BCM2835_FSEL_ALT3>;
+-      };
+-
+-      spi5_cs_pins: spi5_cs_pins {
+-              brcm,pins = <12 26>;
+-              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
+-      };
+-
+-      spi6_pins: spi6_pins {
+-              brcm,pins = <19 20 21>;
+-              brcm,function = <BCM2835_FSEL_ALT3>;
+-      };
+-
+-      spi6_cs_pins: spi6_cs_pins {
+-              brcm,pins = <18 27>;
+-              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
+-      };
+-
+-      i2c0_pins: i2c0 {
+-              brcm,pins = <0 1>;
+-              brcm,function = <BCM2835_FSEL_ALT0>;
+-              brcm,pull = <BCM2835_PUD_UP>;
+-      };
+-
+-      i2c1_pins: i2c1 {
+-              brcm,pins = <2 3>;
+-              brcm,function = <BCM2835_FSEL_ALT0>;
+-              brcm,pull = <BCM2835_PUD_UP>;
+-      };
+-
+-      i2c3_pins: i2c3 {
+-              brcm,pins = <4 5>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-              brcm,pull = <BCM2835_PUD_UP>;
+-      };
+-
+-      i2c4_pins: i2c4 {
+-              brcm,pins = <8 9>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-              brcm,pull = <BCM2835_PUD_UP>;
+-      };
+-
+-      i2c5_pins: i2c5 {
+-              brcm,pins = <12 13>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-              brcm,pull = <BCM2835_PUD_UP>;
+-      };
+-
+-      i2c6_pins: i2c6 {
+-              brcm,pins = <22 23>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-              brcm,pull = <BCM2835_PUD_UP>;
+-      };
+-
+-      i2s_pins: i2s {
+-              brcm,pins = <18 19 20 21>;
+-              brcm,function = <BCM2835_FSEL_ALT0>;
+-      };
+-
+-      sdio_pins: sdio_pins {
+-              brcm,pins =     <34 35 36 37 38 39>;
+-              brcm,function = <BCM2835_FSEL_ALT3>; // alt3 = SD1
+-              brcm,pull =     <0 2 2 2 2 2>;
+-      };
+-
+-      bt_pins: bt_pins {
+-              brcm,pins = "-"; // non-empty to keep btuart happy, //4 = 0
+-                               // to fool pinctrl
+-              brcm,function = <0>;
+-              brcm,pull = <2>;
+-      };
+-
+-      uart0_pins: uart0_pins {
+-              brcm,pins = <32 33>;
+-              brcm,function = <BCM2835_FSEL_ALT3>;
+-              brcm,pull = <0 2>;
+-      };
+-
+-      uart1_pins: uart1_pins {
+-              brcm,pins;
+-              brcm,function;
+-              brcm,pull;
+-      };
+-
+-      uart2_pins: uart2_pins {
+-              brcm,pins = <0 1>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-              brcm,pull = <0 2>;
+-      };
+-
+-      uart3_pins: uart3_pins {
+-              brcm,pins = <4 5>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-              brcm,pull = <0 2>;
+-      };
+-
+-      uart4_pins: uart4_pins {
+-              brcm,pins = <8 9>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-              brcm,pull = <0 2>;
+-      };
+-
+-      uart5_pins: uart5_pins {
+-              brcm,pins = <12 13>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-              brcm,pull = <0 2>;
+-      };
++      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32>;
++      uart-has-rtscts;
++      status = "okay";
+-      audio_pins: audio_pins {
+-              brcm,pins = <40 41>;
+-              brcm,function = <4>;
++      bluetooth {
++              compatible = "brcm,bcm43438-bt";
++              max-speed = <2000000>;
++              shutdown-gpios = <&expgpio 0 GPIO_ACTIVE_HIGH>;
+       };
+ };
+-&i2c0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2c0_pins>;
+-      clock-frequency = <100000>;
+-};
+-
+-&i2c1 {
++/* uart1 is mapped to the pin header */
++&uart1 {
+       pinctrl-names = "default";
+-      pinctrl-0 = <&i2c1_pins>;
+-      clock-frequency = <100000>;
+-};
+-
+-&i2c2 {
+-      clock-frequency = <100000>;
++      pinctrl-0 = <&uart1_gpio14>;
++      status = "okay";
+ };
+-&i2s {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2s_pins>;
++&vchiq {
++      interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
+ };
+ / {
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -1,44 +1,890 @@
+-#include "bcm2838.dtsi"
+-#include "bcm270x.dtsi"
++// SPDX-License-Identifier: GPL-2.0
++#include "bcm283x.dtsi"
++
++#include <dt-bindings/interrupt-controller/arm-gic.h>
++#include <dt-bindings/soc/bcm2835-pm.h>
+ / {
++      compatible = "brcm,bcm2711";
++
++      #address-cells = <2>;
++      #size-cells = <1>;
++
++      interrupt-parent = <&gicv2>;
++
++      reserved-memory {
++              #address-cells = <2>;
++              #size-cells = <1>;
++              ranges;
++
++              /*
++               * arm64 reserves the CMA by default somewhere in ZONE_DMA32,
++               * that's not good enough for the BCM2711 as some devices can
++               * only address the lower 1G of memory (ZONE_DMA).
++               */
++              linux,cma {
++                      compatible = "shared-dma-pool";
++                      size = <0x2000000>; /* 32MB */
++                      alloc-ranges = <0x0 0x00000000 0x40000000>;
++                      reusable;
++                      linux,cma-default;
++              };
++      };
++
++
+       soc {
+-              /delete-node/ v3d@7ec00000;
++              /*
++               * Defined ranges:
++               *   Common BCM283x peripherals
++               *   BCM2711-specific peripherals
++               *   ARM-local peripherals
++               */
++              ranges = <0x7e000000  0x0 0xfe000000  0x01800000>,
++                       <0x7c000000  0x0 0xfc000000  0x02000000>,
++                       <0x40000000  0x0 0xff800000  0x00800000>;
++              /* Emulate a contiguous 30-bit address range for DMA */
++              dma-ranges = <0xc0000000  0x0 0x00000000  0x40000000>;
++
++              /*
++               * This node is the provider for the enable-method for
++               * bringing up secondary cores.
++               */
++              local_intc: local_intc@40000000 {
++                      compatible = "brcm,bcm2836-l1-intc";
++                      reg = <0x40000000 0x100>;
++              };
++
++              gicv2: interrupt-controller@40041000 {
++                      interrupt-controller;
++                      #interrupt-cells = <3>;
++                      compatible = "arm,gic-400";
++                      reg =   <0x40041000 0x1000>,
++                              <0x40042000 0x2000>,
++                              <0x40044000 0x2000>,
++                              <0x40046000 0x2000>;
++                      interrupts = <GIC_PPI 9 (GIC_CPU_MASK_SIMPLE(4) |
++                                               IRQ_TYPE_LEVEL_HIGH)>;
++              };
++
++              dma: dma@7e007000 {
++                      compatible = "brcm,bcm2835-dma";
++                      reg = <0x7e007000 0xb00>;
++                      interrupts = <GIC_SPI 80 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 81 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 82 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 83 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 84 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 85 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 86 IRQ_TYPE_LEVEL_HIGH>,
++                                   /* DMA lite 7 - 10 */
++                                   <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>;
++                      interrupt-names = "dma0",
++                                        "dma1",
++                                        "dma2",
++                                        "dma3",
++                                        "dma4",
++                                        "dma5",
++                                        "dma6",
++                                        "dma7",
++                                        "dma8",
++                                        "dma9",
++                                        "dma10";
++                      #dma-cells = <1>;
++                      brcm,dma-channel-mask = <0x07f5>;
++              };
++
++              pm: watchdog@7e100000 {
++                      compatible = "brcm,bcm2835-pm", "brcm,bcm2835-pm-wdt";
++                      #power-domain-cells = <1>;
++                      #reset-cells = <1>;
++                      reg = <0x7e100000 0x114>,
++                            <0x7e00a000 0x24>,
++                            <0x7ec11000 0x20>;
++                      clocks = <&clocks BCM2835_CLOCK_V3D>,
++                               <&clocks BCM2835_CLOCK_PERI_IMAGE>,
++                               <&clocks BCM2835_CLOCK_H264>,
++                               <&clocks BCM2835_CLOCK_ISP>;
++                      clock-names = "v3d", "peri_image", "h264", "isp";
++                      system-power-controller;
++              };
++
++              rng@7e104000 {
++                      interrupts = <GIC_SPI 125 IRQ_TYPE_LEVEL_HIGH>;
++
++                      /* RNG is incompatible with brcm,bcm2835-rng */
++                      status = "disabled";
++              };
++
++              uart2: serial@7e201400 {
++                      compatible = "arm,pl011", "arm,primecell";
++                      reg = <0x7e201400 0x200>;
++                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_UART>,
++                               <&clocks BCM2835_CLOCK_VPU>;
++                      clock-names = "uartclk", "apb_pclk";
++                      arm,primecell-periphid = <0x00241011>;
++                      status = "disabled";
++              };
++
++              uart3: serial@7e201600 {
++                      compatible = "arm,pl011", "arm,primecell";
++                      reg = <0x7e201600 0x200>;
++                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_UART>,
++                               <&clocks BCM2835_CLOCK_VPU>;
++                      clock-names = "uartclk", "apb_pclk";
++                      arm,primecell-periphid = <0x00241011>;
++                      status = "disabled";
++              };
++
++              uart4: serial@7e201800 {
++                      compatible = "arm,pl011", "arm,primecell";
++                      reg = <0x7e201800 0x200>;
++                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_UART>,
++                               <&clocks BCM2835_CLOCK_VPU>;
++                      clock-names = "uartclk", "apb_pclk";
++                      arm,primecell-periphid = <0x00241011>;
++                      status = "disabled";
++              };
++
++              uart5: serial@7e201a00 {
++                      compatible = "arm,pl011", "arm,primecell";
++                      reg = <0x7e201a00 0x200>;
++                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_UART>,
++                               <&clocks BCM2835_CLOCK_VPU>;
++                      clock-names = "uartclk", "apb_pclk";
++                      arm,primecell-periphid = <0x00241011>;
++                      status = "disabled";
++              };
++
++              spi3: spi@7e204600 {
++                      compatible = "brcm,bcm2835-spi";
++                      reg = <0x7e204600 0x0200>;
++                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              spi4: spi@7e204800 {
++                      compatible = "brcm,bcm2835-spi";
++                      reg = <0x7e204800 0x0200>;
++                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              spi5: spi@7e204a00 {
++                      compatible = "brcm,bcm2835-spi";
++                      reg = <0x7e204a00 0x0200>;
++                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              spi6: spi@7e204c00 {
++                      compatible = "brcm,bcm2835-spi";
++                      reg = <0x7e204c00 0x0200>;
++                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              i2c3: i2c@7e205600 {
++                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
++                      reg = <0x7e205600 0x200>;
++                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              i2c4: i2c@7e205800 {
++                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
++                      reg = <0x7e205800 0x200>;
++                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              i2c5: i2c@7e205a00 {
++                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
++                      reg = <0x7e205a00 0x200>;
++                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              i2c6: i2c@7e205c00 {
++                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
++                      reg = <0x7e205c00 0x200>;
++                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              pwm1: pwm@7e20c800 {
++                      compatible = "brcm,bcm2835-pwm";
++                      reg = <0x7e20c800 0x28>;
++                      clocks = <&clocks BCM2835_CLOCK_PWM>;
++                      assigned-clocks = <&clocks BCM2835_CLOCK_PWM>;
++                      assigned-clock-rates = <10000000>;
++                      #pwm-cells = <2>;
++                      status = "disabled";
++              };
++
++              emmc2: emmc2@7e340000 {
++                      compatible = "brcm,bcm2711-emmc2";
++                      reg = <0x7e340000 0x100>;
++                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
++                      status = "disabled";
++              };
++
++              hvs@7e400000 {
++                      interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
++              };
++      };
++
++      arm-pmu {
++              compatible = "arm,cortex-a72-pmu", "arm,armv8-pmuv3";
++              interrupts = <GIC_SPI 16 IRQ_TYPE_LEVEL_HIGH>,
++                      <GIC_SPI 17 IRQ_TYPE_LEVEL_HIGH>,
++                      <GIC_SPI 18 IRQ_TYPE_LEVEL_HIGH>,
++                      <GIC_SPI 19 IRQ_TYPE_LEVEL_HIGH>;
++              interrupt-affinity = <&cpu0>, <&cpu1>, <&cpu2>, <&cpu3>;
++      };
++
++      timer {
++              compatible = "arm,armv8-timer";
++              interrupts = <GIC_PPI 13 (GIC_CPU_MASK_SIMPLE(4) |
++                                        IRQ_TYPE_LEVEL_LOW)>,
++                           <GIC_PPI 14 (GIC_CPU_MASK_SIMPLE(4) |
++                                        IRQ_TYPE_LEVEL_LOW)>,
++                           <GIC_PPI 11 (GIC_CPU_MASK_SIMPLE(4) |
++                                        IRQ_TYPE_LEVEL_LOW)>,
++                           <GIC_PPI 10 (GIC_CPU_MASK_SIMPLE(4) |
++                                        IRQ_TYPE_LEVEL_LOW)>;
++              /* This only applies to the ARMv7 stub */
++              arm,cpu-registers-not-fw-configured;
++      };
++
++      cpus: cpus {
++              #address-cells = <1>;
++              #size-cells = <0>;
++              enable-method = "brcm,bcm2836-smp"; // for ARM 32-bit
++
++              cpu0: cpu@0 {
++                      device_type = "cpu";
++                      compatible = "arm,cortex-a72";
++                      reg = <0>;
++                      enable-method = "spin-table";
++                      cpu-release-addr = <0x0 0x000000d8>;
++              };
++
++              cpu1: cpu@1 {
++                      device_type = "cpu";
++                      compatible = "arm,cortex-a72";
++                      reg = <1>;
++                      enable-method = "spin-table";
++                      cpu-release-addr = <0x0 0x000000e0>;
++              };
++
++              cpu2: cpu@2 {
++                      device_type = "cpu";
++                      compatible = "arm,cortex-a72";
++                      reg = <2>;
++                      enable-method = "spin-table";
++                      cpu-release-addr = <0x0 0x000000e8>;
++              };
++
++              cpu3: cpu@3 {
++                      device_type = "cpu";
++                      compatible = "arm,cortex-a72";
++                      reg = <3>;
++                      enable-method = "spin-table";
++                      cpu-release-addr = <0x0 0x000000f0>;
++              };
+       };
+-      __overrides__ {
+-              arm_freq;
++      scb {
++              compatible = "simple-bus";
++              #address-cells = <2>;
++              #size-cells = <1>;
++
++              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>;
++
++              genet: ethernet@7d580000 {
++                      compatible = "brcm,bcm2711-genet-v5";
++                      reg = <0x0 0x7d580000 0x10000>;
++                      #address-cells = <0x1>;
++                      #size-cells = <0x1>;
++                      interrupts = <GIC_SPI 157 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 158 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++
++                      genet_mdio: mdio@e14 {
++                              compatible = "brcm,genet-mdio-v5";
++                              reg = <0xe14 0x8>;
++                              reg-names = "mdio";
++                              #address-cells = <0x0>;
++                              #size-cells = <0x1>;
++                      };
++              };
+       };
+ };
+-&v3d {
+-      status = "disabled";
++&clk_osc {
++      clock-frequency = <54000000>;
+ };
+-&firmwarekms {
+-      interrupts = <GIC_SPI 112 IRQ_TYPE_LEVEL_HIGH>;
++&clocks {
++      compatible = "brcm,bcm2711-cprman";
+ };
+-&smi {
+-      interrupts = <GIC_SPI 112 IRQ_TYPE_LEVEL_HIGH>;
++&cpu_thermal {
++      coefficients = <(-487) 410040>;
+ };
+-&mmc {
+-      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
++&dsi0 {
++      interrupts = <GIC_SPI 100 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&dsi1 {
++      interrupts = <GIC_SPI 108 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&gpio {
++      compatible = "brcm,bcm2711-gpio";
++      interrupts = <GIC_SPI 113 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 115 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 116 IRQ_TYPE_LEVEL_HIGH>;
++
++      gpclk0_gpio49: gpclk0_gpio49 {
++              pin-gpclk {
++                      pins = "gpio49";
++                      function = "alt1";
++                      bias-disable;
++              };
++      };
++      gpclk1_gpio50: gpclk1_gpio50 {
++              pin-gpclk {
++                      pins = "gpio50";
++                      function = "alt1";
++                      bias-disable;
++              };
++      };
++      gpclk2_gpio51: gpclk2_gpio51 {
++              pin-gpclk {
++                      pins = "gpio51";
++                      function = "alt1";
++                      bias-disable;
++              };
++      };
++
++      i2c0_gpio46: i2c0_gpio46 {
++              pin-sda {
++                      function = "alt0";
++                      pins = "gpio46";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt0";
++                      pins = "gpio47";
++                      bias-disable;
++              };
++      };
++      i2c1_gpio46: i2c1_gpio46 {
++              pin-sda {
++                      function = "alt1";
++                      pins = "gpio46";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt1";
++                      pins = "gpio47";
++                      bias-disable;
++              };
++      };
++      i2c3_gpio2: i2c3_gpio2 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio2";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio3";
++                      bias-disable;
++              };
++      };
++      i2c3_gpio4: i2c3_gpio4 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio4";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio5";
++                      bias-disable;
++              };
++      };
++      i2c4_gpio6: i2c4_gpio6 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio6";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio7";
++                      bias-disable;
++              };
++      };
++      i2c4_gpio8: i2c4_gpio8 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio8";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio9";
++                      bias-disable;
++              };
++      };
++      i2c5_gpio10: i2c5_gpio10 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio10";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio11";
++                      bias-disable;
++              };
++      };
++      i2c5_gpio12: i2c5_gpio12 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio12";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio13";
++                      bias-disable;
++              };
++      };
++      i2c6_gpio0: i2c6_gpio0 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio0";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio1";
++                      bias-disable;
++              };
++      };
++      i2c6_gpio22: i2c6_gpio22 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio22";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio23";
++                      bias-disable;
++              };
++      };
++      i2c_slave_gpio8: i2c_slave_gpio8 {
++              pins-i2c-slave {
++                      pins = "gpio8",
++                             "gpio9",
++                             "gpio10",
++                             "gpio11";
++                      function = "alt3";
++              };
++      };
++
++      jtag_gpio48: jtag_gpio48 {
++              pins-jtag {
++                      pins = "gpio48",
++                             "gpio49",
++                             "gpio50",
++                             "gpio51",
++                             "gpio52",
++                             "gpio53";
++                      function = "alt4";
++              };
++      };
++
++      mii_gpio28: mii_gpio28 {
++              pins-mii {
++                      pins = "gpio28",
++                             "gpio29",
++                             "gpio30",
++                             "gpio31";
++                      function = "alt4";
++              };
++      };
++      mii_gpio36: mii_gpio36 {
++              pins-mii {
++                      pins = "gpio36",
++                             "gpio37",
++                             "gpio38",
++                             "gpio39";
++                      function = "alt5";
++              };
++      };
++
++      pcm_gpio50: pcm_gpio50 {
++              pins-pcm {
++                      pins = "gpio50",
++                             "gpio51",
++                             "gpio52",
++                             "gpio53";
++                      function = "alt2";
++              };
++      };
++
++      pwm0_0_gpio12: pwm0_0_gpio12 {
++              pin-pwm {
++                      pins = "gpio12";
++                      function = "alt0";
++                      bias-disable;
++              };
++      };
++      pwm0_0_gpio18: pwm0_0_gpio18 {
++              pin-pwm {
++                      pins = "gpio18";
++                      function = "alt5";
++                      bias-disable;
++              };
++      };
++      pwm1_0_gpio40: pwm1_0_gpio40 {
++              pin-pwm {
++                      pins = "gpio40";
++                      function = "alt0";
++                      bias-disable;
++              };
++      };
++      pwm0_1_gpio13: pwm0_1_gpio13 {
++              pin-pwm {
++                      pins = "gpio13";
++                      function = "alt0";
++                      bias-disable;
++              };
++      };
++      pwm0_1_gpio19: pwm0_1_gpio19 {
++              pin-pwm {
++                      pins = "gpio19";
++                      function = "alt5";
++                      bias-disable;
++              };
++      };
++      pwm1_1_gpio41: pwm1_1_gpio41 {
++              pin-pwm {
++                      pins = "gpio41";
++                      function = "alt0";
++                      bias-disable;
++              };
++      };
++      pwm0_1_gpio45: pwm0_1_gpio45 {
++              pin-pwm {
++                      pins = "gpio45";
++                      function = "alt0";
++                      bias-disable;
++              };
++      };
++      pwm0_0_gpio52: pwm0_0_gpio52 {
++              pin-pwm {
++                      pins = "gpio52";
++                      function = "alt1";
++                      bias-disable;
++              };
++      };
++      pwm0_1_gpio53: pwm0_1_gpio53 {
++              pin-pwm {
++                      pins = "gpio53";
++                      function = "alt1";
++                      bias-disable;
++              };
++      };
++
++      rgmii_gpio35: rgmii_gpio35 {
++              pin-start-stop {
++                      pins = "gpio35";
++                      function = "alt4";
++              };
++              pin-rx-ok {
++                      pins = "gpio36";
++                      function = "alt4";
++              };
++      };
++      rgmii_irq_gpio34: rgmii_irq_gpio34 {
++              pin-irq {
++                      pins = "gpio34";
++                      function = "alt5";
++              };
++      };
++      rgmii_irq_gpio39: rgmii_irq_gpio39 {
++              pin-irq {
++                      pins = "gpio39";
++                      function = "alt4";
++              };
++      };
++      rgmii_mdio_gpio28: rgmii_mdio_gpio28 {
++              pins-mdio {
++                      pins = "gpio28",
++                             "gpio29";
++                      function = "alt5";
++              };
++      };
++      rgmii_mdio_gpio37: rgmii_mdio_gpio37 {
++              pins-mdio {
++                      pins = "gpio37",
++                             "gpio38";
++                      function = "alt4";
++              };
++      };
++
++      spi0_gpio46: spi0_gpio46 {
++              pins-spi {
++                      pins = "gpio46",
++                             "gpio47",
++                             "gpio48",
++                             "gpio49";
++                      function = "alt2";
++              };
++      };
++      spi2_gpio46: spi2_gpio46 {
++              pins-spi {
++                      pins = "gpio46",
++                             "gpio47",
++                             "gpio48",
++                             "gpio49",
++                             "gpio50";
++                      function = "alt5";
++              };
++      };
++      spi3_gpio0: spi3_gpio0 {
++              pins-spi {
++                      pins = "gpio0",
++                             "gpio1",
++                             "gpio2",
++                             "gpio3";
++                      function = "alt3";
++              };
++      };
++      spi4_gpio4: spi4_gpio4 {
++              pins-spi {
++                      pins = "gpio4",
++                             "gpio5",
++                             "gpio6",
++                             "gpio7";
++                      function = "alt3";
++              };
++      };
++      spi5_gpio12: spi5_gpio12 {
++              pins-spi {
++                      pins = "gpio12",
++                             "gpio13",
++                             "gpio14",
++                             "gpio15";
++                      function = "alt3";
++              };
++      };
++      spi6_gpio18: spi6_gpio18 {
++              pins-spi {
++                      pins = "gpio18",
++                             "gpio19",
++                             "gpio20",
++                             "gpio21";
++                      function = "alt3";
++              };
++      };
++
++      uart2_gpio0: uart2_gpio0 {
++              pin-tx {
++                      pins = "gpio0";
++                      function = "alt4";
++                      bias-disable;
++              };
++              pin-rx {
++                      pins = "gpio1";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++      };
++      uart2_ctsrts_gpio2: uart2_ctsrts_gpio2 {
++              pin-cts {
++                      pins = "gpio2";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++              pin-rts {
++                      pins = "gpio3";
++                      function = "alt4";
++                      bias-disable;
++              };
++      };
++      uart3_gpio4: uart3_gpio4 {
++              pin-tx {
++                      pins = "gpio4";
++                      function = "alt4";
++                      bias-disable;
++              };
++              pin-rx {
++                      pins = "gpio5";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++      };
++      uart3_ctsrts_gpio6: uart3_ctsrts_gpio6 {
++              pin-cts {
++                      pins = "gpio6";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++              pin-rts {
++                      pins = "gpio7";
++                      function = "alt4";
++                      bias-disable;
++              };
++      };
++      uart4_gpio8: uart4_gpio8 {
++              pin-tx {
++                      pins = "gpio8";
++                      function = "alt4";
++                      bias-disable;
++              };
++              pin-rx {
++                      pins = "gpio9";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++      };
++      uart4_ctsrts_gpio10: uart4_ctsrts_gpio10 {
++              pin-cts {
++                      pins = "gpio10";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++              pin-rts {
++                      pins = "gpio11";
++                      function = "alt4";
++                      bias-disable;
++              };
++      };
++      uart5_gpio12: uart5_gpio12 {
++              pin-tx {
++                      pins = "gpio12";
++                      function = "alt4";
++                      bias-disable;
++              };
++              pin-rx {
++                      pins = "gpio13";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++      };
++      uart5_ctsrts_gpio14: uart5_ctsrts_gpio14 {
++              pin-cts {
++                      pins = "gpio14";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++              pin-rts {
++                      pins = "gpio15";
++                      function = "alt4";
++                      bias-disable;
++              };
++      };
+ };
+-&mmcnr {
++&i2c0 {
++      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
++      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&i2c1 {
++      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
++      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&mailbox {
++      interrupts = <GIC_SPI 33 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&sdhci {
+       interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
+ };
++&sdhost {
++      interrupts = <GIC_SPI 120 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&spi {
++      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&spi1 {
++      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&spi2 {
++      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&system_timer {
++      interrupts = <GIC_SPI 64 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 65 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 66 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 67 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&txp {
++      interrupts = <GIC_SPI 75 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&uart0 {
++      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&uart1 {
++      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
++};
++
+ &usb {
+-      reg = <0x7e980000 0x10000>,
+-            <0x7e00b200 0x200>;
+-      interrupts = <GIC_SPI 73 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 40 IRQ_TYPE_LEVEL_HIGH>;
++      interrupts = <GIC_SPI 73 IRQ_TYPE_LEVEL_HIGH>;
+ };
+-&gpio {
+-      interrupts = <GIC_SPI 113 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>;
++&vec {
++      interrupts = <GIC_SPI 123 IRQ_TYPE_LEVEL_HIGH>;
+ };
+--- a/arch/arm/boot/dts/bcm2835-common.dtsi
++++ b/arch/arm/boot/dts/bcm2835-common.dtsi
+@@ -8,6 +8,47 @@
+       interrupt-parent = <&intc>;
+       soc {
++              dma: dma@7e007000 {
++                      compatible = "brcm,bcm2835-dma";
++                      reg = <0x7e007000 0xf00>;
++                      interrupts = <1 16>,
++                                   <1 17>,
++                                   <1 18>,
++                                   <1 19>,
++                                   <1 20>,
++                                   <1 21>,
++                                   <1 22>,
++                                   <1 23>,
++                                   <1 24>,
++                                   <1 25>,
++                                   <1 26>,
++                                   /* dma channel 11-14 share one irq */
++                                   <1 27>,
++                                   <1 27>,
++                                   <1 27>,
++                                   <1 27>,
++                                   /* unused shared irq for all channels */
++                                   <1 28>;
++                      interrupt-names = "dma0",
++                                        "dma1",
++                                        "dma2",
++                                        "dma3",
++                                        "dma4",
++                                        "dma5",
++                                        "dma6",
++                                        "dma7",
++                                        "dma8",
++                                        "dma9",
++                                        "dma10",
++                                        "dma11",
++                                        "dma12",
++                                        "dma13",
++                                        "dma14",
++                                        "dma-shared-all";
++                      #dma-cells = <1>;
++                      brcm,dma-channel-mask = <0x7f35>;
++              };
++
+               intc: interrupt-controller@7e00b200 {
+                       compatible = "brcm,bcm2835-armctrl-ic";
+                       reg = <0x7e00b200 0x200>;
+@@ -15,6 +56,20 @@
+                       #interrupt-cells = <2>;
+               };
++              pm: watchdog@7e100000 {
++                      compatible = "brcm,bcm2835-pm", "brcm,bcm2835-pm-wdt";
++                      #power-domain-cells = <1>;
++                      #reset-cells = <1>;
++                      reg = <0x7e100000 0x114>,
++                            <0x7e00a000 0x24>;
++                      clocks = <&clocks BCM2835_CLOCK_V3D>,
++                               <&clocks BCM2835_CLOCK_PERI_IMAGE>,
++                               <&clocks BCM2835_CLOCK_H264>,
++                               <&clocks BCM2835_CLOCK_ISP>;
++                      clock-names = "v3d", "peri_image", "h264", "isp";
++                      system-power-controller;
++              };
++
+               pixelvalve@7e206000 {
+                       compatible = "brcm,bcm2835-pixelvalve0";
+                       reg = <0x7e206000 0x100>;
+@@ -35,21 +90,53 @@
+                       status = "disabled";
+               };
++              i2c2: i2c@7e805000 {
++                      compatible = "brcm,bcm2835-i2c";
++                      reg = <0x7e805000 0x1000>;
++                      interrupts = <2 21>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++              };
++
+               pixelvalve@7e807000 {
+                       compatible = "brcm,bcm2835-pixelvalve2";
+                       reg = <0x7e807000 0x100>;
+                       interrupts = <2 10>; /* pixelvalve */
+               };
++              hdmi: hdmi@7e902000 {
++                      compatible = "brcm,bcm2835-hdmi";
++                      reg = <0x7e902000 0x600>,
++                            <0x7e808000 0x100>;
++                      interrupts = <2 8>, <2 9>;
++                      ddc = <&i2c2>;
++                      clocks = <&clocks BCM2835_PLLH_PIX>,
++                               <&clocks BCM2835_CLOCK_HSM>;
++                      clock-names = "pixel", "hdmi";
++                      dmas = <&dma 17>;
++                      dma-names = "audio-rx";
++                      status = "disabled";
++              };
++
+               v3d: v3d@7ec00000 {
+                       compatible = "brcm,bcm2835-v3d";
+                       reg = <0x7ec00000 0x1000>;
+                       interrupts = <1 10>;
+                       power-domains = <&pm BCM2835_POWER_DOMAIN_GRAFX_V3D>;
+               };
++
++              vc4: gpu {
++                      compatible = "brcm,bcm2835-vc4";
++              };
+       };
+ };
++&cpu_thermal {
++      thermal-sensors = <&thermal>;
++};
++
+ &gpio {
+       i2c_slave_gpio18: i2c_slave_gpio18 {
+               brcm,pins = <18 19 20 21>;
+@@ -60,4 +147,48 @@
+               brcm,pins = <4 5 6 12 13>;
+               brcm,function = <BCM2835_FSEL_ALT5>;
+       };
++
++      pwm0_gpio12: pwm0_gpio12 {
++              brcm,pins = <12>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++      };
++      pwm0_gpio18: pwm0_gpio18 {
++              brcm,pins = <18>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++      };
++      pwm0_gpio40: pwm0_gpio40 {
++              brcm,pins = <40>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++      };
++      pwm1_gpio13: pwm1_gpio13 {
++              brcm,pins = <13>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++      };
++      pwm1_gpio19: pwm1_gpio19 {
++              brcm,pins = <19>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++      };
++      pwm1_gpio41: pwm1_gpio41 {
++              brcm,pins = <41>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++      };
++      pwm1_gpio45: pwm1_gpio45 {
++              brcm,pins = <45>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++      };
++};
++
++&i2s {
++      dmas = <&dma 2>, <&dma 3>;
++      dma-names = "tx", "rx";
++};
++
++&sdhost {
++      dmas = <&dma 13>;
++      dma-names = "rx-tx";
++};
++
++&spi {
++      dmas = <&dma 6>, <&dma 7>;
++      dma-names = "tx", "rx";
+ };
+--- a/arch/arm/boot/dts/bcm2835-rpi-a-plus.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-a-plus.dts
+@@ -3,7 +3,6 @@
+ #include "bcm2835.dtsi"
+ #include "bcm2835-rpi.dtsi"
+ #include "bcm283x-rpi-usb-host.dtsi"
+-#include "bcm283x-rpi-csi1-2lane.dtsi"
+ / {
+       compatible = "raspberrypi,model-a-plus", "brcm,bcm2835";
+--- a/arch/arm/boot/dts/bcm2835-rpi-a.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-a.dts
+@@ -3,7 +3,6 @@
+ #include "bcm2835.dtsi"
+ #include "bcm2835-rpi.dtsi"
+ #include "bcm283x-rpi-usb-host.dtsi"
+-#include "bcm283x-rpi-csi1-2lane.dtsi"
+ / {
+       compatible = "raspberrypi,model-a", "brcm,bcm2835";
+--- a/arch/arm/boot/dts/bcm2835-rpi-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-b-plus.dts
+@@ -4,7 +4,6 @@
+ #include "bcm2835-rpi.dtsi"
+ #include "bcm283x-rpi-smsc9514.dtsi"
+ #include "bcm283x-rpi-usb-host.dtsi"
+-#include "bcm283x-rpi-csi1-2lane.dtsi"
+ / {
+       compatible = "raspberrypi,model-b-plus", "brcm,bcm2835";
+--- a/arch/arm/boot/dts/bcm2835-rpi-b-rev2.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-b-rev2.dts
+@@ -4,7 +4,6 @@
+ #include "bcm2835-rpi.dtsi"
+ #include "bcm283x-rpi-smsc9512.dtsi"
+ #include "bcm283x-rpi-usb-host.dtsi"
+-#include "bcm283x-rpi-csi1-2lane.dtsi"
+ / {
+       compatible = "raspberrypi,model-b-rev2", "brcm,bcm2835";
+--- a/arch/arm/boot/dts/bcm2835-rpi-b.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-b.dts
+@@ -4,7 +4,6 @@
+ #include "bcm2835-rpi.dtsi"
+ #include "bcm283x-rpi-smsc9512.dtsi"
+ #include "bcm283x-rpi-usb-host.dtsi"
+-#include "bcm283x-rpi-csi1-2lane.dtsi"
+ / {
+       compatible = "raspberrypi,model-b", "brcm,bcm2835";
+--- a/arch/arm/boot/dts/bcm2835-rpi-zero.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-zero.dts
+@@ -7,7 +7,6 @@
+ #include "bcm2835.dtsi"
+ #include "bcm2835-rpi.dtsi"
+ #include "bcm283x-rpi-usb-otg.dtsi"
+-#include "bcm283x-rpi-csi1-2lane.dtsi"
+ / {
+       compatible = "raspberrypi,model-zero", "brcm,bcm2835";
+--- a/arch/arm/boot/dts/bcm2835-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2835-rpi.dtsi
+@@ -29,22 +29,6 @@
+                       interrupts = <0 2>;
+               };
+       };
+-
+-      vdd_3v3_reg: fixedregulator_3v3 {
+-              compatible = "regulator-fixed";
+-              regulator-name = "3v3";
+-              regulator-min-microvolt = <3300000>;
+-              regulator-max-microvolt = <3300000>;
+-              regulator-always-on;
+-      };
+-
+-      vdd_5v0_reg: fixedregulator_5v0 {
+-              compatible = "regulator-fixed";
+-              regulator-name = "5v0";
+-              regulator-min-microvolt = <5000000>;
+-              regulator-max-microvolt = <5000000>;
+-              regulator-always-on;
+-      };
+ };
+ &gpio {
+@@ -75,23 +59,10 @@
+       clock-frequency = <100000>;
+ };
+-&i2c2 {
+-      status = "okay";
+-};
+-
+ &usb {
+       power-domains = <&power RPI_POWER_DOMAIN_USB>;
+ };
+-&hdmi {
+-      power-domains = <&power RPI_POWER_DOMAIN_HDMI>;
+-      status = "okay";
+-};
+-
+-&v3d {
+-      power-domains = <&power RPI_POWER_DOMAIN_V3D>;
+-};
+-
+ &vec {
+       power-domains = <&power RPI_POWER_DOMAIN_VEC>;
+       status = "okay";
+@@ -104,11 +75,3 @@
+ &dsi1 {
+       power-domains = <&power RPI_POWER_DOMAIN_DSI1>;
+ };
+-
+-&csi0 {
+-      power-domains = <&power RPI_POWER_DOMAIN_UNICAM0>;
+-};
+-
+-&csi1 {
+-      power-domains = <&power RPI_POWER_DOMAIN_UNICAM1>;
+-};
+--- a/arch/arm/boot/dts/bcm2836-rpi-2-b.dts
++++ b/arch/arm/boot/dts/bcm2836-rpi-2-b.dts
+@@ -4,7 +4,6 @@
+ #include "bcm2836-rpi.dtsi"
+ #include "bcm283x-rpi-smsc9514.dtsi"
+ #include "bcm283x-rpi-usb-host.dtsi"
+-#include "bcm283x-rpi-csi1-2lane.dtsi"
+ / {
+       compatible = "raspberrypi,2-model-b", "brcm,bcm2836";
+--- a/arch/arm/boot/dts/bcm2837-rpi-3-b.dts
++++ b/arch/arm/boot/dts/bcm2837-rpi-3-b.dts
+@@ -4,7 +4,6 @@
+ #include "bcm2836-rpi.dtsi"
+ #include "bcm283x-rpi-smsc9514.dtsi"
+ #include "bcm283x-rpi-usb-host.dtsi"
+-#include "bcm283x-rpi-csi1-2lane.dtsi"
+ / {
+       compatible = "raspberrypi,3-model-b", "brcm,bcm2837";
+--- a/arch/arm/boot/dts/bcm283x-rpi-lan7515.dtsi
++++ b/arch/arm/boot/dts/bcm283x-rpi-lan7515.dtsi
+@@ -29,9 +29,6 @@
+                                       #size-cells = <0x0>;
+                                       eth_phy: ethernet-phy@1 {
+                                               reg = <1>;
+-                                              microchip,eee-enabled;
+-                                              microchip,tx-lpi-timer = <600>; /* non-aggressive*/
+-                                              microchip,downshift-after = <2>;
+                                               microchip,led-modes = <
+                                                       LAN78XX_LINK_1000_ACTIVITY
+                                                       LAN78XX_LINK_10_100_ACTIVITY
+@@ -42,15 +39,3 @@
+               };
+       };
+ };
+-
+-
+-/ {
+-      __overrides__ {
+-              eee = <&eth_phy>,"microchip,eee-enabled?";
+-              tx_lpi_timer = <&eth_phy>,"microchip,tx-lpi-timer:0";
+-              eth_led0 = <&eth_phy>,"microchip,led-modes:0";
+-              eth_led1 = <&eth_phy>,"microchip,led-modes:4";
+-              eth_downshift_after = <&eth_phy>,"microchip,downshift-after:0";
+-              eth_max_speed = <&eth_phy>,"max-speed:0";
+-      };
+-};
+--- a/arch/arm/boot/dts/bcm283x.dtsi
++++ b/arch/arm/boot/dts/bcm283x.dtsi
+@@ -35,8 +35,6 @@
+                       polling-delay-passive = <0>;
+                       polling-delay = <1000>;
+-                      thermal-sensors = <&thermal>;
+-
+                       trips {
+                               cpu-crit {
+                                       temperature     = <90000>;
+@@ -72,61 +70,6 @@
+                       interrupts = <1 11>;
+               };
+-              dma: dma@7e007000 {
+-                      compatible = "brcm,bcm2835-dma";
+-                      reg = <0x7e007000 0xf00>;
+-                      interrupts = <1 16>,
+-                                   <1 17>,
+-                                   <1 18>,
+-                                   <1 19>,
+-                                   <1 20>,
+-                                   <1 21>,
+-                                   <1 22>,
+-                                   <1 23>,
+-                                   <1 24>,
+-                                   <1 25>,
+-                                   <1 26>,
+-                                   /* dma channel 11-14 share one irq */
+-                                   <1 27>,
+-                                   <1 27>,
+-                                   <1 27>,
+-                                   <1 27>,
+-                                   /* unused shared irq for all channels */
+-                                   <1 28>;
+-                      interrupt-names = "dma0",
+-                                        "dma1",
+-                                        "dma2",
+-                                        "dma3",
+-                                        "dma4",
+-                                        "dma5",
+-                                        "dma6",
+-                                        "dma7",
+-                                        "dma8",
+-                                        "dma9",
+-                                        "dma10",
+-                                        "dma11",
+-                                        "dma12",
+-                                        "dma13",
+-                                        "dma14",
+-                                        "dma-shared-all";
+-                      #dma-cells = <1>;
+-                      brcm,dma-channel-mask = <0x7f35>;
+-              };
+-
+-              pm: watchdog@7e100000 {
+-                      compatible = "brcm,bcm2835-pm", "brcm,bcm2835-pm-wdt";
+-                      #power-domain-cells = <1>;
+-                      #reset-cells = <1>;
+-                      reg = <0x7e100000 0x114>,
+-                            <0x7e00a000 0x24>;
+-                      clocks = <&clocks BCM2835_CLOCK_V3D>,
+-                               <&clocks BCM2835_CLOCK_PERI_IMAGE>,
+-                               <&clocks BCM2835_CLOCK_H264>,
+-                               <&clocks BCM2835_CLOCK_ISP>;
+-                      clock-names = "v3d", "peri_image", "h264", "isp";
+-                      system-power-controller;
+-              };
+-
+               clocks: cprman@7e101000 {
+                       compatible = "brcm,bcm2835-cprman";
+                       #clock-cells = <1>;
+@@ -141,7 +84,7 @@
+                               <&dsi1 0>, <&dsi1 1>, <&dsi1 2>;
+               };
+-              rng: rng@7e104000 {
++              rng@7e104000 {
+                       compatible = "brcm,bcm2835-rng";
+                       reg = <0x7e104000 0x10>;
+                       interrupts = <2 29>;
+@@ -269,35 +212,6 @@
+                               brcm,function = <BCM2835_FSEL_ALT2>;
+                       };
+-                      pwm0_gpio12: pwm0_gpio12 {
+-                              brcm,pins = <12>;
+-                              brcm,function = <BCM2835_FSEL_ALT0>;
+-                      };
+-                      pwm0_gpio18: pwm0_gpio18 {
+-                              brcm,pins = <18>;
+-                              brcm,function = <BCM2835_FSEL_ALT5>;
+-                      };
+-                      pwm0_gpio40: pwm0_gpio40 {
+-                              brcm,pins = <40>;
+-                              brcm,function = <BCM2835_FSEL_ALT0>;
+-                      };
+-                      pwm1_gpio13: pwm1_gpio13 {
+-                              brcm,pins = <13>;
+-                              brcm,function = <BCM2835_FSEL_ALT0>;
+-                      };
+-                      pwm1_gpio19: pwm1_gpio19 {
+-                              brcm,pins = <19>;
+-                              brcm,function = <BCM2835_FSEL_ALT5>;
+-                      };
+-                      pwm1_gpio41: pwm1_gpio41 {
+-                              brcm,pins = <41>;
+-                              brcm,function = <BCM2835_FSEL_ALT0>;
+-                      };
+-                      pwm1_gpio45: pwm1_gpio45 {
+-                              brcm,pins = <45>;
+-                              brcm,function = <BCM2835_FSEL_ALT0>;
+-                      };
+-
+                       sdhost_gpio48: sdhost_gpio48 {
+                               brcm,pins = <48 49 50 51 52 53>;
+                               brcm,function = <BCM2835_FSEL_ALT0>;
+@@ -379,7 +293,7 @@
+               };
+               uart0: serial@7e201000 {
+-                      compatible = "brcm,bcm2835-pl011", "arm,pl011", "arm,primecell";
++                      compatible = "arm,pl011", "arm,primecell";
+                       reg = <0x7e201000 0x200>;
+                       interrupts = <2 25>;
+                       clocks = <&clocks BCM2835_CLOCK_UART>,
+@@ -393,8 +307,6 @@
+                       reg = <0x7e202000 0x100>;
+                       interrupts = <2 24>;
+                       clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      dmas = <&dma (13|(1<<29))>;
+-                      dma-names = "rx-tx";
+                       status = "disabled";
+               };
+@@ -402,10 +314,6 @@
+                       compatible = "brcm,bcm2835-i2s";
+                       reg = <0x7e203000 0x24>;
+                       clocks = <&clocks BCM2835_CLOCK_PCM>;
+-
+-                      dmas = <&dma 2>,
+-                             <&dma 3>;
+-                      dma-names = "tx", "rx";
+                       status = "disabled";
+               };
+@@ -414,8 +322,6 @@
+                       reg = <0x7e204000 0x200>;
+                       interrupts = <2 22>;
+                       clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      dmas = <&dma 6>, <&dma 7>;
+-                      dma-names = "tx", "rx";
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+                       status = "disabled";
+@@ -541,32 +447,6 @@
+                       status = "disabled";
+               };
+-              csi0: csi@7e800000 {
+-                      compatible = "brcm,bcm2835-unicam";
+-                      reg = <0x7e800000 0x800>,
+-                            <0x7e802000 0x4>;
+-                      interrupts = <2 6>;
+-                      clocks = <&clocks BCM2835_CLOCK_CAM0>;
+-                      clock-names = "lp";
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      #clock-cells = <1>;
+-                      status = "disabled";
+-              };
+-
+-              csi1: csi@7e801000 {
+-                      compatible = "brcm,bcm2835-unicam";
+-                      reg = <0x7e801000 0x800>,
+-                            <0x7e802004 0x4>;
+-                      interrupts = <2 7>;
+-                      clocks = <&clocks BCM2835_CLOCK_CAM1>;
+-                      clock-names = "lp";
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      #clock-cells = <1>;
+-                      status = "disabled";
+-              };
+-
+               i2c1: i2c@7e804000 {
+                       compatible = "brcm,bcm2835-i2c";
+                       reg = <0x7e804000 0x1000>;
+@@ -577,16 +457,6 @@
+                       status = "disabled";
+               };
+-              i2c2: i2c@7e805000 {
+-                      compatible = "brcm,bcm2835-i2c";
+-                      reg = <0x7e805000 0x1000>;
+-                      interrupts = <2 21>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+               vec: vec@7e806000 {
+                       compatible = "brcm,bcm2835-vec";
+                       reg = <0x7e806000 0x1000>;
+@@ -595,20 +465,6 @@
+                       status = "disabled";
+               };
+-              hdmi: hdmi@7e902000 {
+-                      compatible = "brcm,bcm2835-hdmi";
+-                      reg = <0x7e902000 0x600>,
+-                            <0x7e808000 0x100>;
+-                      interrupts = <2 8>, <2 9>;
+-                      ddc = <&i2c2>;
+-                      clocks = <&clocks BCM2835_PLLH_PIX>,
+-                               <&clocks BCM2835_CLOCK_HSM>;
+-                      clock-names = "pixel", "hdmi";
+-                      dmas = <&dma 17>;
+-                      dma-names = "audio-rx";
+-                      status = "disabled";
+-              };
+-
+               usb: usb@7e980000 {
+                       compatible = "brcm,bcm2835-usb";
+                       reg = <0x7e980000 0x10000>;
+@@ -620,10 +476,6 @@
+                       phys = <&usbphy>;
+                       phy-names = "usb2-phy";
+               };
+-
+-              vc4: gpu {
+-                      compatible = "brcm,bcm2835-vc4";
+-              };
+       };
+       clocks {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0411-overlays-Add-ssd1306-spi-ssh1106-spi-ssd-1351-spi.patch b/target/linux/bcm27xx/patches-5.4/950-0411-overlays-Add-ssd1306-spi-ssh1106-spi-ssd-1351-spi.patch
deleted file mode 100644 (file)
index 77bf63e..0000000
+++ /dev/null
@@ -1,353 +0,0 @@
-From fe90ee51b283f7cbbce9980b76b3da8b31d39c60 Mon Sep 17 00:00:00 2001
-From: MikeDK <m.kaplan@evva.com>
-Date: Fri, 31 Jan 2020 10:57:21 +0100
-Subject: [PATCH] overlays: Add ssd1306-spi, ssh1106-spi, ssd-1351-spi
-
-Add overlays for SSD1306, SH1106 and SSD1351 based OLED displays.
-SH1106 is present in many 1.3 inch OLEDs and SSD1351 is present in
-1.5 inch RGB OLEDs from AliExpress.
-
-This will load the staging fbtft drivers.
-
-Signed-off-by: Michael Kaplan <m.kaplan@evva.com>
----
- arch/arm/boot/dts/overlays/Makefile           |  3 +
- arch/arm/boot/dts/overlays/README             | 35 ++++++++
- .../boot/dts/overlays/sh1106-spi-overlay.dts  | 84 +++++++++++++++++++
- .../boot/dts/overlays/ssd1306-spi-overlay.dts | 84 +++++++++++++++++++
- .../boot/dts/overlays/ssd1351-spi-overlay.dts | 83 ++++++++++++++++++
- 5 files changed, 289 insertions(+)
- create mode 100644 arch/arm/boot/dts/overlays/sh1106-spi-overlay.dts
- create mode 100644 arch/arm/boot/dts/overlays/ssd1306-spi-overlay.dts
- create mode 100644 arch/arm/boot/dts/overlays/ssd1351-spi-overlay.dts
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -145,6 +145,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       sdhost.dtbo \
-       sdio.dtbo \
-       sdtweak.dtbo \
-+      sh1106-spi.dtbo \
-       smi.dtbo \
-       smi-dev.dtbo \
-       smi-nand.dtbo \
-@@ -168,6 +169,8 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       spi6-1cs.dtbo \
-       spi6-2cs.dtbo \
-       ssd1306.dtbo \
-+      ssd1306-spi.dtbo \
-+      ssd1351-spi.dtbo \
-       superaudioboard.dtbo \
-       sx150x.dtbo \
-       tc358743.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -2145,6 +2145,18 @@ Params: overclock_50            Clock (i
-                                 (default on)
-+Name:   sh1106-spi
-+Info:   Overlay for SH1106 OLED via SPI using fbtft staging driver.
-+Load:   dtoverlay=sh1106-spi,<param>=<val>
-+Params: speed                   SPI bus speed (default 4000000)
-+        rotate                  Display rotation (0, 90, 180 or 270; default 0)
-+        fps                     Delay between frame updates (default 25)
-+        debug                   Debug output level (0-7; default 0)
-+        dc_pin                  GPIO pin for D/C (default 24)
-+        reset_pin               GPIO pin for RESET (default 25)
-+        height                  Display height (32 or 64; default 64)
-+
-+
- Name:   smi
- Info:   Enables the Secondary Memory Interface peripheral. Uses GPIOs 2-25!
- Load:   dtoverlay=smi
-@@ -2428,6 +2440,29 @@ Params: address                 Location
-         https://cdn-shop.adafruit.com/datasheets/SSD1306.pdf
-+Name:   ssd1306-spi
-+Info:   Overlay for SSD1306 OLED via SPI using fbtft staging driver.
-+Load:   dtoverlay=ssd1306-spi,<param>=<val>
-+Params: speed                   SPI bus speed (default 10000000)
-+        rotate                  Display rotation (0, 90, 180 or 270; default 0)
-+        fps                     Delay between frame updates (default 25)
-+        debug                   Debug output level (0-7; default 0)
-+        dc_pin                  GPIO pin for D/C (default 24)
-+        reset_pin               GPIO pin for RESET (default 25)
-+        height                  Display height (32 or 64; default 64)
-+
-+
-+Name:   ssd1351-spi
-+Info:   Overlay for SSD1351 OLED via SPI using fbtft staging driver.
-+Load:   dtoverlay=ssd1351-spi,<param>=<val>
-+Params: speed                   SPI bus speed (default 4500000)
-+        rotate                  Display rotation (0, 90, 180 or 270; default 0)
-+        fps                     Delay between frame updates (default 25)
-+        debug                   Debug output level (0-7; default 0)
-+        dc_pin                  GPIO pin for D/C (default 24)
-+        reset_pin               GPIO pin for RESET (default 25)
-+
-+
- Name:   superaudioboard
- Info:   Configures the SuperAudioBoard sound card
- Load:   dtoverlay=superaudioboard,<param>=<val>
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/sh1106-spi-overlay.dts
-@@ -0,0 +1,84 @@
-+/*
-+ * Device Tree overlay for SH1106 based SPI OLED display
-+ *
-+ */
-+
-+/dts-v1/;
-+/plugin/;
-+
-+/ {
-+      compatible = "brcm,bcm2835";
-+
-+      fragment@0 {
-+              target = <&spi0>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@1 {
-+              target = <&spidev0>;
-+              __overlay__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@2 {
-+              target = <&spidev1>;
-+              __overlay__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@3 {
-+              target = <&gpio>;
-+              __overlay__ {
-+                      sh1106_pins: sh1106_pins {
-+                                brcm,pins = <25 24>;
-+                                brcm,function = <1 1>; /* out out */
-+                      };
-+              };
-+      };
-+
-+      fragment@4 {
-+              target = <&spi0>;
-+              __overlay__ {
-+                      /* needed to avoid dtc warning */
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      sh1106: sh1106@0{
-+                              compatible = "sinowealth,sh1106";
-+                              reg = <0>;
-+                              pinctrl-names = "default";
-+                              pinctrl-0 = <&sh1106_pins>;
-+
-+                              spi-max-frequency = <4000000>;
-+                              bgr = <0>;
-+                              bpp = <1>;
-+                              rotate = <0>;
-+                              fps = <25>;
-+                              buswidth = <8>;
-+                              reset-gpios = <&gpio 25 0>;
-+                              dc-gpios = <&gpio 24 0>;
-+                              debug = <0>;
-+
-+                              sinowealth,height = <64>;
-+                              sinowealth,width = <128>;
-+                              sinowealth,page-offset = <0>;
-+                      };
-+              };
-+      };
-+
-+      __overrides__ {
-+              speed     = <&sh1106>,"spi-max-frequency:0";
-+              rotate    = <&sh1106>,"rotate:0";
-+              fps       = <&sh1106>,"fps:0";
-+              debug     = <&sh1106>,"debug:0";
-+              dc_pin    = <&sh1106>,"dc-gpios:4",
-+                          <&sh1106_pins>,"brcm,pins:4";
-+              reset_pin = <&sh1106>,"reset-gpios:4",
-+                          <&sh1106_pins>,"brcm,pins:0";
-+              height    = <&sh1106>,"sinowealth,height:0";
-+      };
-+};
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/ssd1306-spi-overlay.dts
-@@ -0,0 +1,84 @@
-+/*
-+ * Device Tree overlay for SSD1306 based SPI OLED display
-+ *
-+ */
-+
-+/dts-v1/;
-+/plugin/;
-+
-+/ {
-+      compatible = "brcm,bcm2835";
-+
-+      fragment@0 {
-+              target = <&spi0>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@1 {
-+              target = <&spidev0>;
-+              __overlay__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@2 {
-+              target = <&spidev1>;
-+              __overlay__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@3 {
-+              target = <&gpio>;
-+              __overlay__ {
-+                      ssd1306_pins: ssd1306_pins {
-+                                brcm,pins = <25 24>;
-+                                brcm,function = <1 1>; /* out out */
-+                      };
-+              };
-+      };
-+
-+      fragment@4 {
-+              target = <&spi0>;
-+              __overlay__ {
-+                      /* needed to avoid dtc warning */
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      ssd1306: ssd1306@0{
-+                              compatible = "solomon,ssd1306";
-+                              reg = <0>;
-+                              pinctrl-names = "default";
-+                              pinctrl-0 = <&ssd1306_pins>;
-+
-+                              spi-max-frequency = <10000000>;
-+                              bgr = <0>;
-+                              bpp = <1>;
-+                              rotate = <0>;
-+                              fps = <25>;
-+                              buswidth = <8>;
-+                              reset-gpios = <&gpio 25 0>;
-+                              dc-gpios = <&gpio 24 0>;
-+                              debug = <0>;
-+
-+                              solomon,height = <64>;
-+                              solomon,width = <128>;
-+                              solomon,page-offset = <0>;
-+                      };
-+              };
-+      };
-+
-+      __overrides__ {
-+              speed     = <&ssd1306>,"spi-max-frequency:0";
-+              rotate    = <&ssd1306>,"rotate:0";
-+              fps       = <&ssd1306>,"fps:0";
-+              debug     = <&ssd1306>,"debug:0";
-+              dc_pin    = <&ssd1306>,"dc-gpios:4",
-+                          <&ssd1306_pins>,"brcm,pins:4";
-+              reset_pin = <&ssd1306>,"reset-gpios:4",
-+                          <&ssd1306_pins>,"brcm,pins:0";
-+              height    = <&ssd1306>,"solomon,height:0";
-+      };
-+};
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/ssd1351-spi-overlay.dts
-@@ -0,0 +1,83 @@
-+/*
-+ * Device Tree overlay for SSD1351 based SPI OLED display
-+ *
-+ */
-+
-+/dts-v1/;
-+/plugin/;
-+
-+/ {
-+      compatible = "brcm,bcm2835";
-+
-+      fragment@0 {
-+              target = <&spi0>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@1 {
-+              target = <&spidev0>;
-+              __overlay__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@2 {
-+              target = <&spidev1>;
-+              __overlay__ {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@3 {
-+              target = <&gpio>;
-+              __overlay__ {
-+                      ssd1351_pins: ssd1351_pins {
-+                                brcm,pins = <25 24>;
-+                                brcm,function = <1 1>; /* out out */
-+                      };
-+              };
-+      };
-+
-+      fragment@4 {
-+              target = <&spi0>;
-+              __overlay__ {
-+                      /* needed to avoid dtc warning */
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      ssd1351: ssd1351@0{
-+                              compatible = "solomon,ssd1351";
-+                              reg = <0>;
-+                              pinctrl-names = "default";
-+                              pinctrl-0 = <&ssd1351_pins>;
-+
-+                              spi-max-frequency = <4500000>;
-+                              bgr = <0>;
-+                              bpp = <16>;
-+                              rotate = <0>;
-+                              fps = <25>;
-+                              buswidth = <8>;
-+                              reset-gpios = <&gpio 25 0>;
-+                              dc-gpios = <&gpio 24 0>;
-+                              debug = <0>;
-+
-+                              solomon,height = <128>;
-+                              solomon,width = <128>;
-+                              solomon,page-offset = <0>;
-+                      };
-+              };
-+      };
-+
-+      __overrides__ {
-+              speed     = <&ssd1351>,"spi-max-frequency:0";
-+              rotate    = <&ssd1351>,"rotate:0";
-+              fps       = <&ssd1351>,"fps:0";
-+              debug     = <&ssd1351>,"debug:0";
-+              dc_pin    = <&ssd1351>,"dc-gpios:4",
-+                          <&ssd1351_pins>,"brcm,pins:4";
-+              reset_pin = <&ssd1351>,"reset-gpios:4",
-+                          <&ssd1351_pins>,"brcm,pins:0";
-+      };
-+};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0412-ARM-dts-Clean-out-downstream-BCM2711-2838-files.patch b/target/linux/bcm27xx/patches-5.4/950-0412-ARM-dts-Clean-out-downstream-BCM2711-2838-files.patch
new file mode 100644 (file)
index 0000000..a66202a
--- /dev/null
@@ -0,0 +1,1846 @@
+From 134e06abd2d002edfdac3561656ab9e8161b29a3 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 31 Jan 2020 16:53:13 +0000
+Subject: [PATCH] ARM: dts: Clean out downstream BCM2711/2838 files
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 157 -----
+ arch/arm/boot/dts/bcm2711-rpi.dtsi    |   7 -
+ arch/arm/boot/dts/bcm2711.dtsi        | 890 --------------------------
+ arch/arm/boot/dts/bcm2838-rpi.dtsi    |  25 -
+ arch/arm/boot/dts/bcm2838.dtsi        | 733 ---------------------
+ 5 files changed, 1812 deletions(-)
+ delete mode 100644 arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+ delete mode 100644 arch/arm/boot/dts/bcm2711-rpi.dtsi
+ delete mode 100644 arch/arm/boot/dts/bcm2711.dtsi
+ delete mode 100644 arch/arm/boot/dts/bcm2838-rpi.dtsi
+ delete mode 100644 arch/arm/boot/dts/bcm2838.dtsi
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ /dev/null
+@@ -1,157 +0,0 @@
+-// SPDX-License-Identifier: GPL-2.0
+-/dts-v1/;
+-#include "bcm2711.dtsi"
+-#include "bcm2835-rpi.dtsi"
+-#include "bcm283x-rpi-usb-peripheral.dtsi"
+-
+-/ {
+-      compatible = "raspberrypi,4-model-b", "brcm,bcm2711";
+-      model = "Raspberry Pi 4 Model B";
+-
+-      chosen {
+-              /* 8250 auxiliary UART instead of pl011 */
+-              stdout-path = "serial1:115200n8";
+-      };
+-
+-      /* Will be filled by the bootloader */
+-      memory@0 {
+-              device_type = "memory";
+-              reg = <0 0 0>;
+-      };
+-
+-      aliases {
+-              ethernet0 = &genet;
+-      };
+-
+-      leds {
+-              act {
+-                      gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
+-              };
+-
+-              pwr {
+-                      label = "PWR";
+-                      gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
+-              };
+-      };
+-
+-      wifi_pwrseq: wifi-pwrseq {
+-              compatible = "mmc-pwrseq-simple";
+-              reset-gpios = <&expgpio 1 GPIO_ACTIVE_LOW>;
+-      };
+-
+-      sd_io_1v8_reg: sd_io_1v8_reg {
+-              compatible = "regulator-gpio";
+-              regulator-name = "vdd-sd-io";
+-              regulator-min-microvolt = <1800000>;
+-              regulator-max-microvolt = <3300000>;
+-              regulator-boot-on;
+-              regulator-always-on;
+-              regulator-settling-time-us = <5000>;
+-              gpios = <&expgpio 4 GPIO_ACTIVE_HIGH>;
+-              states = <1800000 0x1
+-                        3300000 0x0>;
+-              status = "okay";
+-      };
+-};
+-
+-&firmware {
+-      expgpio: gpio {
+-              compatible = "raspberrypi,firmware-gpio";
+-              gpio-controller;
+-              #gpio-cells = <2>;
+-              gpio-line-names = "BT_ON",
+-                                "WL_ON",
+-                                "PWR_LED_OFF",
+-                                "GLOBAL_RESET",
+-                                "VDD_SD_IO_SEL",
+-                                "CAM_GPIO",
+-                                "",
+-                                "";
+-              status = "okay";
+-      };
+-};
+-
+-&pwm1 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&pwm1_0_gpio40 &pwm1_1_gpio41>;
+-      status = "okay";
+-};
+-
+-/* SDHCI is used to control the SDIO for wireless */
+-&sdhci {
+-      #address-cells = <1>;
+-      #size-cells = <0>;
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&emmc_gpio34>;
+-      bus-width = <4>;
+-      non-removable;
+-      mmc-pwrseq = <&wifi_pwrseq>;
+-      status = "okay";
+-
+-      brcmf: wifi@1 {
+-              reg = <1>;
+-              compatible = "brcm,bcm4329-fmac";
+-      };
+-};
+-
+-/* EMMC2 is used to drive the SD card */
+-&emmc2 {
+-      vqmmc-supply = <&sd_io_1v8_reg>;
+-      broken-cd;
+-      status = "okay";
+-};
+-
+-&genet {
+-      phy-handle = <&phy1>;
+-      phy-mode = "rgmii-rxid";
+-      status = "okay";
+-};
+-
+-&genet_mdio {
+-      phy1: ethernet-phy@1 {
+-              /* No PHY interrupt */
+-              reg = <0x1>;
+-      };
+-};
+-
+-/* uart0 communicates with the BT module */
+-&uart0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32>;
+-      uart-has-rtscts;
+-      status = "okay";
+-
+-      bluetooth {
+-              compatible = "brcm,bcm43438-bt";
+-              max-speed = <2000000>;
+-              shutdown-gpios = <&expgpio 0 GPIO_ACTIVE_HIGH>;
+-      };
+-};
+-
+-/* uart1 is mapped to the pin header */
+-&uart1 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&uart1_gpio14>;
+-      status = "okay";
+-};
+-
+-&vchiq {
+-      interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-/ {
+-      __overrides__ {
+-              act_led_gpio = <&act_led>,"gpios:4";
+-              act_led_activelow = <&act_led>,"gpios:8";
+-              act_led_trigger = <&act_led>,"linux,default-trigger";
+-
+-              pwr_led_gpio = <&pwr_led>,"gpios:4";
+-              pwr_led_activelow = <&pwr_led>,"gpios:8";
+-              pwr_led_trigger = <&pwr_led>,"linux,default-trigger";
+-
+-              eth_led0 = <&phy1>,"led-modes:0";
+-              eth_led1 = <&phy1>,"led-modes:4";
+-
+-              sd_poll_once = <&emmc2>, "non-removable?";
+-      };
+-};
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ /dev/null
+@@ -1,7 +0,0 @@
+-#include "bcm2708-rpi.dtsi"
+-#include "bcm2838-rpi.dtsi"
+-
+-&v3d {
+-     /* Undo the overwriting by bcm270x.dtsi */
+-     power-domains = <&pm BCM2835_POWER_DOMAIN_GRAFX_V3D>;
+-};
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ /dev/null
+@@ -1,890 +0,0 @@
+-// SPDX-License-Identifier: GPL-2.0
+-#include "bcm283x.dtsi"
+-
+-#include <dt-bindings/interrupt-controller/arm-gic.h>
+-#include <dt-bindings/soc/bcm2835-pm.h>
+-
+-/ {
+-      compatible = "brcm,bcm2711";
+-
+-      #address-cells = <2>;
+-      #size-cells = <1>;
+-
+-      interrupt-parent = <&gicv2>;
+-
+-      reserved-memory {
+-              #address-cells = <2>;
+-              #size-cells = <1>;
+-              ranges;
+-
+-              /*
+-               * arm64 reserves the CMA by default somewhere in ZONE_DMA32,
+-               * that's not good enough for the BCM2711 as some devices can
+-               * only address the lower 1G of memory (ZONE_DMA).
+-               */
+-              linux,cma {
+-                      compatible = "shared-dma-pool";
+-                      size = <0x2000000>; /* 32MB */
+-                      alloc-ranges = <0x0 0x00000000 0x40000000>;
+-                      reusable;
+-                      linux,cma-default;
+-              };
+-      };
+-
+-
+-      soc {
+-              /*
+-               * Defined ranges:
+-               *   Common BCM283x peripherals
+-               *   BCM2711-specific peripherals
+-               *   ARM-local peripherals
+-               */
+-              ranges = <0x7e000000  0x0 0xfe000000  0x01800000>,
+-                       <0x7c000000  0x0 0xfc000000  0x02000000>,
+-                       <0x40000000  0x0 0xff800000  0x00800000>;
+-              /* Emulate a contiguous 30-bit address range for DMA */
+-              dma-ranges = <0xc0000000  0x0 0x00000000  0x40000000>;
+-
+-              /*
+-               * This node is the provider for the enable-method for
+-               * bringing up secondary cores.
+-               */
+-              local_intc: local_intc@40000000 {
+-                      compatible = "brcm,bcm2836-l1-intc";
+-                      reg = <0x40000000 0x100>;
+-              };
+-
+-              gicv2: interrupt-controller@40041000 {
+-                      interrupt-controller;
+-                      #interrupt-cells = <3>;
+-                      compatible = "arm,gic-400";
+-                      reg =   <0x40041000 0x1000>,
+-                              <0x40042000 0x2000>,
+-                              <0x40044000 0x2000>,
+-                              <0x40046000 0x2000>;
+-                      interrupts = <GIC_PPI 9 (GIC_CPU_MASK_SIMPLE(4) |
+-                                               IRQ_TYPE_LEVEL_HIGH)>;
+-              };
+-
+-              dma: dma@7e007000 {
+-                      compatible = "brcm,bcm2835-dma";
+-                      reg = <0x7e007000 0xb00>;
+-                      interrupts = <GIC_SPI 80 IRQ_TYPE_LEVEL_HIGH>,
+-                                   <GIC_SPI 81 IRQ_TYPE_LEVEL_HIGH>,
+-                                   <GIC_SPI 82 IRQ_TYPE_LEVEL_HIGH>,
+-                                   <GIC_SPI 83 IRQ_TYPE_LEVEL_HIGH>,
+-                                   <GIC_SPI 84 IRQ_TYPE_LEVEL_HIGH>,
+-                                   <GIC_SPI 85 IRQ_TYPE_LEVEL_HIGH>,
+-                                   <GIC_SPI 86 IRQ_TYPE_LEVEL_HIGH>,
+-                                   /* DMA lite 7 - 10 */
+-                                   <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>,
+-                                   <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>,
+-                                   <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>,
+-                                   <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>;
+-                      interrupt-names = "dma0",
+-                                        "dma1",
+-                                        "dma2",
+-                                        "dma3",
+-                                        "dma4",
+-                                        "dma5",
+-                                        "dma6",
+-                                        "dma7",
+-                                        "dma8",
+-                                        "dma9",
+-                                        "dma10";
+-                      #dma-cells = <1>;
+-                      brcm,dma-channel-mask = <0x07f5>;
+-              };
+-
+-              pm: watchdog@7e100000 {
+-                      compatible = "brcm,bcm2835-pm", "brcm,bcm2835-pm-wdt";
+-                      #power-domain-cells = <1>;
+-                      #reset-cells = <1>;
+-                      reg = <0x7e100000 0x114>,
+-                            <0x7e00a000 0x24>,
+-                            <0x7ec11000 0x20>;
+-                      clocks = <&clocks BCM2835_CLOCK_V3D>,
+-                               <&clocks BCM2835_CLOCK_PERI_IMAGE>,
+-                               <&clocks BCM2835_CLOCK_H264>,
+-                               <&clocks BCM2835_CLOCK_ISP>;
+-                      clock-names = "v3d", "peri_image", "h264", "isp";
+-                      system-power-controller;
+-              };
+-
+-              rng@7e104000 {
+-                      interrupts = <GIC_SPI 125 IRQ_TYPE_LEVEL_HIGH>;
+-
+-                      /* RNG is incompatible with brcm,bcm2835-rng */
+-                      status = "disabled";
+-              };
+-
+-              uart2: serial@7e201400 {
+-                      compatible = "arm,pl011", "arm,primecell";
+-                      reg = <0x7e201400 0x200>;
+-                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_UART>,
+-                               <&clocks BCM2835_CLOCK_VPU>;
+-                      clock-names = "uartclk", "apb_pclk";
+-                      arm,primecell-periphid = <0x00241011>;
+-                      status = "disabled";
+-              };
+-
+-              uart3: serial@7e201600 {
+-                      compatible = "arm,pl011", "arm,primecell";
+-                      reg = <0x7e201600 0x200>;
+-                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_UART>,
+-                               <&clocks BCM2835_CLOCK_VPU>;
+-                      clock-names = "uartclk", "apb_pclk";
+-                      arm,primecell-periphid = <0x00241011>;
+-                      status = "disabled";
+-              };
+-
+-              uart4: serial@7e201800 {
+-                      compatible = "arm,pl011", "arm,primecell";
+-                      reg = <0x7e201800 0x200>;
+-                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_UART>,
+-                               <&clocks BCM2835_CLOCK_VPU>;
+-                      clock-names = "uartclk", "apb_pclk";
+-                      arm,primecell-periphid = <0x00241011>;
+-                      status = "disabled";
+-              };
+-
+-              uart5: serial@7e201a00 {
+-                      compatible = "arm,pl011", "arm,primecell";
+-                      reg = <0x7e201a00 0x200>;
+-                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_UART>,
+-                               <&clocks BCM2835_CLOCK_VPU>;
+-                      clock-names = "uartclk", "apb_pclk";
+-                      arm,primecell-periphid = <0x00241011>;
+-                      status = "disabled";
+-              };
+-
+-              spi3: spi@7e204600 {
+-                      compatible = "brcm,bcm2835-spi";
+-                      reg = <0x7e204600 0x0200>;
+-                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              spi4: spi@7e204800 {
+-                      compatible = "brcm,bcm2835-spi";
+-                      reg = <0x7e204800 0x0200>;
+-                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              spi5: spi@7e204a00 {
+-                      compatible = "brcm,bcm2835-spi";
+-                      reg = <0x7e204a00 0x0200>;
+-                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              spi6: spi@7e204c00 {
+-                      compatible = "brcm,bcm2835-spi";
+-                      reg = <0x7e204c00 0x0200>;
+-                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              i2c3: i2c@7e205600 {
+-                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
+-                      reg = <0x7e205600 0x200>;
+-                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              i2c4: i2c@7e205800 {
+-                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
+-                      reg = <0x7e205800 0x200>;
+-                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              i2c5: i2c@7e205a00 {
+-                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
+-                      reg = <0x7e205a00 0x200>;
+-                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              i2c6: i2c@7e205c00 {
+-                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
+-                      reg = <0x7e205c00 0x200>;
+-                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              pwm1: pwm@7e20c800 {
+-                      compatible = "brcm,bcm2835-pwm";
+-                      reg = <0x7e20c800 0x28>;
+-                      clocks = <&clocks BCM2835_CLOCK_PWM>;
+-                      assigned-clocks = <&clocks BCM2835_CLOCK_PWM>;
+-                      assigned-clock-rates = <10000000>;
+-                      #pwm-cells = <2>;
+-                      status = "disabled";
+-              };
+-
+-              emmc2: emmc2@7e340000 {
+-                      compatible = "brcm,bcm2711-emmc2";
+-                      reg = <0x7e340000 0x100>;
+-                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
+-                      status = "disabled";
+-              };
+-
+-              hvs@7e400000 {
+-                      interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
+-              };
+-      };
+-
+-      arm-pmu {
+-              compatible = "arm,cortex-a72-pmu", "arm,armv8-pmuv3";
+-              interrupts = <GIC_SPI 16 IRQ_TYPE_LEVEL_HIGH>,
+-                      <GIC_SPI 17 IRQ_TYPE_LEVEL_HIGH>,
+-                      <GIC_SPI 18 IRQ_TYPE_LEVEL_HIGH>,
+-                      <GIC_SPI 19 IRQ_TYPE_LEVEL_HIGH>;
+-              interrupt-affinity = <&cpu0>, <&cpu1>, <&cpu2>, <&cpu3>;
+-      };
+-
+-      timer {
+-              compatible = "arm,armv8-timer";
+-              interrupts = <GIC_PPI 13 (GIC_CPU_MASK_SIMPLE(4) |
+-                                        IRQ_TYPE_LEVEL_LOW)>,
+-                           <GIC_PPI 14 (GIC_CPU_MASK_SIMPLE(4) |
+-                                        IRQ_TYPE_LEVEL_LOW)>,
+-                           <GIC_PPI 11 (GIC_CPU_MASK_SIMPLE(4) |
+-                                        IRQ_TYPE_LEVEL_LOW)>,
+-                           <GIC_PPI 10 (GIC_CPU_MASK_SIMPLE(4) |
+-                                        IRQ_TYPE_LEVEL_LOW)>;
+-              /* This only applies to the ARMv7 stub */
+-              arm,cpu-registers-not-fw-configured;
+-      };
+-
+-      cpus: cpus {
+-              #address-cells = <1>;
+-              #size-cells = <0>;
+-              enable-method = "brcm,bcm2836-smp"; // for ARM 32-bit
+-
+-              cpu0: cpu@0 {
+-                      device_type = "cpu";
+-                      compatible = "arm,cortex-a72";
+-                      reg = <0>;
+-                      enable-method = "spin-table";
+-                      cpu-release-addr = <0x0 0x000000d8>;
+-              };
+-
+-              cpu1: cpu@1 {
+-                      device_type = "cpu";
+-                      compatible = "arm,cortex-a72";
+-                      reg = <1>;
+-                      enable-method = "spin-table";
+-                      cpu-release-addr = <0x0 0x000000e0>;
+-              };
+-
+-              cpu2: cpu@2 {
+-                      device_type = "cpu";
+-                      compatible = "arm,cortex-a72";
+-                      reg = <2>;
+-                      enable-method = "spin-table";
+-                      cpu-release-addr = <0x0 0x000000e8>;
+-              };
+-
+-              cpu3: cpu@3 {
+-                      device_type = "cpu";
+-                      compatible = "arm,cortex-a72";
+-                      reg = <3>;
+-                      enable-method = "spin-table";
+-                      cpu-release-addr = <0x0 0x000000f0>;
+-              };
+-      };
+-
+-      scb {
+-              compatible = "simple-bus";
+-              #address-cells = <2>;
+-              #size-cells = <1>;
+-
+-              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>;
+-
+-              genet: ethernet@7d580000 {
+-                      compatible = "brcm,bcm2711-genet-v5";
+-                      reg = <0x0 0x7d580000 0x10000>;
+-                      #address-cells = <0x1>;
+-                      #size-cells = <0x1>;
+-                      interrupts = <GIC_SPI 157 IRQ_TYPE_LEVEL_HIGH>,
+-                                   <GIC_SPI 158 IRQ_TYPE_LEVEL_HIGH>;
+-                      status = "disabled";
+-
+-                      genet_mdio: mdio@e14 {
+-                              compatible = "brcm,genet-mdio-v5";
+-                              reg = <0xe14 0x8>;
+-                              reg-names = "mdio";
+-                              #address-cells = <0x0>;
+-                              #size-cells = <0x1>;
+-                      };
+-              };
+-      };
+-};
+-
+-&clk_osc {
+-      clock-frequency = <54000000>;
+-};
+-
+-&clocks {
+-      compatible = "brcm,bcm2711-cprman";
+-};
+-
+-&cpu_thermal {
+-      coefficients = <(-487) 410040>;
+-};
+-
+-&dsi0 {
+-      interrupts = <GIC_SPI 100 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&dsi1 {
+-      interrupts = <GIC_SPI 108 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&gpio {
+-      compatible = "brcm,bcm2711-gpio";
+-      interrupts = <GIC_SPI 113 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 115 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 116 IRQ_TYPE_LEVEL_HIGH>;
+-
+-      gpclk0_gpio49: gpclk0_gpio49 {
+-              pin-gpclk {
+-                      pins = "gpio49";
+-                      function = "alt1";
+-                      bias-disable;
+-              };
+-      };
+-      gpclk1_gpio50: gpclk1_gpio50 {
+-              pin-gpclk {
+-                      pins = "gpio50";
+-                      function = "alt1";
+-                      bias-disable;
+-              };
+-      };
+-      gpclk2_gpio51: gpclk2_gpio51 {
+-              pin-gpclk {
+-                      pins = "gpio51";
+-                      function = "alt1";
+-                      bias-disable;
+-              };
+-      };
+-
+-      i2c0_gpio46: i2c0_gpio46 {
+-              pin-sda {
+-                      function = "alt0";
+-                      pins = "gpio46";
+-                      bias-pull-up;
+-              };
+-              pin-scl {
+-                      function = "alt0";
+-                      pins = "gpio47";
+-                      bias-disable;
+-              };
+-      };
+-      i2c1_gpio46: i2c1_gpio46 {
+-              pin-sda {
+-                      function = "alt1";
+-                      pins = "gpio46";
+-                      bias-pull-up;
+-              };
+-              pin-scl {
+-                      function = "alt1";
+-                      pins = "gpio47";
+-                      bias-disable;
+-              };
+-      };
+-      i2c3_gpio2: i2c3_gpio2 {
+-              pin-sda {
+-                      function = "alt5";
+-                      pins = "gpio2";
+-                      bias-pull-up;
+-              };
+-              pin-scl {
+-                      function = "alt5";
+-                      pins = "gpio3";
+-                      bias-disable;
+-              };
+-      };
+-      i2c3_gpio4: i2c3_gpio4 {
+-              pin-sda {
+-                      function = "alt5";
+-                      pins = "gpio4";
+-                      bias-pull-up;
+-              };
+-              pin-scl {
+-                      function = "alt5";
+-                      pins = "gpio5";
+-                      bias-disable;
+-              };
+-      };
+-      i2c4_gpio6: i2c4_gpio6 {
+-              pin-sda {
+-                      function = "alt5";
+-                      pins = "gpio6";
+-                      bias-pull-up;
+-              };
+-              pin-scl {
+-                      function = "alt5";
+-                      pins = "gpio7";
+-                      bias-disable;
+-              };
+-      };
+-      i2c4_gpio8: i2c4_gpio8 {
+-              pin-sda {
+-                      function = "alt5";
+-                      pins = "gpio8";
+-                      bias-pull-up;
+-              };
+-              pin-scl {
+-                      function = "alt5";
+-                      pins = "gpio9";
+-                      bias-disable;
+-              };
+-      };
+-      i2c5_gpio10: i2c5_gpio10 {
+-              pin-sda {
+-                      function = "alt5";
+-                      pins = "gpio10";
+-                      bias-pull-up;
+-              };
+-              pin-scl {
+-                      function = "alt5";
+-                      pins = "gpio11";
+-                      bias-disable;
+-              };
+-      };
+-      i2c5_gpio12: i2c5_gpio12 {
+-              pin-sda {
+-                      function = "alt5";
+-                      pins = "gpio12";
+-                      bias-pull-up;
+-              };
+-              pin-scl {
+-                      function = "alt5";
+-                      pins = "gpio13";
+-                      bias-disable;
+-              };
+-      };
+-      i2c6_gpio0: i2c6_gpio0 {
+-              pin-sda {
+-                      function = "alt5";
+-                      pins = "gpio0";
+-                      bias-pull-up;
+-              };
+-              pin-scl {
+-                      function = "alt5";
+-                      pins = "gpio1";
+-                      bias-disable;
+-              };
+-      };
+-      i2c6_gpio22: i2c6_gpio22 {
+-              pin-sda {
+-                      function = "alt5";
+-                      pins = "gpio22";
+-                      bias-pull-up;
+-              };
+-              pin-scl {
+-                      function = "alt5";
+-                      pins = "gpio23";
+-                      bias-disable;
+-              };
+-      };
+-      i2c_slave_gpio8: i2c_slave_gpio8 {
+-              pins-i2c-slave {
+-                      pins = "gpio8",
+-                             "gpio9",
+-                             "gpio10",
+-                             "gpio11";
+-                      function = "alt3";
+-              };
+-      };
+-
+-      jtag_gpio48: jtag_gpio48 {
+-              pins-jtag {
+-                      pins = "gpio48",
+-                             "gpio49",
+-                             "gpio50",
+-                             "gpio51",
+-                             "gpio52",
+-                             "gpio53";
+-                      function = "alt4";
+-              };
+-      };
+-
+-      mii_gpio28: mii_gpio28 {
+-              pins-mii {
+-                      pins = "gpio28",
+-                             "gpio29",
+-                             "gpio30",
+-                             "gpio31";
+-                      function = "alt4";
+-              };
+-      };
+-      mii_gpio36: mii_gpio36 {
+-              pins-mii {
+-                      pins = "gpio36",
+-                             "gpio37",
+-                             "gpio38",
+-                             "gpio39";
+-                      function = "alt5";
+-              };
+-      };
+-
+-      pcm_gpio50: pcm_gpio50 {
+-              pins-pcm {
+-                      pins = "gpio50",
+-                             "gpio51",
+-                             "gpio52",
+-                             "gpio53";
+-                      function = "alt2";
+-              };
+-      };
+-
+-      pwm0_0_gpio12: pwm0_0_gpio12 {
+-              pin-pwm {
+-                      pins = "gpio12";
+-                      function = "alt0";
+-                      bias-disable;
+-              };
+-      };
+-      pwm0_0_gpio18: pwm0_0_gpio18 {
+-              pin-pwm {
+-                      pins = "gpio18";
+-                      function = "alt5";
+-                      bias-disable;
+-              };
+-      };
+-      pwm1_0_gpio40: pwm1_0_gpio40 {
+-              pin-pwm {
+-                      pins = "gpio40";
+-                      function = "alt0";
+-                      bias-disable;
+-              };
+-      };
+-      pwm0_1_gpio13: pwm0_1_gpio13 {
+-              pin-pwm {
+-                      pins = "gpio13";
+-                      function = "alt0";
+-                      bias-disable;
+-              };
+-      };
+-      pwm0_1_gpio19: pwm0_1_gpio19 {
+-              pin-pwm {
+-                      pins = "gpio19";
+-                      function = "alt5";
+-                      bias-disable;
+-              };
+-      };
+-      pwm1_1_gpio41: pwm1_1_gpio41 {
+-              pin-pwm {
+-                      pins = "gpio41";
+-                      function = "alt0";
+-                      bias-disable;
+-              };
+-      };
+-      pwm0_1_gpio45: pwm0_1_gpio45 {
+-              pin-pwm {
+-                      pins = "gpio45";
+-                      function = "alt0";
+-                      bias-disable;
+-              };
+-      };
+-      pwm0_0_gpio52: pwm0_0_gpio52 {
+-              pin-pwm {
+-                      pins = "gpio52";
+-                      function = "alt1";
+-                      bias-disable;
+-              };
+-      };
+-      pwm0_1_gpio53: pwm0_1_gpio53 {
+-              pin-pwm {
+-                      pins = "gpio53";
+-                      function = "alt1";
+-                      bias-disable;
+-              };
+-      };
+-
+-      rgmii_gpio35: rgmii_gpio35 {
+-              pin-start-stop {
+-                      pins = "gpio35";
+-                      function = "alt4";
+-              };
+-              pin-rx-ok {
+-                      pins = "gpio36";
+-                      function = "alt4";
+-              };
+-      };
+-      rgmii_irq_gpio34: rgmii_irq_gpio34 {
+-              pin-irq {
+-                      pins = "gpio34";
+-                      function = "alt5";
+-              };
+-      };
+-      rgmii_irq_gpio39: rgmii_irq_gpio39 {
+-              pin-irq {
+-                      pins = "gpio39";
+-                      function = "alt4";
+-              };
+-      };
+-      rgmii_mdio_gpio28: rgmii_mdio_gpio28 {
+-              pins-mdio {
+-                      pins = "gpio28",
+-                             "gpio29";
+-                      function = "alt5";
+-              };
+-      };
+-      rgmii_mdio_gpio37: rgmii_mdio_gpio37 {
+-              pins-mdio {
+-                      pins = "gpio37",
+-                             "gpio38";
+-                      function = "alt4";
+-              };
+-      };
+-
+-      spi0_gpio46: spi0_gpio46 {
+-              pins-spi {
+-                      pins = "gpio46",
+-                             "gpio47",
+-                             "gpio48",
+-                             "gpio49";
+-                      function = "alt2";
+-              };
+-      };
+-      spi2_gpio46: spi2_gpio46 {
+-              pins-spi {
+-                      pins = "gpio46",
+-                             "gpio47",
+-                             "gpio48",
+-                             "gpio49",
+-                             "gpio50";
+-                      function = "alt5";
+-              };
+-      };
+-      spi3_gpio0: spi3_gpio0 {
+-              pins-spi {
+-                      pins = "gpio0",
+-                             "gpio1",
+-                             "gpio2",
+-                             "gpio3";
+-                      function = "alt3";
+-              };
+-      };
+-      spi4_gpio4: spi4_gpio4 {
+-              pins-spi {
+-                      pins = "gpio4",
+-                             "gpio5",
+-                             "gpio6",
+-                             "gpio7";
+-                      function = "alt3";
+-              };
+-      };
+-      spi5_gpio12: spi5_gpio12 {
+-              pins-spi {
+-                      pins = "gpio12",
+-                             "gpio13",
+-                             "gpio14",
+-                             "gpio15";
+-                      function = "alt3";
+-              };
+-      };
+-      spi6_gpio18: spi6_gpio18 {
+-              pins-spi {
+-                      pins = "gpio18",
+-                             "gpio19",
+-                             "gpio20",
+-                             "gpio21";
+-                      function = "alt3";
+-              };
+-      };
+-
+-      uart2_gpio0: uart2_gpio0 {
+-              pin-tx {
+-                      pins = "gpio0";
+-                      function = "alt4";
+-                      bias-disable;
+-              };
+-              pin-rx {
+-                      pins = "gpio1";
+-                      function = "alt4";
+-                      bias-pull-up;
+-              };
+-      };
+-      uart2_ctsrts_gpio2: uart2_ctsrts_gpio2 {
+-              pin-cts {
+-                      pins = "gpio2";
+-                      function = "alt4";
+-                      bias-pull-up;
+-              };
+-              pin-rts {
+-                      pins = "gpio3";
+-                      function = "alt4";
+-                      bias-disable;
+-              };
+-      };
+-      uart3_gpio4: uart3_gpio4 {
+-              pin-tx {
+-                      pins = "gpio4";
+-                      function = "alt4";
+-                      bias-disable;
+-              };
+-              pin-rx {
+-                      pins = "gpio5";
+-                      function = "alt4";
+-                      bias-pull-up;
+-              };
+-      };
+-      uart3_ctsrts_gpio6: uart3_ctsrts_gpio6 {
+-              pin-cts {
+-                      pins = "gpio6";
+-                      function = "alt4";
+-                      bias-pull-up;
+-              };
+-              pin-rts {
+-                      pins = "gpio7";
+-                      function = "alt4";
+-                      bias-disable;
+-              };
+-      };
+-      uart4_gpio8: uart4_gpio8 {
+-              pin-tx {
+-                      pins = "gpio8";
+-                      function = "alt4";
+-                      bias-disable;
+-              };
+-              pin-rx {
+-                      pins = "gpio9";
+-                      function = "alt4";
+-                      bias-pull-up;
+-              };
+-      };
+-      uart4_ctsrts_gpio10: uart4_ctsrts_gpio10 {
+-              pin-cts {
+-                      pins = "gpio10";
+-                      function = "alt4";
+-                      bias-pull-up;
+-              };
+-              pin-rts {
+-                      pins = "gpio11";
+-                      function = "alt4";
+-                      bias-disable;
+-              };
+-      };
+-      uart5_gpio12: uart5_gpio12 {
+-              pin-tx {
+-                      pins = "gpio12";
+-                      function = "alt4";
+-                      bias-disable;
+-              };
+-              pin-rx {
+-                      pins = "gpio13";
+-                      function = "alt4";
+-                      bias-pull-up;
+-              };
+-      };
+-      uart5_ctsrts_gpio14: uart5_ctsrts_gpio14 {
+-              pin-cts {
+-                      pins = "gpio14";
+-                      function = "alt4";
+-                      bias-pull-up;
+-              };
+-              pin-rts {
+-                      pins = "gpio15";
+-                      function = "alt4";
+-                      bias-disable;
+-              };
+-      };
+-};
+-
+-&i2c0 {
+-      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
+-      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&i2c1 {
+-      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
+-      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&mailbox {
+-      interrupts = <GIC_SPI 33 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&sdhci {
+-      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&sdhost {
+-      interrupts = <GIC_SPI 120 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&spi {
+-      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&spi1 {
+-      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&spi2 {
+-      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&system_timer {
+-      interrupts = <GIC_SPI 64 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 65 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 66 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 67 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&txp {
+-      interrupts = <GIC_SPI 75 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&uart0 {
+-      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&uart1 {
+-      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&usb {
+-      interrupts = <GIC_SPI 73 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&vec {
+-      interrupts = <GIC_SPI 123 IRQ_TYPE_LEVEL_HIGH>;
+-};
+--- a/arch/arm/boot/dts/bcm2838-rpi.dtsi
++++ /dev/null
+@@ -1,25 +0,0 @@
+-// SPDX-License-Identifier: GPL-2.0
+-
+-/ {
+-      soc {
+-              /delete-node/ mailbox@7e00b840;
+-      };
+-};
+-
+-&scb {
+-      vchiq: mailbox@7e00b840 {
+-              compatible = "brcm,bcm2838-vchiq";
+-              reg = <0 0x7e00b840 0x3c>;
+-              interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
+-      };
+-};
+-
+-&dma {
+-      /* The VPU firmware uses DMA channel 11 for VCHIQ */
+-      brcm,dma-channel-mask = <0x1f5>;
+-};
+-
+-&dma40 {
+-      /* The VPU firmware DMA channel 11 for VCHIQ */
+-      brcm,dma-channel-mask = <0x7000>;
+-};
+--- a/arch/arm/boot/dts/bcm2838.dtsi
++++ /dev/null
+@@ -1,733 +0,0 @@
+-// SPDX-License-Identifier: GPL-2.0
+-#include "bcm283x.dtsi"
+-
+-#include <dt-bindings/interrupt-controller/arm-gic.h>
+-#include <dt-bindings/soc/bcm2835-pm.h>
+-
+-/ {
+-      compatible = "brcm,bcm2838";
+-
+-      #address-cells = <2>;
+-      #size-cells = <1>;
+-
+-      interrupt-parent = <&gicv2>;
+-
+-      soc {
+-              ranges = <0x7e000000  0x0 0xfe000000  0x01800000>,
+-                       <0x7c000000  0x0 0xfc000000  0x02000000>,
+-                       <0x40000000  0x0 0xff800000  0x00800000>;
+-              /* Emulate a contiguous 30-bit address range for DMA */
+-              dma-ranges = <0xc0000000  0x0 0x00000000  0x3c000000>;
+-
+-              /delete-node/ interrupt-controller@7e00f300;
+-              /delete-node/ v3d@7ec00000;
+-
+-              local_intc: local_intc@40000000 {
+-                      compatible = "brcm,bcm2836-l1-intc";
+-                      reg = <0x40000000 0x100>;
+-              };
+-
+-              gicv2: interrupt-controller@40041000 {
+-                      interrupt-controller;
+-                      #interrupt-cells = <3>;
+-                      compatible = "arm,gic-400";
+-                      reg =   <0x40041000 0x1000>,
+-                              <0x40042000 0x2000>,
+-                              <0x40044000 0x2000>,
+-                              <0x40046000 0x2000>;
+-                      interrupts = <GIC_PPI 9 (GIC_CPU_MASK_SIMPLE(4) |
+-                                               IRQ_TYPE_LEVEL_HIGH)>;
+-              };
+-
+-              thermal: thermal@7d5d2200 {
+-                      compatible = "brcm,avs-tmon-bcm2838";
+-                      reg = <0x7d5d2200 0x2c>;
+-                      interrupts = <GIC_SPI 137 IRQ_TYPE_LEVEL_HIGH>;
+-                      interrupt-names = "tmon";
+-                      clocks = <&clocks BCM2835_CLOCK_TSENS>;
+-                      #thermal-sensor-cells = <0>;
+-                      status = "okay";
+-              };
+-
+-              pm: watchdog@7e100000 {
+-                      reg = <0x7e100000 0x114>,
+-                            <0x7e00a000 0x24>,
+-                            <0x7ec11000 0x20>;
+-              };
+-
+-              rng@7e104000 {
+-                      interrupts = <GIC_SPI 125 IRQ_TYPE_LEVEL_HIGH>;
+-              };
+-
+-              uart2: serial@7e201400 {
+-                      compatible = "brcm,bcm2835-pl011", "arm,pl011", "arm,primecell";
+-                      reg = <0x7e201400 0x200>;
+-                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_UART>,
+-                               <&clocks BCM2835_CLOCK_VPU>;
+-                      clock-names = "uartclk", "apb_pclk";
+-                      arm,primecell-periphid = <0x00241011>;
+-                      status = "disabled";
+-              };
+-
+-              uart3: serial@7e201600 {
+-                      compatible = "brcm,bcm2835-pl011", "arm,pl011", "arm,primecell";
+-                      reg = <0x7e201600 0x200>;
+-                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_UART>,
+-                               <&clocks BCM2835_CLOCK_VPU>;
+-                      clock-names = "uartclk", "apb_pclk";
+-                      arm,primecell-periphid = <0x00241011>;
+-                      status = "disabled";
+-              };
+-
+-              uart4: serial@7e201800 {
+-                      compatible = "brcm,bcm2835-pl011", "arm,pl011", "arm,primecell";
+-                      reg = <0x7e201800 0x200>;
+-                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_UART>,
+-                               <&clocks BCM2835_CLOCK_VPU>;
+-                      clock-names = "uartclk", "apb_pclk";
+-                      arm,primecell-periphid = <0x00241011>;
+-                      status = "disabled";
+-              };
+-
+-              uart5: serial@7e201a00 {
+-                      compatible = "brcm,bcm2835-pl011", "arm,pl011", "arm,primecell";
+-                      reg = <0x7e201a00 0x200>;
+-                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_UART>,
+-                               <&clocks BCM2835_CLOCK_VPU>;
+-                      clock-names = "uartclk", "apb_pclk";
+-                      arm,primecell-periphid = <0x00241011>;
+-                      status = "disabled";
+-              };
+-
+-              spi@7e204000 {
+-                      reg = <0x7e204000 0x0200>;
+-                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
+-              };
+-
+-              spi3: spi@7e204600 {
+-                      compatible = "brcm,bcm2835-spi";
+-                      reg = <0x7e204600 0x0200>;
+-                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              spi4: spi@7e204800 {
+-                      compatible = "brcm,bcm2835-spi";
+-                      reg = <0x7e204800 0x0200>;
+-                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              spi5: spi@7e204a00 {
+-                      compatible = "brcm,bcm2835-spi";
+-                      reg = <0x7e204a00 0x0200>;
+-                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              spi6: spi@7e204c00 {
+-                      compatible = "brcm,bcm2835-spi";
+-                      reg = <0x7e204c00 0x0200>;
+-                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              i2c3: i2c@7e205600 {
+-                      compatible = "brcm,bcm2835-i2c";
+-                      reg = <0x7e205600 0x200>;
+-                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              i2c4: i2c@7e205800 {
+-                      compatible = "brcm,bcm2835-i2c";
+-                      reg = <0x7e205800 0x200>;
+-                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              i2c5: i2c@7e205a00 {
+-                      compatible = "brcm,bcm2835-i2c";
+-                      reg = <0x7e205a00 0x200>;
+-                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              i2c6: i2c@7e205c00 {
+-                      compatible = "brcm,bcm2835-i2c";
+-                      reg = <0x7e205c00 0x200>;
+-                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>;
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+-              pwm1: pwm@7e20c800 {
+-                      compatible = "brcm,bcm2835-pwm";
+-                      reg = <0x7e20c800 0x28>;
+-                      clocks = <&clocks BCM2835_CLOCK_PWM>;
+-                      assigned-clocks = <&clocks BCM2835_CLOCK_PWM>;
+-                      assigned-clock-rates = <10000000>;
+-                      #pwm-cells = <2>;
+-                      status = "disabled";
+-              };
+-
+-              emmc2: emmc2@7e340000 {
+-                      compatible = "brcm,bcm2711-emmc2";
+-                      status = "okay";
+-                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
+-                      reg = <0x7e340000 0x100>;
+-              };
+-
+-              hvs@7e400000 {
+-                      interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
+-              };
+-      };
+-
+-      arm-pmu {
+-              compatible = "arm,cortex-a72-pmu";
+-              interrupts = <GIC_SPI 16 IRQ_TYPE_LEVEL_HIGH>,
+-                      <GIC_SPI 17 IRQ_TYPE_LEVEL_HIGH>,
+-                      <GIC_SPI 18 IRQ_TYPE_LEVEL_HIGH>,
+-                      <GIC_SPI 19 IRQ_TYPE_LEVEL_HIGH>;
+-              interrupt-affinity = <&cpu0>, <&cpu1>, <&cpu2>, <&cpu3>;
+-      };
+-
+-      timer {
+-              compatible = "arm,armv7-timer";
+-              interrupts = <GIC_PPI 13 (GIC_CPU_MASK_SIMPLE(4) |
+-                                        IRQ_TYPE_LEVEL_LOW)>,
+-                           <GIC_PPI 14 (GIC_CPU_MASK_SIMPLE(4) |
+-                                        IRQ_TYPE_LEVEL_LOW)>,
+-                           <GIC_PPI 11 (GIC_CPU_MASK_SIMPLE(4) |
+-                                        IRQ_TYPE_LEVEL_LOW)>,
+-                           <GIC_PPI 10 (GIC_CPU_MASK_SIMPLE(4) |
+-                                        IRQ_TYPE_LEVEL_LOW)>;
+-              arm,cpu-registers-not-fw-configured;
+-      };
+-
+-      cpus: cpus {
+-              #address-cells = <1>;
+-              #size-cells = <0>;
+-              enable-method = "brcm,bcm2836-smp"; // for ARM 32-bit
+-
+-              cpu0: cpu@0 {
+-                      device_type = "cpu";
+-                      compatible = "arm,cortex-a72";
+-                      reg = <0>;
+-                      enable-method = "spin-table";
+-                      cpu-release-addr = <0x0 0x000000d8>;
+-              };
+-
+-              cpu1: cpu@1 {
+-                      device_type = "cpu";
+-                      compatible = "arm,cortex-a72";
+-                      reg = <1>;
+-                      enable-method = "spin-table";
+-                      cpu-release-addr = <0x0 0x000000e0>;
+-              };
+-
+-              cpu2: cpu@2 {
+-                      device_type = "cpu";
+-                      compatible = "arm,cortex-a72";
+-                      reg = <2>;
+-                      enable-method = "spin-table";
+-                      cpu-release-addr = <0x0 0x000000e8>;
+-              };
+-
+-              cpu3: cpu@3 {
+-                      device_type = "cpu";
+-                      compatible = "arm,cortex-a72";
+-                      reg = <3>;
+-                      enable-method = "spin-table";
+-                      cpu-release-addr = <0x0 0x000000f0>;
+-              };
+-      };
+-
+-      v3dbus {
+-              compatible = "simple-bus";
+-              #address-cells = <1>;
+-              #size-cells = <2>;
+-              ranges = <0x7c500000  0x0 0xfc500000  0x0 0x03300000>,
+-                       <0x40000000  0x0 0xff800000  0x0 0x00800000>;
+-              dma-ranges = <0x00000000  0x0 0x00000000  0x4 0x00000000>;
+-
+-              v3d: v3d@7ec04000 {
+-                      compatible = "brcm,2711-v3d";
+-                      reg =
+-                          <0x7ec00000 0x0 0x4000>,
+-                          <0x7ec04000 0x0 0x4000>;
+-                      reg-names = "hub", "core0";
+-
+-                      power-domains = <&pm BCM2835_POWER_DOMAIN_GRAFX_V3D>;
+-                      resets = <&pm BCM2835_RESET_V3D>;
+-                      clocks = <&clocks BCM2835_CLOCK_V3D>;
+-                      interrupts = <GIC_SPI 74 IRQ_TYPE_LEVEL_HIGH>;
+-                      status = "okay";
+-              };
+-      };
+-
+-      scb: scb {
+-              compatible = "simple-bus";
+-              #address-cells = <2>;
+-              #size-cells = <1>;
+-
+-              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>,
+-                       <0x0 0x40000000  0x0 0xff800000  0x00800000>,
+-                       <0x6 0x00000000  0x6 0x00000000  0x40000000>,
+-                       <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
+-              dma-ranges = <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
+-
+-              pcie_0: pcie@7d500000 {
+-                      reg = <0x0 0x7d500000 0x9310>,
+-                            <0x0 0x7e00f300 0x20>;
+-                      msi-controller;
+-                      msi-parent = <&pcie_0>;
+-                      #address-cells = <3>;
+-                      #interrupt-cells = <1>;
+-                      #size-cells = <2>;
+-                      bus-range = <0x0 0x01>;
+-                      compatible = "brcm,bcm2711b0-pcie", // Safe value
+-                                   "brcm,bcm2711-pcie",
+-                                   "brcm,pci-plat-dev";
+-                      max-link-speed = <2>;
+-                      tot-num-pcie = <1>;
+-                      linux,pci-domain = <0>;
+-                      interrupts = <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>,
+-                                   <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>;
+-                      interrupt-names = "pcie", "msi";
+-                      interrupt-map-mask = <0x0 0x0 0x0 0x7>;
+-                      interrupt-map = <0 0 0 1 &gicv2 GIC_SPI 143
+-                                              IRQ_TYPE_LEVEL_HIGH
+-                                       0 0 0 2 &gicv2 GIC_SPI 144
+-                                              IRQ_TYPE_LEVEL_HIGH
+-                                       0 0 0 3 &gicv2 GIC_SPI 145
+-                                              IRQ_TYPE_LEVEL_HIGH
+-                                       0 0 0 4 &gicv2 GIC_SPI 146
+-                                              IRQ_TYPE_LEVEL_HIGH>;
+-
+-                      /* Map outbound accesses from scb:0x6_00000000-03ffffff
+-                       * to pci:0x0_f8000000-fbffffff
+-                       */
+-                      ranges = <0x02000000 0x0 0xf8000000  0x6 0x00000000
+-                                0x0 0x04000000>;
+-                      /* Map inbound accesses from pci:0x0_00000000..ffffffff
+-                       * to scb:0x0_00000000-ffffffff
+-                       */
+-                      dma-ranges = <0x02000000 0x0 0x00000000  0x0 0x00000000
+-                                    0x1 0x00000000>;
+-                      status = "okay";
+-              };
+-
+-              genet: ethernet@7d580000 {
+-                      compatible = "brcm,bcm2711-genet-v5", "brcm,genet-v5";
+-                      reg = <0x0 0x7d580000 0x10000>;
+-                      #address-cells = <0x1>;
+-                      #size-cells = <0x1>;
+-                      interrupts = <GIC_SPI 157 IRQ_TYPE_LEVEL_HIGH>,
+-                                   <GIC_SPI 158 IRQ_TYPE_LEVEL_HIGH>;
+-                      status = "disabled";
+-
+-                      genet_mdio: mdio@e14 {
+-                              #address-cells = <0x0>;
+-                              #size-cells = <0x1>;
+-                              compatible = "brcm,genet-mdio-v5";
+-                              reg = <0xe14 0x8>;
+-                              reg-names = "mdio";
+-                      };
+-              };
+-
+-              dma40: dma@7e007b00 {
+-                      compatible = "brcm,bcm2838-dma";
+-                      reg = <0x0 0x7e007b00 0x400>;
+-                      interrupts =
+-                              <GIC_SPI 89 IRQ_TYPE_LEVEL_HIGH>, /* dma4 11 */
+-                              <GIC_SPI 90 IRQ_TYPE_LEVEL_HIGH>, /* dma4 12 */
+-                              <GIC_SPI 91 IRQ_TYPE_LEVEL_HIGH>, /* dma4 13 */
+-                              <GIC_SPI 92 IRQ_TYPE_LEVEL_HIGH>; /* dma4 14 */
+-                      interrupt-names = "dma11",
+-                              "dma12",
+-                              "dma13",
+-                              "dma14";
+-                      #dma-cells = <1>;
+-                      brcm,dma-channel-mask = <0x7800>;
+-              };
+-              /* DMA4 - 40 bit DMA engines */
+-
+-              xhci: xhci@7e9c0000 {
+-                      compatible = "generic-xhci";
+-                      status = "disabled";
+-                      reg = <0x0 0x7e9c0000 0x100000>;
+-                      interrupts = <GIC_SPI 176 IRQ_TYPE_LEVEL_HIGH>;
+-              };
+-
+-              hevc-decoder@7eb00000 {
+-                      compatible = "raspberrypi,rpivid-hevc-decoder";
+-                      reg = <0x0 0x7eb00000 0x10000>;
+-                      status = "okay";
+-              };
+-
+-              rpivid-local-intc@7eb10000 {
+-                      compatible = "raspberrypi,rpivid-local-intc";
+-                      reg = <0x0 0x7eb10000 0x1000>;
+-                      status = "okay";
+-                      interrupts = <GIC_SPI 98 IRQ_TYPE_LEVEL_HIGH>;
+-              };
+-
+-              h264-decoder@7eb20000 {
+-                      compatible = "raspberrypi,rpivid-h264-decoder";
+-                      reg = <0x0 0x7eb20000 0x10000>;
+-                      status = "okay";
+-              };
+-
+-              vp9-decoder@7eb30000 {
+-                      compatible = "raspberrypi,rpivid-vp9-decoder";
+-                      reg = <0x0 0x7eb30000 0x10000>;
+-                      status = "okay";
+-              };
+-      };
+-};
+-
+-&clk_osc {
+-      clock-frequency = <54000000>;
+-};
+-
+-&clocks {
+-      compatible = "brcm,bcm2711-cprman";
+-};
+-
+-&cpu_thermal {
+-      coefficients = <(-487)  410040>;
+-};
+-
+-&dsi0 {
+-      interrupts = <GIC_SPI 100 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&dsi1 {
+-      interrupts = <GIC_SPI 108 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&gpio {
+-      compatible = "brcm,bcm2711-gpio", "brcm,bcm2835-gpio";
+-
+-      gpclk0_gpio49: gpclk0_gpio49 {
+-              brcm,pins = <49>;
+-              brcm,function = <BCM2835_FSEL_ALT1>;
+-              brcm,pull = <BCM2835_PUD_OFF>;
+-      };
+-      gpclk1_gpio50: gpclk1_gpio50 {
+-              brcm,pins = <50>;
+-              brcm,function = <BCM2835_FSEL_ALT1>;
+-              brcm,pull = <BCM2835_PUD_OFF>;
+-      };
+-      gpclk2_gpio51: gpclk2_gpio51 {
+-              brcm,pins = <51>;
+-              brcm,function = <BCM2835_FSEL_ALT1>;
+-              brcm,pull = <BCM2835_PUD_OFF>;
+-      };
+-
+-      i2c0_gpio46: i2c0_gpio46 {
+-              brcm,pins = <46 47>;
+-              brcm,function = <BCM2835_FSEL_ALT0>;
+-      };
+-      i2c1_gpio46: i2c1_gpio46 {
+-              brcm,pins = <46 47>;
+-              brcm,function = <BCM2835_FSEL_ALT1>;
+-      };
+-      i2c3_gpio2: i2c3_gpio2 {
+-              brcm,pins = <2 3>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-      };
+-      i2c3_gpio4: i2c3_gpio4 {
+-              brcm,pins = <4 5>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-      };
+-      i2c4_gpio6: i2c4_gpio6 {
+-              brcm,pins = <6 7>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-      };
+-      i2c4_gpio8: i2c4_gpio8 {
+-              brcm,pins = <8 9>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-      };
+-      i2c5_gpio10: i2c5_gpio10 {
+-              brcm,pins = <10 11>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-      };
+-      i2c5_gpio12: i2c5_gpio12 {
+-              brcm,pins = <12 13>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-      };
+-      i2c6_gpio0: i2c6_gpio0 {
+-              brcm,pins = <0 1>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-      };
+-      i2c6_gpio22: i2c6_gpio22 {
+-              brcm,pins = <22 23>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-      };
+-      i2c_slave_gpio8: i2c_slave_gpio8 {
+-              brcm,pins = <8 9 10 11>;
+-              brcm,function = <BCM2835_FSEL_ALT3>;
+-      };
+-
+-      jtag_gpio48: jtag_gpio48 {
+-              brcm,pins = <48 49 50 51 52 53>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-      };
+-
+-      mii_gpio28: mii_gpio28 {
+-              brcm,pins = <28 29 30 31>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-      };
+-      mii_gpio36: mii_gpio36 {
+-              brcm,pins = <36 37 38 39>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-      };
+-
+-      pcm_gpio50: pcm_gpio50 {
+-              brcm,pins = <50 51 52 53>;
+-              brcm,function = <BCM2835_FSEL_ALT2>;
+-      };
+-
+-      pwm0_gpio52: pwm0_gpio52 {
+-              brcm,pins = <52>;
+-              brcm,function = <BCM2835_FSEL_ALT1>;
+-              brcm,pull = <BCM2835_PUD_OFF>;
+-      };
+-      pwm1_gpio53: pwm1_gpio53 {
+-              brcm,pins = <53>;
+-              brcm,function = <BCM2835_FSEL_ALT1>;
+-              brcm,pull = <BCM2835_PUD_OFF>;
+-      };
+-
+-      /* The following group consists of:
+-         *  RGMII_START_STOP
+-         *  RGMII_RX_OK
+-         */
+-      rgmii_gpio35: rgmii_gpio35 {
+-              brcm,pins = <35 36>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-      };
+-      rgmii_irq_gpio34: rgmii_irq_gpio34 {
+-              brcm,pins = <34>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-      };
+-      rgmii_irq_gpio39: rgmii_irq_gpio39 {
+-              brcm,pins = <39>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-      };
+-      rgmii_mdio_gpio28: rgmii_mdio_gpio28 {
+-              brcm,pins = <28 29>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-      };
+-      rgmii_mdio_gpio37: rgmii_mdio_gpio37 {
+-              brcm,pins = <37 38>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-      };
+-
+-      spi0_gpio46: spi0_gpio46 {
+-              brcm,pins = <46 47 48 49>;
+-              brcm,function = <BCM2835_FSEL_ALT2>;
+-      };
+-      spi2_gpio46: spi2_gpio46 {
+-              brcm,pins = <46 47 48 49 50>;
+-              brcm,function = <BCM2835_FSEL_ALT5>;
+-      };
+-      spi3_gpio0: spi3_gpio0 {
+-              brcm,pins = <0 1 2 3>;
+-              brcm,function = <BCM2835_FSEL_ALT3>;
+-      };
+-      spi4_gpio4: spi4_gpio4 {
+-              brcm,pins = <4 5 6 7>;
+-              brcm,function = <BCM2835_FSEL_ALT3>;
+-      };
+-      spi5_gpio12: spi5_gpio12 {
+-              brcm,pins = <12 13 14 15>;
+-              brcm,function = <BCM2835_FSEL_ALT3>;
+-      };
+-      spi6_gpio18: spi6_gpio18 {
+-              brcm,pins = <18 19 20 21>;
+-              brcm,function = <BCM2835_FSEL_ALT3>;
+-      };
+-
+-      uart2_gpio0: uart2_gpio0 {
+-              brcm,pins = <0 1>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-              brcm,pull = <BCM2835_PUD_OFF BCM2835_PUD_UP>;
+-      };
+-      uart2_ctsrts_gpio2: uart2_ctsrts_gpio2 {
+-              brcm,pins = <2 3>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-              brcm,pull = <BCM2835_PUD_UP BCM2835_PUD_OFF>;
+-      };
+-      uart3_gpio4: uart3_gpio4 {
+-              brcm,pins = <4 5>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-              brcm,pull = <BCM2835_PUD_OFF BCM2835_PUD_UP>;
+-      };
+-      uart3_ctsrts_gpio6: uart3_ctsrts_gpio6 {
+-              brcm,pins = <6 7>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-              brcm,pull = <BCM2835_PUD_UP BCM2835_PUD_OFF>;
+-      };
+-      uart4_gpio8: uart4_gpio8 {
+-              brcm,pins = <8 9>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-              brcm,pull = <BCM2835_PUD_OFF BCM2835_PUD_UP>;
+-      };
+-      uart4_ctsrts_gpio10: uart4_ctsrts_gpio10 {
+-              brcm,pins = <10 11>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-              brcm,pull = <BCM2835_PUD_UP BCM2835_PUD_OFF>;
+-      };
+-      uart5_gpio12: uart5_gpio12 {
+-              brcm,pins = <12 13>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-              brcm,pull = <BCM2835_PUD_OFF BCM2835_PUD_UP>;
+-      };
+-      uart5_ctsrts_gpio14: uart5_ctsrts_gpio14 {
+-              brcm,pins = <14 15>;
+-              brcm,function = <BCM2835_FSEL_ALT4>;
+-              brcm,pull = <BCM2835_PUD_UP BCM2835_PUD_OFF>;
+-      };
+-};
+-
+-&vec {
+-      interrupts = <GIC_SPI 123 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&usb {
+-      interrupts = <GIC_SPI 73 IRQ_TYPE_LEVEL_HIGH>;
+-      status = "disabled";
+-};
+-
+-&hdmi {
+-      interrupts = <GIC_SPI 104 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 105 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&uart1 {
+-      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&spi1 {
+-      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&spi2 {
+-      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&csi0 {
+-      interrupts = <GIC_SPI 102 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&csi1 {
+-      interrupts = <GIC_SPI 103 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&sdhci {
+-      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&i2c0 {
+-      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&i2c1 {
+-      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&i2c2 {
+-      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&gpio {
+-      interrupts = <GIC_SPI 113 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 115 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 116 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&mailbox {
+-      interrupts = <GIC_SPI 33 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&rng {
+-      compatible = "brcm,bcm2711-rng200", "brcm,bcm2838-rng200";
+-};
+-
+-&sdhost {
+-      interrupts = <GIC_SPI 120 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&system_timer {
+-      interrupts = <GIC_SPI 64 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 65 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 66 IRQ_TYPE_LEVEL_HIGH>,
+-                   <GIC_SPI 67 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&uart0 {
+-      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
+-};
+-
+-&dma {
+-      reg = <0x7e007000 0xb00>;
+-      interrupts = <GIC_SPI 80 IRQ_TYPE_LEVEL_HIGH>,
+-              <GIC_SPI 81 IRQ_TYPE_LEVEL_HIGH>,
+-              <GIC_SPI 82 IRQ_TYPE_LEVEL_HIGH>,
+-              <GIC_SPI 83 IRQ_TYPE_LEVEL_HIGH>,
+-              <GIC_SPI 84 IRQ_TYPE_LEVEL_HIGH>,
+-              <GIC_SPI 85 IRQ_TYPE_LEVEL_HIGH>,
+-              <GIC_SPI 86 IRQ_TYPE_LEVEL_HIGH>,
+-              <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>, /* dmalite  7 */
+-              <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>, /* dmalite  8 */
+-              <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>, /* dmalite  9 */
+-              <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>; /* dmalite 10 */
+-      interrupt-names = "dma0",
+-                        "dma1",
+-                        "dma2",
+-                        "dma3",
+-                        "dma4",
+-                        "dma5",
+-                        "dma6",
+-                        "dma7",
+-                        "dma8",
+-                        "dma9",
+-                        "dma10";
+-      brcm,dma-channel-mask = <0x07f5>;
+-};
+-
+-&txp {
+-      interrupts = <GIC_SPI 75 IRQ_TYPE_LEVEL_HIGH>;
+-};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0412-overlays-dwc2-Increase-RX-FIFO-size.patch b/target/linux/bcm27xx/patches-5.4/950-0412-overlays-dwc2-Increase-RX-FIFO-size.patch
deleted file mode 100644 (file)
index 23bc39b..0000000
+++ /dev/null
@@ -1,46 +0,0 @@
-From 1257716d9bae9730c43c636046983f5d80c4efc8 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Tue, 4 Feb 2020 13:03:21 +0000
-Subject: [PATCH] overlays: dwc2: Increase RX FIFO size
-
-The previous version of the dwc2 overlay set the RX FIFO size to
-256 4-byte words. This sounds large enough for a 1024 byte packet (the
-largest isochronous high speed packet allowed), but it doesn't take
-into account some extra space needed by the hardware.
-
-Minas Harutyunyan at Synopsys (the source of the DWC OTG design)
-came up with a more correct value, 301, but since there is spare packet
-RAM this can be increased to 558 to allow two packets per frame.
-
-Also update the upstream overlay to match.
-
-See: https://github.com/raspberrypi/linux/issues/3447
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/dwc2-overlay.dts     | 2 +-
- arch/arm/boot/dts/overlays/upstream-overlay.dts | 2 +-
- 2 files changed, 2 insertions(+), 2 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/dwc2-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/dwc2-overlay.dts
-@@ -12,7 +12,7 @@
-                       compatible = "brcm,bcm2835-usb";
-                       dr_mode = "otg";
-                       g-np-tx-fifo-size = <32>;
--                      g-rx-fifo-size = <256>;
-+                      g-rx-fifo-size = <558>;
-                       g-tx-fifo-size = <512 512 512 512 512 256 256>;
-                       status = "okay";
-               };
---- a/arch/arm/boot/dts/overlays/upstream-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/upstream-overlay.dts
-@@ -123,7 +123,7 @@
-                       compatible = "brcm,bcm2835-usb";
-                       dr_mode = "otg";
-                       g-np-tx-fifo-size = <32>;
--                      g-rx-fifo-size = <256>;
-+                      g-rx-fifo-size = <558>;
-                       g-tx-fifo-size = <512 512 512 512 512 256 256>;
-                       status = "okay";
-               };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0413-ARM-dts-Add-minimal-Raspberry-Pi-4-support.patch b/target/linux/bcm27xx/patches-5.4/950-0413-ARM-dts-Add-minimal-Raspberry-Pi-4-support.patch
new file mode 100644 (file)
index 0000000..15e4f53
--- /dev/null
@@ -0,0 +1,1024 @@
+From 19a0ac654994661f63f7c9e099ed91a1210af161 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Sun, 6 Oct 2019 15:41:25 +0200
+Subject: [PATCH] ARM: dts: Add minimal Raspberry Pi 4 support
+
+This adds minimal support for the new Raspberry Pi 4 without the
+fancy stuff like GENET, PCIe, xHCI, 40 bit DMA and V3D. The RPi 4 is
+available in 3 different variants (1, 2 and 4 GB RAM), so leave the memory
+size to zero and let the bootloader take care of it. The DWC2 is still
+usable as peripheral via the USB-C port.
+
+Other differences to the Raspberry Pi 3:
+- additional GIC 400 Interrupt controller
+- new thermal IP and HWRNG
+- additional MMC interface (emmc2)
+- additional UART, I2C, SPI and PWM interfaces
+- clock stretching bug in I2C IP has been fixed
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+Acked-by: Eric Anholt <eric@anholt.net>
+Acked-by: Florian Fanelli <f.fainelli@gmail.com>
+---
+ arch/arm/boot/dts/Makefile                    |   1 +
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts         | 123 +++
+ arch/arm/boot/dts/bcm2711.dtsi                | 844 ++++++++++++++++++
+ .../boot/dts/bcm283x-rpi-usb-peripheral.dtsi  |   7 +
+ 4 files changed, 975 insertions(+)
+ create mode 100644 arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+ create mode 100644 arch/arm/boot/dts/bcm2711.dtsi
+ create mode 100644 arch/arm/boot/dts/bcm283x-rpi-usb-peripheral.dtsi
+
+--- a/arch/arm/boot/dts/Makefile
++++ b/arch/arm/boot/dts/Makefile
+@@ -97,6 +97,7 @@ dtb-$(CONFIG_ARCH_BCM2835) += \
+       bcm2837-rpi-3-b.dtb \
+       bcm2837-rpi-3-b-plus.dtb \
+       bcm2837-rpi-cm3-io3.dtb \
++      bcm2711-rpi-4-b.dtb \
+       bcm2835-rpi-zero.dtb \
+       bcm2835-rpi-zero-w.dtb
+ dtb-$(CONFIG_ARCH_BCM_5301X) += \
+--- /dev/null
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -0,0 +1,123 @@
++// SPDX-License-Identifier: GPL-2.0
++/dts-v1/;
++#include "bcm2711.dtsi"
++#include "bcm2835-rpi.dtsi"
++#include "bcm283x-rpi-usb-peripheral.dtsi"
++
++/ {
++      compatible = "raspberrypi,4-model-b", "brcm,bcm2711";
++      model = "Raspberry Pi 4 Model B";
++
++      chosen {
++              /* 8250 auxiliary UART instead of pl011 */
++              stdout-path = "serial1:115200n8";
++      };
++
++      /* Will be filled by the bootloader */
++      memory@0 {
++              device_type = "memory";
++              reg = <0 0 0>;
++      };
++
++      leds {
++              act {
++                      gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
++              };
++
++              pwr {
++                      label = "PWR";
++                      gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
++              };
++      };
++
++      wifi_pwrseq: wifi-pwrseq {
++              compatible = "mmc-pwrseq-simple";
++              reset-gpios = <&expgpio 1 GPIO_ACTIVE_LOW>;
++      };
++
++      sd_io_1v8_reg: sd_io_1v8_reg {
++              compatible = "regulator-gpio";
++              regulator-name = "vdd-sd-io";
++              regulator-min-microvolt = <1800000>;
++              regulator-max-microvolt = <3300000>;
++              regulator-boot-on;
++              regulator-always-on;
++              regulator-settling-time-us = <5000>;
++              gpios = <&expgpio 4 GPIO_ACTIVE_HIGH>;
++              states = <1800000 0x1
++                        3300000 0x0>;
++              status = "okay";
++      };
++};
++
++&firmware {
++      expgpio: gpio {
++              compatible = "raspberrypi,firmware-gpio";
++              gpio-controller;
++              #gpio-cells = <2>;
++              gpio-line-names = "BT_ON",
++                                "WL_ON",
++                                "PWR_LED_OFF",
++                                "GLOBAL_RESET",
++                                "VDD_SD_IO_SEL",
++                                "CAM_GPIO",
++                                "",
++                                "";
++              status = "okay";
++      };
++};
++
++&pwm1 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&pwm1_0_gpio40 &pwm1_1_gpio41>;
++      status = "okay";
++};
++
++/* SDHCI is used to control the SDIO for wireless */
++&sdhci {
++      #address-cells = <1>;
++      #size-cells = <0>;
++      pinctrl-names = "default";
++      pinctrl-0 = <&emmc_gpio34>;
++      bus-width = <4>;
++      non-removable;
++      mmc-pwrseq = <&wifi_pwrseq>;
++      status = "okay";
++
++      brcmf: wifi@1 {
++              reg = <1>;
++              compatible = "brcm,bcm4329-fmac";
++      };
++};
++
++/* EMMC2 is used to drive the SD card */
++&emmc2 {
++      vqmmc-supply = <&sd_io_1v8_reg>;
++      broken-cd;
++      status = "okay";
++};
++
++/* uart0 communicates with the BT module */
++&uart0 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32>;
++      uart-has-rtscts;
++      status = "okay";
++
++      bluetooth {
++              compatible = "brcm,bcm43438-bt";
++              max-speed = <2000000>;
++              shutdown-gpios = <&expgpio 0 GPIO_ACTIVE_HIGH>;
++      };
++};
++
++/* uart1 is mapped to the pin header */
++&uart1 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&uart1_gpio14>;
++      status = "okay";
++};
++
++&vchiq {
++      interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
++};
+--- /dev/null
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -0,0 +1,844 @@
++// SPDX-License-Identifier: GPL-2.0
++#include "bcm283x.dtsi"
++
++#include <dt-bindings/interrupt-controller/arm-gic.h>
++#include <dt-bindings/soc/bcm2835-pm.h>
++
++/ {
++      compatible = "brcm,bcm2711";
++
++      #address-cells = <2>;
++      #size-cells = <1>;
++
++      interrupt-parent = <&gicv2>;
++
++      soc {
++              /*
++               * Defined ranges:
++               *   Common BCM283x peripherals
++               *   BCM2711-specific peripherals
++               *   ARM-local peripherals
++               */
++              ranges = <0x7e000000  0x0 0xfe000000  0x01800000>,
++                       <0x7c000000  0x0 0xfc000000  0x02000000>,
++                       <0x40000000  0x0 0xff800000  0x00800000>;
++              /* Emulate a contiguous 30-bit address range for DMA */
++              dma-ranges = <0xc0000000  0x0 0x00000000  0x3c000000>;
++
++              /*
++               * This node is the provider for the enable-method for
++               * bringing up secondary cores.
++               */
++              local_intc: local_intc@40000000 {
++                      compatible = "brcm,bcm2836-l1-intc";
++                      reg = <0x40000000 0x100>;
++              };
++
++              gicv2: interrupt-controller@40041000 {
++                      interrupt-controller;
++                      #interrupt-cells = <3>;
++                      compatible = "arm,gic-400";
++                      reg =   <0x40041000 0x1000>,
++                              <0x40042000 0x2000>,
++                              <0x40044000 0x2000>,
++                              <0x40046000 0x2000>;
++                      interrupts = <GIC_PPI 9 (GIC_CPU_MASK_SIMPLE(4) |
++                                               IRQ_TYPE_LEVEL_HIGH)>;
++              };
++
++              dma: dma@7e007000 {
++                      compatible = "brcm,bcm2835-dma";
++                      reg = <0x7e007000 0xb00>;
++                      interrupts = <GIC_SPI 80 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 81 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 82 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 83 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 84 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 85 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 86 IRQ_TYPE_LEVEL_HIGH>,
++                                   /* DMA lite 7 - 10 */
++                                   <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>;
++                      interrupt-names = "dma0",
++                                        "dma1",
++                                        "dma2",
++                                        "dma3",
++                                        "dma4",
++                                        "dma5",
++                                        "dma6",
++                                        "dma7",
++                                        "dma8",
++                                        "dma9",
++                                        "dma10";
++                      #dma-cells = <1>;
++                      brcm,dma-channel-mask = <0x07f5>;
++              };
++
++              pm: watchdog@7e100000 {
++                      compatible = "brcm,bcm2835-pm", "brcm,bcm2835-pm-wdt";
++                      #power-domain-cells = <1>;
++                      #reset-cells = <1>;
++                      reg = <0x7e100000 0x114>,
++                            <0x7e00a000 0x24>,
++                            <0x7ec11000 0x20>;
++                      clocks = <&clocks BCM2835_CLOCK_V3D>,
++                               <&clocks BCM2835_CLOCK_PERI_IMAGE>,
++                               <&clocks BCM2835_CLOCK_H264>,
++                               <&clocks BCM2835_CLOCK_ISP>;
++                      clock-names = "v3d", "peri_image", "h264", "isp";
++                      system-power-controller;
++              };
++
++              rng@7e104000 {
++                      interrupts = <GIC_SPI 125 IRQ_TYPE_LEVEL_HIGH>;
++
++                      /* RNG is incompatible with brcm,bcm2835-rng */
++                      status = "disabled";
++              };
++
++              uart2: serial@7e201400 {
++                      compatible = "arm,pl011", "arm,primecell";
++                      reg = <0x7e201400 0x200>;
++                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_UART>,
++                               <&clocks BCM2835_CLOCK_VPU>;
++                      clock-names = "uartclk", "apb_pclk";
++                      arm,primecell-periphid = <0x00241011>;
++                      status = "disabled";
++              };
++
++              uart3: serial@7e201600 {
++                      compatible = "arm,pl011", "arm,primecell";
++                      reg = <0x7e201600 0x200>;
++                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_UART>,
++                               <&clocks BCM2835_CLOCK_VPU>;
++                      clock-names = "uartclk", "apb_pclk";
++                      arm,primecell-periphid = <0x00241011>;
++                      status = "disabled";
++              };
++
++              uart4: serial@7e201800 {
++                      compatible = "arm,pl011", "arm,primecell";
++                      reg = <0x7e201800 0x200>;
++                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_UART>,
++                               <&clocks BCM2835_CLOCK_VPU>;
++                      clock-names = "uartclk", "apb_pclk";
++                      arm,primecell-periphid = <0x00241011>;
++                      status = "disabled";
++              };
++
++              uart5: serial@7e201a00 {
++                      compatible = "arm,pl011", "arm,primecell";
++                      reg = <0x7e201a00 0x200>;
++                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_UART>,
++                               <&clocks BCM2835_CLOCK_VPU>;
++                      clock-names = "uartclk", "apb_pclk";
++                      arm,primecell-periphid = <0x00241011>;
++                      status = "disabled";
++              };
++
++              spi3: spi@7e204600 {
++                      compatible = "brcm,bcm2835-spi";
++                      reg = <0x7e204600 0x0200>;
++                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              spi4: spi@7e204800 {
++                      compatible = "brcm,bcm2835-spi";
++                      reg = <0x7e204800 0x0200>;
++                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              spi5: spi@7e204a00 {
++                      compatible = "brcm,bcm2835-spi";
++                      reg = <0x7e204a00 0x0200>;
++                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              spi6: spi@7e204c00 {
++                      compatible = "brcm,bcm2835-spi";
++                      reg = <0x7e204c00 0x0200>;
++                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              i2c3: i2c@7e205600 {
++                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
++                      reg = <0x7e205600 0x200>;
++                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              i2c4: i2c@7e205800 {
++                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
++                      reg = <0x7e205800 0x200>;
++                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              i2c5: i2c@7e205a00 {
++                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
++                      reg = <0x7e205a00 0x200>;
++                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              i2c6: i2c@7e205c00 {
++                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
++                      reg = <0x7e205c00 0x200>;
++                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2835_CLOCK_VPU>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "disabled";
++              };
++
++              pwm1: pwm@7e20c800 {
++                      compatible = "brcm,bcm2835-pwm";
++                      reg = <0x7e20c800 0x28>;
++                      clocks = <&clocks BCM2835_CLOCK_PWM>;
++                      assigned-clocks = <&clocks BCM2835_CLOCK_PWM>;
++                      assigned-clock-rates = <10000000>;
++                      #pwm-cells = <2>;
++                      status = "disabled";
++              };
++
++              emmc2: emmc2@7e340000 {
++                      compatible = "brcm,bcm2711-emmc2";
++                      reg = <0x7e340000 0x100>;
++                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
++                      status = "disabled";
++              };
++
++              hvs@7e400000 {
++                      interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
++              };
++      };
++
++      arm-pmu {
++              compatible = "arm,cortex-a72-pmu", "arm,armv8-pmuv3";
++              interrupts = <GIC_SPI 16 IRQ_TYPE_LEVEL_HIGH>,
++                      <GIC_SPI 17 IRQ_TYPE_LEVEL_HIGH>,
++                      <GIC_SPI 18 IRQ_TYPE_LEVEL_HIGH>,
++                      <GIC_SPI 19 IRQ_TYPE_LEVEL_HIGH>;
++              interrupt-affinity = <&cpu0>, <&cpu1>, <&cpu2>, <&cpu3>;
++      };
++
++      timer {
++              compatible = "arm,armv8-timer";
++              interrupts = <GIC_PPI 13 (GIC_CPU_MASK_SIMPLE(4) |
++                                        IRQ_TYPE_LEVEL_LOW)>,
++                           <GIC_PPI 14 (GIC_CPU_MASK_SIMPLE(4) |
++                                        IRQ_TYPE_LEVEL_LOW)>,
++                           <GIC_PPI 11 (GIC_CPU_MASK_SIMPLE(4) |
++                                        IRQ_TYPE_LEVEL_LOW)>,
++                           <GIC_PPI 10 (GIC_CPU_MASK_SIMPLE(4) |
++                                        IRQ_TYPE_LEVEL_LOW)>;
++              /* This only applies to the ARMv7 stub */
++              arm,cpu-registers-not-fw-configured;
++      };
++
++      cpus: cpus {
++              #address-cells = <1>;
++              #size-cells = <0>;
++              enable-method = "brcm,bcm2836-smp"; // for ARM 32-bit
++
++              cpu0: cpu@0 {
++                      device_type = "cpu";
++                      compatible = "arm,cortex-a72";
++                      reg = <0>;
++                      enable-method = "spin-table";
++                      cpu-release-addr = <0x0 0x000000d8>;
++              };
++
++              cpu1: cpu@1 {
++                      device_type = "cpu";
++                      compatible = "arm,cortex-a72";
++                      reg = <1>;
++                      enable-method = "spin-table";
++                      cpu-release-addr = <0x0 0x000000e0>;
++              };
++
++              cpu2: cpu@2 {
++                      device_type = "cpu";
++                      compatible = "arm,cortex-a72";
++                      reg = <2>;
++                      enable-method = "spin-table";
++                      cpu-release-addr = <0x0 0x000000e8>;
++              };
++
++              cpu3: cpu@3 {
++                      device_type = "cpu";
++                      compatible = "arm,cortex-a72";
++                      reg = <3>;
++                      enable-method = "spin-table";
++                      cpu-release-addr = <0x0 0x000000f0>;
++              };
++      };
++};
++
++&clk_osc {
++      clock-frequency = <54000000>;
++};
++
++&clocks {
++      compatible = "brcm,bcm2711-cprman";
++};
++
++&cpu_thermal {
++      coefficients = <(-487) 410040>;
++};
++
++&dsi0 {
++      interrupts = <GIC_SPI 100 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&dsi1 {
++      interrupts = <GIC_SPI 108 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&gpio {
++      compatible = "brcm,bcm2711-gpio";
++      interrupts = <GIC_SPI 113 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 115 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 116 IRQ_TYPE_LEVEL_HIGH>;
++
++      gpclk0_gpio49: gpclk0_gpio49 {
++              pin-gpclk {
++                      pins = "gpio49";
++                      function = "alt1";
++                      bias-disable;
++              };
++      };
++      gpclk1_gpio50: gpclk1_gpio50 {
++              pin-gpclk {
++                      pins = "gpio50";
++                      function = "alt1";
++                      bias-disable;
++              };
++      };
++      gpclk2_gpio51: gpclk2_gpio51 {
++              pin-gpclk {
++                      pins = "gpio51";
++                      function = "alt1";
++                      bias-disable;
++              };
++      };
++
++      i2c0_gpio46: i2c0_gpio46 {
++              pin-sda {
++                      function = "alt0";
++                      pins = "gpio46";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt0";
++                      pins = "gpio47";
++                      bias-disable;
++              };
++      };
++      i2c1_gpio46: i2c1_gpio46 {
++              pin-sda {
++                      function = "alt1";
++                      pins = "gpio46";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt1";
++                      pins = "gpio47";
++                      bias-disable;
++              };
++      };
++      i2c3_gpio2: i2c3_gpio2 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio2";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio3";
++                      bias-disable;
++              };
++      };
++      i2c3_gpio4: i2c3_gpio4 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio4";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio5";
++                      bias-disable;
++              };
++      };
++      i2c4_gpio6: i2c4_gpio6 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio6";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio7";
++                      bias-disable;
++              };
++      };
++      i2c4_gpio8: i2c4_gpio8 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio8";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio9";
++                      bias-disable;
++              };
++      };
++      i2c5_gpio10: i2c5_gpio10 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio10";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio11";
++                      bias-disable;
++              };
++      };
++      i2c5_gpio12: i2c5_gpio12 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio12";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio13";
++                      bias-disable;
++              };
++      };
++      i2c6_gpio0: i2c6_gpio0 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio0";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio1";
++                      bias-disable;
++              };
++      };
++      i2c6_gpio22: i2c6_gpio22 {
++              pin-sda {
++                      function = "alt5";
++                      pins = "gpio22";
++                      bias-pull-up;
++              };
++              pin-scl {
++                      function = "alt5";
++                      pins = "gpio23";
++                      bias-disable;
++              };
++      };
++      i2c_slave_gpio8: i2c_slave_gpio8 {
++              pins-i2c-slave {
++                      pins = "gpio8",
++                             "gpio9",
++                             "gpio10",
++                             "gpio11";
++                      function = "alt3";
++              };
++      };
++
++      jtag_gpio48: jtag_gpio48 {
++              pins-jtag {
++                      pins = "gpio48",
++                             "gpio49",
++                             "gpio50",
++                             "gpio51",
++                             "gpio52",
++                             "gpio53";
++                      function = "alt4";
++              };
++      };
++
++      mii_gpio28: mii_gpio28 {
++              pins-mii {
++                      pins = "gpio28",
++                             "gpio29",
++                             "gpio30",
++                             "gpio31";
++                      function = "alt4";
++              };
++      };
++      mii_gpio36: mii_gpio36 {
++              pins-mii {
++                      pins = "gpio36",
++                             "gpio37",
++                             "gpio38",
++                             "gpio39";
++                      function = "alt5";
++              };
++      };
++
++      pcm_gpio50: pcm_gpio50 {
++              pins-pcm {
++                      pins = "gpio50",
++                             "gpio51",
++                             "gpio52",
++                             "gpio53";
++                      function = "alt2";
++              };
++      };
++
++      pwm0_0_gpio12: pwm0_0_gpio12 {
++              pin-pwm {
++                      pins = "gpio12";
++                      function = "alt0";
++                      bias-disable;
++              };
++      };
++      pwm0_0_gpio18: pwm0_0_gpio18 {
++              pin-pwm {
++                      pins = "gpio18";
++                      function = "alt5";
++                      bias-disable;
++              };
++      };
++      pwm1_0_gpio40: pwm1_0_gpio40 {
++              pin-pwm {
++                      pins = "gpio40";
++                      function = "alt0";
++                      bias-disable;
++              };
++      };
++      pwm0_1_gpio13: pwm0_1_gpio13 {
++              pin-pwm {
++                      pins = "gpio13";
++                      function = "alt0";
++                      bias-disable;
++              };
++      };
++      pwm0_1_gpio19: pwm0_1_gpio19 {
++              pin-pwm {
++                      pins = "gpio19";
++                      function = "alt5";
++                      bias-disable;
++              };
++      };
++      pwm1_1_gpio41: pwm1_1_gpio41 {
++              pin-pwm {
++                      pins = "gpio41";
++                      function = "alt0";
++                      bias-disable;
++              };
++      };
++      pwm0_1_gpio45: pwm0_1_gpio45 {
++              pin-pwm {
++                      pins = "gpio45";
++                      function = "alt0";
++                      bias-disable;
++              };
++      };
++      pwm0_0_gpio52: pwm0_0_gpio52 {
++              pin-pwm {
++                      pins = "gpio52";
++                      function = "alt1";
++                      bias-disable;
++              };
++      };
++      pwm0_1_gpio53: pwm0_1_gpio53 {
++              pin-pwm {
++                      pins = "gpio53";
++                      function = "alt1";
++                      bias-disable;
++              };
++      };
++
++      rgmii_gpio35: rgmii_gpio35 {
++              pin-start-stop {
++                      pins = "gpio35";
++                      function = "alt4";
++              };
++              pin-rx-ok {
++                      pins = "gpio36";
++                      function = "alt4";
++              };
++      };
++      rgmii_irq_gpio34: rgmii_irq_gpio34 {
++              pin-irq {
++                      pins = "gpio34";
++                      function = "alt5";
++              };
++      };
++      rgmii_irq_gpio39: rgmii_irq_gpio39 {
++              pin-irq {
++                      pins = "gpio39";
++                      function = "alt4";
++              };
++      };
++      rgmii_mdio_gpio28: rgmii_mdio_gpio28 {
++              pins-mdio {
++                      pins = "gpio28",
++                             "gpio29";
++                      function = "alt5";
++              };
++      };
++      rgmii_mdio_gpio37: rgmii_mdio_gpio37 {
++              pins-mdio {
++                      pins = "gpio37",
++                             "gpio38";
++                      function = "alt4";
++              };
++      };
++
++      spi0_gpio46: spi0_gpio46 {
++              pins-spi {
++                      pins = "gpio46",
++                             "gpio47",
++                             "gpio48",
++                             "gpio49";
++                      function = "alt2";
++              };
++      };
++      spi2_gpio46: spi2_gpio46 {
++              pins-spi {
++                      pins = "gpio46",
++                             "gpio47",
++                             "gpio48",
++                             "gpio49",
++                             "gpio50";
++                      function = "alt5";
++              };
++      };
++      spi3_gpio0: spi3_gpio0 {
++              pins-spi {
++                      pins = "gpio0",
++                             "gpio1",
++                             "gpio2",
++                             "gpio3";
++                      function = "alt3";
++              };
++      };
++      spi4_gpio4: spi4_gpio4 {
++              pins-spi {
++                      pins = "gpio4",
++                             "gpio5",
++                             "gpio6",
++                             "gpio7";
++                      function = "alt3";
++              };
++      };
++      spi5_gpio12: spi5_gpio12 {
++              pins-spi {
++                      pins = "gpio12",
++                             "gpio13",
++                             "gpio14",
++                             "gpio15";
++                      function = "alt3";
++              };
++      };
++      spi6_gpio18: spi6_gpio18 {
++              pins-spi {
++                      pins = "gpio18",
++                             "gpio19",
++                             "gpio20",
++                             "gpio21";
++                      function = "alt3";
++              };
++      };
++
++      uart2_gpio0: uart2_gpio0 {
++              pin-tx {
++                      pins = "gpio0";
++                      function = "alt4";
++                      bias-disable;
++              };
++              pin-rx {
++                      pins = "gpio1";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++      };
++      uart2_ctsrts_gpio2: uart2_ctsrts_gpio2 {
++              pin-cts {
++                      pins = "gpio2";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++              pin-rts {
++                      pins = "gpio3";
++                      function = "alt4";
++                      bias-disable;
++              };
++      };
++      uart3_gpio4: uart3_gpio4 {
++              pin-tx {
++                      pins = "gpio4";
++                      function = "alt4";
++                      bias-disable;
++              };
++              pin-rx {
++                      pins = "gpio5";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++      };
++      uart3_ctsrts_gpio6: uart3_ctsrts_gpio6 {
++              pin-cts {
++                      pins = "gpio6";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++              pin-rts {
++                      pins = "gpio7";
++                      function = "alt4";
++                      bias-disable;
++              };
++      };
++      uart4_gpio8: uart4_gpio8 {
++              pin-tx {
++                      pins = "gpio8";
++                      function = "alt4";
++                      bias-disable;
++              };
++              pin-rx {
++                      pins = "gpio9";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++      };
++      uart4_ctsrts_gpio10: uart4_ctsrts_gpio10 {
++              pin-cts {
++                      pins = "gpio10";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++              pin-rts {
++                      pins = "gpio11";
++                      function = "alt4";
++                      bias-disable;
++              };
++      };
++      uart5_gpio12: uart5_gpio12 {
++              pin-tx {
++                      pins = "gpio12";
++                      function = "alt4";
++                      bias-disable;
++              };
++              pin-rx {
++                      pins = "gpio13";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++      };
++      uart5_ctsrts_gpio14: uart5_ctsrts_gpio14 {
++              pin-cts {
++                      pins = "gpio14";
++                      function = "alt4";
++                      bias-pull-up;
++              };
++              pin-rts {
++                      pins = "gpio15";
++                      function = "alt4";
++                      bias-disable;
++              };
++      };
++};
++
++&i2c0 {
++      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
++      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&i2c1 {
++      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
++      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&mailbox {
++      interrupts = <GIC_SPI 33 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&sdhci {
++      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&sdhost {
++      interrupts = <GIC_SPI 120 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&spi {
++      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&spi1 {
++      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&spi2 {
++      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&system_timer {
++      interrupts = <GIC_SPI 64 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 65 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 66 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 67 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&txp {
++      interrupts = <GIC_SPI 75 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&uart0 {
++      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&uart1 {
++      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&usb {
++      interrupts = <GIC_SPI 73 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&vec {
++      interrupts = <GIC_SPI 123 IRQ_TYPE_LEVEL_HIGH>;
++};
+--- /dev/null
++++ b/arch/arm/boot/dts/bcm283x-rpi-usb-peripheral.dtsi
+@@ -0,0 +1,7 @@
++// SPDX-License-Identifier: GPL-2.0
++&usb {
++      dr_mode = "peripheral";
++      g-rx-fifo-size = <256>;
++      g-np-tx-fifo-size = <32>;
++      g-tx-fifo-size = <256 256 512 512 512 768 768>;
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0413-overlays-Fix-mcp23017-s-addr-parameter.patch b/target/linux/bcm27xx/patches-5.4/950-0413-overlays-Fix-mcp23017-s-addr-parameter.patch
deleted file mode 100644 (file)
index 29ad872..0000000
+++ /dev/null
@@ -1,46 +0,0 @@
-From 9fa750db2d682fa2c124dae609d05d15f93a5e52 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Tue, 4 Feb 2020 15:22:55 +0000
-Subject: [PATCH] overlays: Fix mcp23017's addr parameter
-
-The addr parameter of the mcp23017 overlay was broken by the addition
-of the noints parameter; splitting the mcp node in two without also
-modifying the second half from the addr parameter would cause the two
-halves to separate. Change the implementation strategy to patch
-fragment 2 (as was originally proposed). This will prevent the
-overlay from being applied at runtime until the "dtoverlay" command
-is improved, but the overlay already has this restriction due to
-fragment 3 so this isn't a step backwards.
-
-See: https://github.com/raspberrypi/linux/issues/3449
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/mcp23017-overlay.dts | 16 +++++++---------
- 1 file changed, 7 insertions(+), 9 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/mcp23017-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/mcp23017-overlay.dts
-@@ -48,15 +48,13 @@
-       };
-       fragment@4 {
--              target = <&i2c1>;
--              __overlay__ {
--                      mcp23017_irq: mcp@20 {
--                              #interrupt-cells=<2>;
--                              interrupt-parent = <&gpio>;
--                              interrupts = <4 2>;
--                              interrupt-controller;
--                              microchip,irq-mirror;
--                      };
-+              target = <&mcp23017>;
-+              mcp23017_irq: __overlay__ {
-+                      #interrupt-cells=<2>;
-+                      interrupt-parent = <&gpio>;
-+                      interrupts = <4 2>;
-+                      interrupt-controller;
-+                      microchip,irq-mirror;
-               };
-       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0414-ARM-dts-bcm2711-force-CMA-into-first-GB-of-memory.patch b/target/linux/bcm27xx/patches-5.4/950-0414-ARM-dts-bcm2711-force-CMA-into-first-GB-of-memory.patch
new file mode 100644 (file)
index 0000000..44f60d6
--- /dev/null
@@ -0,0 +1,45 @@
+From 4bcb99a967998d255ef009bb0b6880ae99c6f6bf Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Wed, 6 Nov 2019 10:59:44 +0100
+Subject: [PATCH] ARM: dts: bcm2711: force CMA into first GB of memory
+
+arm64 places the CMA in ZONE_DMA32, which is not good enough for the
+Raspberry Pi 4 since it contains peripherals that can only address the
+first GB of memory. Explicitly place the CMA into that area.
+
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Acked-by: Stefan Wahren <wahrenst@gmx.net>
+Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
+---
+ arch/arm/boot/dts/bcm2711.dtsi | 20 ++++++++++++++++++++
+ 1 file changed, 20 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -12,6 +12,26 @@
+       interrupt-parent = <&gicv2>;
++      reserved-memory {
++              #address-cells = <2>;
++              #size-cells = <1>;
++              ranges;
++
++              /*
++               * arm64 reserves the CMA by default somewhere in ZONE_DMA32,
++               * that's not good enough for the BCM2711 as some devices can
++               * only address the lower 1G of memory (ZONE_DMA).
++               */
++              linux,cma {
++                      compatible = "shared-dma-pool";
++                      size = <0x2000000>; /* 32MB */
++                      alloc-ranges = <0x0 0x00000000 0x40000000>;
++                      reusable;
++                      linux,cma-default;
++              };
++      };
++
++
+       soc {
+               /*
+                * Defined ranges:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0414-SQUASH-Fix-spi-driver-compiler-warnings.patch b/target/linux/bcm27xx/patches-5.4/950-0414-SQUASH-Fix-spi-driver-compiler-warnings.patch
deleted file mode 100644 (file)
index f233c4a..0000000
+++ /dev/null
@@ -1,22 +0,0 @@
-From 69811ede9ad350beb531082177bdc6da92c7fdb9 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Tue, 4 Feb 2020 16:35:12 +0000
-Subject: [PATCH] SQUASH: Fix spi driver compiler warnings
-
-Squash with "spi: spi-bcm2835: Disable forced software CS"
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- drivers/spi/spi-bcm2835.c | 2 --
- 1 file changed, 2 deletions(-)
-
---- a/drivers/spi/spi-bcm2835.c
-+++ b/drivers/spi/spi-bcm2835.c
-@@ -1230,7 +1230,6 @@ static int bcm2835_spi_setup(struct spi_
- {
-       struct spi_controller *ctlr = spi->controller;
-       struct bcm2835_spi *bs = spi_controller_get_devdata(ctlr);
--      struct gpio_chip *chip;
-       u32 cs;
-       /*
diff --git a/target/linux/bcm27xx/patches-5.4/950-0415-ARM-dts-bcm2711-rpi-4-Enable-GENET-support.patch b/target/linux/bcm27xx/patches-5.4/950-0415-ARM-dts-bcm2711-rpi-4-Enable-GENET-support.patch
new file mode 100644 (file)
index 0000000..e204859
--- /dev/null
@@ -0,0 +1,86 @@
+From 32847947e1d1e1ac2a73c7ea8ad47cca49aef5d4 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Mon, 11 Nov 2019 20:49:26 +0100
+Subject: [PATCH] ARM: dts: bcm2711-rpi-4: Enable GENET support
+
+This enables the Gigabit Ethernet support on the Raspberry Pi 4.
+The defined PHY mode is equivalent to the default register settings
+in the downstream tree.
+
+Signed-off-by: Matthias Brugger <mbrugger@suse.com>
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+Reviewed-by: Florian Fainelli <f.fainelli@gmail.com>
+Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 17 +++++++++++++++++
+ arch/arm/boot/dts/bcm2711.dtsi        | 26 ++++++++++++++++++++++++++
+ 2 files changed, 43 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -19,6 +19,10 @@
+               reg = <0 0 0>;
+       };
++      aliases {
++              ethernet0 = &genet;
++      };
++
+       leds {
+               act {
+                       gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
+@@ -97,6 +101,19 @@
+       status = "okay";
+ };
++&genet {
++      phy-handle = <&phy1>;
++      phy-mode = "rgmii-rxid";
++      status = "okay";
++};
++
++&genet_mdio {
++      phy1: ethernet-phy@1 {
++              /* No PHY interrupt */
++              reg = <0x1>;
++      };
++};
++
+ /* uart0 communicates with the BT module */
+ &uart0 {
+       pinctrl-names = "default";
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -325,6 +325,32 @@
+                       cpu-release-addr = <0x0 0x000000f0>;
+               };
+       };
++
++      scb {
++              compatible = "simple-bus";
++              #address-cells = <2>;
++              #size-cells = <1>;
++
++              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>;
++
++              genet: ethernet@7d580000 {
++                      compatible = "brcm,bcm2711-genet-v5";
++                      reg = <0x0 0x7d580000 0x10000>;
++                      #address-cells = <0x1>;
++                      #size-cells = <0x1>;
++                      interrupts = <GIC_SPI 157 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 158 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++
++                      genet_mdio: mdio@e14 {
++                              compatible = "brcm,genet-mdio-v5";
++                              reg = <0xe14 0x8>;
++                              reg-names = "mdio";
++                              #address-cells = <0x0>;
++                              #size-cells = <0x1>;
++                      };
++              };
++      };
+ };
+ &clk_osc {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0415-overlays-add-hdmi-backlight-hwhack-gpio-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0415-overlays-add-hdmi-backlight-hwhack-gpio-overlay.patch
deleted file mode 100644 (file)
index e94f151..0000000
+++ /dev/null
@@ -1,106 +0,0 @@
-From c6e4343e441558f45df2685b9ed7c13daf7988be Mon Sep 17 00:00:00 2001
-From: Michael Kaplan <m.kaplan@evva.com>
-Date: Wed, 5 Feb 2020 10:27:23 +0100
-Subject: [PATCH] overlays: add hdmi-backlight-hwhack-gpio-overlay
-
-This is a Devicetree overlay for GPIO based backlight on/off capability.
-
-Use this if you have one of those HDMI displays whose backlight cannot be controlled via DPMS over HDMI and plan to do a little soldering to use an RPi gpio pin for on/off switching.
-
-See: https://www.waveshare.com/wiki/7inch_HDMI_LCD_(C)#Backlight_Control
-
-This was tested with a clone of the Waveshare "7 inch HDMI Touch LCD C" where I soldered two mosfets to override the backlight dip-switch.
-When the overlay is loaded, a sysfs backlight node appears which can be used to modify the brightness value (0 or 1), and is even used by DPMS to switch the display backlight off after the configured timeout.
-(On current Raspbian Buster Desktop, it's also possible to wakeup the display via a tap on the touch display :-) )
-
-Signed-off-by: Michael Kaplan <m.kaplan@evva.com>
----
- arch/arm/boot/dts/overlays/Makefile           |  1 +
- arch/arm/boot/dts/overlays/README             | 14 ++++++
- .../hdmi-backlight-hwhack-gpio-overlay.dts    | 47 +++++++++++++++++++
- 3 files changed, 62 insertions(+)
- create mode 100644 arch/arm/boot/dts/overlays/hdmi-backlight-hwhack-gpio-overlay.dts
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -51,6 +51,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       gpio-poweroff.dtbo \
-       gpio-shutdown.dtbo \
-       hd44780-lcd.dtbo \
-+      hdmi-backlight-hwhack-gpio.dtbo \
-       hifiberry-amp.dtbo \
-       hifiberry-dac.dtbo \
-       hifiberry-dacplus.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -883,6 +883,20 @@ Params: pin_d4                  GPIO pin
-         display_width           Width of the display in characters
-+Name:   hdmi-backlight-hwhack-gpio
-+Info:   Devicetree overlay for GPIO based backlight on/off capability.
-+        Use this if you have one of those HDMI displays whose backlight cannot
-+        be controlled via DPMS over HDMI and plan to do a little soldering to
-+        use an RPi gpio pin for on/off switching. See:
-+        https://www.waveshare.com/wiki/7inch_HDMI_LCD_(C)#Backlight_Control
-+Load:   dtoverlay=hdmi-backlight-hwhack-gpio,<param>=<val>
-+Params: gpio_pin                GPIO pin used (default 17)
-+        active_low              Set this to 1 if the display backlight is
-+                                switched on when the wire goes low.
-+                                Leave the default (value 0) if the backlight
-+                                expects a high to switch it on.
-+
-+
- Name:   hifiberry-amp
- Info:   Configures the HifiBerry Amp and Amp+ audio cards
- Load:   dtoverlay=hifiberry-amp
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/hdmi-backlight-hwhack-gpio-overlay.dts
-@@ -0,0 +1,47 @@
-+/*
-+ * Devicetree overlay for GPIO based backlight on/off capability.
-+ *
-+ * Use this if you have one of those HDMI displays whose backlight cannot be
-+ * controlled via DPMS over HDMI and plan to do a little soldering to use an
-+ * RPi gpio pin for on/off switching.
-+ *
-+ * See: https://www.waveshare.com/wiki/7inch_HDMI_LCD_(C)#Backlight_Control
-+ *
-+ */
-+/dts-v1/;
-+/plugin/;
-+
-+/ {
-+      compatible = "brcm,bcm2835";
-+
-+      fragment@1 {
-+              target = <&gpio>;
-+              __overlay__ {
-+                      hdmi_backlight_hwhack_gpio_pins: hdmi_backlight_hwhack_gpio_pins {
-+                              brcm,pins = <17>;
-+                              brcm,function = <1>; /* out */
-+                      };
-+              };
-+      };
-+
-+      fragment@2 {
-+              target-path = "/";
-+              __overlay__ {
-+                      hdmi_backlight_hwhack_gpio: hdmi_backlight_hwhack_gpio {
-+                              compatible = "gpio-backlight";
-+
-+                              pinctrl-names = "default";
-+                              pinctrl-0 = <&hdmi_backlight_hwhack_gpio_pins>;
-+
-+                              gpios = <&gpio 17 0>;
-+                              default-on;
-+                      };
-+              };
-+      };
-+
-+      __overrides__ {
-+              gpio_pin   = <&hdmi_backlight_hwhack_gpio>,"gpios:4",
-+                           <&hdmi_backlight_hwhack_gpio_pins>,"brcm,pins:0";
-+              active_low = <&hdmi_backlight_hwhack_gpio>,"gpios:8";
-+      };
-+};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0416-ARM-dts-Revert-all-changes-to-upstream-dts-files.patch b/target/linux/bcm27xx/patches-5.4/950-0416-ARM-dts-Revert-all-changes-to-upstream-dts-files.patch
deleted file mode 100644 (file)
index 403f534..0000000
+++ /dev/null
@@ -1,1929 +0,0 @@
-From e90536d721612de6a2619ae6727ee12b56bb2660 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Thu, 30 Jan 2020 11:39:39 +0000
-Subject: [PATCH] ARM: dts: Revert all changes to upstream dts files
-
-With the possible exception of bcm2711* files where there is a name
-clash, we should not be modifying upstream DTS files.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts      | 348 ++------
- arch/arm/boot/dts/bcm2711.dtsi             | 888 ++++++++++++++++++++-
- arch/arm/boot/dts/bcm2835-common.dtsi      | 131 +++
- arch/arm/boot/dts/bcm2835-rpi-a-plus.dts   |   1 -
- arch/arm/boot/dts/bcm2835-rpi-a.dts        |   1 -
- arch/arm/boot/dts/bcm2835-rpi-b-plus.dts   |   1 -
- arch/arm/boot/dts/bcm2835-rpi-b-rev2.dts   |   1 -
- arch/arm/boot/dts/bcm2835-rpi-b.dts        |   1 -
- arch/arm/boot/dts/bcm2835-rpi-zero.dts     |   1 -
- arch/arm/boot/dts/bcm2835-rpi.dtsi         |  37 -
- arch/arm/boot/dts/bcm2836-rpi-2-b.dts      |   1 -
- arch/arm/boot/dts/bcm2837-rpi-3-b.dts      |   1 -
- arch/arm/boot/dts/bcm283x-rpi-lan7515.dtsi |  15 -
- arch/arm/boot/dts/bcm283x.dtsi             | 152 +---
- 14 files changed, 1068 insertions(+), 511 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -1,54 +1,57 @@
-+// SPDX-License-Identifier: GPL-2.0
- /dts-v1/;
--
- #include "bcm2711.dtsi"
--#include "bcm2711-rpi.dtsi"
--#include "bcm283x-rpi-csi1-2lane.dtsi"
-+#include "bcm2835-rpi.dtsi"
-+#include "bcm283x-rpi-usb-peripheral.dtsi"
- / {
-       compatible = "raspberrypi,4-model-b", "brcm,bcm2711";
-       model = "Raspberry Pi 4 Model B";
--      memory@0 {
--              device_type = "memory";
--              reg = <0x0 0x0 0x0>;
-+      chosen {
-+              /* 8250 auxiliary UART instead of pl011 */
-+              stdout-path = "serial1:115200n8";
-       };
--      chosen {
--              bootargs = "coherent_pool=1M 8250.nr_uarts=1 cma=64M";
-+      /* Will be filled by the bootloader */
-+      memory@0 {
-+              device_type = "memory";
-+              reg = <0 0 0>;
-       };
-       aliases {
--              serial0 = &uart1;
--              serial1 = &uart0;
--              mmc0 = &emmc2;
--              mmc1 = &mmcnr;
--              mmc2 = &sdhost;
--              i2c3 = &i2c3;
--              i2c4 = &i2c4;
--              i2c5 = &i2c5;
--              i2c6 = &i2c6;
--              /delete-property/ ethernet;
--              /delete-property/ intc;
-               ethernet0 = &genet;
--              pcie0 = &pcie_0;
-       };
--};
--&soc {
--      virtgpio: virtgpio {
--              compatible = "brcm,bcm2835-virtgpio";
--              gpio-controller;
--              #gpio-cells = <2>;
--              firmware = <&firmware>;
--              status = "okay";
-+      leds {
-+              act {
-+                      gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
-+              };
-+
-+              pwr {
-+                      label = "PWR";
-+                      gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
-+              };
-       };
--};
--&mmcnr {
--      pinctrl-names = "default";
--      pinctrl-0 = <&sdio_pins>;
--      bus-width = <4>;
--      status = "okay";
-+      wifi_pwrseq: wifi-pwrseq {
-+              compatible = "mmc-pwrseq-simple";
-+              reset-gpios = <&expgpio 1 GPIO_ACTIVE_LOW>;
-+      };
-+
-+      sd_io_1v8_reg: sd_io_1v8_reg {
-+              compatible = "regulator-gpio";
-+              regulator-name = "vdd-sd-io";
-+              regulator-min-microvolt = <1800000>;
-+              regulator-max-microvolt = <3300000>;
-+              regulator-boot-on;
-+              regulator-always-on;
-+              regulator-settling-time-us = <5000>;
-+              gpios = <&expgpio 4 GPIO_ACTIVE_HIGH>;
-+              states = <1800000 0x1
-+                        3300000 0x0>;
-+              status = "okay";
-+      };
- };
- &firmware {
-@@ -68,81 +71,34 @@
-       };
- };
--&uart0 {
-+&pwm1 {
-       pinctrl-names = "default";
--      pinctrl-0 = <&uart0_pins &bt_pins>;
-+      pinctrl-0 = <&pwm1_0_gpio40 &pwm1_1_gpio41>;
-       status = "okay";
- };
--&uart1 {
-+/* SDHCI is used to control the SDIO for wireless */
-+&sdhci {
-+      #address-cells = <1>;
-+      #size-cells = <0>;
-       pinctrl-names = "default";
--      pinctrl-0 = <&uart1_pins>;
-+      pinctrl-0 = <&emmc_gpio34>;
-+      bus-width = <4>;
-+      non-removable;
-+      mmc-pwrseq = <&wifi_pwrseq>;
-       status = "okay";
--};
--&spi0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&spi0_pins &spi0_cs_pins>;
--      cs-gpios = <&gpio 8 1>, <&gpio 7 1>;
--
--      spidev0: spidev@0{
--              compatible = "spidev";
--              reg = <0>;      /* CE0 */
--              #address-cells = <1>;
--              #size-cells = <0>;
--              spi-max-frequency = <125000000>;
--      };
--
--      spidev1: spidev@1{
--              compatible = "spidev";
--              reg = <1>;      /* CE1 */
--              #address-cells = <1>;
--              #size-cells = <0>;
--              spi-max-frequency = <125000000>;
--      };
--};
--
--// =============================================
--// Board specific stuff here
--
--/ {
--
--      sd_io_1v8_reg: sd_io_1v8_reg {
--              status = "okay";
--              compatible = "regulator-gpio";
--              vin-supply = <&vdd_5v0_reg>;
--              regulator-name = "vdd-sd-io";
--              regulator-min-microvolt = <1800000>;
--              regulator-max-microvolt = <3300000>;
--              regulator-boot-on;
--              regulator-always-on;
--              regulator-settling-time-us = <5000>;
--
--              gpios = <&expgpio 4 GPIO_ACTIVE_HIGH>;
--              states = <1800000 0x1
--                        3300000 0x0>;
-+      brcmf: wifi@1 {
-+              reg = <1>;
-+              compatible = "brcm,bcm4329-fmac";
-       };
--
--      sd_vcc_reg: sd_vcc_reg {
--              compatible = "regulator-fixed";
--              regulator-name = "vcc-sd";
--              regulator-min-microvolt = <3300000>;
--              regulator-max-microvolt = <3300000>;
--              regulator-boot-on;
--              enable-active-high;
--              gpio = <&expgpio 6 GPIO_ACTIVE_HIGH>;
--      };
--};
--
--&sdhost {
--      status = "disabled";
- };
-+/* EMMC2 is used to drive the SD card */
- &emmc2 {
--      status = "okay";
--      broken-cd;
-       vqmmc-supply = <&sd_io_1v8_reg>;
--      vmmc-supply = <&sd_vcc_reg>;
-+      broken-cd;
-+      status = "okay";
- };
- &genet {
-@@ -155,200 +111,32 @@
-       phy1: ethernet-phy@1 {
-               /* No PHY interrupt */
-               reg = <0x1>;
--              led-modes = <0x00 0x08>; /* link/activity link */
-       };
- };
--&leds {
--      act_led: act {
--              label = "led0";
--              linux,default-trigger = "mmc0";
--              gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
--      };
--
--      pwr_led: pwr {
--              label = "led1";
--              linux,default-trigger = "default-on";
--              gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
--      };
--};
--
--&audio {
-+/* uart0 communicates with the BT module */
-+&uart0 {
-       pinctrl-names = "default";
--      pinctrl-0 = <&audio_pins>;
--};
--
--&sdhost_gpio48 {
--      brcm,pins = <22 23 24 25 26 27>;
--      brcm,function = <BCM2835_FSEL_ALT0>;
--};
--
--&gpio {
--      spi0_pins: spi0_pins {
--              brcm,pins = <9 10 11>;
--              brcm,function = <BCM2835_FSEL_ALT0>;
--      };
--
--      spi0_cs_pins: spi0_cs_pins {
--              brcm,pins = <8 7>;
--              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
--      };
--
--      spi3_pins: spi3_pins {
--              brcm,pins = <1 2 3>;
--              brcm,function = <BCM2835_FSEL_ALT3>;
--      };
--
--      spi3_cs_pins: spi3_cs_pins {
--              brcm,pins = <0 24>;
--              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
--      };
--
--      spi4_pins: spi4_pins {
--              brcm,pins = <5 6 7>;
--              brcm,function = <BCM2835_FSEL_ALT3>;
--      };
--
--      spi4_cs_pins: spi4_cs_pins {
--              brcm,pins = <4 25>;
--              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
--      };
--
--      spi5_pins: spi5_pins {
--              brcm,pins = <13 14 15>;
--              brcm,function = <BCM2835_FSEL_ALT3>;
--      };
--
--      spi5_cs_pins: spi5_cs_pins {
--              brcm,pins = <12 26>;
--              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
--      };
--
--      spi6_pins: spi6_pins {
--              brcm,pins = <19 20 21>;
--              brcm,function = <BCM2835_FSEL_ALT3>;
--      };
--
--      spi6_cs_pins: spi6_cs_pins {
--              brcm,pins = <18 27>;
--              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
--      };
--
--      i2c0_pins: i2c0 {
--              brcm,pins = <0 1>;
--              brcm,function = <BCM2835_FSEL_ALT0>;
--              brcm,pull = <BCM2835_PUD_UP>;
--      };
--
--      i2c1_pins: i2c1 {
--              brcm,pins = <2 3>;
--              brcm,function = <BCM2835_FSEL_ALT0>;
--              brcm,pull = <BCM2835_PUD_UP>;
--      };
--
--      i2c3_pins: i2c3 {
--              brcm,pins = <4 5>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--              brcm,pull = <BCM2835_PUD_UP>;
--      };
--
--      i2c4_pins: i2c4 {
--              brcm,pins = <8 9>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--              brcm,pull = <BCM2835_PUD_UP>;
--      };
--
--      i2c5_pins: i2c5 {
--              brcm,pins = <12 13>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--              brcm,pull = <BCM2835_PUD_UP>;
--      };
--
--      i2c6_pins: i2c6 {
--              brcm,pins = <22 23>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--              brcm,pull = <BCM2835_PUD_UP>;
--      };
--
--      i2s_pins: i2s {
--              brcm,pins = <18 19 20 21>;
--              brcm,function = <BCM2835_FSEL_ALT0>;
--      };
--
--      sdio_pins: sdio_pins {
--              brcm,pins =     <34 35 36 37 38 39>;
--              brcm,function = <BCM2835_FSEL_ALT3>; // alt3 = SD1
--              brcm,pull =     <0 2 2 2 2 2>;
--      };
--
--      bt_pins: bt_pins {
--              brcm,pins = "-"; // non-empty to keep btuart happy, //4 = 0
--                               // to fool pinctrl
--              brcm,function = <0>;
--              brcm,pull = <2>;
--      };
--
--      uart0_pins: uart0_pins {
--              brcm,pins = <32 33>;
--              brcm,function = <BCM2835_FSEL_ALT3>;
--              brcm,pull = <0 2>;
--      };
--
--      uart1_pins: uart1_pins {
--              brcm,pins;
--              brcm,function;
--              brcm,pull;
--      };
--
--      uart2_pins: uart2_pins {
--              brcm,pins = <0 1>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--              brcm,pull = <0 2>;
--      };
--
--      uart3_pins: uart3_pins {
--              brcm,pins = <4 5>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--              brcm,pull = <0 2>;
--      };
--
--      uart4_pins: uart4_pins {
--              brcm,pins = <8 9>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--              brcm,pull = <0 2>;
--      };
--
--      uart5_pins: uart5_pins {
--              brcm,pins = <12 13>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--              brcm,pull = <0 2>;
--      };
-+      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32>;
-+      uart-has-rtscts;
-+      status = "okay";
--      audio_pins: audio_pins {
--              brcm,pins = <40 41>;
--              brcm,function = <4>;
-+      bluetooth {
-+              compatible = "brcm,bcm43438-bt";
-+              max-speed = <2000000>;
-+              shutdown-gpios = <&expgpio 0 GPIO_ACTIVE_HIGH>;
-       };
- };
--&i2c0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2c0_pins>;
--      clock-frequency = <100000>;
--};
--
--&i2c1 {
-+/* uart1 is mapped to the pin header */
-+&uart1 {
-       pinctrl-names = "default";
--      pinctrl-0 = <&i2c1_pins>;
--      clock-frequency = <100000>;
--};
--
--&i2c2 {
--      clock-frequency = <100000>;
-+      pinctrl-0 = <&uart1_gpio14>;
-+      status = "okay";
- };
--&i2s {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2s_pins>;
-+&vchiq {
-+      interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
- };
- / {
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -1,44 +1,890 @@
--#include "bcm2838.dtsi"
--#include "bcm270x.dtsi"
-+// SPDX-License-Identifier: GPL-2.0
-+#include "bcm283x.dtsi"
-+
-+#include <dt-bindings/interrupt-controller/arm-gic.h>
-+#include <dt-bindings/soc/bcm2835-pm.h>
- / {
-+      compatible = "brcm,bcm2711";
-+
-+      #address-cells = <2>;
-+      #size-cells = <1>;
-+
-+      interrupt-parent = <&gicv2>;
-+
-+      reserved-memory {
-+              #address-cells = <2>;
-+              #size-cells = <1>;
-+              ranges;
-+
-+              /*
-+               * arm64 reserves the CMA by default somewhere in ZONE_DMA32,
-+               * that's not good enough for the BCM2711 as some devices can
-+               * only address the lower 1G of memory (ZONE_DMA).
-+               */
-+              linux,cma {
-+                      compatible = "shared-dma-pool";
-+                      size = <0x2000000>; /* 32MB */
-+                      alloc-ranges = <0x0 0x00000000 0x40000000>;
-+                      reusable;
-+                      linux,cma-default;
-+              };
-+      };
-+
-+
-       soc {
--              /delete-node/ v3d@7ec00000;
-+              /*
-+               * Defined ranges:
-+               *   Common BCM283x peripherals
-+               *   BCM2711-specific peripherals
-+               *   ARM-local peripherals
-+               */
-+              ranges = <0x7e000000  0x0 0xfe000000  0x01800000>,
-+                       <0x7c000000  0x0 0xfc000000  0x02000000>,
-+                       <0x40000000  0x0 0xff800000  0x00800000>;
-+              /* Emulate a contiguous 30-bit address range for DMA */
-+              dma-ranges = <0xc0000000  0x0 0x00000000  0x40000000>;
-+
-+              /*
-+               * This node is the provider for the enable-method for
-+               * bringing up secondary cores.
-+               */
-+              local_intc: local_intc@40000000 {
-+                      compatible = "brcm,bcm2836-l1-intc";
-+                      reg = <0x40000000 0x100>;
-+              };
-+
-+              gicv2: interrupt-controller@40041000 {
-+                      interrupt-controller;
-+                      #interrupt-cells = <3>;
-+                      compatible = "arm,gic-400";
-+                      reg =   <0x40041000 0x1000>,
-+                              <0x40042000 0x2000>,
-+                              <0x40044000 0x2000>,
-+                              <0x40046000 0x2000>;
-+                      interrupts = <GIC_PPI 9 (GIC_CPU_MASK_SIMPLE(4) |
-+                                               IRQ_TYPE_LEVEL_HIGH)>;
-+              };
-+
-+              dma: dma@7e007000 {
-+                      compatible = "brcm,bcm2835-dma";
-+                      reg = <0x7e007000 0xb00>;
-+                      interrupts = <GIC_SPI 80 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 81 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 82 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 83 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 84 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 85 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 86 IRQ_TYPE_LEVEL_HIGH>,
-+                                   /* DMA lite 7 - 10 */
-+                                   <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>;
-+                      interrupt-names = "dma0",
-+                                        "dma1",
-+                                        "dma2",
-+                                        "dma3",
-+                                        "dma4",
-+                                        "dma5",
-+                                        "dma6",
-+                                        "dma7",
-+                                        "dma8",
-+                                        "dma9",
-+                                        "dma10";
-+                      #dma-cells = <1>;
-+                      brcm,dma-channel-mask = <0x07f5>;
-+              };
-+
-+              pm: watchdog@7e100000 {
-+                      compatible = "brcm,bcm2835-pm", "brcm,bcm2835-pm-wdt";
-+                      #power-domain-cells = <1>;
-+                      #reset-cells = <1>;
-+                      reg = <0x7e100000 0x114>,
-+                            <0x7e00a000 0x24>,
-+                            <0x7ec11000 0x20>;
-+                      clocks = <&clocks BCM2835_CLOCK_V3D>,
-+                               <&clocks BCM2835_CLOCK_PERI_IMAGE>,
-+                               <&clocks BCM2835_CLOCK_H264>,
-+                               <&clocks BCM2835_CLOCK_ISP>;
-+                      clock-names = "v3d", "peri_image", "h264", "isp";
-+                      system-power-controller;
-+              };
-+
-+              rng@7e104000 {
-+                      interrupts = <GIC_SPI 125 IRQ_TYPE_LEVEL_HIGH>;
-+
-+                      /* RNG is incompatible with brcm,bcm2835-rng */
-+                      status = "disabled";
-+              };
-+
-+              uart2: serial@7e201400 {
-+                      compatible = "arm,pl011", "arm,primecell";
-+                      reg = <0x7e201400 0x200>;
-+                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_UART>,
-+                               <&clocks BCM2835_CLOCK_VPU>;
-+                      clock-names = "uartclk", "apb_pclk";
-+                      arm,primecell-periphid = <0x00241011>;
-+                      status = "disabled";
-+              };
-+
-+              uart3: serial@7e201600 {
-+                      compatible = "arm,pl011", "arm,primecell";
-+                      reg = <0x7e201600 0x200>;
-+                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_UART>,
-+                               <&clocks BCM2835_CLOCK_VPU>;
-+                      clock-names = "uartclk", "apb_pclk";
-+                      arm,primecell-periphid = <0x00241011>;
-+                      status = "disabled";
-+              };
-+
-+              uart4: serial@7e201800 {
-+                      compatible = "arm,pl011", "arm,primecell";
-+                      reg = <0x7e201800 0x200>;
-+                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_UART>,
-+                               <&clocks BCM2835_CLOCK_VPU>;
-+                      clock-names = "uartclk", "apb_pclk";
-+                      arm,primecell-periphid = <0x00241011>;
-+                      status = "disabled";
-+              };
-+
-+              uart5: serial@7e201a00 {
-+                      compatible = "arm,pl011", "arm,primecell";
-+                      reg = <0x7e201a00 0x200>;
-+                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_UART>,
-+                               <&clocks BCM2835_CLOCK_VPU>;
-+                      clock-names = "uartclk", "apb_pclk";
-+                      arm,primecell-periphid = <0x00241011>;
-+                      status = "disabled";
-+              };
-+
-+              spi3: spi@7e204600 {
-+                      compatible = "brcm,bcm2835-spi";
-+                      reg = <0x7e204600 0x0200>;
-+                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              spi4: spi@7e204800 {
-+                      compatible = "brcm,bcm2835-spi";
-+                      reg = <0x7e204800 0x0200>;
-+                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              spi5: spi@7e204a00 {
-+                      compatible = "brcm,bcm2835-spi";
-+                      reg = <0x7e204a00 0x0200>;
-+                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              spi6: spi@7e204c00 {
-+                      compatible = "brcm,bcm2835-spi";
-+                      reg = <0x7e204c00 0x0200>;
-+                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              i2c3: i2c@7e205600 {
-+                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-+                      reg = <0x7e205600 0x200>;
-+                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              i2c4: i2c@7e205800 {
-+                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-+                      reg = <0x7e205800 0x200>;
-+                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              i2c5: i2c@7e205a00 {
-+                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-+                      reg = <0x7e205a00 0x200>;
-+                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              i2c6: i2c@7e205c00 {
-+                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-+                      reg = <0x7e205c00 0x200>;
-+                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              pwm1: pwm@7e20c800 {
-+                      compatible = "brcm,bcm2835-pwm";
-+                      reg = <0x7e20c800 0x28>;
-+                      clocks = <&clocks BCM2835_CLOCK_PWM>;
-+                      assigned-clocks = <&clocks BCM2835_CLOCK_PWM>;
-+                      assigned-clock-rates = <10000000>;
-+                      #pwm-cells = <2>;
-+                      status = "disabled";
-+              };
-+
-+              emmc2: emmc2@7e340000 {
-+                      compatible = "brcm,bcm2711-emmc2";
-+                      reg = <0x7e340000 0x100>;
-+                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
-+                      status = "disabled";
-+              };
-+
-+              hvs@7e400000 {
-+                      interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
-+              };
-+      };
-+
-+      arm-pmu {
-+              compatible = "arm,cortex-a72-pmu", "arm,armv8-pmuv3";
-+              interrupts = <GIC_SPI 16 IRQ_TYPE_LEVEL_HIGH>,
-+                      <GIC_SPI 17 IRQ_TYPE_LEVEL_HIGH>,
-+                      <GIC_SPI 18 IRQ_TYPE_LEVEL_HIGH>,
-+                      <GIC_SPI 19 IRQ_TYPE_LEVEL_HIGH>;
-+              interrupt-affinity = <&cpu0>, <&cpu1>, <&cpu2>, <&cpu3>;
-+      };
-+
-+      timer {
-+              compatible = "arm,armv8-timer";
-+              interrupts = <GIC_PPI 13 (GIC_CPU_MASK_SIMPLE(4) |
-+                                        IRQ_TYPE_LEVEL_LOW)>,
-+                           <GIC_PPI 14 (GIC_CPU_MASK_SIMPLE(4) |
-+                                        IRQ_TYPE_LEVEL_LOW)>,
-+                           <GIC_PPI 11 (GIC_CPU_MASK_SIMPLE(4) |
-+                                        IRQ_TYPE_LEVEL_LOW)>,
-+                           <GIC_PPI 10 (GIC_CPU_MASK_SIMPLE(4) |
-+                                        IRQ_TYPE_LEVEL_LOW)>;
-+              /* This only applies to the ARMv7 stub */
-+              arm,cpu-registers-not-fw-configured;
-+      };
-+
-+      cpus: cpus {
-+              #address-cells = <1>;
-+              #size-cells = <0>;
-+              enable-method = "brcm,bcm2836-smp"; // for ARM 32-bit
-+
-+              cpu0: cpu@0 {
-+                      device_type = "cpu";
-+                      compatible = "arm,cortex-a72";
-+                      reg = <0>;
-+                      enable-method = "spin-table";
-+                      cpu-release-addr = <0x0 0x000000d8>;
-+              };
-+
-+              cpu1: cpu@1 {
-+                      device_type = "cpu";
-+                      compatible = "arm,cortex-a72";
-+                      reg = <1>;
-+                      enable-method = "spin-table";
-+                      cpu-release-addr = <0x0 0x000000e0>;
-+              };
-+
-+              cpu2: cpu@2 {
-+                      device_type = "cpu";
-+                      compatible = "arm,cortex-a72";
-+                      reg = <2>;
-+                      enable-method = "spin-table";
-+                      cpu-release-addr = <0x0 0x000000e8>;
-+              };
-+
-+              cpu3: cpu@3 {
-+                      device_type = "cpu";
-+                      compatible = "arm,cortex-a72";
-+                      reg = <3>;
-+                      enable-method = "spin-table";
-+                      cpu-release-addr = <0x0 0x000000f0>;
-+              };
-       };
--      __overrides__ {
--              arm_freq;
-+      scb {
-+              compatible = "simple-bus";
-+              #address-cells = <2>;
-+              #size-cells = <1>;
-+
-+              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>;
-+
-+              genet: ethernet@7d580000 {
-+                      compatible = "brcm,bcm2711-genet-v5";
-+                      reg = <0x0 0x7d580000 0x10000>;
-+                      #address-cells = <0x1>;
-+                      #size-cells = <0x1>;
-+                      interrupts = <GIC_SPI 157 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 158 IRQ_TYPE_LEVEL_HIGH>;
-+                      status = "disabled";
-+
-+                      genet_mdio: mdio@e14 {
-+                              compatible = "brcm,genet-mdio-v5";
-+                              reg = <0xe14 0x8>;
-+                              reg-names = "mdio";
-+                              #address-cells = <0x0>;
-+                              #size-cells = <0x1>;
-+                      };
-+              };
-       };
- };
--&v3d {
--      status = "disabled";
-+&clk_osc {
-+      clock-frequency = <54000000>;
- };
--&firmwarekms {
--      interrupts = <GIC_SPI 112 IRQ_TYPE_LEVEL_HIGH>;
-+&clocks {
-+      compatible = "brcm,bcm2711-cprman";
- };
--&smi {
--      interrupts = <GIC_SPI 112 IRQ_TYPE_LEVEL_HIGH>;
-+&cpu_thermal {
-+      coefficients = <(-487) 410040>;
- };
--&mmc {
--      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
-+&dsi0 {
-+      interrupts = <GIC_SPI 100 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&dsi1 {
-+      interrupts = <GIC_SPI 108 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&gpio {
-+      compatible = "brcm,bcm2711-gpio";
-+      interrupts = <GIC_SPI 113 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 115 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 116 IRQ_TYPE_LEVEL_HIGH>;
-+
-+      gpclk0_gpio49: gpclk0_gpio49 {
-+              pin-gpclk {
-+                      pins = "gpio49";
-+                      function = "alt1";
-+                      bias-disable;
-+              };
-+      };
-+      gpclk1_gpio50: gpclk1_gpio50 {
-+              pin-gpclk {
-+                      pins = "gpio50";
-+                      function = "alt1";
-+                      bias-disable;
-+              };
-+      };
-+      gpclk2_gpio51: gpclk2_gpio51 {
-+              pin-gpclk {
-+                      pins = "gpio51";
-+                      function = "alt1";
-+                      bias-disable;
-+              };
-+      };
-+
-+      i2c0_gpio46: i2c0_gpio46 {
-+              pin-sda {
-+                      function = "alt0";
-+                      pins = "gpio46";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt0";
-+                      pins = "gpio47";
-+                      bias-disable;
-+              };
-+      };
-+      i2c1_gpio46: i2c1_gpio46 {
-+              pin-sda {
-+                      function = "alt1";
-+                      pins = "gpio46";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt1";
-+                      pins = "gpio47";
-+                      bias-disable;
-+              };
-+      };
-+      i2c3_gpio2: i2c3_gpio2 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio2";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio3";
-+                      bias-disable;
-+              };
-+      };
-+      i2c3_gpio4: i2c3_gpio4 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio4";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio5";
-+                      bias-disable;
-+              };
-+      };
-+      i2c4_gpio6: i2c4_gpio6 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio6";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio7";
-+                      bias-disable;
-+              };
-+      };
-+      i2c4_gpio8: i2c4_gpio8 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio8";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio9";
-+                      bias-disable;
-+              };
-+      };
-+      i2c5_gpio10: i2c5_gpio10 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio10";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio11";
-+                      bias-disable;
-+              };
-+      };
-+      i2c5_gpio12: i2c5_gpio12 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio12";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio13";
-+                      bias-disable;
-+              };
-+      };
-+      i2c6_gpio0: i2c6_gpio0 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio0";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio1";
-+                      bias-disable;
-+              };
-+      };
-+      i2c6_gpio22: i2c6_gpio22 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio22";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio23";
-+                      bias-disable;
-+              };
-+      };
-+      i2c_slave_gpio8: i2c_slave_gpio8 {
-+              pins-i2c-slave {
-+                      pins = "gpio8",
-+                             "gpio9",
-+                             "gpio10",
-+                             "gpio11";
-+                      function = "alt3";
-+              };
-+      };
-+
-+      jtag_gpio48: jtag_gpio48 {
-+              pins-jtag {
-+                      pins = "gpio48",
-+                             "gpio49",
-+                             "gpio50",
-+                             "gpio51",
-+                             "gpio52",
-+                             "gpio53";
-+                      function = "alt4";
-+              };
-+      };
-+
-+      mii_gpio28: mii_gpio28 {
-+              pins-mii {
-+                      pins = "gpio28",
-+                             "gpio29",
-+                             "gpio30",
-+                             "gpio31";
-+                      function = "alt4";
-+              };
-+      };
-+      mii_gpio36: mii_gpio36 {
-+              pins-mii {
-+                      pins = "gpio36",
-+                             "gpio37",
-+                             "gpio38",
-+                             "gpio39";
-+                      function = "alt5";
-+              };
-+      };
-+
-+      pcm_gpio50: pcm_gpio50 {
-+              pins-pcm {
-+                      pins = "gpio50",
-+                             "gpio51",
-+                             "gpio52",
-+                             "gpio53";
-+                      function = "alt2";
-+              };
-+      };
-+
-+      pwm0_0_gpio12: pwm0_0_gpio12 {
-+              pin-pwm {
-+                      pins = "gpio12";
-+                      function = "alt0";
-+                      bias-disable;
-+              };
-+      };
-+      pwm0_0_gpio18: pwm0_0_gpio18 {
-+              pin-pwm {
-+                      pins = "gpio18";
-+                      function = "alt5";
-+                      bias-disable;
-+              };
-+      };
-+      pwm1_0_gpio40: pwm1_0_gpio40 {
-+              pin-pwm {
-+                      pins = "gpio40";
-+                      function = "alt0";
-+                      bias-disable;
-+              };
-+      };
-+      pwm0_1_gpio13: pwm0_1_gpio13 {
-+              pin-pwm {
-+                      pins = "gpio13";
-+                      function = "alt0";
-+                      bias-disable;
-+              };
-+      };
-+      pwm0_1_gpio19: pwm0_1_gpio19 {
-+              pin-pwm {
-+                      pins = "gpio19";
-+                      function = "alt5";
-+                      bias-disable;
-+              };
-+      };
-+      pwm1_1_gpio41: pwm1_1_gpio41 {
-+              pin-pwm {
-+                      pins = "gpio41";
-+                      function = "alt0";
-+                      bias-disable;
-+              };
-+      };
-+      pwm0_1_gpio45: pwm0_1_gpio45 {
-+              pin-pwm {
-+                      pins = "gpio45";
-+                      function = "alt0";
-+                      bias-disable;
-+              };
-+      };
-+      pwm0_0_gpio52: pwm0_0_gpio52 {
-+              pin-pwm {
-+                      pins = "gpio52";
-+                      function = "alt1";
-+                      bias-disable;
-+              };
-+      };
-+      pwm0_1_gpio53: pwm0_1_gpio53 {
-+              pin-pwm {
-+                      pins = "gpio53";
-+                      function = "alt1";
-+                      bias-disable;
-+              };
-+      };
-+
-+      rgmii_gpio35: rgmii_gpio35 {
-+              pin-start-stop {
-+                      pins = "gpio35";
-+                      function = "alt4";
-+              };
-+              pin-rx-ok {
-+                      pins = "gpio36";
-+                      function = "alt4";
-+              };
-+      };
-+      rgmii_irq_gpio34: rgmii_irq_gpio34 {
-+              pin-irq {
-+                      pins = "gpio34";
-+                      function = "alt5";
-+              };
-+      };
-+      rgmii_irq_gpio39: rgmii_irq_gpio39 {
-+              pin-irq {
-+                      pins = "gpio39";
-+                      function = "alt4";
-+              };
-+      };
-+      rgmii_mdio_gpio28: rgmii_mdio_gpio28 {
-+              pins-mdio {
-+                      pins = "gpio28",
-+                             "gpio29";
-+                      function = "alt5";
-+              };
-+      };
-+      rgmii_mdio_gpio37: rgmii_mdio_gpio37 {
-+              pins-mdio {
-+                      pins = "gpio37",
-+                             "gpio38";
-+                      function = "alt4";
-+              };
-+      };
-+
-+      spi0_gpio46: spi0_gpio46 {
-+              pins-spi {
-+                      pins = "gpio46",
-+                             "gpio47",
-+                             "gpio48",
-+                             "gpio49";
-+                      function = "alt2";
-+              };
-+      };
-+      spi2_gpio46: spi2_gpio46 {
-+              pins-spi {
-+                      pins = "gpio46",
-+                             "gpio47",
-+                             "gpio48",
-+                             "gpio49",
-+                             "gpio50";
-+                      function = "alt5";
-+              };
-+      };
-+      spi3_gpio0: spi3_gpio0 {
-+              pins-spi {
-+                      pins = "gpio0",
-+                             "gpio1",
-+                             "gpio2",
-+                             "gpio3";
-+                      function = "alt3";
-+              };
-+      };
-+      spi4_gpio4: spi4_gpio4 {
-+              pins-spi {
-+                      pins = "gpio4",
-+                             "gpio5",
-+                             "gpio6",
-+                             "gpio7";
-+                      function = "alt3";
-+              };
-+      };
-+      spi5_gpio12: spi5_gpio12 {
-+              pins-spi {
-+                      pins = "gpio12",
-+                             "gpio13",
-+                             "gpio14",
-+                             "gpio15";
-+                      function = "alt3";
-+              };
-+      };
-+      spi6_gpio18: spi6_gpio18 {
-+              pins-spi {
-+                      pins = "gpio18",
-+                             "gpio19",
-+                             "gpio20",
-+                             "gpio21";
-+                      function = "alt3";
-+              };
-+      };
-+
-+      uart2_gpio0: uart2_gpio0 {
-+              pin-tx {
-+                      pins = "gpio0";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+              pin-rx {
-+                      pins = "gpio1";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+      };
-+      uart2_ctsrts_gpio2: uart2_ctsrts_gpio2 {
-+              pin-cts {
-+                      pins = "gpio2";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+              pin-rts {
-+                      pins = "gpio3";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+      };
-+      uart3_gpio4: uart3_gpio4 {
-+              pin-tx {
-+                      pins = "gpio4";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+              pin-rx {
-+                      pins = "gpio5";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+      };
-+      uart3_ctsrts_gpio6: uart3_ctsrts_gpio6 {
-+              pin-cts {
-+                      pins = "gpio6";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+              pin-rts {
-+                      pins = "gpio7";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+      };
-+      uart4_gpio8: uart4_gpio8 {
-+              pin-tx {
-+                      pins = "gpio8";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+              pin-rx {
-+                      pins = "gpio9";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+      };
-+      uart4_ctsrts_gpio10: uart4_ctsrts_gpio10 {
-+              pin-cts {
-+                      pins = "gpio10";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+              pin-rts {
-+                      pins = "gpio11";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+      };
-+      uart5_gpio12: uart5_gpio12 {
-+              pin-tx {
-+                      pins = "gpio12";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+              pin-rx {
-+                      pins = "gpio13";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+      };
-+      uart5_ctsrts_gpio14: uart5_ctsrts_gpio14 {
-+              pin-cts {
-+                      pins = "gpio14";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+              pin-rts {
-+                      pins = "gpio15";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+      };
- };
--&mmcnr {
-+&i2c0 {
-+      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-+      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&i2c1 {
-+      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-+      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&mailbox {
-+      interrupts = <GIC_SPI 33 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&sdhci {
-       interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
- };
-+&sdhost {
-+      interrupts = <GIC_SPI 120 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&spi {
-+      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&spi1 {
-+      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&spi2 {
-+      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&system_timer {
-+      interrupts = <GIC_SPI 64 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 65 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 66 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 67 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&txp {
-+      interrupts = <GIC_SPI 75 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&uart0 {
-+      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&uart1 {
-+      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
- &usb {
--      reg = <0x7e980000 0x10000>,
--            <0x7e00b200 0x200>;
--      interrupts = <GIC_SPI 73 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 40 IRQ_TYPE_LEVEL_HIGH>;
-+      interrupts = <GIC_SPI 73 IRQ_TYPE_LEVEL_HIGH>;
- };
--&gpio {
--      interrupts = <GIC_SPI 113 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>;
-+&vec {
-+      interrupts = <GIC_SPI 123 IRQ_TYPE_LEVEL_HIGH>;
- };
---- a/arch/arm/boot/dts/bcm2835-common.dtsi
-+++ b/arch/arm/boot/dts/bcm2835-common.dtsi
-@@ -8,6 +8,47 @@
-       interrupt-parent = <&intc>;
-       soc {
-+              dma: dma@7e007000 {
-+                      compatible = "brcm,bcm2835-dma";
-+                      reg = <0x7e007000 0xf00>;
-+                      interrupts = <1 16>,
-+                                   <1 17>,
-+                                   <1 18>,
-+                                   <1 19>,
-+                                   <1 20>,
-+                                   <1 21>,
-+                                   <1 22>,
-+                                   <1 23>,
-+                                   <1 24>,
-+                                   <1 25>,
-+                                   <1 26>,
-+                                   /* dma channel 11-14 share one irq */
-+                                   <1 27>,
-+                                   <1 27>,
-+                                   <1 27>,
-+                                   <1 27>,
-+                                   /* unused shared irq for all channels */
-+                                   <1 28>;
-+                      interrupt-names = "dma0",
-+                                        "dma1",
-+                                        "dma2",
-+                                        "dma3",
-+                                        "dma4",
-+                                        "dma5",
-+                                        "dma6",
-+                                        "dma7",
-+                                        "dma8",
-+                                        "dma9",
-+                                        "dma10",
-+                                        "dma11",
-+                                        "dma12",
-+                                        "dma13",
-+                                        "dma14",
-+                                        "dma-shared-all";
-+                      #dma-cells = <1>;
-+                      brcm,dma-channel-mask = <0x7f35>;
-+              };
-+
-               intc: interrupt-controller@7e00b200 {
-                       compatible = "brcm,bcm2835-armctrl-ic";
-                       reg = <0x7e00b200 0x200>;
-@@ -15,6 +56,20 @@
-                       #interrupt-cells = <2>;
-               };
-+              pm: watchdog@7e100000 {
-+                      compatible = "brcm,bcm2835-pm", "brcm,bcm2835-pm-wdt";
-+                      #power-domain-cells = <1>;
-+                      #reset-cells = <1>;
-+                      reg = <0x7e100000 0x114>,
-+                            <0x7e00a000 0x24>;
-+                      clocks = <&clocks BCM2835_CLOCK_V3D>,
-+                               <&clocks BCM2835_CLOCK_PERI_IMAGE>,
-+                               <&clocks BCM2835_CLOCK_H264>,
-+                               <&clocks BCM2835_CLOCK_ISP>;
-+                      clock-names = "v3d", "peri_image", "h264", "isp";
-+                      system-power-controller;
-+              };
-+
-               pixelvalve@7e206000 {
-                       compatible = "brcm,bcm2835-pixelvalve0";
-                       reg = <0x7e206000 0x100>;
-@@ -35,21 +90,53 @@
-                       status = "disabled";
-               };
-+              i2c2: i2c@7e805000 {
-+                      compatible = "brcm,bcm2835-i2c";
-+                      reg = <0x7e805000 0x1000>;
-+                      interrupts = <2 21>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "okay";
-+              };
-+
-               pixelvalve@7e807000 {
-                       compatible = "brcm,bcm2835-pixelvalve2";
-                       reg = <0x7e807000 0x100>;
-                       interrupts = <2 10>; /* pixelvalve */
-               };
-+              hdmi: hdmi@7e902000 {
-+                      compatible = "brcm,bcm2835-hdmi";
-+                      reg = <0x7e902000 0x600>,
-+                            <0x7e808000 0x100>;
-+                      interrupts = <2 8>, <2 9>;
-+                      ddc = <&i2c2>;
-+                      clocks = <&clocks BCM2835_PLLH_PIX>,
-+                               <&clocks BCM2835_CLOCK_HSM>;
-+                      clock-names = "pixel", "hdmi";
-+                      dmas = <&dma 17>;
-+                      dma-names = "audio-rx";
-+                      status = "disabled";
-+              };
-+
-               v3d: v3d@7ec00000 {
-                       compatible = "brcm,bcm2835-v3d";
-                       reg = <0x7ec00000 0x1000>;
-                       interrupts = <1 10>;
-                       power-domains = <&pm BCM2835_POWER_DOMAIN_GRAFX_V3D>;
-               };
-+
-+              vc4: gpu {
-+                      compatible = "brcm,bcm2835-vc4";
-+              };
-       };
- };
-+&cpu_thermal {
-+      thermal-sensors = <&thermal>;
-+};
-+
- &gpio {
-       i2c_slave_gpio18: i2c_slave_gpio18 {
-               brcm,pins = <18 19 20 21>;
-@@ -60,4 +147,48 @@
-               brcm,pins = <4 5 6 12 13>;
-               brcm,function = <BCM2835_FSEL_ALT5>;
-       };
-+
-+      pwm0_gpio12: pwm0_gpio12 {
-+              brcm,pins = <12>;
-+              brcm,function = <BCM2835_FSEL_ALT0>;
-+      };
-+      pwm0_gpio18: pwm0_gpio18 {
-+              brcm,pins = <18>;
-+              brcm,function = <BCM2835_FSEL_ALT5>;
-+      };
-+      pwm0_gpio40: pwm0_gpio40 {
-+              brcm,pins = <40>;
-+              brcm,function = <BCM2835_FSEL_ALT0>;
-+      };
-+      pwm1_gpio13: pwm1_gpio13 {
-+              brcm,pins = <13>;
-+              brcm,function = <BCM2835_FSEL_ALT0>;
-+      };
-+      pwm1_gpio19: pwm1_gpio19 {
-+              brcm,pins = <19>;
-+              brcm,function = <BCM2835_FSEL_ALT5>;
-+      };
-+      pwm1_gpio41: pwm1_gpio41 {
-+              brcm,pins = <41>;
-+              brcm,function = <BCM2835_FSEL_ALT0>;
-+      };
-+      pwm1_gpio45: pwm1_gpio45 {
-+              brcm,pins = <45>;
-+              brcm,function = <BCM2835_FSEL_ALT0>;
-+      };
-+};
-+
-+&i2s {
-+      dmas = <&dma 2>, <&dma 3>;
-+      dma-names = "tx", "rx";
-+};
-+
-+&sdhost {
-+      dmas = <&dma 13>;
-+      dma-names = "rx-tx";
-+};
-+
-+&spi {
-+      dmas = <&dma 6>, <&dma 7>;
-+      dma-names = "tx", "rx";
- };
---- a/arch/arm/boot/dts/bcm2835-rpi-a-plus.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-a-plus.dts
-@@ -3,7 +3,6 @@
- #include "bcm2835.dtsi"
- #include "bcm2835-rpi.dtsi"
- #include "bcm283x-rpi-usb-host.dtsi"
--#include "bcm283x-rpi-csi1-2lane.dtsi"
- / {
-       compatible = "raspberrypi,model-a-plus", "brcm,bcm2835";
---- a/arch/arm/boot/dts/bcm2835-rpi-a.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-a.dts
-@@ -3,7 +3,6 @@
- #include "bcm2835.dtsi"
- #include "bcm2835-rpi.dtsi"
- #include "bcm283x-rpi-usb-host.dtsi"
--#include "bcm283x-rpi-csi1-2lane.dtsi"
- / {
-       compatible = "raspberrypi,model-a", "brcm,bcm2835";
---- a/arch/arm/boot/dts/bcm2835-rpi-b-plus.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-b-plus.dts
-@@ -4,7 +4,6 @@
- #include "bcm2835-rpi.dtsi"
- #include "bcm283x-rpi-smsc9514.dtsi"
- #include "bcm283x-rpi-usb-host.dtsi"
--#include "bcm283x-rpi-csi1-2lane.dtsi"
- / {
-       compatible = "raspberrypi,model-b-plus", "brcm,bcm2835";
---- a/arch/arm/boot/dts/bcm2835-rpi-b-rev2.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-b-rev2.dts
-@@ -4,7 +4,6 @@
- #include "bcm2835-rpi.dtsi"
- #include "bcm283x-rpi-smsc9512.dtsi"
- #include "bcm283x-rpi-usb-host.dtsi"
--#include "bcm283x-rpi-csi1-2lane.dtsi"
- / {
-       compatible = "raspberrypi,model-b-rev2", "brcm,bcm2835";
---- a/arch/arm/boot/dts/bcm2835-rpi-b.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-b.dts
-@@ -4,7 +4,6 @@
- #include "bcm2835-rpi.dtsi"
- #include "bcm283x-rpi-smsc9512.dtsi"
- #include "bcm283x-rpi-usb-host.dtsi"
--#include "bcm283x-rpi-csi1-2lane.dtsi"
- / {
-       compatible = "raspberrypi,model-b", "brcm,bcm2835";
---- a/arch/arm/boot/dts/bcm2835-rpi-zero.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-zero.dts
-@@ -7,7 +7,6 @@
- #include "bcm2835.dtsi"
- #include "bcm2835-rpi.dtsi"
- #include "bcm283x-rpi-usb-otg.dtsi"
--#include "bcm283x-rpi-csi1-2lane.dtsi"
- / {
-       compatible = "raspberrypi,model-zero", "brcm,bcm2835";
---- a/arch/arm/boot/dts/bcm2835-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2835-rpi.dtsi
-@@ -29,22 +29,6 @@
-                       interrupts = <0 2>;
-               };
-       };
--
--      vdd_3v3_reg: fixedregulator_3v3 {
--              compatible = "regulator-fixed";
--              regulator-name = "3v3";
--              regulator-min-microvolt = <3300000>;
--              regulator-max-microvolt = <3300000>;
--              regulator-always-on;
--      };
--
--      vdd_5v0_reg: fixedregulator_5v0 {
--              compatible = "regulator-fixed";
--              regulator-name = "5v0";
--              regulator-min-microvolt = <5000000>;
--              regulator-max-microvolt = <5000000>;
--              regulator-always-on;
--      };
- };
- &gpio {
-@@ -75,23 +59,10 @@
-       clock-frequency = <100000>;
- };
--&i2c2 {
--      status = "okay";
--};
--
- &usb {
-       power-domains = <&power RPI_POWER_DOMAIN_USB>;
- };
--&hdmi {
--      power-domains = <&power RPI_POWER_DOMAIN_HDMI>;
--      status = "okay";
--};
--
--&v3d {
--      power-domains = <&power RPI_POWER_DOMAIN_V3D>;
--};
--
- &vec {
-       power-domains = <&power RPI_POWER_DOMAIN_VEC>;
-       status = "okay";
-@@ -104,11 +75,3 @@
- &dsi1 {
-       power-domains = <&power RPI_POWER_DOMAIN_DSI1>;
- };
--
--&csi0 {
--      power-domains = <&power RPI_POWER_DOMAIN_UNICAM0>;
--};
--
--&csi1 {
--      power-domains = <&power RPI_POWER_DOMAIN_UNICAM1>;
--};
---- a/arch/arm/boot/dts/bcm2836-rpi-2-b.dts
-+++ b/arch/arm/boot/dts/bcm2836-rpi-2-b.dts
-@@ -4,7 +4,6 @@
- #include "bcm2836-rpi.dtsi"
- #include "bcm283x-rpi-smsc9514.dtsi"
- #include "bcm283x-rpi-usb-host.dtsi"
--#include "bcm283x-rpi-csi1-2lane.dtsi"
- / {
-       compatible = "raspberrypi,2-model-b", "brcm,bcm2836";
---- a/arch/arm/boot/dts/bcm2837-rpi-3-b.dts
-+++ b/arch/arm/boot/dts/bcm2837-rpi-3-b.dts
-@@ -4,7 +4,6 @@
- #include "bcm2836-rpi.dtsi"
- #include "bcm283x-rpi-smsc9514.dtsi"
- #include "bcm283x-rpi-usb-host.dtsi"
--#include "bcm283x-rpi-csi1-2lane.dtsi"
- / {
-       compatible = "raspberrypi,3-model-b", "brcm,bcm2837";
---- a/arch/arm/boot/dts/bcm283x-rpi-lan7515.dtsi
-+++ b/arch/arm/boot/dts/bcm283x-rpi-lan7515.dtsi
-@@ -29,9 +29,6 @@
-                                       #size-cells = <0x0>;
-                                       eth_phy: ethernet-phy@1 {
-                                               reg = <1>;
--                                              microchip,eee-enabled;
--                                              microchip,tx-lpi-timer = <600>; /* non-aggressive*/
--                                              microchip,downshift-after = <2>;
-                                               microchip,led-modes = <
-                                                       LAN78XX_LINK_1000_ACTIVITY
-                                                       LAN78XX_LINK_10_100_ACTIVITY
-@@ -42,15 +39,3 @@
-               };
-       };
- };
--
--
--/ {
--      __overrides__ {
--              eee = <&eth_phy>,"microchip,eee-enabled?";
--              tx_lpi_timer = <&eth_phy>,"microchip,tx-lpi-timer:0";
--              eth_led0 = <&eth_phy>,"microchip,led-modes:0";
--              eth_led1 = <&eth_phy>,"microchip,led-modes:4";
--              eth_downshift_after = <&eth_phy>,"microchip,downshift-after:0";
--              eth_max_speed = <&eth_phy>,"max-speed:0";
--      };
--};
---- a/arch/arm/boot/dts/bcm283x.dtsi
-+++ b/arch/arm/boot/dts/bcm283x.dtsi
-@@ -35,8 +35,6 @@
-                       polling-delay-passive = <0>;
-                       polling-delay = <1000>;
--                      thermal-sensors = <&thermal>;
--
-                       trips {
-                               cpu-crit {
-                                       temperature     = <90000>;
-@@ -72,61 +70,6 @@
-                       interrupts = <1 11>;
-               };
--              dma: dma@7e007000 {
--                      compatible = "brcm,bcm2835-dma";
--                      reg = <0x7e007000 0xf00>;
--                      interrupts = <1 16>,
--                                   <1 17>,
--                                   <1 18>,
--                                   <1 19>,
--                                   <1 20>,
--                                   <1 21>,
--                                   <1 22>,
--                                   <1 23>,
--                                   <1 24>,
--                                   <1 25>,
--                                   <1 26>,
--                                   /* dma channel 11-14 share one irq */
--                                   <1 27>,
--                                   <1 27>,
--                                   <1 27>,
--                                   <1 27>,
--                                   /* unused shared irq for all channels */
--                                   <1 28>;
--                      interrupt-names = "dma0",
--                                        "dma1",
--                                        "dma2",
--                                        "dma3",
--                                        "dma4",
--                                        "dma5",
--                                        "dma6",
--                                        "dma7",
--                                        "dma8",
--                                        "dma9",
--                                        "dma10",
--                                        "dma11",
--                                        "dma12",
--                                        "dma13",
--                                        "dma14",
--                                        "dma-shared-all";
--                      #dma-cells = <1>;
--                      brcm,dma-channel-mask = <0x7f35>;
--              };
--
--              pm: watchdog@7e100000 {
--                      compatible = "brcm,bcm2835-pm", "brcm,bcm2835-pm-wdt";
--                      #power-domain-cells = <1>;
--                      #reset-cells = <1>;
--                      reg = <0x7e100000 0x114>,
--                            <0x7e00a000 0x24>;
--                      clocks = <&clocks BCM2835_CLOCK_V3D>,
--                               <&clocks BCM2835_CLOCK_PERI_IMAGE>,
--                               <&clocks BCM2835_CLOCK_H264>,
--                               <&clocks BCM2835_CLOCK_ISP>;
--                      clock-names = "v3d", "peri_image", "h264", "isp";
--                      system-power-controller;
--              };
--
-               clocks: cprman@7e101000 {
-                       compatible = "brcm,bcm2835-cprman";
-                       #clock-cells = <1>;
-@@ -141,7 +84,7 @@
-                               <&dsi1 0>, <&dsi1 1>, <&dsi1 2>;
-               };
--              rng: rng@7e104000 {
-+              rng@7e104000 {
-                       compatible = "brcm,bcm2835-rng";
-                       reg = <0x7e104000 0x10>;
-                       interrupts = <2 29>;
-@@ -269,35 +212,6 @@
-                               brcm,function = <BCM2835_FSEL_ALT2>;
-                       };
--                      pwm0_gpio12: pwm0_gpio12 {
--                              brcm,pins = <12>;
--                              brcm,function = <BCM2835_FSEL_ALT0>;
--                      };
--                      pwm0_gpio18: pwm0_gpio18 {
--                              brcm,pins = <18>;
--                              brcm,function = <BCM2835_FSEL_ALT5>;
--                      };
--                      pwm0_gpio40: pwm0_gpio40 {
--                              brcm,pins = <40>;
--                              brcm,function = <BCM2835_FSEL_ALT0>;
--                      };
--                      pwm1_gpio13: pwm1_gpio13 {
--                              brcm,pins = <13>;
--                              brcm,function = <BCM2835_FSEL_ALT0>;
--                      };
--                      pwm1_gpio19: pwm1_gpio19 {
--                              brcm,pins = <19>;
--                              brcm,function = <BCM2835_FSEL_ALT5>;
--                      };
--                      pwm1_gpio41: pwm1_gpio41 {
--                              brcm,pins = <41>;
--                              brcm,function = <BCM2835_FSEL_ALT0>;
--                      };
--                      pwm1_gpio45: pwm1_gpio45 {
--                              brcm,pins = <45>;
--                              brcm,function = <BCM2835_FSEL_ALT0>;
--                      };
--
-                       sdhost_gpio48: sdhost_gpio48 {
-                               brcm,pins = <48 49 50 51 52 53>;
-                               brcm,function = <BCM2835_FSEL_ALT0>;
-@@ -379,7 +293,7 @@
-               };
-               uart0: serial@7e201000 {
--                      compatible = "brcm,bcm2835-pl011", "arm,pl011", "arm,primecell";
-+                      compatible = "arm,pl011", "arm,primecell";
-                       reg = <0x7e201000 0x200>;
-                       interrupts = <2 25>;
-                       clocks = <&clocks BCM2835_CLOCK_UART>,
-@@ -393,8 +307,6 @@
-                       reg = <0x7e202000 0x100>;
-                       interrupts = <2 24>;
-                       clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      dmas = <&dma (13|(1<<29))>;
--                      dma-names = "rx-tx";
-                       status = "disabled";
-               };
-@@ -402,10 +314,6 @@
-                       compatible = "brcm,bcm2835-i2s";
-                       reg = <0x7e203000 0x24>;
-                       clocks = <&clocks BCM2835_CLOCK_PCM>;
--
--                      dmas = <&dma 2>,
--                             <&dma 3>;
--                      dma-names = "tx", "rx";
-                       status = "disabled";
-               };
-@@ -414,8 +322,6 @@
-                       reg = <0x7e204000 0x200>;
-                       interrupts = <2 22>;
-                       clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      dmas = <&dma 6>, <&dma 7>;
--                      dma-names = "tx", "rx";
-                       #address-cells = <1>;
-                       #size-cells = <0>;
-                       status = "disabled";
-@@ -541,32 +447,6 @@
-                       status = "disabled";
-               };
--              csi0: csi@7e800000 {
--                      compatible = "brcm,bcm2835-unicam";
--                      reg = <0x7e800000 0x800>,
--                            <0x7e802000 0x4>;
--                      interrupts = <2 6>;
--                      clocks = <&clocks BCM2835_CLOCK_CAM0>;
--                      clock-names = "lp";
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      #clock-cells = <1>;
--                      status = "disabled";
--              };
--
--              csi1: csi@7e801000 {
--                      compatible = "brcm,bcm2835-unicam";
--                      reg = <0x7e801000 0x800>,
--                            <0x7e802004 0x4>;
--                      interrupts = <2 7>;
--                      clocks = <&clocks BCM2835_CLOCK_CAM1>;
--                      clock-names = "lp";
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      #clock-cells = <1>;
--                      status = "disabled";
--              };
--
-               i2c1: i2c@7e804000 {
-                       compatible = "brcm,bcm2835-i2c";
-                       reg = <0x7e804000 0x1000>;
-@@ -577,16 +457,6 @@
-                       status = "disabled";
-               };
--              i2c2: i2c@7e805000 {
--                      compatible = "brcm,bcm2835-i2c";
--                      reg = <0x7e805000 0x1000>;
--                      interrupts = <2 21>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
-               vec: vec@7e806000 {
-                       compatible = "brcm,bcm2835-vec";
-                       reg = <0x7e806000 0x1000>;
-@@ -595,20 +465,6 @@
-                       status = "disabled";
-               };
--              hdmi: hdmi@7e902000 {
--                      compatible = "brcm,bcm2835-hdmi";
--                      reg = <0x7e902000 0x600>,
--                            <0x7e808000 0x100>;
--                      interrupts = <2 8>, <2 9>;
--                      ddc = <&i2c2>;
--                      clocks = <&clocks BCM2835_PLLH_PIX>,
--                               <&clocks BCM2835_CLOCK_HSM>;
--                      clock-names = "pixel", "hdmi";
--                      dmas = <&dma 17>;
--                      dma-names = "audio-rx";
--                      status = "disabled";
--              };
--
-               usb: usb@7e980000 {
-                       compatible = "brcm,bcm2835-usb";
-                       reg = <0x7e980000 0x10000>;
-@@ -620,10 +476,6 @@
-                       phys = <&usbphy>;
-                       phy-names = "usb2-phy";
-               };
--
--              vc4: gpu {
--                      compatible = "brcm,bcm2835-vc4";
--              };
-       };
-       clocks {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0416-ARM-dts-bcm2711-fix-soc-s-node-dma-ranges.patch b/target/linux/bcm27xx/patches-5.4/950-0416-ARM-dts-bcm2711-fix-soc-s-node-dma-ranges.patch
new file mode 100644 (file)
index 0000000..ed0be9b
--- /dev/null
@@ -0,0 +1,40 @@
+From 44d7ee4730fbe3c00aba0457489acd0b6e2937c9 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Wed, 4 Dec 2019 13:56:33 +0100
+Subject: [PATCH] ARM: dts: bcm2711: fix soc's node dma-ranges
+
+Raspberry Pi's firmware has a feature to select how much memory to
+reserve for its GPU called 'gpu_mem'. The possible values go from 16MB
+to 944MB, with a default of 64MB. This memory resides in the topmost
+part of the lower 1GB memory area and grows bigger expanding towards the
+begging of memory.
+
+It turns out that with low 'gpu_mem' values (16MB and 32MB) the size of
+the memory available to the system in the lower 1GB area can outgrow the
+interconnect's dma-range as its size was selected based on the maximum
+system memory available given the default gpu_mem configuration. This
+makes that memory slice unavailable for DMA. And may cause nasty kernel
+warnings if CMA happens to include it.
+
+Change soc's dma-ranges to really reflect it's HW limitation, which is
+being able to only DMA to the lower 1GB area.
+
+Fixes: 7dbe8c62ceeb ("ARM: dts: Add minimal Raspberry Pi 4 support")
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Phil Elwell <phil@raspberrypi.org>
+Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
+---
+ arch/arm/boot/dts/bcm2711.dtsi | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -43,7 +43,7 @@
+                        <0x7c000000  0x0 0xfc000000  0x02000000>,
+                        <0x40000000  0x0 0xff800000  0x00800000>;
+               /* Emulate a contiguous 30-bit address range for DMA */
+-              dma-ranges = <0xc0000000  0x0 0x00000000  0x3c000000>;
++              dma-ranges = <0xc0000000  0x0 0x00000000  0x40000000>;
+               /*
+                * This node is the provider for the enable-method for
diff --git a/target/linux/bcm27xx/patches-5.4/950-0417-ARM-dts-Clean-out-downstream-BCM2711-2838-files.patch b/target/linux/bcm27xx/patches-5.4/950-0417-ARM-dts-Clean-out-downstream-BCM2711-2838-files.patch
deleted file mode 100644 (file)
index a66202a..0000000
+++ /dev/null
@@ -1,1846 +0,0 @@
-From 134e06abd2d002edfdac3561656ab9e8161b29a3 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Fri, 31 Jan 2020 16:53:13 +0000
-Subject: [PATCH] ARM: dts: Clean out downstream BCM2711/2838 files
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 157 -----
- arch/arm/boot/dts/bcm2711-rpi.dtsi    |   7 -
- arch/arm/boot/dts/bcm2711.dtsi        | 890 --------------------------
- arch/arm/boot/dts/bcm2838-rpi.dtsi    |  25 -
- arch/arm/boot/dts/bcm2838.dtsi        | 733 ---------------------
- 5 files changed, 1812 deletions(-)
- delete mode 100644 arch/arm/boot/dts/bcm2711-rpi-4-b.dts
- delete mode 100644 arch/arm/boot/dts/bcm2711-rpi.dtsi
- delete mode 100644 arch/arm/boot/dts/bcm2711.dtsi
- delete mode 100644 arch/arm/boot/dts/bcm2838-rpi.dtsi
- delete mode 100644 arch/arm/boot/dts/bcm2838.dtsi
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ /dev/null
-@@ -1,157 +0,0 @@
--// SPDX-License-Identifier: GPL-2.0
--/dts-v1/;
--#include "bcm2711.dtsi"
--#include "bcm2835-rpi.dtsi"
--#include "bcm283x-rpi-usb-peripheral.dtsi"
--
--/ {
--      compatible = "raspberrypi,4-model-b", "brcm,bcm2711";
--      model = "Raspberry Pi 4 Model B";
--
--      chosen {
--              /* 8250 auxiliary UART instead of pl011 */
--              stdout-path = "serial1:115200n8";
--      };
--
--      /* Will be filled by the bootloader */
--      memory@0 {
--              device_type = "memory";
--              reg = <0 0 0>;
--      };
--
--      aliases {
--              ethernet0 = &genet;
--      };
--
--      leds {
--              act {
--                      gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
--              };
--
--              pwr {
--                      label = "PWR";
--                      gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
--              };
--      };
--
--      wifi_pwrseq: wifi-pwrseq {
--              compatible = "mmc-pwrseq-simple";
--              reset-gpios = <&expgpio 1 GPIO_ACTIVE_LOW>;
--      };
--
--      sd_io_1v8_reg: sd_io_1v8_reg {
--              compatible = "regulator-gpio";
--              regulator-name = "vdd-sd-io";
--              regulator-min-microvolt = <1800000>;
--              regulator-max-microvolt = <3300000>;
--              regulator-boot-on;
--              regulator-always-on;
--              regulator-settling-time-us = <5000>;
--              gpios = <&expgpio 4 GPIO_ACTIVE_HIGH>;
--              states = <1800000 0x1
--                        3300000 0x0>;
--              status = "okay";
--      };
--};
--
--&firmware {
--      expgpio: gpio {
--              compatible = "raspberrypi,firmware-gpio";
--              gpio-controller;
--              #gpio-cells = <2>;
--              gpio-line-names = "BT_ON",
--                                "WL_ON",
--                                "PWR_LED_OFF",
--                                "GLOBAL_RESET",
--                                "VDD_SD_IO_SEL",
--                                "CAM_GPIO",
--                                "",
--                                "";
--              status = "okay";
--      };
--};
--
--&pwm1 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&pwm1_0_gpio40 &pwm1_1_gpio41>;
--      status = "okay";
--};
--
--/* SDHCI is used to control the SDIO for wireless */
--&sdhci {
--      #address-cells = <1>;
--      #size-cells = <0>;
--      pinctrl-names = "default";
--      pinctrl-0 = <&emmc_gpio34>;
--      bus-width = <4>;
--      non-removable;
--      mmc-pwrseq = <&wifi_pwrseq>;
--      status = "okay";
--
--      brcmf: wifi@1 {
--              reg = <1>;
--              compatible = "brcm,bcm4329-fmac";
--      };
--};
--
--/* EMMC2 is used to drive the SD card */
--&emmc2 {
--      vqmmc-supply = <&sd_io_1v8_reg>;
--      broken-cd;
--      status = "okay";
--};
--
--&genet {
--      phy-handle = <&phy1>;
--      phy-mode = "rgmii-rxid";
--      status = "okay";
--};
--
--&genet_mdio {
--      phy1: ethernet-phy@1 {
--              /* No PHY interrupt */
--              reg = <0x1>;
--      };
--};
--
--/* uart0 communicates with the BT module */
--&uart0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32>;
--      uart-has-rtscts;
--      status = "okay";
--
--      bluetooth {
--              compatible = "brcm,bcm43438-bt";
--              max-speed = <2000000>;
--              shutdown-gpios = <&expgpio 0 GPIO_ACTIVE_HIGH>;
--      };
--};
--
--/* uart1 is mapped to the pin header */
--&uart1 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&uart1_gpio14>;
--      status = "okay";
--};
--
--&vchiq {
--      interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--/ {
--      __overrides__ {
--              act_led_gpio = <&act_led>,"gpios:4";
--              act_led_activelow = <&act_led>,"gpios:8";
--              act_led_trigger = <&act_led>,"linux,default-trigger";
--
--              pwr_led_gpio = <&pwr_led>,"gpios:4";
--              pwr_led_activelow = <&pwr_led>,"gpios:8";
--              pwr_led_trigger = <&pwr_led>,"linux,default-trigger";
--
--              eth_led0 = <&phy1>,"led-modes:0";
--              eth_led1 = <&phy1>,"led-modes:4";
--
--              sd_poll_once = <&emmc2>, "non-removable?";
--      };
--};
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ /dev/null
-@@ -1,7 +0,0 @@
--#include "bcm2708-rpi.dtsi"
--#include "bcm2838-rpi.dtsi"
--
--&v3d {
--     /* Undo the overwriting by bcm270x.dtsi */
--     power-domains = <&pm BCM2835_POWER_DOMAIN_GRAFX_V3D>;
--};
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ /dev/null
-@@ -1,890 +0,0 @@
--// SPDX-License-Identifier: GPL-2.0
--#include "bcm283x.dtsi"
--
--#include <dt-bindings/interrupt-controller/arm-gic.h>
--#include <dt-bindings/soc/bcm2835-pm.h>
--
--/ {
--      compatible = "brcm,bcm2711";
--
--      #address-cells = <2>;
--      #size-cells = <1>;
--
--      interrupt-parent = <&gicv2>;
--
--      reserved-memory {
--              #address-cells = <2>;
--              #size-cells = <1>;
--              ranges;
--
--              /*
--               * arm64 reserves the CMA by default somewhere in ZONE_DMA32,
--               * that's not good enough for the BCM2711 as some devices can
--               * only address the lower 1G of memory (ZONE_DMA).
--               */
--              linux,cma {
--                      compatible = "shared-dma-pool";
--                      size = <0x2000000>; /* 32MB */
--                      alloc-ranges = <0x0 0x00000000 0x40000000>;
--                      reusable;
--                      linux,cma-default;
--              };
--      };
--
--
--      soc {
--              /*
--               * Defined ranges:
--               *   Common BCM283x peripherals
--               *   BCM2711-specific peripherals
--               *   ARM-local peripherals
--               */
--              ranges = <0x7e000000  0x0 0xfe000000  0x01800000>,
--                       <0x7c000000  0x0 0xfc000000  0x02000000>,
--                       <0x40000000  0x0 0xff800000  0x00800000>;
--              /* Emulate a contiguous 30-bit address range for DMA */
--              dma-ranges = <0xc0000000  0x0 0x00000000  0x40000000>;
--
--              /*
--               * This node is the provider for the enable-method for
--               * bringing up secondary cores.
--               */
--              local_intc: local_intc@40000000 {
--                      compatible = "brcm,bcm2836-l1-intc";
--                      reg = <0x40000000 0x100>;
--              };
--
--              gicv2: interrupt-controller@40041000 {
--                      interrupt-controller;
--                      #interrupt-cells = <3>;
--                      compatible = "arm,gic-400";
--                      reg =   <0x40041000 0x1000>,
--                              <0x40042000 0x2000>,
--                              <0x40044000 0x2000>,
--                              <0x40046000 0x2000>;
--                      interrupts = <GIC_PPI 9 (GIC_CPU_MASK_SIMPLE(4) |
--                                               IRQ_TYPE_LEVEL_HIGH)>;
--              };
--
--              dma: dma@7e007000 {
--                      compatible = "brcm,bcm2835-dma";
--                      reg = <0x7e007000 0xb00>;
--                      interrupts = <GIC_SPI 80 IRQ_TYPE_LEVEL_HIGH>,
--                                   <GIC_SPI 81 IRQ_TYPE_LEVEL_HIGH>,
--                                   <GIC_SPI 82 IRQ_TYPE_LEVEL_HIGH>,
--                                   <GIC_SPI 83 IRQ_TYPE_LEVEL_HIGH>,
--                                   <GIC_SPI 84 IRQ_TYPE_LEVEL_HIGH>,
--                                   <GIC_SPI 85 IRQ_TYPE_LEVEL_HIGH>,
--                                   <GIC_SPI 86 IRQ_TYPE_LEVEL_HIGH>,
--                                   /* DMA lite 7 - 10 */
--                                   <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>,
--                                   <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>,
--                                   <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>,
--                                   <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>;
--                      interrupt-names = "dma0",
--                                        "dma1",
--                                        "dma2",
--                                        "dma3",
--                                        "dma4",
--                                        "dma5",
--                                        "dma6",
--                                        "dma7",
--                                        "dma8",
--                                        "dma9",
--                                        "dma10";
--                      #dma-cells = <1>;
--                      brcm,dma-channel-mask = <0x07f5>;
--              };
--
--              pm: watchdog@7e100000 {
--                      compatible = "brcm,bcm2835-pm", "brcm,bcm2835-pm-wdt";
--                      #power-domain-cells = <1>;
--                      #reset-cells = <1>;
--                      reg = <0x7e100000 0x114>,
--                            <0x7e00a000 0x24>,
--                            <0x7ec11000 0x20>;
--                      clocks = <&clocks BCM2835_CLOCK_V3D>,
--                               <&clocks BCM2835_CLOCK_PERI_IMAGE>,
--                               <&clocks BCM2835_CLOCK_H264>,
--                               <&clocks BCM2835_CLOCK_ISP>;
--                      clock-names = "v3d", "peri_image", "h264", "isp";
--                      system-power-controller;
--              };
--
--              rng@7e104000 {
--                      interrupts = <GIC_SPI 125 IRQ_TYPE_LEVEL_HIGH>;
--
--                      /* RNG is incompatible with brcm,bcm2835-rng */
--                      status = "disabled";
--              };
--
--              uart2: serial@7e201400 {
--                      compatible = "arm,pl011", "arm,primecell";
--                      reg = <0x7e201400 0x200>;
--                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_UART>,
--                               <&clocks BCM2835_CLOCK_VPU>;
--                      clock-names = "uartclk", "apb_pclk";
--                      arm,primecell-periphid = <0x00241011>;
--                      status = "disabled";
--              };
--
--              uart3: serial@7e201600 {
--                      compatible = "arm,pl011", "arm,primecell";
--                      reg = <0x7e201600 0x200>;
--                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_UART>,
--                               <&clocks BCM2835_CLOCK_VPU>;
--                      clock-names = "uartclk", "apb_pclk";
--                      arm,primecell-periphid = <0x00241011>;
--                      status = "disabled";
--              };
--
--              uart4: serial@7e201800 {
--                      compatible = "arm,pl011", "arm,primecell";
--                      reg = <0x7e201800 0x200>;
--                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_UART>,
--                               <&clocks BCM2835_CLOCK_VPU>;
--                      clock-names = "uartclk", "apb_pclk";
--                      arm,primecell-periphid = <0x00241011>;
--                      status = "disabled";
--              };
--
--              uart5: serial@7e201a00 {
--                      compatible = "arm,pl011", "arm,primecell";
--                      reg = <0x7e201a00 0x200>;
--                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_UART>,
--                               <&clocks BCM2835_CLOCK_VPU>;
--                      clock-names = "uartclk", "apb_pclk";
--                      arm,primecell-periphid = <0x00241011>;
--                      status = "disabled";
--              };
--
--              spi3: spi@7e204600 {
--                      compatible = "brcm,bcm2835-spi";
--                      reg = <0x7e204600 0x0200>;
--                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              spi4: spi@7e204800 {
--                      compatible = "brcm,bcm2835-spi";
--                      reg = <0x7e204800 0x0200>;
--                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              spi5: spi@7e204a00 {
--                      compatible = "brcm,bcm2835-spi";
--                      reg = <0x7e204a00 0x0200>;
--                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              spi6: spi@7e204c00 {
--                      compatible = "brcm,bcm2835-spi";
--                      reg = <0x7e204c00 0x0200>;
--                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              i2c3: i2c@7e205600 {
--                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
--                      reg = <0x7e205600 0x200>;
--                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              i2c4: i2c@7e205800 {
--                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
--                      reg = <0x7e205800 0x200>;
--                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              i2c5: i2c@7e205a00 {
--                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
--                      reg = <0x7e205a00 0x200>;
--                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              i2c6: i2c@7e205c00 {
--                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
--                      reg = <0x7e205c00 0x200>;
--                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              pwm1: pwm@7e20c800 {
--                      compatible = "brcm,bcm2835-pwm";
--                      reg = <0x7e20c800 0x28>;
--                      clocks = <&clocks BCM2835_CLOCK_PWM>;
--                      assigned-clocks = <&clocks BCM2835_CLOCK_PWM>;
--                      assigned-clock-rates = <10000000>;
--                      #pwm-cells = <2>;
--                      status = "disabled";
--              };
--
--              emmc2: emmc2@7e340000 {
--                      compatible = "brcm,bcm2711-emmc2";
--                      reg = <0x7e340000 0x100>;
--                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
--                      status = "disabled";
--              };
--
--              hvs@7e400000 {
--                      interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
--              };
--      };
--
--      arm-pmu {
--              compatible = "arm,cortex-a72-pmu", "arm,armv8-pmuv3";
--              interrupts = <GIC_SPI 16 IRQ_TYPE_LEVEL_HIGH>,
--                      <GIC_SPI 17 IRQ_TYPE_LEVEL_HIGH>,
--                      <GIC_SPI 18 IRQ_TYPE_LEVEL_HIGH>,
--                      <GIC_SPI 19 IRQ_TYPE_LEVEL_HIGH>;
--              interrupt-affinity = <&cpu0>, <&cpu1>, <&cpu2>, <&cpu3>;
--      };
--
--      timer {
--              compatible = "arm,armv8-timer";
--              interrupts = <GIC_PPI 13 (GIC_CPU_MASK_SIMPLE(4) |
--                                        IRQ_TYPE_LEVEL_LOW)>,
--                           <GIC_PPI 14 (GIC_CPU_MASK_SIMPLE(4) |
--                                        IRQ_TYPE_LEVEL_LOW)>,
--                           <GIC_PPI 11 (GIC_CPU_MASK_SIMPLE(4) |
--                                        IRQ_TYPE_LEVEL_LOW)>,
--                           <GIC_PPI 10 (GIC_CPU_MASK_SIMPLE(4) |
--                                        IRQ_TYPE_LEVEL_LOW)>;
--              /* This only applies to the ARMv7 stub */
--              arm,cpu-registers-not-fw-configured;
--      };
--
--      cpus: cpus {
--              #address-cells = <1>;
--              #size-cells = <0>;
--              enable-method = "brcm,bcm2836-smp"; // for ARM 32-bit
--
--              cpu0: cpu@0 {
--                      device_type = "cpu";
--                      compatible = "arm,cortex-a72";
--                      reg = <0>;
--                      enable-method = "spin-table";
--                      cpu-release-addr = <0x0 0x000000d8>;
--              };
--
--              cpu1: cpu@1 {
--                      device_type = "cpu";
--                      compatible = "arm,cortex-a72";
--                      reg = <1>;
--                      enable-method = "spin-table";
--                      cpu-release-addr = <0x0 0x000000e0>;
--              };
--
--              cpu2: cpu@2 {
--                      device_type = "cpu";
--                      compatible = "arm,cortex-a72";
--                      reg = <2>;
--                      enable-method = "spin-table";
--                      cpu-release-addr = <0x0 0x000000e8>;
--              };
--
--              cpu3: cpu@3 {
--                      device_type = "cpu";
--                      compatible = "arm,cortex-a72";
--                      reg = <3>;
--                      enable-method = "spin-table";
--                      cpu-release-addr = <0x0 0x000000f0>;
--              };
--      };
--
--      scb {
--              compatible = "simple-bus";
--              #address-cells = <2>;
--              #size-cells = <1>;
--
--              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>;
--
--              genet: ethernet@7d580000 {
--                      compatible = "brcm,bcm2711-genet-v5";
--                      reg = <0x0 0x7d580000 0x10000>;
--                      #address-cells = <0x1>;
--                      #size-cells = <0x1>;
--                      interrupts = <GIC_SPI 157 IRQ_TYPE_LEVEL_HIGH>,
--                                   <GIC_SPI 158 IRQ_TYPE_LEVEL_HIGH>;
--                      status = "disabled";
--
--                      genet_mdio: mdio@e14 {
--                              compatible = "brcm,genet-mdio-v5";
--                              reg = <0xe14 0x8>;
--                              reg-names = "mdio";
--                              #address-cells = <0x0>;
--                              #size-cells = <0x1>;
--                      };
--              };
--      };
--};
--
--&clk_osc {
--      clock-frequency = <54000000>;
--};
--
--&clocks {
--      compatible = "brcm,bcm2711-cprman";
--};
--
--&cpu_thermal {
--      coefficients = <(-487) 410040>;
--};
--
--&dsi0 {
--      interrupts = <GIC_SPI 100 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&dsi1 {
--      interrupts = <GIC_SPI 108 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&gpio {
--      compatible = "brcm,bcm2711-gpio";
--      interrupts = <GIC_SPI 113 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 115 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 116 IRQ_TYPE_LEVEL_HIGH>;
--
--      gpclk0_gpio49: gpclk0_gpio49 {
--              pin-gpclk {
--                      pins = "gpio49";
--                      function = "alt1";
--                      bias-disable;
--              };
--      };
--      gpclk1_gpio50: gpclk1_gpio50 {
--              pin-gpclk {
--                      pins = "gpio50";
--                      function = "alt1";
--                      bias-disable;
--              };
--      };
--      gpclk2_gpio51: gpclk2_gpio51 {
--              pin-gpclk {
--                      pins = "gpio51";
--                      function = "alt1";
--                      bias-disable;
--              };
--      };
--
--      i2c0_gpio46: i2c0_gpio46 {
--              pin-sda {
--                      function = "alt0";
--                      pins = "gpio46";
--                      bias-pull-up;
--              };
--              pin-scl {
--                      function = "alt0";
--                      pins = "gpio47";
--                      bias-disable;
--              };
--      };
--      i2c1_gpio46: i2c1_gpio46 {
--              pin-sda {
--                      function = "alt1";
--                      pins = "gpio46";
--                      bias-pull-up;
--              };
--              pin-scl {
--                      function = "alt1";
--                      pins = "gpio47";
--                      bias-disable;
--              };
--      };
--      i2c3_gpio2: i2c3_gpio2 {
--              pin-sda {
--                      function = "alt5";
--                      pins = "gpio2";
--                      bias-pull-up;
--              };
--              pin-scl {
--                      function = "alt5";
--                      pins = "gpio3";
--                      bias-disable;
--              };
--      };
--      i2c3_gpio4: i2c3_gpio4 {
--              pin-sda {
--                      function = "alt5";
--                      pins = "gpio4";
--                      bias-pull-up;
--              };
--              pin-scl {
--                      function = "alt5";
--                      pins = "gpio5";
--                      bias-disable;
--              };
--      };
--      i2c4_gpio6: i2c4_gpio6 {
--              pin-sda {
--                      function = "alt5";
--                      pins = "gpio6";
--                      bias-pull-up;
--              };
--              pin-scl {
--                      function = "alt5";
--                      pins = "gpio7";
--                      bias-disable;
--              };
--      };
--      i2c4_gpio8: i2c4_gpio8 {
--              pin-sda {
--                      function = "alt5";
--                      pins = "gpio8";
--                      bias-pull-up;
--              };
--              pin-scl {
--                      function = "alt5";
--                      pins = "gpio9";
--                      bias-disable;
--              };
--      };
--      i2c5_gpio10: i2c5_gpio10 {
--              pin-sda {
--                      function = "alt5";
--                      pins = "gpio10";
--                      bias-pull-up;
--              };
--              pin-scl {
--                      function = "alt5";
--                      pins = "gpio11";
--                      bias-disable;
--              };
--      };
--      i2c5_gpio12: i2c5_gpio12 {
--              pin-sda {
--                      function = "alt5";
--                      pins = "gpio12";
--                      bias-pull-up;
--              };
--              pin-scl {
--                      function = "alt5";
--                      pins = "gpio13";
--                      bias-disable;
--              };
--      };
--      i2c6_gpio0: i2c6_gpio0 {
--              pin-sda {
--                      function = "alt5";
--                      pins = "gpio0";
--                      bias-pull-up;
--              };
--              pin-scl {
--                      function = "alt5";
--                      pins = "gpio1";
--                      bias-disable;
--              };
--      };
--      i2c6_gpio22: i2c6_gpio22 {
--              pin-sda {
--                      function = "alt5";
--                      pins = "gpio22";
--                      bias-pull-up;
--              };
--              pin-scl {
--                      function = "alt5";
--                      pins = "gpio23";
--                      bias-disable;
--              };
--      };
--      i2c_slave_gpio8: i2c_slave_gpio8 {
--              pins-i2c-slave {
--                      pins = "gpio8",
--                             "gpio9",
--                             "gpio10",
--                             "gpio11";
--                      function = "alt3";
--              };
--      };
--
--      jtag_gpio48: jtag_gpio48 {
--              pins-jtag {
--                      pins = "gpio48",
--                             "gpio49",
--                             "gpio50",
--                             "gpio51",
--                             "gpio52",
--                             "gpio53";
--                      function = "alt4";
--              };
--      };
--
--      mii_gpio28: mii_gpio28 {
--              pins-mii {
--                      pins = "gpio28",
--                             "gpio29",
--                             "gpio30",
--                             "gpio31";
--                      function = "alt4";
--              };
--      };
--      mii_gpio36: mii_gpio36 {
--              pins-mii {
--                      pins = "gpio36",
--                             "gpio37",
--                             "gpio38",
--                             "gpio39";
--                      function = "alt5";
--              };
--      };
--
--      pcm_gpio50: pcm_gpio50 {
--              pins-pcm {
--                      pins = "gpio50",
--                             "gpio51",
--                             "gpio52",
--                             "gpio53";
--                      function = "alt2";
--              };
--      };
--
--      pwm0_0_gpio12: pwm0_0_gpio12 {
--              pin-pwm {
--                      pins = "gpio12";
--                      function = "alt0";
--                      bias-disable;
--              };
--      };
--      pwm0_0_gpio18: pwm0_0_gpio18 {
--              pin-pwm {
--                      pins = "gpio18";
--                      function = "alt5";
--                      bias-disable;
--              };
--      };
--      pwm1_0_gpio40: pwm1_0_gpio40 {
--              pin-pwm {
--                      pins = "gpio40";
--                      function = "alt0";
--                      bias-disable;
--              };
--      };
--      pwm0_1_gpio13: pwm0_1_gpio13 {
--              pin-pwm {
--                      pins = "gpio13";
--                      function = "alt0";
--                      bias-disable;
--              };
--      };
--      pwm0_1_gpio19: pwm0_1_gpio19 {
--              pin-pwm {
--                      pins = "gpio19";
--                      function = "alt5";
--                      bias-disable;
--              };
--      };
--      pwm1_1_gpio41: pwm1_1_gpio41 {
--              pin-pwm {
--                      pins = "gpio41";
--                      function = "alt0";
--                      bias-disable;
--              };
--      };
--      pwm0_1_gpio45: pwm0_1_gpio45 {
--              pin-pwm {
--                      pins = "gpio45";
--                      function = "alt0";
--                      bias-disable;
--              };
--      };
--      pwm0_0_gpio52: pwm0_0_gpio52 {
--              pin-pwm {
--                      pins = "gpio52";
--                      function = "alt1";
--                      bias-disable;
--              };
--      };
--      pwm0_1_gpio53: pwm0_1_gpio53 {
--              pin-pwm {
--                      pins = "gpio53";
--                      function = "alt1";
--                      bias-disable;
--              };
--      };
--
--      rgmii_gpio35: rgmii_gpio35 {
--              pin-start-stop {
--                      pins = "gpio35";
--                      function = "alt4";
--              };
--              pin-rx-ok {
--                      pins = "gpio36";
--                      function = "alt4";
--              };
--      };
--      rgmii_irq_gpio34: rgmii_irq_gpio34 {
--              pin-irq {
--                      pins = "gpio34";
--                      function = "alt5";
--              };
--      };
--      rgmii_irq_gpio39: rgmii_irq_gpio39 {
--              pin-irq {
--                      pins = "gpio39";
--                      function = "alt4";
--              };
--      };
--      rgmii_mdio_gpio28: rgmii_mdio_gpio28 {
--              pins-mdio {
--                      pins = "gpio28",
--                             "gpio29";
--                      function = "alt5";
--              };
--      };
--      rgmii_mdio_gpio37: rgmii_mdio_gpio37 {
--              pins-mdio {
--                      pins = "gpio37",
--                             "gpio38";
--                      function = "alt4";
--              };
--      };
--
--      spi0_gpio46: spi0_gpio46 {
--              pins-spi {
--                      pins = "gpio46",
--                             "gpio47",
--                             "gpio48",
--                             "gpio49";
--                      function = "alt2";
--              };
--      };
--      spi2_gpio46: spi2_gpio46 {
--              pins-spi {
--                      pins = "gpio46",
--                             "gpio47",
--                             "gpio48",
--                             "gpio49",
--                             "gpio50";
--                      function = "alt5";
--              };
--      };
--      spi3_gpio0: spi3_gpio0 {
--              pins-spi {
--                      pins = "gpio0",
--                             "gpio1",
--                             "gpio2",
--                             "gpio3";
--                      function = "alt3";
--              };
--      };
--      spi4_gpio4: spi4_gpio4 {
--              pins-spi {
--                      pins = "gpio4",
--                             "gpio5",
--                             "gpio6",
--                             "gpio7";
--                      function = "alt3";
--              };
--      };
--      spi5_gpio12: spi5_gpio12 {
--              pins-spi {
--                      pins = "gpio12",
--                             "gpio13",
--                             "gpio14",
--                             "gpio15";
--                      function = "alt3";
--              };
--      };
--      spi6_gpio18: spi6_gpio18 {
--              pins-spi {
--                      pins = "gpio18",
--                             "gpio19",
--                             "gpio20",
--                             "gpio21";
--                      function = "alt3";
--              };
--      };
--
--      uart2_gpio0: uart2_gpio0 {
--              pin-tx {
--                      pins = "gpio0";
--                      function = "alt4";
--                      bias-disable;
--              };
--              pin-rx {
--                      pins = "gpio1";
--                      function = "alt4";
--                      bias-pull-up;
--              };
--      };
--      uart2_ctsrts_gpio2: uart2_ctsrts_gpio2 {
--              pin-cts {
--                      pins = "gpio2";
--                      function = "alt4";
--                      bias-pull-up;
--              };
--              pin-rts {
--                      pins = "gpio3";
--                      function = "alt4";
--                      bias-disable;
--              };
--      };
--      uart3_gpio4: uart3_gpio4 {
--              pin-tx {
--                      pins = "gpio4";
--                      function = "alt4";
--                      bias-disable;
--              };
--              pin-rx {
--                      pins = "gpio5";
--                      function = "alt4";
--                      bias-pull-up;
--              };
--      };
--      uart3_ctsrts_gpio6: uart3_ctsrts_gpio6 {
--              pin-cts {
--                      pins = "gpio6";
--                      function = "alt4";
--                      bias-pull-up;
--              };
--              pin-rts {
--                      pins = "gpio7";
--                      function = "alt4";
--                      bias-disable;
--              };
--      };
--      uart4_gpio8: uart4_gpio8 {
--              pin-tx {
--                      pins = "gpio8";
--                      function = "alt4";
--                      bias-disable;
--              };
--              pin-rx {
--                      pins = "gpio9";
--                      function = "alt4";
--                      bias-pull-up;
--              };
--      };
--      uart4_ctsrts_gpio10: uart4_ctsrts_gpio10 {
--              pin-cts {
--                      pins = "gpio10";
--                      function = "alt4";
--                      bias-pull-up;
--              };
--              pin-rts {
--                      pins = "gpio11";
--                      function = "alt4";
--                      bias-disable;
--              };
--      };
--      uart5_gpio12: uart5_gpio12 {
--              pin-tx {
--                      pins = "gpio12";
--                      function = "alt4";
--                      bias-disable;
--              };
--              pin-rx {
--                      pins = "gpio13";
--                      function = "alt4";
--                      bias-pull-up;
--              };
--      };
--      uart5_ctsrts_gpio14: uart5_ctsrts_gpio14 {
--              pin-cts {
--                      pins = "gpio14";
--                      function = "alt4";
--                      bias-pull-up;
--              };
--              pin-rts {
--                      pins = "gpio15";
--                      function = "alt4";
--                      bias-disable;
--              };
--      };
--};
--
--&i2c0 {
--      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
--      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&i2c1 {
--      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
--      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&mailbox {
--      interrupts = <GIC_SPI 33 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&sdhci {
--      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&sdhost {
--      interrupts = <GIC_SPI 120 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&spi {
--      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&spi1 {
--      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&spi2 {
--      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&system_timer {
--      interrupts = <GIC_SPI 64 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 65 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 66 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 67 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&txp {
--      interrupts = <GIC_SPI 75 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&uart0 {
--      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&uart1 {
--      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&usb {
--      interrupts = <GIC_SPI 73 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&vec {
--      interrupts = <GIC_SPI 123 IRQ_TYPE_LEVEL_HIGH>;
--};
---- a/arch/arm/boot/dts/bcm2838-rpi.dtsi
-+++ /dev/null
-@@ -1,25 +0,0 @@
--// SPDX-License-Identifier: GPL-2.0
--
--/ {
--      soc {
--              /delete-node/ mailbox@7e00b840;
--      };
--};
--
--&scb {
--      vchiq: mailbox@7e00b840 {
--              compatible = "brcm,bcm2838-vchiq";
--              reg = <0 0x7e00b840 0x3c>;
--              interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
--      };
--};
--
--&dma {
--      /* The VPU firmware uses DMA channel 11 for VCHIQ */
--      brcm,dma-channel-mask = <0x1f5>;
--};
--
--&dma40 {
--      /* The VPU firmware DMA channel 11 for VCHIQ */
--      brcm,dma-channel-mask = <0x7000>;
--};
---- a/arch/arm/boot/dts/bcm2838.dtsi
-+++ /dev/null
-@@ -1,733 +0,0 @@
--// SPDX-License-Identifier: GPL-2.0
--#include "bcm283x.dtsi"
--
--#include <dt-bindings/interrupt-controller/arm-gic.h>
--#include <dt-bindings/soc/bcm2835-pm.h>
--
--/ {
--      compatible = "brcm,bcm2838";
--
--      #address-cells = <2>;
--      #size-cells = <1>;
--
--      interrupt-parent = <&gicv2>;
--
--      soc {
--              ranges = <0x7e000000  0x0 0xfe000000  0x01800000>,
--                       <0x7c000000  0x0 0xfc000000  0x02000000>,
--                       <0x40000000  0x0 0xff800000  0x00800000>;
--              /* Emulate a contiguous 30-bit address range for DMA */
--              dma-ranges = <0xc0000000  0x0 0x00000000  0x3c000000>;
--
--              /delete-node/ interrupt-controller@7e00f300;
--              /delete-node/ v3d@7ec00000;
--
--              local_intc: local_intc@40000000 {
--                      compatible = "brcm,bcm2836-l1-intc";
--                      reg = <0x40000000 0x100>;
--              };
--
--              gicv2: interrupt-controller@40041000 {
--                      interrupt-controller;
--                      #interrupt-cells = <3>;
--                      compatible = "arm,gic-400";
--                      reg =   <0x40041000 0x1000>,
--                              <0x40042000 0x2000>,
--                              <0x40044000 0x2000>,
--                              <0x40046000 0x2000>;
--                      interrupts = <GIC_PPI 9 (GIC_CPU_MASK_SIMPLE(4) |
--                                               IRQ_TYPE_LEVEL_HIGH)>;
--              };
--
--              thermal: thermal@7d5d2200 {
--                      compatible = "brcm,avs-tmon-bcm2838";
--                      reg = <0x7d5d2200 0x2c>;
--                      interrupts = <GIC_SPI 137 IRQ_TYPE_LEVEL_HIGH>;
--                      interrupt-names = "tmon";
--                      clocks = <&clocks BCM2835_CLOCK_TSENS>;
--                      #thermal-sensor-cells = <0>;
--                      status = "okay";
--              };
--
--              pm: watchdog@7e100000 {
--                      reg = <0x7e100000 0x114>,
--                            <0x7e00a000 0x24>,
--                            <0x7ec11000 0x20>;
--              };
--
--              rng@7e104000 {
--                      interrupts = <GIC_SPI 125 IRQ_TYPE_LEVEL_HIGH>;
--              };
--
--              uart2: serial@7e201400 {
--                      compatible = "brcm,bcm2835-pl011", "arm,pl011", "arm,primecell";
--                      reg = <0x7e201400 0x200>;
--                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_UART>,
--                               <&clocks BCM2835_CLOCK_VPU>;
--                      clock-names = "uartclk", "apb_pclk";
--                      arm,primecell-periphid = <0x00241011>;
--                      status = "disabled";
--              };
--
--              uart3: serial@7e201600 {
--                      compatible = "brcm,bcm2835-pl011", "arm,pl011", "arm,primecell";
--                      reg = <0x7e201600 0x200>;
--                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_UART>,
--                               <&clocks BCM2835_CLOCK_VPU>;
--                      clock-names = "uartclk", "apb_pclk";
--                      arm,primecell-periphid = <0x00241011>;
--                      status = "disabled";
--              };
--
--              uart4: serial@7e201800 {
--                      compatible = "brcm,bcm2835-pl011", "arm,pl011", "arm,primecell";
--                      reg = <0x7e201800 0x200>;
--                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_UART>,
--                               <&clocks BCM2835_CLOCK_VPU>;
--                      clock-names = "uartclk", "apb_pclk";
--                      arm,primecell-periphid = <0x00241011>;
--                      status = "disabled";
--              };
--
--              uart5: serial@7e201a00 {
--                      compatible = "brcm,bcm2835-pl011", "arm,pl011", "arm,primecell";
--                      reg = <0x7e201a00 0x200>;
--                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_UART>,
--                               <&clocks BCM2835_CLOCK_VPU>;
--                      clock-names = "uartclk", "apb_pclk";
--                      arm,primecell-periphid = <0x00241011>;
--                      status = "disabled";
--              };
--
--              spi@7e204000 {
--                      reg = <0x7e204000 0x0200>;
--                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
--              };
--
--              spi3: spi@7e204600 {
--                      compatible = "brcm,bcm2835-spi";
--                      reg = <0x7e204600 0x0200>;
--                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              spi4: spi@7e204800 {
--                      compatible = "brcm,bcm2835-spi";
--                      reg = <0x7e204800 0x0200>;
--                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              spi5: spi@7e204a00 {
--                      compatible = "brcm,bcm2835-spi";
--                      reg = <0x7e204a00 0x0200>;
--                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              spi6: spi@7e204c00 {
--                      compatible = "brcm,bcm2835-spi";
--                      reg = <0x7e204c00 0x0200>;
--                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              i2c3: i2c@7e205600 {
--                      compatible = "brcm,bcm2835-i2c";
--                      reg = <0x7e205600 0x200>;
--                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              i2c4: i2c@7e205800 {
--                      compatible = "brcm,bcm2835-i2c";
--                      reg = <0x7e205800 0x200>;
--                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              i2c5: i2c@7e205a00 {
--                      compatible = "brcm,bcm2835-i2c";
--                      reg = <0x7e205a00 0x200>;
--                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              i2c6: i2c@7e205c00 {
--                      compatible = "brcm,bcm2835-i2c";
--                      reg = <0x7e205c00 0x200>;
--                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>;
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
--              pwm1: pwm@7e20c800 {
--                      compatible = "brcm,bcm2835-pwm";
--                      reg = <0x7e20c800 0x28>;
--                      clocks = <&clocks BCM2835_CLOCK_PWM>;
--                      assigned-clocks = <&clocks BCM2835_CLOCK_PWM>;
--                      assigned-clock-rates = <10000000>;
--                      #pwm-cells = <2>;
--                      status = "disabled";
--              };
--
--              emmc2: emmc2@7e340000 {
--                      compatible = "brcm,bcm2711-emmc2";
--                      status = "okay";
--                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
--                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
--                      reg = <0x7e340000 0x100>;
--              };
--
--              hvs@7e400000 {
--                      interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
--              };
--      };
--
--      arm-pmu {
--              compatible = "arm,cortex-a72-pmu";
--              interrupts = <GIC_SPI 16 IRQ_TYPE_LEVEL_HIGH>,
--                      <GIC_SPI 17 IRQ_TYPE_LEVEL_HIGH>,
--                      <GIC_SPI 18 IRQ_TYPE_LEVEL_HIGH>,
--                      <GIC_SPI 19 IRQ_TYPE_LEVEL_HIGH>;
--              interrupt-affinity = <&cpu0>, <&cpu1>, <&cpu2>, <&cpu3>;
--      };
--
--      timer {
--              compatible = "arm,armv7-timer";
--              interrupts = <GIC_PPI 13 (GIC_CPU_MASK_SIMPLE(4) |
--                                        IRQ_TYPE_LEVEL_LOW)>,
--                           <GIC_PPI 14 (GIC_CPU_MASK_SIMPLE(4) |
--                                        IRQ_TYPE_LEVEL_LOW)>,
--                           <GIC_PPI 11 (GIC_CPU_MASK_SIMPLE(4) |
--                                        IRQ_TYPE_LEVEL_LOW)>,
--                           <GIC_PPI 10 (GIC_CPU_MASK_SIMPLE(4) |
--                                        IRQ_TYPE_LEVEL_LOW)>;
--              arm,cpu-registers-not-fw-configured;
--      };
--
--      cpus: cpus {
--              #address-cells = <1>;
--              #size-cells = <0>;
--              enable-method = "brcm,bcm2836-smp"; // for ARM 32-bit
--
--              cpu0: cpu@0 {
--                      device_type = "cpu";
--                      compatible = "arm,cortex-a72";
--                      reg = <0>;
--                      enable-method = "spin-table";
--                      cpu-release-addr = <0x0 0x000000d8>;
--              };
--
--              cpu1: cpu@1 {
--                      device_type = "cpu";
--                      compatible = "arm,cortex-a72";
--                      reg = <1>;
--                      enable-method = "spin-table";
--                      cpu-release-addr = <0x0 0x000000e0>;
--              };
--
--              cpu2: cpu@2 {
--                      device_type = "cpu";
--                      compatible = "arm,cortex-a72";
--                      reg = <2>;
--                      enable-method = "spin-table";
--                      cpu-release-addr = <0x0 0x000000e8>;
--              };
--
--              cpu3: cpu@3 {
--                      device_type = "cpu";
--                      compatible = "arm,cortex-a72";
--                      reg = <3>;
--                      enable-method = "spin-table";
--                      cpu-release-addr = <0x0 0x000000f0>;
--              };
--      };
--
--      v3dbus {
--              compatible = "simple-bus";
--              #address-cells = <1>;
--              #size-cells = <2>;
--              ranges = <0x7c500000  0x0 0xfc500000  0x0 0x03300000>,
--                       <0x40000000  0x0 0xff800000  0x0 0x00800000>;
--              dma-ranges = <0x00000000  0x0 0x00000000  0x4 0x00000000>;
--
--              v3d: v3d@7ec04000 {
--                      compatible = "brcm,2711-v3d";
--                      reg =
--                          <0x7ec00000 0x0 0x4000>,
--                          <0x7ec04000 0x0 0x4000>;
--                      reg-names = "hub", "core0";
--
--                      power-domains = <&pm BCM2835_POWER_DOMAIN_GRAFX_V3D>;
--                      resets = <&pm BCM2835_RESET_V3D>;
--                      clocks = <&clocks BCM2835_CLOCK_V3D>;
--                      interrupts = <GIC_SPI 74 IRQ_TYPE_LEVEL_HIGH>;
--                      status = "okay";
--              };
--      };
--
--      scb: scb {
--              compatible = "simple-bus";
--              #address-cells = <2>;
--              #size-cells = <1>;
--
--              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>,
--                       <0x0 0x40000000  0x0 0xff800000  0x00800000>,
--                       <0x6 0x00000000  0x6 0x00000000  0x40000000>,
--                       <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
--              dma-ranges = <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
--
--              pcie_0: pcie@7d500000 {
--                      reg = <0x0 0x7d500000 0x9310>,
--                            <0x0 0x7e00f300 0x20>;
--                      msi-controller;
--                      msi-parent = <&pcie_0>;
--                      #address-cells = <3>;
--                      #interrupt-cells = <1>;
--                      #size-cells = <2>;
--                      bus-range = <0x0 0x01>;
--                      compatible = "brcm,bcm2711b0-pcie", // Safe value
--                                   "brcm,bcm2711-pcie",
--                                   "brcm,pci-plat-dev";
--                      max-link-speed = <2>;
--                      tot-num-pcie = <1>;
--                      linux,pci-domain = <0>;
--                      interrupts = <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>,
--                                   <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>;
--                      interrupt-names = "pcie", "msi";
--                      interrupt-map-mask = <0x0 0x0 0x0 0x7>;
--                      interrupt-map = <0 0 0 1 &gicv2 GIC_SPI 143
--                                              IRQ_TYPE_LEVEL_HIGH
--                                       0 0 0 2 &gicv2 GIC_SPI 144
--                                              IRQ_TYPE_LEVEL_HIGH
--                                       0 0 0 3 &gicv2 GIC_SPI 145
--                                              IRQ_TYPE_LEVEL_HIGH
--                                       0 0 0 4 &gicv2 GIC_SPI 146
--                                              IRQ_TYPE_LEVEL_HIGH>;
--
--                      /* Map outbound accesses from scb:0x6_00000000-03ffffff
--                       * to pci:0x0_f8000000-fbffffff
--                       */
--                      ranges = <0x02000000 0x0 0xf8000000  0x6 0x00000000
--                                0x0 0x04000000>;
--                      /* Map inbound accesses from pci:0x0_00000000..ffffffff
--                       * to scb:0x0_00000000-ffffffff
--                       */
--                      dma-ranges = <0x02000000 0x0 0x00000000  0x0 0x00000000
--                                    0x1 0x00000000>;
--                      status = "okay";
--              };
--
--              genet: ethernet@7d580000 {
--                      compatible = "brcm,bcm2711-genet-v5", "brcm,genet-v5";
--                      reg = <0x0 0x7d580000 0x10000>;
--                      #address-cells = <0x1>;
--                      #size-cells = <0x1>;
--                      interrupts = <GIC_SPI 157 IRQ_TYPE_LEVEL_HIGH>,
--                                   <GIC_SPI 158 IRQ_TYPE_LEVEL_HIGH>;
--                      status = "disabled";
--
--                      genet_mdio: mdio@e14 {
--                              #address-cells = <0x0>;
--                              #size-cells = <0x1>;
--                              compatible = "brcm,genet-mdio-v5";
--                              reg = <0xe14 0x8>;
--                              reg-names = "mdio";
--                      };
--              };
--
--              dma40: dma@7e007b00 {
--                      compatible = "brcm,bcm2838-dma";
--                      reg = <0x0 0x7e007b00 0x400>;
--                      interrupts =
--                              <GIC_SPI 89 IRQ_TYPE_LEVEL_HIGH>, /* dma4 11 */
--                              <GIC_SPI 90 IRQ_TYPE_LEVEL_HIGH>, /* dma4 12 */
--                              <GIC_SPI 91 IRQ_TYPE_LEVEL_HIGH>, /* dma4 13 */
--                              <GIC_SPI 92 IRQ_TYPE_LEVEL_HIGH>; /* dma4 14 */
--                      interrupt-names = "dma11",
--                              "dma12",
--                              "dma13",
--                              "dma14";
--                      #dma-cells = <1>;
--                      brcm,dma-channel-mask = <0x7800>;
--              };
--              /* DMA4 - 40 bit DMA engines */
--
--              xhci: xhci@7e9c0000 {
--                      compatible = "generic-xhci";
--                      status = "disabled";
--                      reg = <0x0 0x7e9c0000 0x100000>;
--                      interrupts = <GIC_SPI 176 IRQ_TYPE_LEVEL_HIGH>;
--              };
--
--              hevc-decoder@7eb00000 {
--                      compatible = "raspberrypi,rpivid-hevc-decoder";
--                      reg = <0x0 0x7eb00000 0x10000>;
--                      status = "okay";
--              };
--
--              rpivid-local-intc@7eb10000 {
--                      compatible = "raspberrypi,rpivid-local-intc";
--                      reg = <0x0 0x7eb10000 0x1000>;
--                      status = "okay";
--                      interrupts = <GIC_SPI 98 IRQ_TYPE_LEVEL_HIGH>;
--              };
--
--              h264-decoder@7eb20000 {
--                      compatible = "raspberrypi,rpivid-h264-decoder";
--                      reg = <0x0 0x7eb20000 0x10000>;
--                      status = "okay";
--              };
--
--              vp9-decoder@7eb30000 {
--                      compatible = "raspberrypi,rpivid-vp9-decoder";
--                      reg = <0x0 0x7eb30000 0x10000>;
--                      status = "okay";
--              };
--      };
--};
--
--&clk_osc {
--      clock-frequency = <54000000>;
--};
--
--&clocks {
--      compatible = "brcm,bcm2711-cprman";
--};
--
--&cpu_thermal {
--      coefficients = <(-487)  410040>;
--};
--
--&dsi0 {
--      interrupts = <GIC_SPI 100 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&dsi1 {
--      interrupts = <GIC_SPI 108 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&gpio {
--      compatible = "brcm,bcm2711-gpio", "brcm,bcm2835-gpio";
--
--      gpclk0_gpio49: gpclk0_gpio49 {
--              brcm,pins = <49>;
--              brcm,function = <BCM2835_FSEL_ALT1>;
--              brcm,pull = <BCM2835_PUD_OFF>;
--      };
--      gpclk1_gpio50: gpclk1_gpio50 {
--              brcm,pins = <50>;
--              brcm,function = <BCM2835_FSEL_ALT1>;
--              brcm,pull = <BCM2835_PUD_OFF>;
--      };
--      gpclk2_gpio51: gpclk2_gpio51 {
--              brcm,pins = <51>;
--              brcm,function = <BCM2835_FSEL_ALT1>;
--              brcm,pull = <BCM2835_PUD_OFF>;
--      };
--
--      i2c0_gpio46: i2c0_gpio46 {
--              brcm,pins = <46 47>;
--              brcm,function = <BCM2835_FSEL_ALT0>;
--      };
--      i2c1_gpio46: i2c1_gpio46 {
--              brcm,pins = <46 47>;
--              brcm,function = <BCM2835_FSEL_ALT1>;
--      };
--      i2c3_gpio2: i2c3_gpio2 {
--              brcm,pins = <2 3>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--      };
--      i2c3_gpio4: i2c3_gpio4 {
--              brcm,pins = <4 5>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--      };
--      i2c4_gpio6: i2c4_gpio6 {
--              brcm,pins = <6 7>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--      };
--      i2c4_gpio8: i2c4_gpio8 {
--              brcm,pins = <8 9>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--      };
--      i2c5_gpio10: i2c5_gpio10 {
--              brcm,pins = <10 11>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--      };
--      i2c5_gpio12: i2c5_gpio12 {
--              brcm,pins = <12 13>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--      };
--      i2c6_gpio0: i2c6_gpio0 {
--              brcm,pins = <0 1>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--      };
--      i2c6_gpio22: i2c6_gpio22 {
--              brcm,pins = <22 23>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--      };
--      i2c_slave_gpio8: i2c_slave_gpio8 {
--              brcm,pins = <8 9 10 11>;
--              brcm,function = <BCM2835_FSEL_ALT3>;
--      };
--
--      jtag_gpio48: jtag_gpio48 {
--              brcm,pins = <48 49 50 51 52 53>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--      };
--
--      mii_gpio28: mii_gpio28 {
--              brcm,pins = <28 29 30 31>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--      };
--      mii_gpio36: mii_gpio36 {
--              brcm,pins = <36 37 38 39>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--      };
--
--      pcm_gpio50: pcm_gpio50 {
--              brcm,pins = <50 51 52 53>;
--              brcm,function = <BCM2835_FSEL_ALT2>;
--      };
--
--      pwm0_gpio52: pwm0_gpio52 {
--              brcm,pins = <52>;
--              brcm,function = <BCM2835_FSEL_ALT1>;
--              brcm,pull = <BCM2835_PUD_OFF>;
--      };
--      pwm1_gpio53: pwm1_gpio53 {
--              brcm,pins = <53>;
--              brcm,function = <BCM2835_FSEL_ALT1>;
--              brcm,pull = <BCM2835_PUD_OFF>;
--      };
--
--      /* The following group consists of:
--         *  RGMII_START_STOP
--         *  RGMII_RX_OK
--         */
--      rgmii_gpio35: rgmii_gpio35 {
--              brcm,pins = <35 36>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--      };
--      rgmii_irq_gpio34: rgmii_irq_gpio34 {
--              brcm,pins = <34>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--      };
--      rgmii_irq_gpio39: rgmii_irq_gpio39 {
--              brcm,pins = <39>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--      };
--      rgmii_mdio_gpio28: rgmii_mdio_gpio28 {
--              brcm,pins = <28 29>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--      };
--      rgmii_mdio_gpio37: rgmii_mdio_gpio37 {
--              brcm,pins = <37 38>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--      };
--
--      spi0_gpio46: spi0_gpio46 {
--              brcm,pins = <46 47 48 49>;
--              brcm,function = <BCM2835_FSEL_ALT2>;
--      };
--      spi2_gpio46: spi2_gpio46 {
--              brcm,pins = <46 47 48 49 50>;
--              brcm,function = <BCM2835_FSEL_ALT5>;
--      };
--      spi3_gpio0: spi3_gpio0 {
--              brcm,pins = <0 1 2 3>;
--              brcm,function = <BCM2835_FSEL_ALT3>;
--      };
--      spi4_gpio4: spi4_gpio4 {
--              brcm,pins = <4 5 6 7>;
--              brcm,function = <BCM2835_FSEL_ALT3>;
--      };
--      spi5_gpio12: spi5_gpio12 {
--              brcm,pins = <12 13 14 15>;
--              brcm,function = <BCM2835_FSEL_ALT3>;
--      };
--      spi6_gpio18: spi6_gpio18 {
--              brcm,pins = <18 19 20 21>;
--              brcm,function = <BCM2835_FSEL_ALT3>;
--      };
--
--      uart2_gpio0: uart2_gpio0 {
--              brcm,pins = <0 1>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--              brcm,pull = <BCM2835_PUD_OFF BCM2835_PUD_UP>;
--      };
--      uart2_ctsrts_gpio2: uart2_ctsrts_gpio2 {
--              brcm,pins = <2 3>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--              brcm,pull = <BCM2835_PUD_UP BCM2835_PUD_OFF>;
--      };
--      uart3_gpio4: uart3_gpio4 {
--              brcm,pins = <4 5>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--              brcm,pull = <BCM2835_PUD_OFF BCM2835_PUD_UP>;
--      };
--      uart3_ctsrts_gpio6: uart3_ctsrts_gpio6 {
--              brcm,pins = <6 7>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--              brcm,pull = <BCM2835_PUD_UP BCM2835_PUD_OFF>;
--      };
--      uart4_gpio8: uart4_gpio8 {
--              brcm,pins = <8 9>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--              brcm,pull = <BCM2835_PUD_OFF BCM2835_PUD_UP>;
--      };
--      uart4_ctsrts_gpio10: uart4_ctsrts_gpio10 {
--              brcm,pins = <10 11>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--              brcm,pull = <BCM2835_PUD_UP BCM2835_PUD_OFF>;
--      };
--      uart5_gpio12: uart5_gpio12 {
--              brcm,pins = <12 13>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--              brcm,pull = <BCM2835_PUD_OFF BCM2835_PUD_UP>;
--      };
--      uart5_ctsrts_gpio14: uart5_ctsrts_gpio14 {
--              brcm,pins = <14 15>;
--              brcm,function = <BCM2835_FSEL_ALT4>;
--              brcm,pull = <BCM2835_PUD_UP BCM2835_PUD_OFF>;
--      };
--};
--
--&vec {
--      interrupts = <GIC_SPI 123 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&usb {
--      interrupts = <GIC_SPI 73 IRQ_TYPE_LEVEL_HIGH>;
--      status = "disabled";
--};
--
--&hdmi {
--      interrupts = <GIC_SPI 104 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 105 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&uart1 {
--      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&spi1 {
--      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&spi2 {
--      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&csi0 {
--      interrupts = <GIC_SPI 102 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&csi1 {
--      interrupts = <GIC_SPI 103 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&sdhci {
--      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&i2c0 {
--      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&i2c1 {
--      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&i2c2 {
--      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&gpio {
--      interrupts = <GIC_SPI 113 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 115 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 116 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&mailbox {
--      interrupts = <GIC_SPI 33 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&rng {
--      compatible = "brcm,bcm2711-rng200", "brcm,bcm2838-rng200";
--};
--
--&sdhost {
--      interrupts = <GIC_SPI 120 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&system_timer {
--      interrupts = <GIC_SPI 64 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 65 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 66 IRQ_TYPE_LEVEL_HIGH>,
--                   <GIC_SPI 67 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&uart0 {
--      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
--};
--
--&dma {
--      reg = <0x7e007000 0xb00>;
--      interrupts = <GIC_SPI 80 IRQ_TYPE_LEVEL_HIGH>,
--              <GIC_SPI 81 IRQ_TYPE_LEVEL_HIGH>,
--              <GIC_SPI 82 IRQ_TYPE_LEVEL_HIGH>,
--              <GIC_SPI 83 IRQ_TYPE_LEVEL_HIGH>,
--              <GIC_SPI 84 IRQ_TYPE_LEVEL_HIGH>,
--              <GIC_SPI 85 IRQ_TYPE_LEVEL_HIGH>,
--              <GIC_SPI 86 IRQ_TYPE_LEVEL_HIGH>,
--              <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>, /* dmalite  7 */
--              <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>, /* dmalite  8 */
--              <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>, /* dmalite  9 */
--              <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>; /* dmalite 10 */
--      interrupt-names = "dma0",
--                        "dma1",
--                        "dma2",
--                        "dma3",
--                        "dma4",
--                        "dma5",
--                        "dma6",
--                        "dma7",
--                        "dma8",
--                        "dma9",
--                        "dma10";
--      brcm,dma-channel-mask = <0x07f5>;
--};
--
--&txp {
--      interrupts = <GIC_SPI 75 IRQ_TYPE_LEVEL_HIGH>;
--};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0417-ARM-dts-Rebuild-downstream-DTS-files.patch b/target/linux/bcm27xx/patches-5.4/950-0417-ARM-dts-Rebuild-downstream-DTS-files.patch
new file mode 100644 (file)
index 0000000..8d230d0
--- /dev/null
@@ -0,0 +1,1076 @@
+From b229e7f5a6d21d1b52f3f19fed58bba638714884 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 30 Jan 2020 15:48:00 +0000
+Subject: [PATCH] ARM: dts: Rebuild downstream DTS files
+
+Refactor the tree of downstream DTS files to achieve approximately the
+same end result but wihout modifying upstream files (except for
+bcm2711-rpi-4-b.dts).
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2708-rpi.dtsi         | 133 +--------
+ arch/arm/boot/dts/bcm2708.dtsi             |   4 +
+ arch/arm/boot/dts/bcm2709.dtsi             |   4 +
+ arch/arm/boot/dts/bcm270x-rpi.dtsi         | 139 +++++++++
+ arch/arm/boot/dts/bcm270x.dtsi             |  98 ++++---
+ arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts |  13 +
+ arch/arm/boot/dts/bcm2710.dtsi             |   4 +
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts      | 315 ++++++++++++++++++++-
+ arch/arm/boot/dts/bcm2711-rpi.dtsi         | 222 +++++++++++++++
+ 9 files changed, 766 insertions(+), 166 deletions(-)
+ create mode 100644 arch/arm/boot/dts/bcm270x-rpi.dtsi
+ create mode 100644 arch/arm/boot/dts/bcm2711-rpi.dtsi
+
+--- a/arch/arm/boot/dts/bcm2708-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2708-rpi.dtsi
+@@ -1,6 +1,7 @@
+-/* Downstream modifications to bcm2835-rpi.dtsi */
++/* Downstream modifications common to bcm2835, bcm2836, bcm2837 */
+ #include "bcm2835-rpi.dtsi"
++#include "bcm270x-rpi.dtsi"
+ / {
+       memory@0 {
+@@ -9,147 +10,27 @@
+       };
+       aliases {
+-              audio = &audio;
+-              aux = &aux;
+-              sound = &sound;
+-              soc = &soc;
+-              dma = &dma;
+-              intc = &intc;
+-              watchdog = &watchdog;
+-              random = &random;
+-              mailbox = &mailbox;
+-              gpio = &gpio;
+-              uart0 = &uart0;
+-              sdhost = &sdhost;
+-              mmc0 = &sdhost;
+-              i2s = &i2s;
+-              spi0 = &spi0;
+-              i2c0 = &i2c0;
+-              uart1 = &uart1;
+-              spi1 = &spi1;
+-              spi2 = &spi2;
+-              mmc = &mmc;
+-              mmc1 = &mmc;
+-              i2c1 = &i2c1;
+               i2c2 = &i2c2;
+-              usb = &usb;
+-              leds = &leds;
+-              fb = &fb;
+-              thermal = &thermal;
+-              axiperf = &axiperf;
+-      };
+-
+-      leds: leds {
+-              compatible = "gpio-leds";
+-      };
+-
+-      soc {
+-              gpiomem {
+-                      compatible = "brcm,bcm2835-gpiomem";
+-                      reg = <0x7e200000 0x1000>;
+-              };
+-
+-              fb: fb {
+-                      compatible = "brcm,bcm2708-fb";
+-                      firmware = <&firmware>;
+-                      status = "okay";
+-              };
+-
+-              vcsm: vcsm {
+-                      compatible = "raspberrypi,bcm2835-vcsm";
+-                      firmware = <&firmware>;
+-                      status = "okay";
+-              };
+-
+-              /* Onboard audio */
+-              audio: audio {
+-                      compatible = "brcm,bcm2835-audio";
+-                      brcm,pwm-channels = <8>;
+-                      status = "disabled";
+-              };
+-
+-              /* External sound card */
+-              sound: sound {
+-                      status = "disabled";
+-              };
+       };
+       __overrides__ {
+-              cache_line_size;
+-
+-              uart0 = <&uart0>,"status";
+-              uart1 = <&uart1>,"status";
+-              i2s = <&i2s>,"status";
+-              spi = <&spi0>,"status";
+-              i2c0 = <&i2c0>,"status";
+-              i2c1 = <&i2c1>,"status";
+               i2c2_iknowwhatimdoing = <&i2c2>,"status";
+-              i2c0_baudrate = <&i2c0>,"clock-frequency:0";
+-              i2c1_baudrate = <&i2c1>,"clock-frequency:0";
+               i2c2_baudrate = <&i2c2>,"clock-frequency:0";
+-
+-              audio = <&audio>,"status";
+-              watchdog = <&watchdog>,"status";
+-              random = <&random>,"status";
+-              sd_overclock = <&sdhost>,"brcm,overclock-50:0";
+-              sd_poll_once = <&sdhost>,"non-removable?";
+-              sd_force_pio = <&sdhost>,"brcm,force-pio?";
+-              sd_pio_limit = <&sdhost>,"brcm,pio-limit:0";
+-              sd_debug     = <&sdhost>,"brcm,debug";
+-              sdio_overclock = <&mmc>,"brcm,overclock-50:0",
+-                               <&mmcnr>,"brcm,overclock-50:0";
+-              axiperf      = <&axiperf>,"status";
++              sd_poll_once = <&sdhost>, "non-removable?";
+       };
+ };
+-&hdmi {
+-      power-domains = <&power RPI_POWER_DOMAIN_HDMI>;
+-      status = "disabled";
+-};
+-
+-&txp {
+-      status = "disabled";
+-};
+-
+-&i2c0 {
+-      status = "disabled";
+-};
+-
+-&i2c1 {
+-      status = "disabled";
+-};
+-
+-&i2c2 {
+-      status = "disabled";
+-};
+-
+-&clocks {
+-      firmware = <&firmware>;
+-};
+-
+-&sdhci {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&emmc_gpio48>;
+-      bus-width = <4>;
+-};
+-
+-sdhost_pins: &sdhost_gpio48 {
+-      /* Add alias */
+-};
+-
+ &sdhost {
+       pinctrl-names = "default";
+       pinctrl-0 = <&sdhost_gpio48>;
+-      bus-width = <4>;
+-      brcm,overclock-50 = <0>;
+-      brcm,pio-limit = <1>;
+       status = "okay";
+ };
+-&cpu_thermal {
+-      /delete-node/ trips;
++&hdmi {
++      power-domains = <&power RPI_POWER_DOMAIN_HDMI>;
++      status = "disabled";
+ };
+-&vec {
++&i2c2 {
+       status = "disabled";
+ };
+--- a/arch/arm/boot/dts/bcm2708.dtsi
++++ b/arch/arm/boot/dts/bcm2708.dtsi
+@@ -8,3 +8,7 @@
+               arm_freq;
+       };
+ };
++
++&vc4 {
++      status = "disabled";
++};
+--- a/arch/arm/boot/dts/bcm2709.dtsi
++++ b/arch/arm/boot/dts/bcm2709.dtsi
+@@ -16,3 +16,7 @@
+                          <&v7_cpu3>, "clock-frequency:0";
+       };
+ };
++
++&vc4 {
++      status = "disabled";
++};
+--- /dev/null
++++ b/arch/arm/boot/dts/bcm270x-rpi.dtsi
+@@ -0,0 +1,139 @@
++/* Downstream modifications to bcm2835-rpi.dtsi */
++
++/ {
++      aliases {
++              audio = &audio;
++              aux = &aux;
++              sound = &sound;
++              soc = &soc;
++              dma = &dma;
++              intc = &intc;
++              watchdog = &watchdog;
++              random = &random;
++              mailbox = &mailbox;
++              gpio = &gpio;
++              uart0 = &uart0;
++              uart1 = &uart1;
++              sdhost = &sdhost;
++              mmc = &mmc;
++              mmc1 = &mmc;
++              mmc0 = &sdhost;
++              i2s = &i2s;
++              i2c0 = &i2c0;
++              i2c1 = &i2c1;
++              spi0 = &spi0;
++              spi1 = &spi1;
++              spi2 = &spi2;
++              usb = &usb;
++              leds = &leds;
++              fb = &fb;
++              thermal = &thermal;
++              axiperf = &axiperf;
++      };
++
++      /* Define these notional regulators for use by overlays */
++      vdd_3v3_reg: fixedregulator_3v3 {
++              compatible = "regulator-fixed";
++              regulator-always-on;
++              regulator-max-microvolt = <3300000>;
++              regulator-min-microvolt = <3300000>;
++              regulator-name = "3v3";
++      };
++
++      vdd_5v0_reg: fixedregulator_5v0 {
++              compatible = "regulator-fixed";
++              regulator-always-on;
++              regulator-max-microvolt = <5000000>;
++              regulator-min-microvolt = <5000000>;
++              regulator-name = "5v0";
++      };
++
++      leds: leds {
++              compatible = "gpio-leds";
++      };
++
++      soc {
++              gpiomem {
++                      compatible = "brcm,bcm2835-gpiomem";
++                      reg = <0x7e200000 0x1000>;
++              };
++
++              fb: fb {
++                      compatible = "brcm,bcm2708-fb";
++                      firmware = <&firmware>;
++                      status = "okay";
++              };
++
++              vcsm: vcsm {
++                      compatible = "raspberrypi,bcm2835-vcsm";
++                      firmware = <&firmware>;
++                      status = "okay";
++              };
++
++              /* Onboard audio */
++              audio: audio {
++                      compatible = "brcm,bcm2835-audio";
++                      brcm,pwm-channels = <8>;
++                      status = "disabled";
++              };
++
++              /* External sound card */
++              sound: sound {
++                      status = "disabled";
++              };
++      };
++
++      __overrides__ {
++              cache_line_size;
++
++              uart0 = <&uart0>,"status";
++              uart1 = <&uart1>,"status";
++              i2s = <&i2s>,"status";
++              spi = <&spi0>,"status";
++              i2c0 = <&i2c0>,"status";
++              i2c1 = <&i2c1>,"status";
++              i2c0_baudrate = <&i2c0>,"clock-frequency:0";
++              i2c1_baudrate = <&i2c1>,"clock-frequency:0";
++
++              audio = <&audio>,"status";
++              watchdog = <&watchdog>,"status";
++              random = <&random>,"status";
++              sd_overclock = <&sdhost>,"brcm,overclock-50:0";
++              sd_force_pio = <&sdhost>,"brcm,force-pio?";
++              sd_pio_limit = <&sdhost>,"brcm,pio-limit:0";
++              sd_debug     = <&sdhost>,"brcm,debug";
++              sdio_overclock = <&mmc>,"brcm,overclock-50:0",
++                               <&mmcnr>,"brcm,overclock-50:0";
++              axiperf      = <&axiperf>,"status";
++      };
++};
++
++&txp {
++      status = "disabled";
++};
++
++&i2c0 {
++      status = "disabled";
++};
++
++&i2c1 {
++      status = "disabled";
++};
++
++&clocks {
++      firmware = <&firmware>;
++};
++
++&sdhci {
++      pinctrl-names = "default";
++      pinctrl-0 = <&emmc_gpio48>;
++      bus-width = <4>;
++};
++
++&cpu_thermal {
++      /delete-node/ trips;
++};
++
++&vec {
++      status = "disabled";
++};
+--- a/arch/arm/boot/dts/bcm270x.dtsi
++++ b/arch/arm/boot/dts/bcm270x.dtsi
+@@ -17,32 +17,8 @@
+                       /* Add label */
+               };
+-              gpio@7e200000 { /* gpio */
+-                      interrupts = <2 17>, <2 18>;
+-
+-                      dpi_18bit_gpio0: dpi_18bit_gpio0 {
+-                              brcm,pins = <0 1 2 3 4 5 6 7 8 9 10 11
+-                                           12 13 14 15 16 17 18 19
+-                                           20 21>;
+-                              brcm,function = <BCM2835_FSEL_ALT2>;
+-                      };
+-              };
+-
+-              serial@7e201000 { /* uart0 */
+-                      /* Enable CTS bug workaround */
+-                      cts-event-workaround;
+-              };
+-
+-              i2s@7e203000 { /* i2s */
+-                      #sound-dai-cells = <0>;
+-                      reg = <0x7e203000 0x24>;
+-                      clocks = <&clocks BCM2835_CLOCK_PCM>;
+-              };
+-
+               spi0: spi@7e204000 {
+                       /* Add label */
+-                      dmas = <&dma 6>, <&dma 7>;
+-                      dma-names = "tx", "rx";
+               };
+               pixelvalve0: pixelvalve@7e206000 {
+@@ -55,17 +31,6 @@
+                       status = "disabled";
+               };
+-              dpi: dpi@7e208000 {
+-                      compatible = "brcm,bcm2835-dpi";
+-                      reg = <0x7e208000 0x8c>;
+-                      clocks = <&clocks BCM2835_CLOCK_VPU>,
+-                               <&clocks BCM2835_CLOCK_DPI>;
+-                      clock-names = "core", "pixel";
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      status = "disabled";
+-              };
+-
+               /delete-node/ sdhci@7e300000;
+               sdhci: mmc: mmc@7e300000 {
+@@ -118,6 +83,34 @@
+                       status = "disabled";
+               };
++              csi0: csi@7e800000 {
++                      compatible = "brcm,bcm2835-unicam";
++                      reg = <0x7e800000 0x800>,
++                            <0x7e802000 0x4>;
++                      interrupts = <2 6>;
++                      clocks = <&clocks BCM2835_CLOCK_CAM0>;
++                      clock-names = "lp";
++                      power-domains = <&power RPI_POWER_DOMAIN_UNICAM0>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      #clock-cells = <1>;
++                      status = "disabled";
++              };
++
++              csi1: csi@7e801000 {
++                      compatible = "brcm,bcm2835-unicam";
++                      reg = <0x7e801000 0x800>,
++                            <0x7e802004 0x4>;
++                      interrupts = <2 7>;
++                      clocks = <&clocks BCM2835_CLOCK_CAM1>;
++                      clock-names = "lp";
++                      power-domains = <&power RPI_POWER_DOMAIN_UNICAM1>;
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      #clock-cells = <1>;
++                      status = "disabled";
++              };
++
+               pixelvalve2: pixelvalve@7e807000 {
+                       /* Add label */
+                       status = "disabled";
+@@ -160,6 +153,37 @@
+       };
+ };
+-&vc4 {
+-      status = "disabled";
++&gpio {
++      interrupts = <2 17>, <2 18>;
++
++      dpi_18bit_gpio0: dpi_18bit_gpio0 {
++              brcm,pins = <0 1 2 3 4 5 6 7 8 9 10 11
++                           12 13 14 15 16 17 18 19
++                           20 21>;
++              brcm,function = <BCM2835_FSEL_ALT2>;
++      };
++};
++
++&uart0 {
++      /* Enable CTS bug workaround */
++      cts-event-workaround;
++};
++
++&i2s {
++      #sound-dai-cells = <0>;
++      dmas = <&dma 2>, <&dma 3>;
++      dma-names = "tx", "rx";
++};
++
++&sdhost {
++      dmas = <&dma (13|(1<<29))>;
++      dma-names = "rx-tx";
++      bus-width = <4>;
++      brcm,overclock-50 = <0>;
++      brcm,pio-limit = <1>;
++};
++
++&spi0 {
++      dmas = <&dma 6>, <&dma 7>;
++      dma-names = "tx", "rx";
+ };
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
+@@ -170,6 +170,12 @@
+       pinctrl-0 = <&audio_pins>;
+ };
++&eth_phy {
++      microchip,eee-enabled;
++      microchip,tx-lpi-timer = <600>; /* non-aggressive*/
++      microchip,downshift-after = <2>;
++};
++
+ / {
+       __overrides__ {
+               act_led_gpio = <&act_led>,"gpios:4";
+@@ -179,5 +185,12 @@
+               pwr_led_gpio = <&pwr_led>,"gpios:4";
+               pwr_led_activelow = <&pwr_led>,"gpios:8";
+               pwr_led_trigger = <&pwr_led>,"linux,default-trigger";
++
++              eee = <&eth_phy>,"microchip,eee-enabled?";
++              tx_lpi_timer = <&eth_phy>,"microchip,tx-lpi-timer:0";
++              eth_led0 = <&eth_phy>,"microchip,led-modes:0";
++              eth_led1 = <&eth_phy>,"microchip,led-modes:4";
++              eth_downshift_after = <&eth_phy>,"microchip,downshift-after:0";
++              eth_max_speed = <&eth_phy>,"max-speed:0";
+       };
+ };
+--- a/arch/arm/boot/dts/bcm2710.dtsi
++++ b/arch/arm/boot/dts/bcm2710.dtsi
+@@ -23,3 +23,7 @@
+                      <&cpu3>, "clock-frequency:0";
+       };
+ };
++
++&vc4 {
++      status = "disabled";
++};
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -2,7 +2,6 @@
+ /dts-v1/;
+ #include "bcm2711.dtsi"
+ #include "bcm2835-rpi.dtsi"
+-#include "bcm283x-rpi-usb-peripheral.dtsi"
+ / {
+       compatible = "raspberrypi,4-model-b", "brcm,bcm2711";
+@@ -65,8 +64,8 @@
+                                 "GLOBAL_RESET",
+                                 "VDD_SD_IO_SEL",
+                                 "CAM_GPIO",
+-                                "",
+-                                "";
++                                "SD_PWR_ON",
++                                "SD_OC_N";
+               status = "okay";
+       };
+ };
+@@ -138,3 +137,313 @@
+ &vchiq {
+       interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
+ };
++
++// =============================================
++// Downstream rpi- changes
++
++#include "bcm270x.dtsi"
++#include "bcm2711-rpi.dtsi"
++#include "bcm283x-rpi-csi1-2lane.dtsi"
++
++/ {
++      chosen {
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1 cma=64M";
++      };
++
++      aliases {
++              serial0 = &uart1;
++              serial1 = &uart0;
++              mmc0 = &emmc2;
++              mmc1 = &mmcnr;
++              mmc2 = &sdhost;
++              /delete-property/ i2c2;
++              i2c3 = &i2c3;
++              i2c4 = &i2c4;
++              i2c5 = &i2c5;
++              i2c6 = &i2c6;
++              /delete-property/ ethernet;
++              /delete-property/ intc;
++              pcie0 = &pcie_0;
++      };
++
++      /delete-node/ wifi-pwrseq;
++};
++
++&mmcnr {
++      pinctrl-names = "default";
++      pinctrl-0 = <&sdio_pins>;
++      bus-width = <4>;
++      status = "okay";
++};
++
++&uart0 {
++      pinctrl-0 = <&uart0_pins &bt_pins>;
++      status = "okay";
++
++      /delete-node/ bluetooth;
++};
++
++&uart1 {
++      pinctrl-0 = <&uart1_pins>;
++};
++
++&spi0 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&spi0_pins &spi0_cs_pins>;
++      cs-gpios = <&gpio 8 1>, <&gpio 7 1>;
++
++      spidev0: spidev@0{
++              compatible = "spidev";
++              reg = <0>;      /* CE0 */
++              #address-cells = <1>;
++              #size-cells = <0>;
++              spi-max-frequency = <125000000>;
++      };
++
++      spidev1: spidev@1{
++              compatible = "spidev";
++              reg = <1>;      /* CE1 */
++              #address-cells = <1>;
++              #size-cells = <0>;
++              spi-max-frequency = <125000000>;
++      };
++};
++
++&gpio {
++      spi0_pins: spi0_pins {
++              brcm,pins = <9 10 11>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++      };
++
++      spi0_cs_pins: spi0_cs_pins {
++              brcm,pins = <8 7>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      spi3_pins: spi3_pins {
++              brcm,pins = <1 2 3>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++      };
++
++      spi3_cs_pins: spi3_cs_pins {
++              brcm,pins = <0 24>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      spi4_pins: spi4_pins {
++              brcm,pins = <5 6 7>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++      };
++
++      spi4_cs_pins: spi4_cs_pins {
++              brcm,pins = <4 25>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      spi5_pins: spi5_pins {
++              brcm,pins = <13 14 15>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++      };
++
++      spi5_cs_pins: spi5_cs_pins {
++              brcm,pins = <12 26>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      spi6_pins: spi6_pins {
++              brcm,pins = <19 20 21>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++      };
++
++      spi6_cs_pins: spi6_cs_pins {
++              brcm,pins = <18 27>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      i2c0_pins: i2c0 {
++              brcm,pins = <0 1>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c1_pins: i2c1 {
++              brcm,pins = <2 3>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c3_pins: i2c3 {
++              brcm,pins = <4 5>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c4_pins: i2c4 {
++              brcm,pins = <8 9>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c5_pins: i2c5 {
++              brcm,pins = <12 13>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c6_pins: i2c6 {
++              brcm,pins = <22 23>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2s_pins: i2s {
++              brcm,pins = <18 19 20 21>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++      };
++
++      sdio_pins: sdio_pins {
++              brcm,pins =     <34 35 36 37 38 39>;
++              brcm,function = <BCM2835_FSEL_ALT3>; // alt3 = SD1
++              brcm,pull =     <0 2 2 2 2 2>;
++      };
++
++      bt_pins: bt_pins {
++              brcm,pins = "-"; // non-empty to keep btuart happy, //4 = 0
++                               // to fool pinctrl
++              brcm,function = <0>;
++              brcm,pull = <2>;
++      };
++
++      uart0_pins: uart0_pins {
++              brcm,pins = <32 33>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++              brcm,pull = <0 2>;
++      };
++
++      uart1_pins: uart1_pins {
++              brcm,pins;
++              brcm,function;
++              brcm,pull;
++      };
++
++      uart2_pins: uart2_pins {
++              brcm,pins = <0 1>;
++              brcm,function = <BCM2835_FSEL_ALT4>;
++              brcm,pull = <0 2>;
++      };
++
++      uart3_pins: uart3_pins {
++              brcm,pins = <4 5>;
++              brcm,function = <BCM2835_FSEL_ALT4>;
++              brcm,pull = <0 2>;
++      };
++
++      uart4_pins: uart4_pins {
++              brcm,pins = <8 9>;
++              brcm,function = <BCM2835_FSEL_ALT4>;
++              brcm,pull = <0 2>;
++      };
++
++      uart5_pins: uart5_pins {
++              brcm,pins = <12 13>;
++              brcm,function = <BCM2835_FSEL_ALT4>;
++              brcm,pull = <0 2>;
++      };
++};
++
++&i2c0 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&i2c0_pins>;
++      clock-frequency = <100000>;
++};
++
++&i2c1 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&i2c1_pins>;
++      clock-frequency = <100000>;
++};
++
++&i2s {
++      pinctrl-names = "default";
++      pinctrl-0 = <&i2s_pins>;
++};
++
++/ {
++      __overrides__ {
++              /delete-property/ i2c2_baudrate;
++              /delete-property/ i2c2_iknowwhatimdoing;
++      };
++};
++
++// =============================================
++// Board specific stuff here
++
++/ {
++      sd_vcc_reg: sd_vcc_reg {
++              compatible = "regulator-fixed";
++              regulator-name = "vcc-sd";
++              regulator-min-microvolt = <3300000>;
++              regulator-max-microvolt = <3300000>;
++              regulator-boot-on;
++              enable-active-high;
++              gpio = <&expgpio 6 GPIO_ACTIVE_HIGH>;
++      };
++};
++
++&sdhost {
++      status = "disabled";
++};
++
++&emmc2 {
++      vmmc-supply = <&sd_vcc_reg>;
++};
++
++&phy1 {
++      led-modes = <0x00 0x08>; /* link/activity link */
++};
++
++&gpio {
++      audio_pins: audio_pins {
++              brcm,pins = <40 41>;
++              brcm,function = <4>;
++      };
++};
++
++&leds {
++      act_led: act {
++              label = "led0";
++              linux,default-trigger = "mmc0";
++              gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
++      };
++
++      pwr_led: pwr {
++              label = "led1";
++              linux,default-trigger = "default-on";
++              gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
++      };
++};
++
++&pwm1 {
++      status = "disabled";
++};
++
++&audio {
++      pinctrl-names = "default";
++      pinctrl-0 = <&audio_pins>;
++};
++
++/ {
++      __overrides__ {
++              act_led_gpio = <&act_led>,"gpios:4";
++              act_led_activelow = <&act_led>,"gpios:8";
++              act_led_trigger = <&act_led>,"linux,default-trigger";
++
++              pwr_led_gpio = <&pwr_led>,"gpios:4";
++              pwr_led_activelow = <&pwr_led>,"gpios:8";
++              pwr_led_trigger = <&pwr_led>,"linux,default-trigger";
++
++              eth_led0 = <&phy1>,"led-modes:0";
++              eth_led1 = <&phy1>,"led-modes:4";
++
++      };
++};
+--- /dev/null
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -0,0 +1,222 @@
++// SPDX-License-Identifier: GPL-2.0
++#include "bcm270x-rpi.dtsi"
++
++/ {
++      soc {
++              /delete-node/ v3d@7ec00000;
++              /delete-node/ mailbox@7e00b840;
++      };
++
++      __overrides__ {
++              arm_freq;
++              sd_poll_once = <&emmc2>, "non-removable?";
++      };
++
++      v3dbus {
++              compatible = "simple-bus";
++              #address-cells = <1>;
++              #size-cells = <2>;
++              ranges = <0x7c500000  0x0 0xfc500000  0x0 0x03300000>,
++                       <0x40000000  0x0 0xff800000  0x0 0x00800000>;
++              dma-ranges = <0x00000000  0x0 0x00000000  0x4 0x00000000>;
++
++              v3d: v3d@7ec04000 {
++                      compatible = "brcm,2711-v3d";
++                      reg =
++                          <0x7ec00000  0x0 0x4000>,
++                          <0x7ec04000  0x0 0x4000>;
++                      reg-names = "hub", "core0";
++
++                      power-domains = <&pm BCM2835_POWER_DOMAIN_GRAFX_V3D>;
++                      resets = <&pm BCM2835_RESET_V3D>;
++                      clocks = <&clocks BCM2835_CLOCK_V3D>;
++                      interrupts = <GIC_SPI 74 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++              };
++      };
++
++      scb: scb {
++           /* Add a label */
++      };
++};
++
++&soc {
++      thermal: thermal@7d5d2200 {
++              compatible = "brcm,avs-tmon-bcm2838";
++              reg = <0x7d5d2200 0x2c>;
++              interrupts = <GIC_SPI 137 IRQ_TYPE_LEVEL_HIGH>;
++              interrupt-names = "tmon";
++              clocks = <&clocks BCM2835_CLOCK_TSENS>;
++              #thermal-sensor-cells = <0>;
++              status = "okay";
++      };
++
++      vc4: gpu {
++              compatible = "brcm,bcm2835-vc4";
++              status = "disabled";
++      };
++};
++
++&scb {
++      ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>,
++               <0x0 0x40000000  0x0 0xff800000  0x00800000>,
++               <0x6 0x00000000  0x6 0x00000000  0x40000000>,
++               <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
++      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
++
++      pcie_0: pcie@7d500000 {
++              reg = <0x0 0x7d500000 0x9310>,
++                    <0x0 0x7e00f300 0x20>;
++              msi-controller;
++              msi-parent = <&pcie_0>;
++              #address-cells = <3>;
++              #interrupt-cells = <1>;
++              #size-cells = <2>;
++              bus-range = <0x0 0x01>;
++              compatible = "brcm,bcm2711b0-pcie", // Safe value
++                           "brcm,bcm2711-pcie",
++                           "brcm,pci-plat-dev";
++              max-link-speed = <2>;
++              tot-num-pcie = <1>;
++              linux,pci-domain = <0>;
++              interrupts = <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>,
++                           <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>;
++              interrupt-names = "pcie", "msi";
++              interrupt-map-mask = <0x0 0x0 0x0 0x7>;
++              interrupt-map = <0 0 0 1 &gicv2 GIC_SPI 143
++                                      IRQ_TYPE_LEVEL_HIGH
++                               0 0 0 2 &gicv2 GIC_SPI 144
++                                      IRQ_TYPE_LEVEL_HIGH
++                               0 0 0 3 &gicv2 GIC_SPI 145
++                                      IRQ_TYPE_LEVEL_HIGH
++                               0 0 0 4 &gicv2 GIC_SPI 146
++                                      IRQ_TYPE_LEVEL_HIGH>;
++
++              /* Map outbound accesses from scb:0x6_00000000-03ffffff
++               * to pci:0x0_f8000000-fbffffff
++               */
++              ranges = <0x02000000 0x0 0xf8000000  0x6 0x00000000
++                        0x0 0x04000000>;
++              /* Map inbound accesses from pci:0x0_00000000..ffffffff
++               * to scb:0x0_00000000-ffffffff
++               */
++              dma-ranges = <0x02000000 0x0 0x00000000  0x0 0x00000000
++                            0x1 0x00000000>;
++              status = "okay";
++      };
++
++      dma40: dma@7e007b00 {
++              compatible = "brcm,bcm2838-dma";
++              reg = <0x0 0x7e007b00 0x400>;
++              interrupts =
++                      <GIC_SPI 89 IRQ_TYPE_LEVEL_HIGH>, /* dma4 11 */
++                      <GIC_SPI 90 IRQ_TYPE_LEVEL_HIGH>, /* dma4 12 */
++                      <GIC_SPI 91 IRQ_TYPE_LEVEL_HIGH>, /* dma4 13 */
++                      <GIC_SPI 92 IRQ_TYPE_LEVEL_HIGH>; /* dma4 14 */
++              interrupt-names = "dma11",
++                      "dma12",
++                      "dma13",
++                      "dma14";
++              #dma-cells = <1>;
++              brcm,dma-channel-mask = <0x7800>;
++      };
++
++      vchiq: mailbox@7e00b840 {
++              compatible = "brcm,bcm2838-vchiq";
++              reg = <0 0x7e00b840 0x3c>;
++              interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
++      };
++
++      xhci: xhci@7e9c0000 {
++              compatible = "generic-xhci";
++              status = "disabled";
++              reg = <0x0 0x7e9c0000 0x100000>;
++              interrupts = <GIC_SPI 176 IRQ_TYPE_LEVEL_HIGH>;
++      };
++
++      hevc-decoder@7eb00000 {
++              compatible = "raspberrypi,rpivid-hevc-decoder";
++              reg = <0x0 0x7eb00000 0x10000>;
++              status = "okay";
++      };
++
++      rpivid-local-intc@7eb10000 {
++              compatible = "raspberrypi,rpivid-local-intc";
++              reg = <0x0 0x7eb10000 0x1000>;
++              status = "okay";
++              interrupts = <GIC_SPI 98 IRQ_TYPE_LEVEL_HIGH>;
++      };
++
++      h264-decoder@7eb20000 {
++              compatible = "raspberrypi,rpivid-h264-decoder";
++              reg = <0x0 0x7eb20000 0x10000>;
++              status = "okay";
++      };
++
++      vp9-decoder@7eb30000 {
++              compatible = "raspberrypi,rpivid-vp9-decoder";
++              reg = <0x0 0x7eb30000 0x10000>;
++              status = "okay";
++      };
++};
++
++&dma {
++      /* The VPU firmware uses DMA channel 11 for VCHIQ */
++      brcm,dma-channel-mask = <0x1f5>;
++};
++
++&dma40 {
++      /* The VPU firmware DMA channel 11 for VCHIQ */
++      brcm,dma-channel-mask = <0x7000>;
++};
++
++&firmwarekms {
++      interrupts = <GIC_SPI 112 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&smi {
++      interrupts = <GIC_SPI 112 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&mmc {
++      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&mmcnr {
++      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&csi0 {
++      interrupts = <GIC_SPI 102 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&csi1 {
++      interrupts = <GIC_SPI 103 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&random {
++      compatible = "brcm,bcm2711-rng200", "brcm,bcm2838-rng200";
++      status = "okay";
++};
++
++&usb {
++      /* Enable the FIQ support */
++      reg = <0x7e980000 0x10000>,
++            <0x7e00b200 0x200>;
++      interrupts = <GIC_SPI 73 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 40 IRQ_TYPE_LEVEL_HIGH>;
++      status = "disabled";
++};
++
++&gpio {
++      interrupts = <GIC_SPI 113 IRQ_TYPE_LEVEL_HIGH>,
++                   <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&cpu_thermal {
++       thermal-sensors = <&thermal>;
++};
++
++&genet {
++      compatible = "brcm,bcm2711-genet-v5", "brcm,genet-v5";
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0418-ARM-dts-Add-minimal-Raspberry-Pi-4-support.patch b/target/linux/bcm27xx/patches-5.4/950-0418-ARM-dts-Add-minimal-Raspberry-Pi-4-support.patch
deleted file mode 100644 (file)
index 15e4f53..0000000
+++ /dev/null
@@ -1,1024 +0,0 @@
-From 19a0ac654994661f63f7c9e099ed91a1210af161 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Sun, 6 Oct 2019 15:41:25 +0200
-Subject: [PATCH] ARM: dts: Add minimal Raspberry Pi 4 support
-
-This adds minimal support for the new Raspberry Pi 4 without the
-fancy stuff like GENET, PCIe, xHCI, 40 bit DMA and V3D. The RPi 4 is
-available in 3 different variants (1, 2 and 4 GB RAM), so leave the memory
-size to zero and let the bootloader take care of it. The DWC2 is still
-usable as peripheral via the USB-C port.
-
-Other differences to the Raspberry Pi 3:
-- additional GIC 400 Interrupt controller
-- new thermal IP and HWRNG
-- additional MMC interface (emmc2)
-- additional UART, I2C, SPI and PWM interfaces
-- clock stretching bug in I2C IP has been fixed
-
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
-Acked-by: Eric Anholt <eric@anholt.net>
-Acked-by: Florian Fanelli <f.fainelli@gmail.com>
----
- arch/arm/boot/dts/Makefile                    |   1 +
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts         | 123 +++
- arch/arm/boot/dts/bcm2711.dtsi                | 844 ++++++++++++++++++
- .../boot/dts/bcm283x-rpi-usb-peripheral.dtsi  |   7 +
- 4 files changed, 975 insertions(+)
- create mode 100644 arch/arm/boot/dts/bcm2711-rpi-4-b.dts
- create mode 100644 arch/arm/boot/dts/bcm2711.dtsi
- create mode 100644 arch/arm/boot/dts/bcm283x-rpi-usb-peripheral.dtsi
-
---- a/arch/arm/boot/dts/Makefile
-+++ b/arch/arm/boot/dts/Makefile
-@@ -97,6 +97,7 @@ dtb-$(CONFIG_ARCH_BCM2835) += \
-       bcm2837-rpi-3-b.dtb \
-       bcm2837-rpi-3-b-plus.dtb \
-       bcm2837-rpi-cm3-io3.dtb \
-+      bcm2711-rpi-4-b.dtb \
-       bcm2835-rpi-zero.dtb \
-       bcm2835-rpi-zero-w.dtb
- dtb-$(CONFIG_ARCH_BCM_5301X) += \
---- /dev/null
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -0,0 +1,123 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/dts-v1/;
-+#include "bcm2711.dtsi"
-+#include "bcm2835-rpi.dtsi"
-+#include "bcm283x-rpi-usb-peripheral.dtsi"
-+
-+/ {
-+      compatible = "raspberrypi,4-model-b", "brcm,bcm2711";
-+      model = "Raspberry Pi 4 Model B";
-+
-+      chosen {
-+              /* 8250 auxiliary UART instead of pl011 */
-+              stdout-path = "serial1:115200n8";
-+      };
-+
-+      /* Will be filled by the bootloader */
-+      memory@0 {
-+              device_type = "memory";
-+              reg = <0 0 0>;
-+      };
-+
-+      leds {
-+              act {
-+                      gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
-+              };
-+
-+              pwr {
-+                      label = "PWR";
-+                      gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
-+              };
-+      };
-+
-+      wifi_pwrseq: wifi-pwrseq {
-+              compatible = "mmc-pwrseq-simple";
-+              reset-gpios = <&expgpio 1 GPIO_ACTIVE_LOW>;
-+      };
-+
-+      sd_io_1v8_reg: sd_io_1v8_reg {
-+              compatible = "regulator-gpio";
-+              regulator-name = "vdd-sd-io";
-+              regulator-min-microvolt = <1800000>;
-+              regulator-max-microvolt = <3300000>;
-+              regulator-boot-on;
-+              regulator-always-on;
-+              regulator-settling-time-us = <5000>;
-+              gpios = <&expgpio 4 GPIO_ACTIVE_HIGH>;
-+              states = <1800000 0x1
-+                        3300000 0x0>;
-+              status = "okay";
-+      };
-+};
-+
-+&firmware {
-+      expgpio: gpio {
-+              compatible = "raspberrypi,firmware-gpio";
-+              gpio-controller;
-+              #gpio-cells = <2>;
-+              gpio-line-names = "BT_ON",
-+                                "WL_ON",
-+                                "PWR_LED_OFF",
-+                                "GLOBAL_RESET",
-+                                "VDD_SD_IO_SEL",
-+                                "CAM_GPIO",
-+                                "",
-+                                "";
-+              status = "okay";
-+      };
-+};
-+
-+&pwm1 {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&pwm1_0_gpio40 &pwm1_1_gpio41>;
-+      status = "okay";
-+};
-+
-+/* SDHCI is used to control the SDIO for wireless */
-+&sdhci {
-+      #address-cells = <1>;
-+      #size-cells = <0>;
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&emmc_gpio34>;
-+      bus-width = <4>;
-+      non-removable;
-+      mmc-pwrseq = <&wifi_pwrseq>;
-+      status = "okay";
-+
-+      brcmf: wifi@1 {
-+              reg = <1>;
-+              compatible = "brcm,bcm4329-fmac";
-+      };
-+};
-+
-+/* EMMC2 is used to drive the SD card */
-+&emmc2 {
-+      vqmmc-supply = <&sd_io_1v8_reg>;
-+      broken-cd;
-+      status = "okay";
-+};
-+
-+/* uart0 communicates with the BT module */
-+&uart0 {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32>;
-+      uart-has-rtscts;
-+      status = "okay";
-+
-+      bluetooth {
-+              compatible = "brcm,bcm43438-bt";
-+              max-speed = <2000000>;
-+              shutdown-gpios = <&expgpio 0 GPIO_ACTIVE_HIGH>;
-+      };
-+};
-+
-+/* uart1 is mapped to the pin header */
-+&uart1 {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&uart1_gpio14>;
-+      status = "okay";
-+};
-+
-+&vchiq {
-+      interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
-+};
---- /dev/null
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -0,0 +1,844 @@
-+// SPDX-License-Identifier: GPL-2.0
-+#include "bcm283x.dtsi"
-+
-+#include <dt-bindings/interrupt-controller/arm-gic.h>
-+#include <dt-bindings/soc/bcm2835-pm.h>
-+
-+/ {
-+      compatible = "brcm,bcm2711";
-+
-+      #address-cells = <2>;
-+      #size-cells = <1>;
-+
-+      interrupt-parent = <&gicv2>;
-+
-+      soc {
-+              /*
-+               * Defined ranges:
-+               *   Common BCM283x peripherals
-+               *   BCM2711-specific peripherals
-+               *   ARM-local peripherals
-+               */
-+              ranges = <0x7e000000  0x0 0xfe000000  0x01800000>,
-+                       <0x7c000000  0x0 0xfc000000  0x02000000>,
-+                       <0x40000000  0x0 0xff800000  0x00800000>;
-+              /* Emulate a contiguous 30-bit address range for DMA */
-+              dma-ranges = <0xc0000000  0x0 0x00000000  0x3c000000>;
-+
-+              /*
-+               * This node is the provider for the enable-method for
-+               * bringing up secondary cores.
-+               */
-+              local_intc: local_intc@40000000 {
-+                      compatible = "brcm,bcm2836-l1-intc";
-+                      reg = <0x40000000 0x100>;
-+              };
-+
-+              gicv2: interrupt-controller@40041000 {
-+                      interrupt-controller;
-+                      #interrupt-cells = <3>;
-+                      compatible = "arm,gic-400";
-+                      reg =   <0x40041000 0x1000>,
-+                              <0x40042000 0x2000>,
-+                              <0x40044000 0x2000>,
-+                              <0x40046000 0x2000>;
-+                      interrupts = <GIC_PPI 9 (GIC_CPU_MASK_SIMPLE(4) |
-+                                               IRQ_TYPE_LEVEL_HIGH)>;
-+              };
-+
-+              dma: dma@7e007000 {
-+                      compatible = "brcm,bcm2835-dma";
-+                      reg = <0x7e007000 0xb00>;
-+                      interrupts = <GIC_SPI 80 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 81 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 82 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 83 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 84 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 85 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 86 IRQ_TYPE_LEVEL_HIGH>,
-+                                   /* DMA lite 7 - 10 */
-+                                   <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 87 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 88 IRQ_TYPE_LEVEL_HIGH>;
-+                      interrupt-names = "dma0",
-+                                        "dma1",
-+                                        "dma2",
-+                                        "dma3",
-+                                        "dma4",
-+                                        "dma5",
-+                                        "dma6",
-+                                        "dma7",
-+                                        "dma8",
-+                                        "dma9",
-+                                        "dma10";
-+                      #dma-cells = <1>;
-+                      brcm,dma-channel-mask = <0x07f5>;
-+              };
-+
-+              pm: watchdog@7e100000 {
-+                      compatible = "brcm,bcm2835-pm", "brcm,bcm2835-pm-wdt";
-+                      #power-domain-cells = <1>;
-+                      #reset-cells = <1>;
-+                      reg = <0x7e100000 0x114>,
-+                            <0x7e00a000 0x24>,
-+                            <0x7ec11000 0x20>;
-+                      clocks = <&clocks BCM2835_CLOCK_V3D>,
-+                               <&clocks BCM2835_CLOCK_PERI_IMAGE>,
-+                               <&clocks BCM2835_CLOCK_H264>,
-+                               <&clocks BCM2835_CLOCK_ISP>;
-+                      clock-names = "v3d", "peri_image", "h264", "isp";
-+                      system-power-controller;
-+              };
-+
-+              rng@7e104000 {
-+                      interrupts = <GIC_SPI 125 IRQ_TYPE_LEVEL_HIGH>;
-+
-+                      /* RNG is incompatible with brcm,bcm2835-rng */
-+                      status = "disabled";
-+              };
-+
-+              uart2: serial@7e201400 {
-+                      compatible = "arm,pl011", "arm,primecell";
-+                      reg = <0x7e201400 0x200>;
-+                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_UART>,
-+                               <&clocks BCM2835_CLOCK_VPU>;
-+                      clock-names = "uartclk", "apb_pclk";
-+                      arm,primecell-periphid = <0x00241011>;
-+                      status = "disabled";
-+              };
-+
-+              uart3: serial@7e201600 {
-+                      compatible = "arm,pl011", "arm,primecell";
-+                      reg = <0x7e201600 0x200>;
-+                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_UART>,
-+                               <&clocks BCM2835_CLOCK_VPU>;
-+                      clock-names = "uartclk", "apb_pclk";
-+                      arm,primecell-periphid = <0x00241011>;
-+                      status = "disabled";
-+              };
-+
-+              uart4: serial@7e201800 {
-+                      compatible = "arm,pl011", "arm,primecell";
-+                      reg = <0x7e201800 0x200>;
-+                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_UART>,
-+                               <&clocks BCM2835_CLOCK_VPU>;
-+                      clock-names = "uartclk", "apb_pclk";
-+                      arm,primecell-periphid = <0x00241011>;
-+                      status = "disabled";
-+              };
-+
-+              uart5: serial@7e201a00 {
-+                      compatible = "arm,pl011", "arm,primecell";
-+                      reg = <0x7e201a00 0x200>;
-+                      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_UART>,
-+                               <&clocks BCM2835_CLOCK_VPU>;
-+                      clock-names = "uartclk", "apb_pclk";
-+                      arm,primecell-periphid = <0x00241011>;
-+                      status = "disabled";
-+              };
-+
-+              spi3: spi@7e204600 {
-+                      compatible = "brcm,bcm2835-spi";
-+                      reg = <0x7e204600 0x0200>;
-+                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              spi4: spi@7e204800 {
-+                      compatible = "brcm,bcm2835-spi";
-+                      reg = <0x7e204800 0x0200>;
-+                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              spi5: spi@7e204a00 {
-+                      compatible = "brcm,bcm2835-spi";
-+                      reg = <0x7e204a00 0x0200>;
-+                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              spi6: spi@7e204c00 {
-+                      compatible = "brcm,bcm2835-spi";
-+                      reg = <0x7e204c00 0x0200>;
-+                      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              i2c3: i2c@7e205600 {
-+                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-+                      reg = <0x7e205600 0x200>;
-+                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              i2c4: i2c@7e205800 {
-+                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-+                      reg = <0x7e205800 0x200>;
-+                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              i2c5: i2c@7e205a00 {
-+                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-+                      reg = <0x7e205a00 0x200>;
-+                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              i2c6: i2c@7e205c00 {
-+                      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-+                      reg = <0x7e205c00 0x200>;
-+                      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2835_CLOCK_VPU>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "disabled";
-+              };
-+
-+              pwm1: pwm@7e20c800 {
-+                      compatible = "brcm,bcm2835-pwm";
-+                      reg = <0x7e20c800 0x28>;
-+                      clocks = <&clocks BCM2835_CLOCK_PWM>;
-+                      assigned-clocks = <&clocks BCM2835_CLOCK_PWM>;
-+                      assigned-clock-rates = <10000000>;
-+                      #pwm-cells = <2>;
-+                      status = "disabled";
-+              };
-+
-+              emmc2: emmc2@7e340000 {
-+                      compatible = "brcm,bcm2711-emmc2";
-+                      reg = <0x7e340000 0x100>;
-+                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
-+                      status = "disabled";
-+              };
-+
-+              hvs@7e400000 {
-+                      interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
-+              };
-+      };
-+
-+      arm-pmu {
-+              compatible = "arm,cortex-a72-pmu", "arm,armv8-pmuv3";
-+              interrupts = <GIC_SPI 16 IRQ_TYPE_LEVEL_HIGH>,
-+                      <GIC_SPI 17 IRQ_TYPE_LEVEL_HIGH>,
-+                      <GIC_SPI 18 IRQ_TYPE_LEVEL_HIGH>,
-+                      <GIC_SPI 19 IRQ_TYPE_LEVEL_HIGH>;
-+              interrupt-affinity = <&cpu0>, <&cpu1>, <&cpu2>, <&cpu3>;
-+      };
-+
-+      timer {
-+              compatible = "arm,armv8-timer";
-+              interrupts = <GIC_PPI 13 (GIC_CPU_MASK_SIMPLE(4) |
-+                                        IRQ_TYPE_LEVEL_LOW)>,
-+                           <GIC_PPI 14 (GIC_CPU_MASK_SIMPLE(4) |
-+                                        IRQ_TYPE_LEVEL_LOW)>,
-+                           <GIC_PPI 11 (GIC_CPU_MASK_SIMPLE(4) |
-+                                        IRQ_TYPE_LEVEL_LOW)>,
-+                           <GIC_PPI 10 (GIC_CPU_MASK_SIMPLE(4) |
-+                                        IRQ_TYPE_LEVEL_LOW)>;
-+              /* This only applies to the ARMv7 stub */
-+              arm,cpu-registers-not-fw-configured;
-+      };
-+
-+      cpus: cpus {
-+              #address-cells = <1>;
-+              #size-cells = <0>;
-+              enable-method = "brcm,bcm2836-smp"; // for ARM 32-bit
-+
-+              cpu0: cpu@0 {
-+                      device_type = "cpu";
-+                      compatible = "arm,cortex-a72";
-+                      reg = <0>;
-+                      enable-method = "spin-table";
-+                      cpu-release-addr = <0x0 0x000000d8>;
-+              };
-+
-+              cpu1: cpu@1 {
-+                      device_type = "cpu";
-+                      compatible = "arm,cortex-a72";
-+                      reg = <1>;
-+                      enable-method = "spin-table";
-+                      cpu-release-addr = <0x0 0x000000e0>;
-+              };
-+
-+              cpu2: cpu@2 {
-+                      device_type = "cpu";
-+                      compatible = "arm,cortex-a72";
-+                      reg = <2>;
-+                      enable-method = "spin-table";
-+                      cpu-release-addr = <0x0 0x000000e8>;
-+              };
-+
-+              cpu3: cpu@3 {
-+                      device_type = "cpu";
-+                      compatible = "arm,cortex-a72";
-+                      reg = <3>;
-+                      enable-method = "spin-table";
-+                      cpu-release-addr = <0x0 0x000000f0>;
-+              };
-+      };
-+};
-+
-+&clk_osc {
-+      clock-frequency = <54000000>;
-+};
-+
-+&clocks {
-+      compatible = "brcm,bcm2711-cprman";
-+};
-+
-+&cpu_thermal {
-+      coefficients = <(-487) 410040>;
-+};
-+
-+&dsi0 {
-+      interrupts = <GIC_SPI 100 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&dsi1 {
-+      interrupts = <GIC_SPI 108 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&gpio {
-+      compatible = "brcm,bcm2711-gpio";
-+      interrupts = <GIC_SPI 113 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 115 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 116 IRQ_TYPE_LEVEL_HIGH>;
-+
-+      gpclk0_gpio49: gpclk0_gpio49 {
-+              pin-gpclk {
-+                      pins = "gpio49";
-+                      function = "alt1";
-+                      bias-disable;
-+              };
-+      };
-+      gpclk1_gpio50: gpclk1_gpio50 {
-+              pin-gpclk {
-+                      pins = "gpio50";
-+                      function = "alt1";
-+                      bias-disable;
-+              };
-+      };
-+      gpclk2_gpio51: gpclk2_gpio51 {
-+              pin-gpclk {
-+                      pins = "gpio51";
-+                      function = "alt1";
-+                      bias-disable;
-+              };
-+      };
-+
-+      i2c0_gpio46: i2c0_gpio46 {
-+              pin-sda {
-+                      function = "alt0";
-+                      pins = "gpio46";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt0";
-+                      pins = "gpio47";
-+                      bias-disable;
-+              };
-+      };
-+      i2c1_gpio46: i2c1_gpio46 {
-+              pin-sda {
-+                      function = "alt1";
-+                      pins = "gpio46";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt1";
-+                      pins = "gpio47";
-+                      bias-disable;
-+              };
-+      };
-+      i2c3_gpio2: i2c3_gpio2 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio2";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio3";
-+                      bias-disable;
-+              };
-+      };
-+      i2c3_gpio4: i2c3_gpio4 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio4";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio5";
-+                      bias-disable;
-+              };
-+      };
-+      i2c4_gpio6: i2c4_gpio6 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio6";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio7";
-+                      bias-disable;
-+              };
-+      };
-+      i2c4_gpio8: i2c4_gpio8 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio8";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio9";
-+                      bias-disable;
-+              };
-+      };
-+      i2c5_gpio10: i2c5_gpio10 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio10";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio11";
-+                      bias-disable;
-+              };
-+      };
-+      i2c5_gpio12: i2c5_gpio12 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio12";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio13";
-+                      bias-disable;
-+              };
-+      };
-+      i2c6_gpio0: i2c6_gpio0 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio0";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio1";
-+                      bias-disable;
-+              };
-+      };
-+      i2c6_gpio22: i2c6_gpio22 {
-+              pin-sda {
-+                      function = "alt5";
-+                      pins = "gpio22";
-+                      bias-pull-up;
-+              };
-+              pin-scl {
-+                      function = "alt5";
-+                      pins = "gpio23";
-+                      bias-disable;
-+              };
-+      };
-+      i2c_slave_gpio8: i2c_slave_gpio8 {
-+              pins-i2c-slave {
-+                      pins = "gpio8",
-+                             "gpio9",
-+                             "gpio10",
-+                             "gpio11";
-+                      function = "alt3";
-+              };
-+      };
-+
-+      jtag_gpio48: jtag_gpio48 {
-+              pins-jtag {
-+                      pins = "gpio48",
-+                             "gpio49",
-+                             "gpio50",
-+                             "gpio51",
-+                             "gpio52",
-+                             "gpio53";
-+                      function = "alt4";
-+              };
-+      };
-+
-+      mii_gpio28: mii_gpio28 {
-+              pins-mii {
-+                      pins = "gpio28",
-+                             "gpio29",
-+                             "gpio30",
-+                             "gpio31";
-+                      function = "alt4";
-+              };
-+      };
-+      mii_gpio36: mii_gpio36 {
-+              pins-mii {
-+                      pins = "gpio36",
-+                             "gpio37",
-+                             "gpio38",
-+                             "gpio39";
-+                      function = "alt5";
-+              };
-+      };
-+
-+      pcm_gpio50: pcm_gpio50 {
-+              pins-pcm {
-+                      pins = "gpio50",
-+                             "gpio51",
-+                             "gpio52",
-+                             "gpio53";
-+                      function = "alt2";
-+              };
-+      };
-+
-+      pwm0_0_gpio12: pwm0_0_gpio12 {
-+              pin-pwm {
-+                      pins = "gpio12";
-+                      function = "alt0";
-+                      bias-disable;
-+              };
-+      };
-+      pwm0_0_gpio18: pwm0_0_gpio18 {
-+              pin-pwm {
-+                      pins = "gpio18";
-+                      function = "alt5";
-+                      bias-disable;
-+              };
-+      };
-+      pwm1_0_gpio40: pwm1_0_gpio40 {
-+              pin-pwm {
-+                      pins = "gpio40";
-+                      function = "alt0";
-+                      bias-disable;
-+              };
-+      };
-+      pwm0_1_gpio13: pwm0_1_gpio13 {
-+              pin-pwm {
-+                      pins = "gpio13";
-+                      function = "alt0";
-+                      bias-disable;
-+              };
-+      };
-+      pwm0_1_gpio19: pwm0_1_gpio19 {
-+              pin-pwm {
-+                      pins = "gpio19";
-+                      function = "alt5";
-+                      bias-disable;
-+              };
-+      };
-+      pwm1_1_gpio41: pwm1_1_gpio41 {
-+              pin-pwm {
-+                      pins = "gpio41";
-+                      function = "alt0";
-+                      bias-disable;
-+              };
-+      };
-+      pwm0_1_gpio45: pwm0_1_gpio45 {
-+              pin-pwm {
-+                      pins = "gpio45";
-+                      function = "alt0";
-+                      bias-disable;
-+              };
-+      };
-+      pwm0_0_gpio52: pwm0_0_gpio52 {
-+              pin-pwm {
-+                      pins = "gpio52";
-+                      function = "alt1";
-+                      bias-disable;
-+              };
-+      };
-+      pwm0_1_gpio53: pwm0_1_gpio53 {
-+              pin-pwm {
-+                      pins = "gpio53";
-+                      function = "alt1";
-+                      bias-disable;
-+              };
-+      };
-+
-+      rgmii_gpio35: rgmii_gpio35 {
-+              pin-start-stop {
-+                      pins = "gpio35";
-+                      function = "alt4";
-+              };
-+              pin-rx-ok {
-+                      pins = "gpio36";
-+                      function = "alt4";
-+              };
-+      };
-+      rgmii_irq_gpio34: rgmii_irq_gpio34 {
-+              pin-irq {
-+                      pins = "gpio34";
-+                      function = "alt5";
-+              };
-+      };
-+      rgmii_irq_gpio39: rgmii_irq_gpio39 {
-+              pin-irq {
-+                      pins = "gpio39";
-+                      function = "alt4";
-+              };
-+      };
-+      rgmii_mdio_gpio28: rgmii_mdio_gpio28 {
-+              pins-mdio {
-+                      pins = "gpio28",
-+                             "gpio29";
-+                      function = "alt5";
-+              };
-+      };
-+      rgmii_mdio_gpio37: rgmii_mdio_gpio37 {
-+              pins-mdio {
-+                      pins = "gpio37",
-+                             "gpio38";
-+                      function = "alt4";
-+              };
-+      };
-+
-+      spi0_gpio46: spi0_gpio46 {
-+              pins-spi {
-+                      pins = "gpio46",
-+                             "gpio47",
-+                             "gpio48",
-+                             "gpio49";
-+                      function = "alt2";
-+              };
-+      };
-+      spi2_gpio46: spi2_gpio46 {
-+              pins-spi {
-+                      pins = "gpio46",
-+                             "gpio47",
-+                             "gpio48",
-+                             "gpio49",
-+                             "gpio50";
-+                      function = "alt5";
-+              };
-+      };
-+      spi3_gpio0: spi3_gpio0 {
-+              pins-spi {
-+                      pins = "gpio0",
-+                             "gpio1",
-+                             "gpio2",
-+                             "gpio3";
-+                      function = "alt3";
-+              };
-+      };
-+      spi4_gpio4: spi4_gpio4 {
-+              pins-spi {
-+                      pins = "gpio4",
-+                             "gpio5",
-+                             "gpio6",
-+                             "gpio7";
-+                      function = "alt3";
-+              };
-+      };
-+      spi5_gpio12: spi5_gpio12 {
-+              pins-spi {
-+                      pins = "gpio12",
-+                             "gpio13",
-+                             "gpio14",
-+                             "gpio15";
-+                      function = "alt3";
-+              };
-+      };
-+      spi6_gpio18: spi6_gpio18 {
-+              pins-spi {
-+                      pins = "gpio18",
-+                             "gpio19",
-+                             "gpio20",
-+                             "gpio21";
-+                      function = "alt3";
-+              };
-+      };
-+
-+      uart2_gpio0: uart2_gpio0 {
-+              pin-tx {
-+                      pins = "gpio0";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+              pin-rx {
-+                      pins = "gpio1";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+      };
-+      uart2_ctsrts_gpio2: uart2_ctsrts_gpio2 {
-+              pin-cts {
-+                      pins = "gpio2";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+              pin-rts {
-+                      pins = "gpio3";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+      };
-+      uart3_gpio4: uart3_gpio4 {
-+              pin-tx {
-+                      pins = "gpio4";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+              pin-rx {
-+                      pins = "gpio5";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+      };
-+      uart3_ctsrts_gpio6: uart3_ctsrts_gpio6 {
-+              pin-cts {
-+                      pins = "gpio6";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+              pin-rts {
-+                      pins = "gpio7";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+      };
-+      uart4_gpio8: uart4_gpio8 {
-+              pin-tx {
-+                      pins = "gpio8";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+              pin-rx {
-+                      pins = "gpio9";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+      };
-+      uart4_ctsrts_gpio10: uart4_ctsrts_gpio10 {
-+              pin-cts {
-+                      pins = "gpio10";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+              pin-rts {
-+                      pins = "gpio11";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+      };
-+      uart5_gpio12: uart5_gpio12 {
-+              pin-tx {
-+                      pins = "gpio12";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+              pin-rx {
-+                      pins = "gpio13";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+      };
-+      uart5_ctsrts_gpio14: uart5_ctsrts_gpio14 {
-+              pin-cts {
-+                      pins = "gpio14";
-+                      function = "alt4";
-+                      bias-pull-up;
-+              };
-+              pin-rts {
-+                      pins = "gpio15";
-+                      function = "alt4";
-+                      bias-disable;
-+              };
-+      };
-+};
-+
-+&i2c0 {
-+      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-+      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&i2c1 {
-+      compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-+      interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&mailbox {
-+      interrupts = <GIC_SPI 33 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&sdhci {
-+      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&sdhost {
-+      interrupts = <GIC_SPI 120 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&spi {
-+      interrupts = <GIC_SPI 118 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&spi1 {
-+      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&spi2 {
-+      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&system_timer {
-+      interrupts = <GIC_SPI 64 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 65 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 66 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 67 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&txp {
-+      interrupts = <GIC_SPI 75 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&uart0 {
-+      interrupts = <GIC_SPI 121 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&uart1 {
-+      interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&usb {
-+      interrupts = <GIC_SPI 73 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&vec {
-+      interrupts = <GIC_SPI 123 IRQ_TYPE_LEVEL_HIGH>;
-+};
---- /dev/null
-+++ b/arch/arm/boot/dts/bcm283x-rpi-usb-peripheral.dtsi
-@@ -0,0 +1,7 @@
-+// SPDX-License-Identifier: GPL-2.0
-+&usb {
-+      dr_mode = "peripheral";
-+      g-rx-fifo-size = <256>;
-+      g-np-tx-fifo-size = <32>;
-+      g-tx-fifo-size = <256 256 512 512 512 768 768>;
-+};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0418-staging-vchiq_arm-Fix-bcm2711-compatible-string.patch b/target/linux/bcm27xx/patches-5.4/950-0418-staging-vchiq_arm-Fix-bcm2711-compatible-string.patch
new file mode 100644 (file)
index 0000000..4436c0a
--- /dev/null
@@ -0,0 +1,32 @@
+From 871370c31c23fcd07ec375a088bd09a0a5a31126 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 31 Jan 2020 09:26:18 +0000
+Subject: [PATCH] staging/vchiq_arm: Fix bcm2711 compatible string
+
+Fixes: "vchiq: Add 36-bit address support"
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
+@@ -151,7 +151,7 @@ static struct vchiq_drvdata bcm2836_drvd
+       .cache_line_size = 64,
+ };
+-static struct vchiq_drvdata bcm2838_drvdata = {
++static struct vchiq_drvdata bcm2711_drvdata = {
+       .cache_line_size = 64,
+       .use_36bit_addrs = true,
+ };
+@@ -3171,7 +3171,7 @@ void vchiq_platform_conn_state_changed(s
+ static const struct of_device_id vchiq_of_match[] = {
+       { .compatible = "brcm,bcm2835-vchiq", .data = &bcm2835_drvdata },
+       { .compatible = "brcm,bcm2836-vchiq", .data = &bcm2836_drvdata },
+-      { .compatible = "brcm,bcm2838-vchiq", .data = &bcm2838_drvdata },
++      { .compatible = "brcm,bcm2711-vchiq", .data = &bcm2711_drvdata },
+       {},
+ };
+ MODULE_DEVICE_TABLE(of, vchiq_of_match);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0419-ARM-dts-bcm2711-force-CMA-into-first-GB-of-memory.patch b/target/linux/bcm27xx/patches-5.4/950-0419-ARM-dts-bcm2711-force-CMA-into-first-GB-of-memory.patch
deleted file mode 100644 (file)
index 44f60d6..0000000
+++ /dev/null
@@ -1,45 +0,0 @@
-From 4bcb99a967998d255ef009bb0b6880ae99c6f6bf Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Wed, 6 Nov 2019 10:59:44 +0100
-Subject: [PATCH] ARM: dts: bcm2711: force CMA into first GB of memory
-
-arm64 places the CMA in ZONE_DMA32, which is not good enough for the
-Raspberry Pi 4 since it contains peripherals that can only address the
-first GB of memory. Explicitly place the CMA into that area.
-
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Acked-by: Stefan Wahren <wahrenst@gmx.net>
-Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
----
- arch/arm/boot/dts/bcm2711.dtsi | 20 ++++++++++++++++++++
- 1 file changed, 20 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -12,6 +12,26 @@
-       interrupt-parent = <&gicv2>;
-+      reserved-memory {
-+              #address-cells = <2>;
-+              #size-cells = <1>;
-+              ranges;
-+
-+              /*
-+               * arm64 reserves the CMA by default somewhere in ZONE_DMA32,
-+               * that's not good enough for the BCM2711 as some devices can
-+               * only address the lower 1G of memory (ZONE_DMA).
-+               */
-+              linux,cma {
-+                      compatible = "shared-dma-pool";
-+                      size = <0x2000000>; /* 32MB */
-+                      alloc-ranges = <0x0 0x00000000 0x40000000>;
-+                      reusable;
-+                      linux,cma-default;
-+              };
-+      };
-+
-+
-       soc {
-               /*
-                * Defined ranges:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0419-hwrng-iproc-rng200-Correct-SoC-name.patch b/target/linux/bcm27xx/patches-5.4/950-0419-hwrng-iproc-rng200-Correct-SoC-name.patch
new file mode 100644 (file)
index 0000000..f4e9330
--- /dev/null
@@ -0,0 +1,67 @@
+From 5eafa5065b2ea2c8d1634f045b85b982393d808a Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 31 Jan 2020 09:36:57 +0000
+Subject: [PATCH] hwrng: iproc-rng200: Correct SoC name
+
+The Pi 4 SoC is called BCM2711, not BCM2838.
+
+Fixes: "hwrng: iproc-rng200: Add BCM2838 support"
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/char/hw_random/Kconfig        |  2 +-
+ drivers/char/hw_random/iproc-rng200.c | 11 +++++------
+ 2 files changed, 6 insertions(+), 7 deletions(-)
+
+--- a/drivers/char/hw_random/Kconfig
++++ b/drivers/char/hw_random/Kconfig
+@@ -94,7 +94,7 @@ config HW_RANDOM_IPROC_RNG200
+       default HW_RANDOM
+       ---help---
+         This driver provides kernel-side support for the RNG200
+-        hardware found on the Broadcom iProc, BCM2838 and STB SoCs.
++        hardware found on the Broadcom iProc, BCM2711 and STB SoCs.
+         To compile this driver as a module, choose M here: the
+         module will be called iproc-rng200
+--- a/drivers/char/hw_random/iproc-rng200.c
++++ b/drivers/char/hw_random/iproc-rng200.c
+@@ -174,7 +174,7 @@ static int iproc_rng200_init(struct hwrn
+       return 0;
+ }
+-static int bcm2838_rng200_read(struct hwrng *rng, void *buf, size_t max,
++static int bcm2711_rng200_read(struct hwrng *rng, void *buf, size_t max,
+                              bool wait)
+ {
+       struct iproc_rng200_dev *priv = to_rng_priv(rng);
+@@ -211,7 +211,7 @@ static int bcm2838_rng200_read(struct hw
+       return num_words * sizeof(u32);
+ }
+-static int bcm2838_rng200_init(struct hwrng *rng)
++static int bcm2711_rng200_init(struct hwrng *rng)
+ {
+       struct iproc_rng200_dev *priv = to_rng_priv(rng);
+       uint32_t val;
+@@ -271,9 +271,9 @@ static int iproc_rng200_probe(struct pla
+       priv->rng.name = pdev->name;
+       priv->rng.cleanup = iproc_rng200_cleanup;
+-      if (of_device_is_compatible(dev->of_node, "brcm,bcm2838-rng200")) {
+-              priv->rng.init = bcm2838_rng200_init;
+-              priv->rng.read = bcm2838_rng200_read;
++      if (of_device_is_compatible(dev->of_node, "brcm,bcm2711-rng200")) {
++              priv->rng.init = bcm2711_rng200_init;
++              priv->rng.read = bcm2711_rng200_read;
+       } else {
+               priv->rng.init = iproc_rng200_init;
+               priv->rng.read = iproc_rng200_read;
+@@ -296,7 +296,6 @@ static const struct of_device_id iproc_r
+       { .compatible = "brcm,bcm7211-rng200", },
+       { .compatible = "brcm,bcm7278-rng200", },
+       { .compatible = "brcm,iproc-rng200", },
+-      { .compatible = "brcm,bcm2838-rng200"},
+       {},
+ };
+ MODULE_DEVICE_TABLE(of, iproc_rng200_of_match);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0420-ARM-dts-Correct-SoC-name.patch b/target/linux/bcm27xx/patches-5.4/950-0420-ARM-dts-Correct-SoC-name.patch
new file mode 100644 (file)
index 0000000..c18eb8a
--- /dev/null
@@ -0,0 +1,50 @@
+From 475158d2aab9dc2e8266726f7b026cedfe810619 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 31 Jan 2020 15:24:59 +0000
+Subject: [PATCH] ARM: dts: Correct SoC name
+
+The Pi 4 SoC is called BCM2711, not BCM2838.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 8 ++++----
+ 1 file changed, 4 insertions(+), 4 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -42,7 +42,7 @@
+ &soc {
+       thermal: thermal@7d5d2200 {
+-              compatible = "brcm,avs-tmon-bcm2838";
++              compatible = "brcm,avs-tmon-bcm2711";
+               reg = <0x7d5d2200 0x2c>;
+               interrupts = <GIC_SPI 137 IRQ_TYPE_LEVEL_HIGH>;
+               interrupt-names = "tmon";
+@@ -106,7 +106,7 @@
+       };
+       dma40: dma@7e007b00 {
+-              compatible = "brcm,bcm2838-dma";
++              compatible = "brcm,bcm2711-dma";
+               reg = <0x0 0x7e007b00 0x400>;
+               interrupts =
+                       <GIC_SPI 89 IRQ_TYPE_LEVEL_HIGH>, /* dma4 11 */
+@@ -122,7 +122,7 @@
+       };
+       vchiq: mailbox@7e00b840 {
+-              compatible = "brcm,bcm2838-vchiq";
++              compatible = "brcm,bcm2711-vchiq";
+               reg = <0 0x7e00b840 0x3c>;
+               interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
+       };
+@@ -195,7 +195,7 @@
+ };
+ &random {
+-      compatible = "brcm,bcm2711-rng200", "brcm,bcm2838-rng200";
++      compatible = "brcm,bcm2711-rng200";
+       status = "okay";
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0420-ARM-dts-bcm2711-rpi-4-Enable-GENET-support.patch b/target/linux/bcm27xx/patches-5.4/950-0420-ARM-dts-bcm2711-rpi-4-Enable-GENET-support.patch
deleted file mode 100644 (file)
index e204859..0000000
+++ /dev/null
@@ -1,86 +0,0 @@
-From 32847947e1d1e1ac2a73c7ea8ad47cca49aef5d4 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <wahrenst@gmx.net>
-Date: Mon, 11 Nov 2019 20:49:26 +0100
-Subject: [PATCH] ARM: dts: bcm2711-rpi-4: Enable GENET support
-
-This enables the Gigabit Ethernet support on the Raspberry Pi 4.
-The defined PHY mode is equivalent to the default register settings
-in the downstream tree.
-
-Signed-off-by: Matthias Brugger <mbrugger@suse.com>
-Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
-Reviewed-by: Florian Fainelli <f.fainelli@gmail.com>
-Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 17 +++++++++++++++++
- arch/arm/boot/dts/bcm2711.dtsi        | 26 ++++++++++++++++++++++++++
- 2 files changed, 43 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -19,6 +19,10 @@
-               reg = <0 0 0>;
-       };
-+      aliases {
-+              ethernet0 = &genet;
-+      };
-+
-       leds {
-               act {
-                       gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
-@@ -97,6 +101,19 @@
-       status = "okay";
- };
-+&genet {
-+      phy-handle = <&phy1>;
-+      phy-mode = "rgmii-rxid";
-+      status = "okay";
-+};
-+
-+&genet_mdio {
-+      phy1: ethernet-phy@1 {
-+              /* No PHY interrupt */
-+              reg = <0x1>;
-+      };
-+};
-+
- /* uart0 communicates with the BT module */
- &uart0 {
-       pinctrl-names = "default";
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -325,6 +325,32 @@
-                       cpu-release-addr = <0x0 0x000000f0>;
-               };
-       };
-+
-+      scb {
-+              compatible = "simple-bus";
-+              #address-cells = <2>;
-+              #size-cells = <1>;
-+
-+              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>;
-+
-+              genet: ethernet@7d580000 {
-+                      compatible = "brcm,bcm2711-genet-v5";
-+                      reg = <0x0 0x7d580000 0x10000>;
-+                      #address-cells = <0x1>;
-+                      #size-cells = <0x1>;
-+                      interrupts = <GIC_SPI 157 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 158 IRQ_TYPE_LEVEL_HIGH>;
-+                      status = "disabled";
-+
-+                      genet_mdio: mdio@e14 {
-+                              compatible = "brcm,genet-mdio-v5";
-+                              reg = <0xe14 0x8>;
-+                              reg-names = "mdio";
-+                              #address-cells = <0x0>;
-+                              #size-cells = <0x1>;
-+                      };
-+              };
-+      };
- };
- &clk_osc {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0421-ARM-dts-Remove-CMA-allocation-from-Pi-4-dts.patch b/target/linux/bcm27xx/patches-5.4/950-0421-ARM-dts-Remove-CMA-allocation-from-Pi-4-dts.patch
new file mode 100644 (file)
index 0000000..2c09345
--- /dev/null
@@ -0,0 +1,32 @@
+From 1a66f120abddf36eaf2540532ddeb7f7767442c5 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Sat, 1 Feb 2020 08:58:11 +0000
+Subject: [PATCH] ARM: dts: Remove CMA allocation from Pi 4 dts
+
+The 5.5 tree includes a patch to disable the CMA command line
+parameter and replace it with properties from a DT node.
+The upstream Pi 4 .dts, now used downstream with modifications,
+includes the "linux,cma" node, but only reserves 32MB which is
+often not enough.
+
+Temporarily remove the "linux,cma" node to reenable the command line
+parameter.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -167,6 +167,10 @@
+       };
+       /delete-node/ wifi-pwrseq;
++
++      reserved-memory {
++              /delete-node/ linux,cma;
++      };
+ };
+ &mmcnr {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0421-ARM-dts-bcm2711-fix-soc-s-node-dma-ranges.patch b/target/linux/bcm27xx/patches-5.4/950-0421-ARM-dts-bcm2711-fix-soc-s-node-dma-ranges.patch
deleted file mode 100644 (file)
index ed0be9b..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-From 44d7ee4730fbe3c00aba0457489acd0b6e2937c9 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Wed, 4 Dec 2019 13:56:33 +0100
-Subject: [PATCH] ARM: dts: bcm2711: fix soc's node dma-ranges
-
-Raspberry Pi's firmware has a feature to select how much memory to
-reserve for its GPU called 'gpu_mem'. The possible values go from 16MB
-to 944MB, with a default of 64MB. This memory resides in the topmost
-part of the lower 1GB memory area and grows bigger expanding towards the
-begging of memory.
-
-It turns out that with low 'gpu_mem' values (16MB and 32MB) the size of
-the memory available to the system in the lower 1GB area can outgrow the
-interconnect's dma-range as its size was selected based on the maximum
-system memory available given the default gpu_mem configuration. This
-makes that memory slice unavailable for DMA. And may cause nasty kernel
-warnings if CMA happens to include it.
-
-Change soc's dma-ranges to really reflect it's HW limitation, which is
-being able to only DMA to the lower 1GB area.
-
-Fixes: 7dbe8c62ceeb ("ARM: dts: Add minimal Raspberry Pi 4 support")
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Phil Elwell <phil@raspberrypi.org>
-Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
----
- arch/arm/boot/dts/bcm2711.dtsi | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -43,7 +43,7 @@
-                        <0x7c000000  0x0 0xfc000000  0x02000000>,
-                        <0x40000000  0x0 0xff800000  0x00800000>;
-               /* Emulate a contiguous 30-bit address range for DMA */
--              dma-ranges = <0xc0000000  0x0 0x00000000  0x3c000000>;
-+              dma-ranges = <0xc0000000  0x0 0x00000000  0x40000000>;
-               /*
-                * This node is the provider for the enable-method for
diff --git a/target/linux/bcm27xx/patches-5.4/950-0422-ARM-dts-Rebuild-downstream-DTS-files.patch b/target/linux/bcm27xx/patches-5.4/950-0422-ARM-dts-Rebuild-downstream-DTS-files.patch
deleted file mode 100644 (file)
index 8d230d0..0000000
+++ /dev/null
@@ -1,1076 +0,0 @@
-From b229e7f5a6d21d1b52f3f19fed58bba638714884 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Thu, 30 Jan 2020 15:48:00 +0000
-Subject: [PATCH] ARM: dts: Rebuild downstream DTS files
-
-Refactor the tree of downstream DTS files to achieve approximately the
-same end result but wihout modifying upstream files (except for
-bcm2711-rpi-4-b.dts).
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2708-rpi.dtsi         | 133 +--------
- arch/arm/boot/dts/bcm2708.dtsi             |   4 +
- arch/arm/boot/dts/bcm2709.dtsi             |   4 +
- arch/arm/boot/dts/bcm270x-rpi.dtsi         | 139 +++++++++
- arch/arm/boot/dts/bcm270x.dtsi             |  98 ++++---
- arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts |  13 +
- arch/arm/boot/dts/bcm2710.dtsi             |   4 +
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts      | 315 ++++++++++++++++++++-
- arch/arm/boot/dts/bcm2711-rpi.dtsi         | 222 +++++++++++++++
- 9 files changed, 766 insertions(+), 166 deletions(-)
- create mode 100644 arch/arm/boot/dts/bcm270x-rpi.dtsi
- create mode 100644 arch/arm/boot/dts/bcm2711-rpi.dtsi
-
---- a/arch/arm/boot/dts/bcm2708-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2708-rpi.dtsi
-@@ -1,6 +1,7 @@
--/* Downstream modifications to bcm2835-rpi.dtsi */
-+/* Downstream modifications common to bcm2835, bcm2836, bcm2837 */
- #include "bcm2835-rpi.dtsi"
-+#include "bcm270x-rpi.dtsi"
- / {
-       memory@0 {
-@@ -9,147 +10,27 @@
-       };
-       aliases {
--              audio = &audio;
--              aux = &aux;
--              sound = &sound;
--              soc = &soc;
--              dma = &dma;
--              intc = &intc;
--              watchdog = &watchdog;
--              random = &random;
--              mailbox = &mailbox;
--              gpio = &gpio;
--              uart0 = &uart0;
--              sdhost = &sdhost;
--              mmc0 = &sdhost;
--              i2s = &i2s;
--              spi0 = &spi0;
--              i2c0 = &i2c0;
--              uart1 = &uart1;
--              spi1 = &spi1;
--              spi2 = &spi2;
--              mmc = &mmc;
--              mmc1 = &mmc;
--              i2c1 = &i2c1;
-               i2c2 = &i2c2;
--              usb = &usb;
--              leds = &leds;
--              fb = &fb;
--              thermal = &thermal;
--              axiperf = &axiperf;
--      };
--
--      leds: leds {
--              compatible = "gpio-leds";
--      };
--
--      soc {
--              gpiomem {
--                      compatible = "brcm,bcm2835-gpiomem";
--                      reg = <0x7e200000 0x1000>;
--              };
--
--              fb: fb {
--                      compatible = "brcm,bcm2708-fb";
--                      firmware = <&firmware>;
--                      status = "okay";
--              };
--
--              vcsm: vcsm {
--                      compatible = "raspberrypi,bcm2835-vcsm";
--                      firmware = <&firmware>;
--                      status = "okay";
--              };
--
--              /* Onboard audio */
--              audio: audio {
--                      compatible = "brcm,bcm2835-audio";
--                      brcm,pwm-channels = <8>;
--                      status = "disabled";
--              };
--
--              /* External sound card */
--              sound: sound {
--                      status = "disabled";
--              };
-       };
-       __overrides__ {
--              cache_line_size;
--
--              uart0 = <&uart0>,"status";
--              uart1 = <&uart1>,"status";
--              i2s = <&i2s>,"status";
--              spi = <&spi0>,"status";
--              i2c0 = <&i2c0>,"status";
--              i2c1 = <&i2c1>,"status";
-               i2c2_iknowwhatimdoing = <&i2c2>,"status";
--              i2c0_baudrate = <&i2c0>,"clock-frequency:0";
--              i2c1_baudrate = <&i2c1>,"clock-frequency:0";
-               i2c2_baudrate = <&i2c2>,"clock-frequency:0";
--
--              audio = <&audio>,"status";
--              watchdog = <&watchdog>,"status";
--              random = <&random>,"status";
--              sd_overclock = <&sdhost>,"brcm,overclock-50:0";
--              sd_poll_once = <&sdhost>,"non-removable?";
--              sd_force_pio = <&sdhost>,"brcm,force-pio?";
--              sd_pio_limit = <&sdhost>,"brcm,pio-limit:0";
--              sd_debug     = <&sdhost>,"brcm,debug";
--              sdio_overclock = <&mmc>,"brcm,overclock-50:0",
--                               <&mmcnr>,"brcm,overclock-50:0";
--              axiperf      = <&axiperf>,"status";
-+              sd_poll_once = <&sdhost>, "non-removable?";
-       };
- };
--&hdmi {
--      power-domains = <&power RPI_POWER_DOMAIN_HDMI>;
--      status = "disabled";
--};
--
--&txp {
--      status = "disabled";
--};
--
--&i2c0 {
--      status = "disabled";
--};
--
--&i2c1 {
--      status = "disabled";
--};
--
--&i2c2 {
--      status = "disabled";
--};
--
--&clocks {
--      firmware = <&firmware>;
--};
--
--&sdhci {
--      pinctrl-names = "default";
--      pinctrl-0 = <&emmc_gpio48>;
--      bus-width = <4>;
--};
--
--sdhost_pins: &sdhost_gpio48 {
--      /* Add alias */
--};
--
- &sdhost {
-       pinctrl-names = "default";
-       pinctrl-0 = <&sdhost_gpio48>;
--      bus-width = <4>;
--      brcm,overclock-50 = <0>;
--      brcm,pio-limit = <1>;
-       status = "okay";
- };
--&cpu_thermal {
--      /delete-node/ trips;
-+&hdmi {
-+      power-domains = <&power RPI_POWER_DOMAIN_HDMI>;
-+      status = "disabled";
- };
--&vec {
-+&i2c2 {
-       status = "disabled";
- };
---- a/arch/arm/boot/dts/bcm2708.dtsi
-+++ b/arch/arm/boot/dts/bcm2708.dtsi
-@@ -8,3 +8,7 @@
-               arm_freq;
-       };
- };
-+
-+&vc4 {
-+      status = "disabled";
-+};
---- a/arch/arm/boot/dts/bcm2709.dtsi
-+++ b/arch/arm/boot/dts/bcm2709.dtsi
-@@ -16,3 +16,7 @@
-                          <&v7_cpu3>, "clock-frequency:0";
-       };
- };
-+
-+&vc4 {
-+      status = "disabled";
-+};
---- /dev/null
-+++ b/arch/arm/boot/dts/bcm270x-rpi.dtsi
-@@ -0,0 +1,139 @@
-+/* Downstream modifications to bcm2835-rpi.dtsi */
-+
-+/ {
-+      aliases {
-+              audio = &audio;
-+              aux = &aux;
-+              sound = &sound;
-+              soc = &soc;
-+              dma = &dma;
-+              intc = &intc;
-+              watchdog = &watchdog;
-+              random = &random;
-+              mailbox = &mailbox;
-+              gpio = &gpio;
-+              uart0 = &uart0;
-+              uart1 = &uart1;
-+              sdhost = &sdhost;
-+              mmc = &mmc;
-+              mmc1 = &mmc;
-+              mmc0 = &sdhost;
-+              i2s = &i2s;
-+              i2c0 = &i2c0;
-+              i2c1 = &i2c1;
-+              spi0 = &spi0;
-+              spi1 = &spi1;
-+              spi2 = &spi2;
-+              usb = &usb;
-+              leds = &leds;
-+              fb = &fb;
-+              thermal = &thermal;
-+              axiperf = &axiperf;
-+      };
-+
-+      /* Define these notional regulators for use by overlays */
-+      vdd_3v3_reg: fixedregulator_3v3 {
-+              compatible = "regulator-fixed";
-+              regulator-always-on;
-+              regulator-max-microvolt = <3300000>;
-+              regulator-min-microvolt = <3300000>;
-+              regulator-name = "3v3";
-+      };
-+
-+      vdd_5v0_reg: fixedregulator_5v0 {
-+              compatible = "regulator-fixed";
-+              regulator-always-on;
-+              regulator-max-microvolt = <5000000>;
-+              regulator-min-microvolt = <5000000>;
-+              regulator-name = "5v0";
-+      };
-+
-+      leds: leds {
-+              compatible = "gpio-leds";
-+      };
-+
-+      soc {
-+              gpiomem {
-+                      compatible = "brcm,bcm2835-gpiomem";
-+                      reg = <0x7e200000 0x1000>;
-+              };
-+
-+              fb: fb {
-+                      compatible = "brcm,bcm2708-fb";
-+                      firmware = <&firmware>;
-+                      status = "okay";
-+              };
-+
-+              vcsm: vcsm {
-+                      compatible = "raspberrypi,bcm2835-vcsm";
-+                      firmware = <&firmware>;
-+                      status = "okay";
-+              };
-+
-+              /* Onboard audio */
-+              audio: audio {
-+                      compatible = "brcm,bcm2835-audio";
-+                      brcm,pwm-channels = <8>;
-+                      status = "disabled";
-+              };
-+
-+              /* External sound card */
-+              sound: sound {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      __overrides__ {
-+              cache_line_size;
-+
-+              uart0 = <&uart0>,"status";
-+              uart1 = <&uart1>,"status";
-+              i2s = <&i2s>,"status";
-+              spi = <&spi0>,"status";
-+              i2c0 = <&i2c0>,"status";
-+              i2c1 = <&i2c1>,"status";
-+              i2c0_baudrate = <&i2c0>,"clock-frequency:0";
-+              i2c1_baudrate = <&i2c1>,"clock-frequency:0";
-+
-+              audio = <&audio>,"status";
-+              watchdog = <&watchdog>,"status";
-+              random = <&random>,"status";
-+              sd_overclock = <&sdhost>,"brcm,overclock-50:0";
-+              sd_force_pio = <&sdhost>,"brcm,force-pio?";
-+              sd_pio_limit = <&sdhost>,"brcm,pio-limit:0";
-+              sd_debug     = <&sdhost>,"brcm,debug";
-+              sdio_overclock = <&mmc>,"brcm,overclock-50:0",
-+                               <&mmcnr>,"brcm,overclock-50:0";
-+              axiperf      = <&axiperf>,"status";
-+      };
-+};
-+
-+&txp {
-+      status = "disabled";
-+};
-+
-+&i2c0 {
-+      status = "disabled";
-+};
-+
-+&i2c1 {
-+      status = "disabled";
-+};
-+
-+&clocks {
-+      firmware = <&firmware>;
-+};
-+
-+&sdhci {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&emmc_gpio48>;
-+      bus-width = <4>;
-+};
-+
-+&cpu_thermal {
-+      /delete-node/ trips;
-+};
-+
-+&vec {
-+      status = "disabled";
-+};
---- a/arch/arm/boot/dts/bcm270x.dtsi
-+++ b/arch/arm/boot/dts/bcm270x.dtsi
-@@ -17,32 +17,8 @@
-                       /* Add label */
-               };
--              gpio@7e200000 { /* gpio */
--                      interrupts = <2 17>, <2 18>;
--
--                      dpi_18bit_gpio0: dpi_18bit_gpio0 {
--                              brcm,pins = <0 1 2 3 4 5 6 7 8 9 10 11
--                                           12 13 14 15 16 17 18 19
--                                           20 21>;
--                              brcm,function = <BCM2835_FSEL_ALT2>;
--                      };
--              };
--
--              serial@7e201000 { /* uart0 */
--                      /* Enable CTS bug workaround */
--                      cts-event-workaround;
--              };
--
--              i2s@7e203000 { /* i2s */
--                      #sound-dai-cells = <0>;
--                      reg = <0x7e203000 0x24>;
--                      clocks = <&clocks BCM2835_CLOCK_PCM>;
--              };
--
-               spi0: spi@7e204000 {
-                       /* Add label */
--                      dmas = <&dma 6>, <&dma 7>;
--                      dma-names = "tx", "rx";
-               };
-               pixelvalve0: pixelvalve@7e206000 {
-@@ -55,17 +31,6 @@
-                       status = "disabled";
-               };
--              dpi: dpi@7e208000 {
--                      compatible = "brcm,bcm2835-dpi";
--                      reg = <0x7e208000 0x8c>;
--                      clocks = <&clocks BCM2835_CLOCK_VPU>,
--                               <&clocks BCM2835_CLOCK_DPI>;
--                      clock-names = "core", "pixel";
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--                      status = "disabled";
--              };
--
-               /delete-node/ sdhci@7e300000;
-               sdhci: mmc: mmc@7e300000 {
-@@ -118,6 +83,34 @@
-                       status = "disabled";
-               };
-+              csi0: csi@7e800000 {
-+                      compatible = "brcm,bcm2835-unicam";
-+                      reg = <0x7e800000 0x800>,
-+                            <0x7e802000 0x4>;
-+                      interrupts = <2 6>;
-+                      clocks = <&clocks BCM2835_CLOCK_CAM0>;
-+                      clock-names = "lp";
-+                      power-domains = <&power RPI_POWER_DOMAIN_UNICAM0>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      #clock-cells = <1>;
-+                      status = "disabled";
-+              };
-+
-+              csi1: csi@7e801000 {
-+                      compatible = "brcm,bcm2835-unicam";
-+                      reg = <0x7e801000 0x800>,
-+                            <0x7e802004 0x4>;
-+                      interrupts = <2 7>;
-+                      clocks = <&clocks BCM2835_CLOCK_CAM1>;
-+                      clock-names = "lp";
-+                      power-domains = <&power RPI_POWER_DOMAIN_UNICAM1>;
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      #clock-cells = <1>;
-+                      status = "disabled";
-+              };
-+
-               pixelvalve2: pixelvalve@7e807000 {
-                       /* Add label */
-                       status = "disabled";
-@@ -160,6 +153,37 @@
-       };
- };
--&vc4 {
--      status = "disabled";
-+&gpio {
-+      interrupts = <2 17>, <2 18>;
-+
-+      dpi_18bit_gpio0: dpi_18bit_gpio0 {
-+              brcm,pins = <0 1 2 3 4 5 6 7 8 9 10 11
-+                           12 13 14 15 16 17 18 19
-+                           20 21>;
-+              brcm,function = <BCM2835_FSEL_ALT2>;
-+      };
-+};
-+
-+&uart0 {
-+      /* Enable CTS bug workaround */
-+      cts-event-workaround;
-+};
-+
-+&i2s {
-+      #sound-dai-cells = <0>;
-+      dmas = <&dma 2>, <&dma 3>;
-+      dma-names = "tx", "rx";
-+};
-+
-+&sdhost {
-+      dmas = <&dma (13|(1<<29))>;
-+      dma-names = "rx-tx";
-+      bus-width = <4>;
-+      brcm,overclock-50 = <0>;
-+      brcm,pio-limit = <1>;
-+};
-+
-+&spi0 {
-+      dmas = <&dma 6>, <&dma 7>;
-+      dma-names = "tx", "rx";
- };
---- a/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
-+++ b/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
-@@ -170,6 +170,12 @@
-       pinctrl-0 = <&audio_pins>;
- };
-+&eth_phy {
-+      microchip,eee-enabled;
-+      microchip,tx-lpi-timer = <600>; /* non-aggressive*/
-+      microchip,downshift-after = <2>;
-+};
-+
- / {
-       __overrides__ {
-               act_led_gpio = <&act_led>,"gpios:4";
-@@ -179,5 +185,12 @@
-               pwr_led_gpio = <&pwr_led>,"gpios:4";
-               pwr_led_activelow = <&pwr_led>,"gpios:8";
-               pwr_led_trigger = <&pwr_led>,"linux,default-trigger";
-+
-+              eee = <&eth_phy>,"microchip,eee-enabled?";
-+              tx_lpi_timer = <&eth_phy>,"microchip,tx-lpi-timer:0";
-+              eth_led0 = <&eth_phy>,"microchip,led-modes:0";
-+              eth_led1 = <&eth_phy>,"microchip,led-modes:4";
-+              eth_downshift_after = <&eth_phy>,"microchip,downshift-after:0";
-+              eth_max_speed = <&eth_phy>,"max-speed:0";
-       };
- };
---- a/arch/arm/boot/dts/bcm2710.dtsi
-+++ b/arch/arm/boot/dts/bcm2710.dtsi
-@@ -23,3 +23,7 @@
-                      <&cpu3>, "clock-frequency:0";
-       };
- };
-+
-+&vc4 {
-+      status = "disabled";
-+};
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -2,7 +2,6 @@
- /dts-v1/;
- #include "bcm2711.dtsi"
- #include "bcm2835-rpi.dtsi"
--#include "bcm283x-rpi-usb-peripheral.dtsi"
- / {
-       compatible = "raspberrypi,4-model-b", "brcm,bcm2711";
-@@ -65,8 +64,8 @@
-                                 "GLOBAL_RESET",
-                                 "VDD_SD_IO_SEL",
-                                 "CAM_GPIO",
--                                "",
--                                "";
-+                                "SD_PWR_ON",
-+                                "SD_OC_N";
-               status = "okay";
-       };
- };
-@@ -138,3 +137,313 @@
- &vchiq {
-       interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
- };
-+
-+// =============================================
-+// Downstream rpi- changes
-+
-+#include "bcm270x.dtsi"
-+#include "bcm2711-rpi.dtsi"
-+#include "bcm283x-rpi-csi1-2lane.dtsi"
-+
-+/ {
-+      chosen {
-+              bootargs = "coherent_pool=1M 8250.nr_uarts=1 cma=64M";
-+      };
-+
-+      aliases {
-+              serial0 = &uart1;
-+              serial1 = &uart0;
-+              mmc0 = &emmc2;
-+              mmc1 = &mmcnr;
-+              mmc2 = &sdhost;
-+              /delete-property/ i2c2;
-+              i2c3 = &i2c3;
-+              i2c4 = &i2c4;
-+              i2c5 = &i2c5;
-+              i2c6 = &i2c6;
-+              /delete-property/ ethernet;
-+              /delete-property/ intc;
-+              pcie0 = &pcie_0;
-+      };
-+
-+      /delete-node/ wifi-pwrseq;
-+};
-+
-+&mmcnr {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&sdio_pins>;
-+      bus-width = <4>;
-+      status = "okay";
-+};
-+
-+&uart0 {
-+      pinctrl-0 = <&uart0_pins &bt_pins>;
-+      status = "okay";
-+
-+      /delete-node/ bluetooth;
-+};
-+
-+&uart1 {
-+      pinctrl-0 = <&uart1_pins>;
-+};
-+
-+&spi0 {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&spi0_pins &spi0_cs_pins>;
-+      cs-gpios = <&gpio 8 1>, <&gpio 7 1>;
-+
-+      spidev0: spidev@0{
-+              compatible = "spidev";
-+              reg = <0>;      /* CE0 */
-+              #address-cells = <1>;
-+              #size-cells = <0>;
-+              spi-max-frequency = <125000000>;
-+      };
-+
-+      spidev1: spidev@1{
-+              compatible = "spidev";
-+              reg = <1>;      /* CE1 */
-+              #address-cells = <1>;
-+              #size-cells = <0>;
-+              spi-max-frequency = <125000000>;
-+      };
-+};
-+
-+&gpio {
-+      spi0_pins: spi0_pins {
-+              brcm,pins = <9 10 11>;
-+              brcm,function = <BCM2835_FSEL_ALT0>;
-+      };
-+
-+      spi0_cs_pins: spi0_cs_pins {
-+              brcm,pins = <8 7>;
-+              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
-+      };
-+
-+      spi3_pins: spi3_pins {
-+              brcm,pins = <1 2 3>;
-+              brcm,function = <BCM2835_FSEL_ALT3>;
-+      };
-+
-+      spi3_cs_pins: spi3_cs_pins {
-+              brcm,pins = <0 24>;
-+              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
-+      };
-+
-+      spi4_pins: spi4_pins {
-+              brcm,pins = <5 6 7>;
-+              brcm,function = <BCM2835_FSEL_ALT3>;
-+      };
-+
-+      spi4_cs_pins: spi4_cs_pins {
-+              brcm,pins = <4 25>;
-+              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
-+      };
-+
-+      spi5_pins: spi5_pins {
-+              brcm,pins = <13 14 15>;
-+              brcm,function = <BCM2835_FSEL_ALT3>;
-+      };
-+
-+      spi5_cs_pins: spi5_cs_pins {
-+              brcm,pins = <12 26>;
-+              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
-+      };
-+
-+      spi6_pins: spi6_pins {
-+              brcm,pins = <19 20 21>;
-+              brcm,function = <BCM2835_FSEL_ALT3>;
-+      };
-+
-+      spi6_cs_pins: spi6_cs_pins {
-+              brcm,pins = <18 27>;
-+              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
-+      };
-+
-+      i2c0_pins: i2c0 {
-+              brcm,pins = <0 1>;
-+              brcm,function = <BCM2835_FSEL_ALT0>;
-+              brcm,pull = <BCM2835_PUD_UP>;
-+      };
-+
-+      i2c1_pins: i2c1 {
-+              brcm,pins = <2 3>;
-+              brcm,function = <BCM2835_FSEL_ALT0>;
-+              brcm,pull = <BCM2835_PUD_UP>;
-+      };
-+
-+      i2c3_pins: i2c3 {
-+              brcm,pins = <4 5>;
-+              brcm,function = <BCM2835_FSEL_ALT5>;
-+              brcm,pull = <BCM2835_PUD_UP>;
-+      };
-+
-+      i2c4_pins: i2c4 {
-+              brcm,pins = <8 9>;
-+              brcm,function = <BCM2835_FSEL_ALT5>;
-+              brcm,pull = <BCM2835_PUD_UP>;
-+      };
-+
-+      i2c5_pins: i2c5 {
-+              brcm,pins = <12 13>;
-+              brcm,function = <BCM2835_FSEL_ALT5>;
-+              brcm,pull = <BCM2835_PUD_UP>;
-+      };
-+
-+      i2c6_pins: i2c6 {
-+              brcm,pins = <22 23>;
-+              brcm,function = <BCM2835_FSEL_ALT5>;
-+              brcm,pull = <BCM2835_PUD_UP>;
-+      };
-+
-+      i2s_pins: i2s {
-+              brcm,pins = <18 19 20 21>;
-+              brcm,function = <BCM2835_FSEL_ALT0>;
-+      };
-+
-+      sdio_pins: sdio_pins {
-+              brcm,pins =     <34 35 36 37 38 39>;
-+              brcm,function = <BCM2835_FSEL_ALT3>; // alt3 = SD1
-+              brcm,pull =     <0 2 2 2 2 2>;
-+      };
-+
-+      bt_pins: bt_pins {
-+              brcm,pins = "-"; // non-empty to keep btuart happy, //4 = 0
-+                               // to fool pinctrl
-+              brcm,function = <0>;
-+              brcm,pull = <2>;
-+      };
-+
-+      uart0_pins: uart0_pins {
-+              brcm,pins = <32 33>;
-+              brcm,function = <BCM2835_FSEL_ALT3>;
-+              brcm,pull = <0 2>;
-+      };
-+
-+      uart1_pins: uart1_pins {
-+              brcm,pins;
-+              brcm,function;
-+              brcm,pull;
-+      };
-+
-+      uart2_pins: uart2_pins {
-+              brcm,pins = <0 1>;
-+              brcm,function = <BCM2835_FSEL_ALT4>;
-+              brcm,pull = <0 2>;
-+      };
-+
-+      uart3_pins: uart3_pins {
-+              brcm,pins = <4 5>;
-+              brcm,function = <BCM2835_FSEL_ALT4>;
-+              brcm,pull = <0 2>;
-+      };
-+
-+      uart4_pins: uart4_pins {
-+              brcm,pins = <8 9>;
-+              brcm,function = <BCM2835_FSEL_ALT4>;
-+              brcm,pull = <0 2>;
-+      };
-+
-+      uart5_pins: uart5_pins {
-+              brcm,pins = <12 13>;
-+              brcm,function = <BCM2835_FSEL_ALT4>;
-+              brcm,pull = <0 2>;
-+      };
-+};
-+
-+&i2c0 {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&i2c0_pins>;
-+      clock-frequency = <100000>;
-+};
-+
-+&i2c1 {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&i2c1_pins>;
-+      clock-frequency = <100000>;
-+};
-+
-+&i2s {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&i2s_pins>;
-+};
-+
-+/ {
-+      __overrides__ {
-+              /delete-property/ i2c2_baudrate;
-+              /delete-property/ i2c2_iknowwhatimdoing;
-+      };
-+};
-+
-+// =============================================
-+// Board specific stuff here
-+
-+/ {
-+      sd_vcc_reg: sd_vcc_reg {
-+              compatible = "regulator-fixed";
-+              regulator-name = "vcc-sd";
-+              regulator-min-microvolt = <3300000>;
-+              regulator-max-microvolt = <3300000>;
-+              regulator-boot-on;
-+              enable-active-high;
-+              gpio = <&expgpio 6 GPIO_ACTIVE_HIGH>;
-+      };
-+};
-+
-+&sdhost {
-+      status = "disabled";
-+};
-+
-+&emmc2 {
-+      vmmc-supply = <&sd_vcc_reg>;
-+};
-+
-+&phy1 {
-+      led-modes = <0x00 0x08>; /* link/activity link */
-+};
-+
-+&gpio {
-+      audio_pins: audio_pins {
-+              brcm,pins = <40 41>;
-+              brcm,function = <4>;
-+      };
-+};
-+
-+&leds {
-+      act_led: act {
-+              label = "led0";
-+              linux,default-trigger = "mmc0";
-+              gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
-+      };
-+
-+      pwr_led: pwr {
-+              label = "led1";
-+              linux,default-trigger = "default-on";
-+              gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
-+      };
-+};
-+
-+&pwm1 {
-+      status = "disabled";
-+};
-+
-+&audio {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&audio_pins>;
-+};
-+
-+/ {
-+      __overrides__ {
-+              act_led_gpio = <&act_led>,"gpios:4";
-+              act_led_activelow = <&act_led>,"gpios:8";
-+              act_led_trigger = <&act_led>,"linux,default-trigger";
-+
-+              pwr_led_gpio = <&pwr_led>,"gpios:4";
-+              pwr_led_activelow = <&pwr_led>,"gpios:8";
-+              pwr_led_trigger = <&pwr_led>,"linux,default-trigger";
-+
-+              eth_led0 = <&phy1>,"led-modes:0";
-+              eth_led1 = <&phy1>,"led-modes:4";
-+
-+      };
-+};
---- /dev/null
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -0,0 +1,222 @@
-+// SPDX-License-Identifier: GPL-2.0
-+#include "bcm270x-rpi.dtsi"
-+
-+/ {
-+      soc {
-+              /delete-node/ v3d@7ec00000;
-+              /delete-node/ mailbox@7e00b840;
-+      };
-+
-+      __overrides__ {
-+              arm_freq;
-+              sd_poll_once = <&emmc2>, "non-removable?";
-+      };
-+
-+      v3dbus {
-+              compatible = "simple-bus";
-+              #address-cells = <1>;
-+              #size-cells = <2>;
-+              ranges = <0x7c500000  0x0 0xfc500000  0x0 0x03300000>,
-+                       <0x40000000  0x0 0xff800000  0x0 0x00800000>;
-+              dma-ranges = <0x00000000  0x0 0x00000000  0x4 0x00000000>;
-+
-+              v3d: v3d@7ec04000 {
-+                      compatible = "brcm,2711-v3d";
-+                      reg =
-+                          <0x7ec00000  0x0 0x4000>,
-+                          <0x7ec04000  0x0 0x4000>;
-+                      reg-names = "hub", "core0";
-+
-+                      power-domains = <&pm BCM2835_POWER_DOMAIN_GRAFX_V3D>;
-+                      resets = <&pm BCM2835_RESET_V3D>;
-+                      clocks = <&clocks BCM2835_CLOCK_V3D>;
-+                      interrupts = <GIC_SPI 74 IRQ_TYPE_LEVEL_HIGH>;
-+                      status = "disabled";
-+              };
-+      };
-+
-+      scb: scb {
-+           /* Add a label */
-+      };
-+};
-+
-+&soc {
-+      thermal: thermal@7d5d2200 {
-+              compatible = "brcm,avs-tmon-bcm2838";
-+              reg = <0x7d5d2200 0x2c>;
-+              interrupts = <GIC_SPI 137 IRQ_TYPE_LEVEL_HIGH>;
-+              interrupt-names = "tmon";
-+              clocks = <&clocks BCM2835_CLOCK_TSENS>;
-+              #thermal-sensor-cells = <0>;
-+              status = "okay";
-+      };
-+
-+      vc4: gpu {
-+              compatible = "brcm,bcm2835-vc4";
-+              status = "disabled";
-+      };
-+};
-+
-+&scb {
-+      ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>,
-+               <0x0 0x40000000  0x0 0xff800000  0x00800000>,
-+               <0x6 0x00000000  0x6 0x00000000  0x40000000>,
-+               <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
-+      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
-+
-+      pcie_0: pcie@7d500000 {
-+              reg = <0x0 0x7d500000 0x9310>,
-+                    <0x0 0x7e00f300 0x20>;
-+              msi-controller;
-+              msi-parent = <&pcie_0>;
-+              #address-cells = <3>;
-+              #interrupt-cells = <1>;
-+              #size-cells = <2>;
-+              bus-range = <0x0 0x01>;
-+              compatible = "brcm,bcm2711b0-pcie", // Safe value
-+                           "brcm,bcm2711-pcie",
-+                           "brcm,pci-plat-dev";
-+              max-link-speed = <2>;
-+              tot-num-pcie = <1>;
-+              linux,pci-domain = <0>;
-+              interrupts = <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>,
-+                           <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>;
-+              interrupt-names = "pcie", "msi";
-+              interrupt-map-mask = <0x0 0x0 0x0 0x7>;
-+              interrupt-map = <0 0 0 1 &gicv2 GIC_SPI 143
-+                                      IRQ_TYPE_LEVEL_HIGH
-+                               0 0 0 2 &gicv2 GIC_SPI 144
-+                                      IRQ_TYPE_LEVEL_HIGH
-+                               0 0 0 3 &gicv2 GIC_SPI 145
-+                                      IRQ_TYPE_LEVEL_HIGH
-+                               0 0 0 4 &gicv2 GIC_SPI 146
-+                                      IRQ_TYPE_LEVEL_HIGH>;
-+
-+              /* Map outbound accesses from scb:0x6_00000000-03ffffff
-+               * to pci:0x0_f8000000-fbffffff
-+               */
-+              ranges = <0x02000000 0x0 0xf8000000  0x6 0x00000000
-+                        0x0 0x04000000>;
-+              /* Map inbound accesses from pci:0x0_00000000..ffffffff
-+               * to scb:0x0_00000000-ffffffff
-+               */
-+              dma-ranges = <0x02000000 0x0 0x00000000  0x0 0x00000000
-+                            0x1 0x00000000>;
-+              status = "okay";
-+      };
-+
-+      dma40: dma@7e007b00 {
-+              compatible = "brcm,bcm2838-dma";
-+              reg = <0x0 0x7e007b00 0x400>;
-+              interrupts =
-+                      <GIC_SPI 89 IRQ_TYPE_LEVEL_HIGH>, /* dma4 11 */
-+                      <GIC_SPI 90 IRQ_TYPE_LEVEL_HIGH>, /* dma4 12 */
-+                      <GIC_SPI 91 IRQ_TYPE_LEVEL_HIGH>, /* dma4 13 */
-+                      <GIC_SPI 92 IRQ_TYPE_LEVEL_HIGH>; /* dma4 14 */
-+              interrupt-names = "dma11",
-+                      "dma12",
-+                      "dma13",
-+                      "dma14";
-+              #dma-cells = <1>;
-+              brcm,dma-channel-mask = <0x7800>;
-+      };
-+
-+      vchiq: mailbox@7e00b840 {
-+              compatible = "brcm,bcm2838-vchiq";
-+              reg = <0 0x7e00b840 0x3c>;
-+              interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
-+      };
-+
-+      xhci: xhci@7e9c0000 {
-+              compatible = "generic-xhci";
-+              status = "disabled";
-+              reg = <0x0 0x7e9c0000 0x100000>;
-+              interrupts = <GIC_SPI 176 IRQ_TYPE_LEVEL_HIGH>;
-+      };
-+
-+      hevc-decoder@7eb00000 {
-+              compatible = "raspberrypi,rpivid-hevc-decoder";
-+              reg = <0x0 0x7eb00000 0x10000>;
-+              status = "okay";
-+      };
-+
-+      rpivid-local-intc@7eb10000 {
-+              compatible = "raspberrypi,rpivid-local-intc";
-+              reg = <0x0 0x7eb10000 0x1000>;
-+              status = "okay";
-+              interrupts = <GIC_SPI 98 IRQ_TYPE_LEVEL_HIGH>;
-+      };
-+
-+      h264-decoder@7eb20000 {
-+              compatible = "raspberrypi,rpivid-h264-decoder";
-+              reg = <0x0 0x7eb20000 0x10000>;
-+              status = "okay";
-+      };
-+
-+      vp9-decoder@7eb30000 {
-+              compatible = "raspberrypi,rpivid-vp9-decoder";
-+              reg = <0x0 0x7eb30000 0x10000>;
-+              status = "okay";
-+      };
-+};
-+
-+&dma {
-+      /* The VPU firmware uses DMA channel 11 for VCHIQ */
-+      brcm,dma-channel-mask = <0x1f5>;
-+};
-+
-+&dma40 {
-+      /* The VPU firmware DMA channel 11 for VCHIQ */
-+      brcm,dma-channel-mask = <0x7000>;
-+};
-+
-+&firmwarekms {
-+      interrupts = <GIC_SPI 112 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&smi {
-+      interrupts = <GIC_SPI 112 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&mmc {
-+      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&mmcnr {
-+      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&csi0 {
-+      interrupts = <GIC_SPI 102 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&csi1 {
-+      interrupts = <GIC_SPI 103 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&random {
-+      compatible = "brcm,bcm2711-rng200", "brcm,bcm2838-rng200";
-+      status = "okay";
-+};
-+
-+&usb {
-+      /* Enable the FIQ support */
-+      reg = <0x7e980000 0x10000>,
-+            <0x7e00b200 0x200>;
-+      interrupts = <GIC_SPI 73 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 40 IRQ_TYPE_LEVEL_HIGH>;
-+      status = "disabled";
-+};
-+
-+&gpio {
-+      interrupts = <GIC_SPI 113 IRQ_TYPE_LEVEL_HIGH>,
-+                   <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>;
-+};
-+
-+&cpu_thermal {
-+       thermal-sensors = <&thermal>;
-+};
-+
-+&genet {
-+      compatible = "brcm,bcm2711-genet-v5", "brcm,genet-v5";
-+};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0422-staging-vchiq_arm-Give-vchiq-children-DT-nodes.patch b/target/linux/bcm27xx/patches-5.4/950-0422-staging-vchiq_arm-Give-vchiq-children-DT-nodes.patch
new file mode 100644 (file)
index 0000000..7fb3443
--- /dev/null
@@ -0,0 +1,39 @@
+From 9f93264df7a631132f2dacd150d0cc6cb7d20fc4 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 3 Feb 2020 17:30:46 +0000
+Subject: [PATCH] staging: vchiq_arm: Give vchiq children DT nodes
+
+vchiq kernel clients are now instantiated as platform drivers rather
+than using DT, but the children of the vchiq interface may still
+benefit from access to DT properties. Give them the option of a
+a sub-node of the vchiq parent for configuration and to allow
+them to be disabled.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ .../staging/vc04_services/interface/vchiq_arm/vchiq_arm.c | 8 ++++++++
+ 1 file changed, 8 insertions(+)
+
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
+@@ -3190,12 +3190,20 @@ vchiq_register_child(struct platform_dev
+       pdevinfo.id = PLATFORM_DEVID_NONE;
+       pdevinfo.dma_mask = DMA_BIT_MASK(32);
++      np = of_get_child_by_name(pdev->dev.of_node, name);
++
++      /* Skip the child if it is explicitly disabled */
++      if (np && !of_device_is_available(np))
++              return NULL;
++
+       child = platform_device_register_full(&pdevinfo);
+       if (IS_ERR(child)) {
+               dev_warn(&pdev->dev, "%s not registered\n", name);
+               child = NULL;
+       }
++      child->dev.of_node = np;
++
+       /*
+        * We want the dma-ranges etc to be copied from a device with the
+        * correct dma-ranges for the VPU.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0423-ARM-dts-Move-audio-node-under-the-vchiq-parent.patch b/target/linux/bcm27xx/patches-5.4/950-0423-ARM-dts-Move-audio-node-under-the-vchiq-parent.patch
new file mode 100644 (file)
index 0000000..b5e59e6
--- /dev/null
@@ -0,0 +1,79 @@
+From 6c5efcf09c40d37f72692fdbdf6d461abede20f1 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 3 Feb 2020 17:03:29 +0000
+Subject: [PATCH] ARM: dts: Move audio node under the vchiq parent
+
+VCHIQ kernel clients are now instantiated as platform drivers rather
+than using DT, but the children of the vchiq device can optionally be
+given a sub-node of the vchiq parent for configuration and to disable
+them.
+
+Move the existing audio node beneath the vchiq parent, to prevent
+multiple instantiation and unpleasant warnings. Note that the node
+name has to match the module name - "bcm2835_audio".
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm270x-rpi.dtsi | 16 +++++++++-------
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 14 ++++++++++++++
+ 2 files changed, 23 insertions(+), 7 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm270x-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm270x-rpi.dtsi
+@@ -70,13 +70,6 @@
+                       status = "okay";
+               };
+-              /* Onboard audio */
+-              audio: audio {
+-                      compatible = "brcm,bcm2835-audio";
+-                      brcm,pwm-channels = <8>;
+-                      status = "disabled";
+-              };
+-
+               /* External sound card */
+               sound: sound {
+                       status = "disabled";
+@@ -137,3 +130,12 @@
+ &vec {
+       status = "disabled";
+ };
++
++&vchiq {
++      /* Onboard audio */
++      audio: bcm2835_audio {
++              compatible = "brcm,bcm2835-audio";
++              brcm,pwm-channels = <8>;
++              status = "disabled";
++      };
++};
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -55,6 +55,8 @@
+               compatible = "brcm,bcm2835-vc4";
+               status = "disabled";
+       };
++
++      /delete-node/ audio;
+ };
+ &scb {
+@@ -160,6 +162,18 @@
+       };
+ };
++&vchiq {
++      /* Onboard audio
++       * This node is replicated because the original from bcm270x-rpi.dtsi
++       * was deleted when the vchiq node was deleted above.
++       */
++      audio: bcm2835_audio {
++              compatible = "brcm,bcm2835-audio";
++              brcm,pwm-channels = <8>;
++              status = "disabled";
++      };
++};
++
+ &dma {
+       /* The VPU firmware uses DMA channel 11 for VCHIQ */
+       brcm,dma-channel-mask = <0x1f5>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0423-staging-vchiq_arm-Fix-bcm2711-compatible-string.patch b/target/linux/bcm27xx/patches-5.4/950-0423-staging-vchiq_arm-Fix-bcm2711-compatible-string.patch
deleted file mode 100644 (file)
index 4436c0a..0000000
+++ /dev/null
@@ -1,32 +0,0 @@
-From 871370c31c23fcd07ec375a088bd09a0a5a31126 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Fri, 31 Jan 2020 09:26:18 +0000
-Subject: [PATCH] staging/vchiq_arm: Fix bcm2711 compatible string
-
-Fixes: "vchiq: Add 36-bit address support"
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-@@ -151,7 +151,7 @@ static struct vchiq_drvdata bcm2836_drvd
-       .cache_line_size = 64,
- };
--static struct vchiq_drvdata bcm2838_drvdata = {
-+static struct vchiq_drvdata bcm2711_drvdata = {
-       .cache_line_size = 64,
-       .use_36bit_addrs = true,
- };
-@@ -3171,7 +3171,7 @@ void vchiq_platform_conn_state_changed(s
- static const struct of_device_id vchiq_of_match[] = {
-       { .compatible = "brcm,bcm2835-vchiq", .data = &bcm2835_drvdata },
-       { .compatible = "brcm,bcm2836-vchiq", .data = &bcm2836_drvdata },
--      { .compatible = "brcm,bcm2838-vchiq", .data = &bcm2838_drvdata },
-+      { .compatible = "brcm,bcm2711-vchiq", .data = &bcm2711_drvdata },
-       {},
- };
- MODULE_DEVICE_TABLE(of, vchiq_of_match);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0424-ARM-dts-overlays-Create-custom-clocks-in.patch b/target/linux/bcm27xx/patches-5.4/950-0424-ARM-dts-overlays-Create-custom-clocks-in.patch
new file mode 100644 (file)
index 0000000..ac50884
--- /dev/null
@@ -0,0 +1,79 @@
+From c182949e33dc3ac4d718386f97c75583bae0e46b Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 28 Feb 2020 11:22:40 +0000
+Subject: [PATCH] ARM: dts: overlays: Create custom clocks in /
+
+Change [1] removes the simple-bus compatible string from the "/clocks"
+node, preventing any custom clocks placed there from being initialised.
+Rather than reinstate the compatible string and trigger DT warnings at
+kernel build time, change the overlays to instantiate those clocks under
+the root node ("/").
+
+See: https://github.com/raspberrypi/linux/issues/3481
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+
+[1] 4b2d24662126 ("ARM: dts: bcm283x: Remove simple-bus from fixed clocks")
+---
+ .../boot/dts/overlays/allo-boss-dac-pcm512x-audio-overlay.dts   | 2 +-
+ arch/arm/boot/dts/overlays/hifiberry-dacplus-overlay.dts        | 2 +-
+ arch/arm/boot/dts/overlays/hifiberry-dacplusadc-overlay.dts     | 2 +-
+ arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts  | 2 +-
+ arch/arm/boot/dts/overlays/hifiberry-dacplushd-overlay.dts      | 2 +-
+ 5 files changed, 5 insertions(+), 5 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/allo-boss-dac-pcm512x-audio-overlay.dts
++++ b/arch/arm/boot/dts/overlays/allo-boss-dac-pcm512x-audio-overlay.dts
+@@ -9,7 +9,7 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target-path = "/clocks";
++              target-path = "/";
+               __overlay__ {
+                       boss_osc: boss_osc {
+                               compatible = "allo,dac-clk";
+--- a/arch/arm/boot/dts/overlays/hifiberry-dacplus-overlay.dts
++++ b/arch/arm/boot/dts/overlays/hifiberry-dacplus-overlay.dts
+@@ -6,7 +6,7 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target-path = "/clocks";
++              target-path = "/";
+               __overlay__ {
+                       dacpro_osc: dacpro_osc {
+                               compatible = "hifiberry,dacpro-clk";
+--- a/arch/arm/boot/dts/overlays/hifiberry-dacplusadc-overlay.dts
++++ b/arch/arm/boot/dts/overlays/hifiberry-dacplusadc-overlay.dts
+@@ -6,7 +6,7 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target-path = "/clocks";
++              target-path = "/";
+               __overlay__ {
+                       dacpro_osc: dacpro_osc {
+                               compatible = "hifiberry,dacpro-clk";
+--- a/arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts
++++ b/arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts
+@@ -6,7 +6,7 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target-path = "/clocks";
++              target-path = "/";
+               __overlay__ {
+                       dacpro_osc: dacpro_osc {
+                               compatible = "hifiberry,dacpro-clk";
+--- a/arch/arm/boot/dts/overlays/hifiberry-dacplushd-overlay.dts
++++ b/arch/arm/boot/dts/overlays/hifiberry-dacplushd-overlay.dts
+@@ -8,7 +8,7 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target-path = "/clocks";
++              target-path = "/";
+               __overlay__ {
+                       dachd_osc: pll_dachd_osc {
+                               compatible = "hifiberry,dachd-clk";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0424-hwrng-iproc-rng200-Correct-SoC-name.patch b/target/linux/bcm27xx/patches-5.4/950-0424-hwrng-iproc-rng200-Correct-SoC-name.patch
deleted file mode 100644 (file)
index f4e9330..0000000
+++ /dev/null
@@ -1,67 +0,0 @@
-From 5eafa5065b2ea2c8d1634f045b85b982393d808a Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Fri, 31 Jan 2020 09:36:57 +0000
-Subject: [PATCH] hwrng: iproc-rng200: Correct SoC name
-
-The Pi 4 SoC is called BCM2711, not BCM2838.
-
-Fixes: "hwrng: iproc-rng200: Add BCM2838 support"
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- drivers/char/hw_random/Kconfig        |  2 +-
- drivers/char/hw_random/iproc-rng200.c | 11 +++++------
- 2 files changed, 6 insertions(+), 7 deletions(-)
-
---- a/drivers/char/hw_random/Kconfig
-+++ b/drivers/char/hw_random/Kconfig
-@@ -94,7 +94,7 @@ config HW_RANDOM_IPROC_RNG200
-       default HW_RANDOM
-       ---help---
-         This driver provides kernel-side support for the RNG200
--        hardware found on the Broadcom iProc, BCM2838 and STB SoCs.
-+        hardware found on the Broadcom iProc, BCM2711 and STB SoCs.
-         To compile this driver as a module, choose M here: the
-         module will be called iproc-rng200
---- a/drivers/char/hw_random/iproc-rng200.c
-+++ b/drivers/char/hw_random/iproc-rng200.c
-@@ -174,7 +174,7 @@ static int iproc_rng200_init(struct hwrn
-       return 0;
- }
--static int bcm2838_rng200_read(struct hwrng *rng, void *buf, size_t max,
-+static int bcm2711_rng200_read(struct hwrng *rng, void *buf, size_t max,
-                              bool wait)
- {
-       struct iproc_rng200_dev *priv = to_rng_priv(rng);
-@@ -211,7 +211,7 @@ static int bcm2838_rng200_read(struct hw
-       return num_words * sizeof(u32);
- }
--static int bcm2838_rng200_init(struct hwrng *rng)
-+static int bcm2711_rng200_init(struct hwrng *rng)
- {
-       struct iproc_rng200_dev *priv = to_rng_priv(rng);
-       uint32_t val;
-@@ -271,9 +271,9 @@ static int iproc_rng200_probe(struct pla
-       priv->rng.name = pdev->name;
-       priv->rng.cleanup = iproc_rng200_cleanup;
--      if (of_device_is_compatible(dev->of_node, "brcm,bcm2838-rng200")) {
--              priv->rng.init = bcm2838_rng200_init;
--              priv->rng.read = bcm2838_rng200_read;
-+      if (of_device_is_compatible(dev->of_node, "brcm,bcm2711-rng200")) {
-+              priv->rng.init = bcm2711_rng200_init;
-+              priv->rng.read = bcm2711_rng200_read;
-       } else {
-               priv->rng.init = iproc_rng200_init;
-               priv->rng.read = iproc_rng200_read;
-@@ -296,7 +296,6 @@ static const struct of_device_id iproc_r
-       { .compatible = "brcm,bcm7211-rng200", },
-       { .compatible = "brcm,bcm7278-rng200", },
-       { .compatible = "brcm,iproc-rng200", },
--      { .compatible = "brcm,bcm2838-rng200"},
-       {},
- };
- MODULE_DEVICE_TABLE(of, iproc_rng200_of_match);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0425-ARM-dts-Correct-SoC-name.patch b/target/linux/bcm27xx/patches-5.4/950-0425-ARM-dts-Correct-SoC-name.patch
deleted file mode 100644 (file)
index c18eb8a..0000000
+++ /dev/null
@@ -1,50 +0,0 @@
-From 475158d2aab9dc2e8266726f7b026cedfe810619 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Fri, 31 Jan 2020 15:24:59 +0000
-Subject: [PATCH] ARM: dts: Correct SoC name
-
-The Pi 4 SoC is called BCM2711, not BCM2838.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711-rpi.dtsi | 8 ++++----
- 1 file changed, 4 insertions(+), 4 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -42,7 +42,7 @@
- &soc {
-       thermal: thermal@7d5d2200 {
--              compatible = "brcm,avs-tmon-bcm2838";
-+              compatible = "brcm,avs-tmon-bcm2711";
-               reg = <0x7d5d2200 0x2c>;
-               interrupts = <GIC_SPI 137 IRQ_TYPE_LEVEL_HIGH>;
-               interrupt-names = "tmon";
-@@ -106,7 +106,7 @@
-       };
-       dma40: dma@7e007b00 {
--              compatible = "brcm,bcm2838-dma";
-+              compatible = "brcm,bcm2711-dma";
-               reg = <0x0 0x7e007b00 0x400>;
-               interrupts =
-                       <GIC_SPI 89 IRQ_TYPE_LEVEL_HIGH>, /* dma4 11 */
-@@ -122,7 +122,7 @@
-       };
-       vchiq: mailbox@7e00b840 {
--              compatible = "brcm,bcm2838-vchiq";
-+              compatible = "brcm,bcm2711-vchiq";
-               reg = <0 0x7e00b840 0x3c>;
-               interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
-       };
-@@ -195,7 +195,7 @@
- };
- &random {
--      compatible = "brcm,bcm2711-rng200", "brcm,bcm2838-rng200";
-+      compatible = "brcm,bcm2711-rng200";
-       status = "okay";
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0425-staging-vc04_services-Fix-vcsm-overflow-bug-when-cou.patch b/target/linux/bcm27xx/patches-5.4/950-0425-staging-vc04_services-Fix-vcsm-overflow-bug-when-cou.patch
new file mode 100644 (file)
index 0000000..4774fd2
--- /dev/null
@@ -0,0 +1,26 @@
+From 38e906c77467bf83ec130bea6859b46ea1e0d4b8 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Thu, 30 Jan 2020 12:35:44 +0000
+Subject: [PATCH] staging: vc04_services: Fix vcsm overflow bug when
+ counting transactions
+
+The response block and local state were using u16 and u32 respectively
+to represent transaction id.  When the former would wrap, there is a
+mismatch and subsequent transactions will be marked as failures.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.c
++++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.c
+@@ -34,7 +34,7 @@ struct sm_cmd_rsp_blk {
+       /* To be signaled when the response is there */
+       struct completion cmplt;
+-      u16 id;
++      u32 id;
+       u16 length;
+       u8 msg[VC_SM_MAX_MSG_LEN];
diff --git a/target/linux/bcm27xx/patches-5.4/950-0426-ARM-dts-Remove-CMA-allocation-from-Pi-4-dts.patch b/target/linux/bcm27xx/patches-5.4/950-0426-ARM-dts-Remove-CMA-allocation-from-Pi-4-dts.patch
deleted file mode 100644 (file)
index 2c09345..0000000
+++ /dev/null
@@ -1,32 +0,0 @@
-From 1a66f120abddf36eaf2540532ddeb7f7767442c5 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Sat, 1 Feb 2020 08:58:11 +0000
-Subject: [PATCH] ARM: dts: Remove CMA allocation from Pi 4 dts
-
-The 5.5 tree includes a patch to disable the CMA command line
-parameter and replace it with properties from a DT node.
-The upstream Pi 4 .dts, now used downstream with modifications,
-includes the "linux,cma" node, but only reserves 32MB which is
-often not enough.
-
-Temporarily remove the "linux,cma" node to reenable the command line
-parameter.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 4 ++++
- 1 file changed, 4 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -167,6 +167,10 @@
-       };
-       /delete-node/ wifi-pwrseq;
-+
-+      reserved-memory {
-+              /delete-node/ linux,cma;
-+      };
- };
- &mmcnr {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0426-overlays-Add-timeout_ms-parameter-to-gpio-poweroff.patch b/target/linux/bcm27xx/patches-5.4/950-0426-overlays-Add-timeout_ms-parameter-to-gpio-poweroff.patch
new file mode 100644 (file)
index 0000000..213e8b8
--- /dev/null
@@ -0,0 +1,34 @@
+From 04f569021b0d24ec9f5c3671447b77157c859d16 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 7 Feb 2020 09:51:31 +0000
+Subject: [PATCH] overlays: Add timeout_ms parameter to gpio-poweroff
+
+The timeout_ms parameter specifies in milliseconds how long the kernel
+waits for power-down before issuing a WARN. The default value is 3000 ms.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README                    | 2 ++
+ arch/arm/boot/dts/overlays/gpio-poweroff-overlay.dts | 1 +
+ 2 files changed, 3 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -821,6 +821,8 @@ Params: gpiopin                 GPIO for
+         input                   Set if the gpio pin should be configured as
+                                 an input.
+         export                  Set to export the configured pin to sysfs
++        timeout_ms              Specify (in ms) how long the kernel waits for
++                                power-down before issuing a WARN (default 3000).
+ Name:   gpio-shutdown
+--- a/arch/arm/boot/dts/overlays/gpio-poweroff-overlay.dts
++++ b/arch/arm/boot/dts/overlays/gpio-poweroff-overlay.dts
+@@ -32,5 +32,6 @@
+               active_low =    <&power_ctrl>,"gpios:8";
+               input =         <&power_ctrl>,"input?";
+               export =        <&power_ctrl>,"export?";
++              timeout_ms =    <&power_ctrl>,"timeout-ms:0";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0427-of-overlay-Correct-symbol-path-fixups.patch b/target/linux/bcm27xx/patches-5.4/950-0427-of-overlay-Correct-symbol-path-fixups.patch
new file mode 100644 (file)
index 0000000..4b00587
--- /dev/null
@@ -0,0 +1,37 @@
+From 8f22c4228bbb91697ab3510f5a6176e530c0d639 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 6 Feb 2020 12:23:15 +0000
+Subject: [PATCH] of: overlay: Correct symbol path fixups
+
+When symbols from overlays are added to the live tree their paths must
+be rebased. The translated symbol is normally the result of joining
+the fragment-relative path (with a leading "/") to the target path
+(either copied directly from the "target-path" property or resolved
+from the phandle). This translation fails when the target is the root
+node (a common case for Raspberry Pi overlays) because the resulting
+path starts with a double slash. For example, if target-path is "/" and
+the fragment adds a node called "newnode", the label associated with
+that node will be assigned the path "//newnode", which can't be found
+in the tree.
+
+Fix the failure case by explicitly replacing a target path of "/" with
+an empty string.
+
+Fixes: d1651b03c2df ("of: overlay: add overlay symbols to live device tree")
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/of/overlay.c | 2 ++
+ 1 file changed, 2 insertions(+)
+
+--- a/drivers/of/overlay.c
++++ b/drivers/of/overlay.c
+@@ -245,6 +245,8 @@ static struct property *dup_and_fixup_sy
+       if (!target_path)
+               return NULL;
+       target_path_len = strlen(target_path);
++      if (!strcmp(target_path, "/"))
++              target_path_len = 0;
+       new_prop = kzalloc(sizeof(*new_prop), GFP_KERNEL);
+       if (!new_prop)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0427-staging-vchiq_arm-Give-vchiq-children-DT-nodes.patch b/target/linux/bcm27xx/patches-5.4/950-0427-staging-vchiq_arm-Give-vchiq-children-DT-nodes.patch
deleted file mode 100644 (file)
index 7fb3443..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-From 9f93264df7a631132f2dacd150d0cc6cb7d20fc4 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Mon, 3 Feb 2020 17:30:46 +0000
-Subject: [PATCH] staging: vchiq_arm: Give vchiq children DT nodes
-
-vchiq kernel clients are now instantiated as platform drivers rather
-than using DT, but the children of the vchiq interface may still
-benefit from access to DT properties. Give them the option of a
-a sub-node of the vchiq parent for configuration and to allow
-them to be disabled.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- .../staging/vc04_services/interface/vchiq_arm/vchiq_arm.c | 8 ++++++++
- 1 file changed, 8 insertions(+)
-
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-@@ -3190,12 +3190,20 @@ vchiq_register_child(struct platform_dev
-       pdevinfo.id = PLATFORM_DEVID_NONE;
-       pdevinfo.dma_mask = DMA_BIT_MASK(32);
-+      np = of_get_child_by_name(pdev->dev.of_node, name);
-+
-+      /* Skip the child if it is explicitly disabled */
-+      if (np && !of_device_is_available(np))
-+              return NULL;
-+
-       child = platform_device_register_full(&pdevinfo);
-       if (IS_ERR(child)) {
-               dev_warn(&pdev->dev, "%s not registered\n", name);
-               child = NULL;
-       }
-+      child->dev.of_node = np;
-+
-       /*
-        * We want the dma-ranges etc to be copied from a device with the
-        * correct dma-ranges for the VPU.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0428-overlays-sc16ic750-i2c-Fix-xtal-parameter.patch b/target/linux/bcm27xx/patches-5.4/950-0428-overlays-sc16ic750-i2c-Fix-xtal-parameter.patch
new file mode 100644 (file)
index 0000000..636ad26
--- /dev/null
@@ -0,0 +1,25 @@
+From 65318cd76f4523acf8ffe8fe7448fb7d913f8c66 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 3 Mar 2020 09:43:41 +0000
+Subject: [PATCH] overlays: sc16ic750-i2c: Fix xtal parameter
+
+The xtal parameter is targetting the wrong node - fix it.
+
+See: https://github.com/raspberrypi/linux/issues/3156
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/sc16is750-i2c-overlay.dts | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/sc16is750-i2c-overlay.dts
++++ b/arch/arm/boot/dts/overlays/sc16is750-i2c-overlay.dts
+@@ -32,7 +32,7 @@
+       __overrides__ {
+               int_pin = <&sc16is750>,"interrupts:0";
+               addr = <&sc16is750>,"reg:0",<&sc16is750_clk>,"name";
+-              xtal = <&sc16is750>,"clock-frequency:0";
++              xtal = <&sc16is750_clk>,"clock-frequency:0";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0429-ARM-dts-Move-audio-node-under-the-vchiq-parent.patch b/target/linux/bcm27xx/patches-5.4/950-0429-ARM-dts-Move-audio-node-under-the-vchiq-parent.patch
deleted file mode 100644 (file)
index b5e59e6..0000000
+++ /dev/null
@@ -1,79 +0,0 @@
-From 6c5efcf09c40d37f72692fdbdf6d461abede20f1 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Mon, 3 Feb 2020 17:03:29 +0000
-Subject: [PATCH] ARM: dts: Move audio node under the vchiq parent
-
-VCHIQ kernel clients are now instantiated as platform drivers rather
-than using DT, but the children of the vchiq device can optionally be
-given a sub-node of the vchiq parent for configuration and to disable
-them.
-
-Move the existing audio node beneath the vchiq parent, to prevent
-multiple instantiation and unpleasant warnings. Note that the node
-name has to match the module name - "bcm2835_audio".
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm270x-rpi.dtsi | 16 +++++++++-------
- arch/arm/boot/dts/bcm2711-rpi.dtsi | 14 ++++++++++++++
- 2 files changed, 23 insertions(+), 7 deletions(-)
-
---- a/arch/arm/boot/dts/bcm270x-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm270x-rpi.dtsi
-@@ -70,13 +70,6 @@
-                       status = "okay";
-               };
--              /* Onboard audio */
--              audio: audio {
--                      compatible = "brcm,bcm2835-audio";
--                      brcm,pwm-channels = <8>;
--                      status = "disabled";
--              };
--
-               /* External sound card */
-               sound: sound {
-                       status = "disabled";
-@@ -137,3 +130,12 @@
- &vec {
-       status = "disabled";
- };
-+
-+&vchiq {
-+      /* Onboard audio */
-+      audio: bcm2835_audio {
-+              compatible = "brcm,bcm2835-audio";
-+              brcm,pwm-channels = <8>;
-+              status = "disabled";
-+      };
-+};
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -55,6 +55,8 @@
-               compatible = "brcm,bcm2835-vc4";
-               status = "disabled";
-       };
-+
-+      /delete-node/ audio;
- };
- &scb {
-@@ -160,6 +162,18 @@
-       };
- };
-+&vchiq {
-+      /* Onboard audio
-+       * This node is replicated because the original from bcm270x-rpi.dtsi
-+       * was deleted when the vchiq node was deleted above.
-+       */
-+      audio: bcm2835_audio {
-+              compatible = "brcm,bcm2835-audio";
-+              brcm,pwm-channels = <8>;
-+              status = "disabled";
-+      };
-+};
-+
- &dma {
-       /* The VPU firmware uses DMA channel 11 for VCHIQ */
-       brcm,dma-channel-mask = <0x1f5>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0429-of-address-Introduce-of_get_next_dma_parent-helper.patch b/target/linux/bcm27xx/patches-5.4/950-0429-of-address-Introduce-of_get_next_dma_parent-helper.patch
new file mode 100644 (file)
index 0000000..1056cfc
--- /dev/null
@@ -0,0 +1,39 @@
+From 25ab98ceb9844642c994b5766de1033552d1aef2 Mon Sep 17 00:00:00 2001
+From: Robin Murphy <robin.murphy@arm.com>
+Date: Wed, 3 Jul 2019 18:23:01 +0100
+Subject: [PATCH] of/address: Introduce of_get_next_dma_parent() helper
+
+commit 862ab5578f754117742c8b8c8e5ddf98bdb190ba upstream.
+
+Add of_get_next_dma_parent() helper which is similar to
+__of_get_dma_parent(), but can be used in iterators and decrements the
+ref count on the prior parent.
+
+Signed-off-by: Robin Murphy <robin.murphy@arm.com>
+Reviewed-by: Geert Uytterhoeven <geert+renesas@glider.be>
+Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Rob Herring <robh@kernel.org>
+---
+ drivers/of/address.c | 10 ++++++++++
+ 1 file changed, 10 insertions(+)
+
+--- a/drivers/of/address.c
++++ b/drivers/of/address.c
+@@ -695,6 +695,16 @@ static struct device_node *__of_get_dma_
+       return of_node_get(args.np);
+ }
++static struct device_node *of_get_next_dma_parent(struct device_node *np)
++{
++      struct device_node *parent;
++
++      parent = __of_get_dma_parent(np);
++      of_node_put(np);
++
++      return parent;
++}
++
+ u64 of_translate_dma_address(struct device_node *dev, const __be32 *in_addr)
+ {
+       struct device_node *host;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0430-ARM-dts-overlays-Create-custom-clocks-in.patch b/target/linux/bcm27xx/patches-5.4/950-0430-ARM-dts-overlays-Create-custom-clocks-in.patch
deleted file mode 100644 (file)
index ac50884..0000000
+++ /dev/null
@@ -1,79 +0,0 @@
-From c182949e33dc3ac4d718386f97c75583bae0e46b Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Fri, 28 Feb 2020 11:22:40 +0000
-Subject: [PATCH] ARM: dts: overlays: Create custom clocks in /
-
-Change [1] removes the simple-bus compatible string from the "/clocks"
-node, preventing any custom clocks placed there from being initialised.
-Rather than reinstate the compatible string and trigger DT warnings at
-kernel build time, change the overlays to instantiate those clocks under
-the root node ("/").
-
-See: https://github.com/raspberrypi/linux/issues/3481
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
-
-[1] 4b2d24662126 ("ARM: dts: bcm283x: Remove simple-bus from fixed clocks")
----
- .../boot/dts/overlays/allo-boss-dac-pcm512x-audio-overlay.dts   | 2 +-
- arch/arm/boot/dts/overlays/hifiberry-dacplus-overlay.dts        | 2 +-
- arch/arm/boot/dts/overlays/hifiberry-dacplusadc-overlay.dts     | 2 +-
- arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts  | 2 +-
- arch/arm/boot/dts/overlays/hifiberry-dacplushd-overlay.dts      | 2 +-
- 5 files changed, 5 insertions(+), 5 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/allo-boss-dac-pcm512x-audio-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/allo-boss-dac-pcm512x-audio-overlay.dts
-@@ -9,7 +9,7 @@
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target-path = "/clocks";
-+              target-path = "/";
-               __overlay__ {
-                       boss_osc: boss_osc {
-                               compatible = "allo,dac-clk";
---- a/arch/arm/boot/dts/overlays/hifiberry-dacplus-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/hifiberry-dacplus-overlay.dts
-@@ -6,7 +6,7 @@
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target-path = "/clocks";
-+              target-path = "/";
-               __overlay__ {
-                       dacpro_osc: dacpro_osc {
-                               compatible = "hifiberry,dacpro-clk";
---- a/arch/arm/boot/dts/overlays/hifiberry-dacplusadc-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/hifiberry-dacplusadc-overlay.dts
-@@ -6,7 +6,7 @@
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target-path = "/clocks";
-+              target-path = "/";
-               __overlay__ {
-                       dacpro_osc: dacpro_osc {
-                               compatible = "hifiberry,dacpro-clk";
---- a/arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/hifiberry-dacplusadcpro-overlay.dts
-@@ -6,7 +6,7 @@
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target-path = "/clocks";
-+              target-path = "/";
-               __overlay__ {
-                       dacpro_osc: dacpro_osc {
-                               compatible = "hifiberry,dacpro-clk";
---- a/arch/arm/boot/dts/overlays/hifiberry-dacplushd-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/hifiberry-dacplushd-overlay.dts
-@@ -8,7 +8,7 @@
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target-path = "/clocks";
-+              target-path = "/";
-               __overlay__ {
-                       dachd_osc: pll_dachd_osc {
-                               compatible = "hifiberry,dachd-clk";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0430-of-address-Follow-DMA-parent-for-dma-coherent.patch b/target/linux/bcm27xx/patches-5.4/950-0430-of-address-Follow-DMA-parent-for-dma-coherent.patch
new file mode 100644 (file)
index 0000000..76af58a
--- /dev/null
@@ -0,0 +1,30 @@
+From e4a649779ff6857240fe691cdf147a3b4896e71b Mon Sep 17 00:00:00 2001
+From: Robin Murphy <robin.murphy@arm.com>
+Date: Wed, 3 Jul 2019 14:47:31 +0100
+Subject: [PATCH] of: address: Follow DMA parent for "dma-coherent"
+
+commit c60bf3eb888a362100aa1bdbea351dab681e262a upstream.
+
+Much like for address translation, when checking for DMA coherence we
+should be sure to walk up the DMA hierarchy, rather than the MMIO one,
+now that we can accommodate them being different.
+
+Signed-off-by: Robin Murphy <robin.murphy@arm.com>
+Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Rob Herring <robh@kernel.org>
+---
+ drivers/of/address.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/of/address.c
++++ b/drivers/of/address.c
+@@ -1025,7 +1025,7 @@ bool of_dma_is_coherent(struct device_no
+                       of_node_put(node);
+                       return true;
+               }
+-              node = of_get_next_parent(node);
++              node = of_get_next_dma_parent(node);
+       }
+       of_node_put(node);
+       return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0431-of-Factor-out-addr-size-cells-parsing.patch b/target/linux/bcm27xx/patches-5.4/950-0431-of-Factor-out-addr-size-cells-parsing.patch
new file mode 100644 (file)
index 0000000..045ee98
--- /dev/null
@@ -0,0 +1,117 @@
+From 839aeedc908eb729b9014e7d1d38e109778a52d2 Mon Sep 17 00:00:00 2001
+From: Robin Murphy <robin.murphy@arm.com>
+Date: Tue, 2 Jul 2019 18:42:39 +0100
+Subject: [PATCH] of: Factor out #{addr,size}-cells parsing
+
+In some cases such as PCI host controllers, we may have a "parent bus"
+which is an OF leaf node, but still need to correctly parse ranges from
+the point of view of that bus. For that, factor out variants of the
+"#addr-cells" and "#size-cells" parsers which do not assume they have a
+device node and thus immediately traverse upwards before reading the
+relevant property.
+
+Signed-off-by: Robin Murphy <robin.murphy@arm.com>
+[robh: don't make of_bus_n_{addr,size}_cells() public]
+Reviewed-by: Geert Uytterhoeven <geert+renesas@glider.be>
+Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Rob Herring <robh@kernel.org>
+
+(cherry picked from commit b68ac8dc22ebbf003e26e44bf4dd3030c076df5a)
+---
+ drivers/of/address.c    |  2 ++
+ drivers/of/base.c       | 32 ++++++++++++++++++++++----------
+ drivers/of/of_private.h | 14 ++++++++++++++
+ 3 files changed, 38 insertions(+), 10 deletions(-)
+
+--- a/drivers/of/address.c
++++ b/drivers/of/address.c
+@@ -14,6 +14,8 @@
+ #include <linux/slab.h>
+ #include <linux/string.h>
++#include "of_private.h"
++
+ /* Max address size we deal with */
+ #define OF_MAX_ADDR_CELLS     4
+ #define OF_CHECK_ADDR_COUNT(na)       ((na) > 0 && (na) <= OF_MAX_ADDR_CELLS)
+--- a/drivers/of/base.c
++++ b/drivers/of/base.c
+@@ -86,34 +86,46 @@ static bool __of_node_is_type(const stru
+       return np && match && type && !strcmp(match, type);
+ }
+-int of_n_addr_cells(struct device_node *np)
++int of_bus_n_addr_cells(struct device_node *np)
+ {
+       u32 cells;
+-      do {
+-              if (np->parent)
+-                      np = np->parent;
++      for (; np; np = np->parent)
+               if (!of_property_read_u32(np, "#address-cells", &cells))
+                       return cells;
+-      } while (np->parent);
++
+       /* No #address-cells property for the root node */
+       return OF_ROOT_NODE_ADDR_CELLS_DEFAULT;
+ }
++
++int of_n_addr_cells(struct device_node *np)
++{
++      if (np->parent)
++              np = np->parent;
++
++      return of_bus_n_addr_cells(np);
++}
+ EXPORT_SYMBOL(of_n_addr_cells);
+-int of_n_size_cells(struct device_node *np)
++int of_bus_n_size_cells(struct device_node *np)
+ {
+       u32 cells;
+-      do {
+-              if (np->parent)
+-                      np = np->parent;
++      for (; np; np = np->parent)
+               if (!of_property_read_u32(np, "#size-cells", &cells))
+                       return cells;
+-      } while (np->parent);
++
+       /* No #size-cells property for the root node */
+       return OF_ROOT_NODE_SIZE_CELLS_DEFAULT;
+ }
++
++int of_n_size_cells(struct device_node *np)
++{
++      if (np->parent)
++              np = np->parent;
++
++      return of_bus_n_size_cells(np);
++}
+ EXPORT_SYMBOL(of_n_size_cells);
+ #ifdef CONFIG_NUMA
+--- a/drivers/of/of_private.h
++++ b/drivers/of/of_private.h
+@@ -158,4 +158,18 @@ extern void __of_sysfs_remove_bin_file(s
+ #define for_each_transaction_entry_reverse(_oft, _te) \
+       list_for_each_entry_reverse(_te, &(_oft)->te_list, node)
++extern int of_bus_n_addr_cells(struct device_node *np);
++extern int of_bus_n_size_cells(struct device_node *np);
++
++#ifdef CONFIG_OF_ADDRESS
++extern int of_dma_get_range(struct device_node *np, u64 *dma_addr,
++                          u64 *paddr, u64 *size);
++#else
++static inline int of_dma_get_range(struct device_node *np, u64 *dma_addr,
++                                 u64 *paddr, u64 *size)
++{
++      return -ENODEV;
++}
++#endif
++
+ #endif /* _LINUX_OF_PRIVATE_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0431-staging-vc04_services-Fix-vcsm-overflow-bug-when-cou.patch b/target/linux/bcm27xx/patches-5.4/950-0431-staging-vc04_services-Fix-vcsm-overflow-bug-when-cou.patch
deleted file mode 100644 (file)
index 4774fd2..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From 38e906c77467bf83ec130bea6859b46ea1e0d4b8 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Thu, 30 Jan 2020 12:35:44 +0000
-Subject: [PATCH] staging: vc04_services: Fix vcsm overflow bug when
- counting transactions
-
-The response block and local state were using u16 and u32 respectively
-to represent transaction id.  When the former would wrap, there is a
-mismatch and subsequent transactions will be marked as failures.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.c
-+++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm_cma_vchi.c
-@@ -34,7 +34,7 @@ struct sm_cmd_rsp_blk {
-       /* To be signaled when the response is there */
-       struct completion cmplt;
--      u16 id;
-+      u32 id;
-       u16 length;
-       u8 msg[VC_SM_MAX_MSG_LEN];
diff --git a/target/linux/bcm27xx/patches-5.4/950-0432-of-address-Translate-dma-ranges-for-parent-nodes-mis.patch b/target/linux/bcm27xx/patches-5.4/950-0432-of-address-Translate-dma-ranges-for-parent-nodes-mis.patch
new file mode 100644 (file)
index 0000000..28d1987
--- /dev/null
@@ -0,0 +1,40 @@
+From 39f5d9e883393e32938eac45b564f74afde8a942 Mon Sep 17 00:00:00 2001
+From: Rob Herring <robh@kernel.org>
+Date: Wed, 4 Sep 2019 11:43:30 +0100
+Subject: [PATCH] of/address: Translate 'dma-ranges' for parent nodes
+ missing 'dma-ranges'
+
+commit 81db12ee15cb83926e290a8a3654a2dfebc80935 upstream.
+
+'dma-ranges' frequently exists without parent nodes having 'dma-ranges'.
+While this is an error for 'ranges', this is fine because DMA capable
+devices always have a translatable DMA address. Also, with no
+'dma-ranges' at all, the assumption is that DMA addresses are 1:1 with
+no restrictions unless perhaps the device itself has implicit
+restrictions.
+
+Cc: Robin Murphy <robin.murphy@arm.com>
+Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Rob Herring <robh@kernel.org>
+---
+ drivers/of/address.c | 6 +++++-
+ 1 file changed, 5 insertions(+), 1 deletion(-)
+
+--- a/drivers/of/address.c
++++ b/drivers/of/address.c
+@@ -519,9 +519,13 @@ static int of_translate_one(struct devic
+        *
+        * As far as we know, this damage only exists on Apple machines, so
+        * This code is only enabled on powerpc. --gcl
++       *
++       * This quirk also applies for 'dma-ranges' which frequently exist in
++       * child nodes without 'dma-ranges' in the parent nodes. --RobH
+        */
+       ranges = of_get_property(parent, rprop, &rlen);
+-      if (ranges == NULL && !of_empty_ranges_quirk(parent)) {
++      if (ranges == NULL && !of_empty_ranges_quirk(parent) &&
++          strcmp(rprop, "dma-ranges")) {
+               pr_debug("no ranges; cannot translate\n");
+               return 1;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0432-overlays-Add-timeout_ms-parameter-to-gpio-poweroff.patch b/target/linux/bcm27xx/patches-5.4/950-0432-overlays-Add-timeout_ms-parameter-to-gpio-poweroff.patch
deleted file mode 100644 (file)
index 213e8b8..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From 04f569021b0d24ec9f5c3671447b77157c859d16 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Fri, 7 Feb 2020 09:51:31 +0000
-Subject: [PATCH] overlays: Add timeout_ms parameter to gpio-poweroff
-
-The timeout_ms parameter specifies in milliseconds how long the kernel
-waits for power-down before issuing a WARN. The default value is 3000 ms.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/README                    | 2 ++
- arch/arm/boot/dts/overlays/gpio-poweroff-overlay.dts | 1 +
- 2 files changed, 3 insertions(+)
-
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -821,6 +821,8 @@ Params: gpiopin                 GPIO for
-         input                   Set if the gpio pin should be configured as
-                                 an input.
-         export                  Set to export the configured pin to sysfs
-+        timeout_ms              Specify (in ms) how long the kernel waits for
-+                                power-down before issuing a WARN (default 3000).
- Name:   gpio-shutdown
---- a/arch/arm/boot/dts/overlays/gpio-poweroff-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/gpio-poweroff-overlay.dts
-@@ -32,5 +32,6 @@
-               active_low =    <&power_ctrl>,"gpios:8";
-               input =         <&power_ctrl>,"input?";
-               export =        <&power_ctrl>,"export?";
-+              timeout_ms =    <&power_ctrl>,"timeout-ms:0";
-       };
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0433-of-Make-of_dma_get_range-work-on-bus-nodes.patch b/target/linux/bcm27xx/patches-5.4/950-0433-of-Make-of_dma_get_range-work-on-bus-nodes.patch
new file mode 100644 (file)
index 0000000..1cac2df
--- /dev/null
@@ -0,0 +1,107 @@
+From 7631cb95056f03136c9e0a35484e8bebe7b52650 Mon Sep 17 00:00:00 2001
+From: Robin Murphy <robin.murphy@arm.com>
+Date: Wed, 3 Jul 2019 18:42:20 +0100
+Subject: [PATCH] of: Make of_dma_get_range() work on bus nodes
+
+commit 951d48855d86e72e0d6de73440fe09d363168064 upstream.
+
+Since the "dma-ranges" property is only valid for a node representing a
+bus, of_dma_get_range() currently assumes the node passed in is a leaf
+representing a device, and starts the walk from its parent. In cases
+like PCI host controllers on typical FDT systems, however, where the PCI
+endpoints are probed dynamically the initial leaf node represents the
+'bus' itself, and this logic means we fail to consider any "dma-ranges"
+describing the host bridge itself. Rework the logic such that
+of_dma_get_range() also works correctly starting from a bus node
+containing "dma-ranges".
+
+While this does mean "dma-ranges" could incorrectly be in a device leaf
+node, there isn't really any way in this function to ensure that a leaf
+node is or isn't a bus node.
+
+Signed-off-by: Robin Murphy <robin.murphy@arm.com>
+[robh: Allow for the bus child node to still be passed in]
+Signed-off-by: Rob Herring <robh@kernel.org>
+Reviewed-by: Robin Murphy <robin.murphy@arm.com>
+Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+---
+ drivers/of/address.c | 44 ++++++++++++++++++--------------------------
+ 1 file changed, 18 insertions(+), 26 deletions(-)
+
+--- a/drivers/of/address.c
++++ b/drivers/of/address.c
+@@ -940,47 +940,39 @@ int of_dma_get_range(struct device_node
+       const __be32 *ranges = NULL;
+       int len, naddr, nsize, pna;
+       int ret = 0;
++      bool found_dma_ranges = false;
+       u64 dmaaddr;
+-      if (!node)
+-              return -EINVAL;
+-
+-      while (1) {
+-              struct device_node *parent;
+-
+-              naddr = of_n_addr_cells(node);
+-              nsize = of_n_size_cells(node);
+-
+-              parent = __of_get_dma_parent(node);
+-              of_node_put(node);
+-
+-              node = parent;
+-              if (!node)
+-                      break;
+-
++      while (node) {
+               ranges = of_get_property(node, "dma-ranges", &len);
+               /* Ignore empty ranges, they imply no translation required */
+               if (ranges && len > 0)
+                       break;
+-              /*
+-               * At least empty ranges has to be defined for parent node if
+-               * DMA is supported
+-               */
+-              if (!ranges)
+-                      break;
++              /* Once we find 'dma-ranges', then a missing one is an error */
++              if (found_dma_ranges && !ranges) {
++                      ret = -ENODEV;
++                      goto out;
++              }
++              found_dma_ranges = true;
++
++              node = of_get_next_dma_parent(node);
+       }
+-      if (!ranges) {
++      if (!node || !ranges) {
+               pr_debug("no dma-ranges found for node(%pOF)\n", np);
+               ret = -ENODEV;
+               goto out;
+       }
+-      len /= sizeof(u32);
+-
++      naddr = of_bus_n_addr_cells(node);
++      nsize = of_bus_n_size_cells(node);
+       pna = of_n_addr_cells(node);
++      if ((len / sizeof(__be32)) % (pna + naddr + nsize)) {
++              ret = -EINVAL;
++              goto out;
++      }
+       /* dma-ranges format:
+        * DMA addr     : naddr cells
+@@ -988,7 +980,7 @@ int of_dma_get_range(struct device_node
+        * size         : nsize cells
+        */
+       dmaaddr = of_read_number(ranges, naddr);
+-      *paddr = of_translate_dma_address(np, ranges);
++      *paddr = of_translate_dma_address(node, ranges + naddr);
+       if (*paddr == OF_BAD_ADDR) {
+               pr_err("translation of DMA address(%pad) to CPU address failed node(%pOF)\n",
+                      dma_addr, np);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0433-of-overlay-Correct-symbol-path-fixups.patch b/target/linux/bcm27xx/patches-5.4/950-0433-of-overlay-Correct-symbol-path-fixups.patch
deleted file mode 100644 (file)
index 4b00587..0000000
+++ /dev/null
@@ -1,37 +0,0 @@
-From 8f22c4228bbb91697ab3510f5a6176e530c0d639 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Thu, 6 Feb 2020 12:23:15 +0000
-Subject: [PATCH] of: overlay: Correct symbol path fixups
-
-When symbols from overlays are added to the live tree their paths must
-be rebased. The translated symbol is normally the result of joining
-the fragment-relative path (with a leading "/") to the target path
-(either copied directly from the "target-path" property or resolved
-from the phandle). This translation fails when the target is the root
-node (a common case for Raspberry Pi overlays) because the resulting
-path starts with a double slash. For example, if target-path is "/" and
-the fragment adds a node called "newnode", the label associated with
-that node will be assigned the path "//newnode", which can't be found
-in the tree.
-
-Fix the failure case by explicitly replacing a target path of "/" with
-an empty string.
-
-Fixes: d1651b03c2df ("of: overlay: add overlay symbols to live device tree")
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- drivers/of/overlay.c | 2 ++
- 1 file changed, 2 insertions(+)
-
---- a/drivers/of/overlay.c
-+++ b/drivers/of/overlay.c
-@@ -245,6 +245,8 @@ static struct property *dup_and_fixup_sy
-       if (!target_path)
-               return NULL;
-       target_path_len = strlen(target_path);
-+      if (!strcmp(target_path, "/"))
-+              target_path_len = 0;
-       new_prop = kzalloc(sizeof(*new_prop), GFP_KERNEL);
-       if (!new_prop)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0434-arm64-mm-use-arm64_dma_phys_limit-instead-of-calling.patch b/target/linux/bcm27xx/patches-5.4/950-0434-arm64-mm-use-arm64_dma_phys_limit-instead-of-calling.patch
new file mode 100644 (file)
index 0000000..c697494
--- /dev/null
@@ -0,0 +1,30 @@
+From c17f622cbb33332a305ef383506740d3d01aa831 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Wed, 11 Sep 2019 20:25:43 +0200
+Subject: [PATCH] arm64: mm: use arm64_dma_phys_limit instead of
+ calling max_zone_dma_phys()
+
+commit ae970dc096b2d39f65f2e18d142e3978dc9ee1c7 upstream.
+
+By the time we call zones_sizes_init() arm64_dma_phys_limit already
+contains the result of max_zone_dma_phys(). We use the variable instead
+of calling the function directly to save some precious cpu time.
+
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Catalin Marinas <catalin.marinas@arm.com>
+Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
+---
+ arch/arm64/mm/init.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm64/mm/init.c
++++ b/arch/arm64/mm/init.c
+@@ -181,7 +181,7 @@ static void __init zone_sizes_init(unsig
+       unsigned long max_zone_pfns[MAX_NR_ZONES]  = {0};
+ #ifdef CONFIG_ZONE_DMA32
+-      max_zone_pfns[ZONE_DMA32] = PFN_DOWN(max_zone_dma_phys());
++      max_zone_pfns[ZONE_DMA32] = PFN_DOWN(arm64_dma_phys_limit);
+ #endif
+       max_zone_pfns[ZONE_NORMAL] = max;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0434-overlays-sc16ic750-i2c-Fix-xtal-parameter.patch b/target/linux/bcm27xx/patches-5.4/950-0434-overlays-sc16ic750-i2c-Fix-xtal-parameter.patch
deleted file mode 100644 (file)
index 636ad26..0000000
+++ /dev/null
@@ -1,25 +0,0 @@
-From 65318cd76f4523acf8ffe8fe7448fb7d913f8c66 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Tue, 3 Mar 2020 09:43:41 +0000
-Subject: [PATCH] overlays: sc16ic750-i2c: Fix xtal parameter
-
-The xtal parameter is targetting the wrong node - fix it.
-
-See: https://github.com/raspberrypi/linux/issues/3156
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/sc16is750-i2c-overlay.dts | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/overlays/sc16is750-i2c-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/sc16is750-i2c-overlay.dts
-@@ -32,7 +32,7 @@
-       __overrides__ {
-               int_pin = <&sc16is750>,"interrupts:0";
-               addr = <&sc16is750>,"reg:0",<&sc16is750_clk>,"name";
--              xtal = <&sc16is750>,"clock-frequency:0";
-+              xtal = <&sc16is750_clk>,"clock-frequency:0";
-       };
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0435-arm64-rename-variables-used-to-calculate-ZONE_DMA32-.patch b/target/linux/bcm27xx/patches-5.4/950-0435-arm64-rename-variables-used-to-calculate-ZONE_DMA32-.patch
new file mode 100644 (file)
index 0000000..df184f5
--- /dev/null
@@ -0,0 +1,117 @@
+From 4d2bd7f66bac81b042afc2a6e742bd776a5a3938 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Wed, 11 Sep 2019 20:25:44 +0200
+Subject: [PATCH] arm64: rename variables used to calculate
+ ZONE_DMA32's size
+
+commit a573cdd7973dedd87e62196c400332896bb236c8 upstream.
+
+Let the name indicate that they are used to calculate ZONE_DMA32's size
+as opposed to ZONE_DMA.
+
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Catalin Marinas <catalin.marinas@arm.com>
+Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
+---
+ arch/arm64/mm/init.c | 30 +++++++++++++++---------------
+ 1 file changed, 15 insertions(+), 15 deletions(-)
+
+--- a/arch/arm64/mm/init.c
++++ b/arch/arm64/mm/init.c
+@@ -50,7 +50,7 @@
+ s64 memstart_addr __ro_after_init = -1;
+ EXPORT_SYMBOL(memstart_addr);
+-phys_addr_t arm64_dma_phys_limit __ro_after_init;
++phys_addr_t arm64_dma32_phys_limit __ro_after_init;
+ #ifdef CONFIG_KEXEC_CORE
+ /*
+@@ -168,7 +168,7 @@ static void __init reserve_elfcorehdr(vo
+  * currently assumes that for memory starting above 4G, 32-bit devices will
+  * use a DMA offset.
+  */
+-static phys_addr_t __init max_zone_dma_phys(void)
++static phys_addr_t __init max_zone_dma32_phys(void)
+ {
+       phys_addr_t offset = memblock_start_of_DRAM() & GENMASK_ULL(63, 32);
+       return min(offset + (1ULL << 32), memblock_end_of_DRAM());
+@@ -181,7 +181,7 @@ static void __init zone_sizes_init(unsig
+       unsigned long max_zone_pfns[MAX_NR_ZONES]  = {0};
+ #ifdef CONFIG_ZONE_DMA32
+-      max_zone_pfns[ZONE_DMA32] = PFN_DOWN(arm64_dma_phys_limit);
++      max_zone_pfns[ZONE_DMA32] = PFN_DOWN(arm64_dma32_phys_limit);
+ #endif
+       max_zone_pfns[ZONE_NORMAL] = max;
+@@ -194,16 +194,16 @@ static void __init zone_sizes_init(unsig
+ {
+       struct memblock_region *reg;
+       unsigned long zone_size[MAX_NR_ZONES], zhole_size[MAX_NR_ZONES];
+-      unsigned long max_dma = min;
++      unsigned long max_dma32 = min;
+       memset(zone_size, 0, sizeof(zone_size));
+       /* 4GB maximum for 32-bit only capable devices */
+ #ifdef CONFIG_ZONE_DMA32
+-      max_dma = PFN_DOWN(arm64_dma_phys_limit);
+-      zone_size[ZONE_DMA32] = max_dma - min;
++      max_dma32 = PFN_DOWN(arm64_dma32_phys_limit);
++      zone_size[ZONE_DMA32] = max_dma32 - min;
+ #endif
+-      zone_size[ZONE_NORMAL] = max - max_dma;
++      zone_size[ZONE_NORMAL] = max - max_dma32;
+       memcpy(zhole_size, zone_size, sizeof(zhole_size));
+@@ -215,14 +215,14 @@ static void __init zone_sizes_init(unsig
+                       continue;
+ #ifdef CONFIG_ZONE_DMA32
+-              if (start < max_dma) {
+-                      unsigned long dma_end = min(end, max_dma);
++              if (start < max_dma32) {
++                      unsigned long dma_end = min(end, max_dma32);
+                       zhole_size[ZONE_DMA32] -= dma_end - start;
+               }
+ #endif
+-              if (end > max_dma) {
++              if (end > max_dma32) {
+                       unsigned long normal_end = min(end, max);
+-                      unsigned long normal_start = max(start, max_dma);
++                      unsigned long normal_start = max(start, max_dma32);
+                       zhole_size[ZONE_NORMAL] -= normal_end - normal_start;
+               }
+       }
+@@ -410,9 +410,9 @@ void __init arm64_memblock_init(void)
+       /* 4GB maximum for 32-bit only capable devices */
+       if (IS_ENABLED(CONFIG_ZONE_DMA32))
+-              arm64_dma_phys_limit = max_zone_dma_phys();
++              arm64_dma32_phys_limit = max_zone_dma32_phys();
+       else
+-              arm64_dma_phys_limit = PHYS_MASK + 1;
++              arm64_dma32_phys_limit = PHYS_MASK + 1;
+       reserve_crashkernel();
+@@ -420,7 +420,7 @@ void __init arm64_memblock_init(void)
+       high_memory = __va(memblock_end_of_DRAM() - 1) + 1;
+-      dma_contiguous_reserve(arm64_dma_phys_limit);
++      dma_contiguous_reserve(arm64_dma32_phys_limit);
+ }
+ void __init bootmem_init(void)
+@@ -524,7 +524,7 @@ static void __init free_unused_memmap(vo
+ void __init mem_init(void)
+ {
+       if (swiotlb_force == SWIOTLB_FORCE ||
+-          max_pfn > (arm64_dma_phys_limit >> PAGE_SHIFT))
++          max_pfn > (arm64_dma32_phys_limit >> PAGE_SHIFT))
+               swiotlb_init(1);
+       else
+               swiotlb_force = SWIOTLB_NO_FORCE;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0435-of-address-Introduce-of_get_next_dma_parent-helper.patch b/target/linux/bcm27xx/patches-5.4/950-0435-of-address-Introduce-of_get_next_dma_parent-helper.patch
deleted file mode 100644 (file)
index 1056cfc..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-From 25ab98ceb9844642c994b5766de1033552d1aef2 Mon Sep 17 00:00:00 2001
-From: Robin Murphy <robin.murphy@arm.com>
-Date: Wed, 3 Jul 2019 18:23:01 +0100
-Subject: [PATCH] of/address: Introduce of_get_next_dma_parent() helper
-
-commit 862ab5578f754117742c8b8c8e5ddf98bdb190ba upstream.
-
-Add of_get_next_dma_parent() helper which is similar to
-__of_get_dma_parent(), but can be used in iterators and decrements the
-ref count on the prior parent.
-
-Signed-off-by: Robin Murphy <robin.murphy@arm.com>
-Reviewed-by: Geert Uytterhoeven <geert+renesas@glider.be>
-Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Rob Herring <robh@kernel.org>
----
- drivers/of/address.c | 10 ++++++++++
- 1 file changed, 10 insertions(+)
-
---- a/drivers/of/address.c
-+++ b/drivers/of/address.c
-@@ -695,6 +695,16 @@ static struct device_node *__of_get_dma_
-       return of_node_get(args.np);
- }
-+static struct device_node *of_get_next_dma_parent(struct device_node *np)
-+{
-+      struct device_node *parent;
-+
-+      parent = __of_get_dma_parent(np);
-+      of_node_put(np);
-+
-+      return parent;
-+}
-+
- u64 of_translate_dma_address(struct device_node *dev, const __be32 *in_addr)
- {
-       struct device_node *host;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0436-arm64-use-both-ZONE_DMA-and-ZONE_DMA32.patch b/target/linux/bcm27xx/patches-5.4/950-0436-arm64-use-both-ZONE_DMA-and-ZONE_DMA32.patch
new file mode 100644 (file)
index 0000000..ccb2071
--- /dev/null
@@ -0,0 +1,174 @@
+From 1fb65f4bc30fbadd0c89521985ff8142693c9631 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Wed, 11 Sep 2019 20:25:45 +0200
+Subject: [PATCH] arm64: use both ZONE_DMA and ZONE_DMA32
+
+commit 1a8e1cef7603e218339ac63cb3178b25554524e5 upstream.
+
+So far all arm64 devices have supported 32 bit DMA masks for their
+peripherals. This is not true anymore for the Raspberry Pi 4 as most of
+it's peripherals can only address the first GB of memory on a total of
+up to 4 GB.
+
+This goes against ZONE_DMA32's intent, as it's expected for ZONE_DMA32
+to be addressable with a 32 bit mask. So it was decided to re-introduce
+ZONE_DMA in arm64.
+
+ZONE_DMA will contain the lower 1G of memory, which is currently the
+memory area addressable by any peripheral on an arm64 device.
+ZONE_DMA32 will contain the rest of the 32 bit addressable memory.
+
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Catalin Marinas <catalin.marinas@arm.com>
+Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
+---
+ arch/arm64/Kconfig            |  4 +++
+ arch/arm64/include/asm/page.h |  2 ++
+ arch/arm64/mm/init.c          | 54 +++++++++++++++++++++++++----------
+ 3 files changed, 45 insertions(+), 15 deletions(-)
+
+--- a/arch/arm64/Kconfig
++++ b/arch/arm64/Kconfig
+@@ -267,6 +267,10 @@ config GENERIC_CSUM
+ config GENERIC_CALIBRATE_DELAY
+       def_bool y
++config ZONE_DMA
++      bool "Support DMA zone" if EXPERT
++      default y
++
+ config ZONE_DMA32
+       bool "Support DMA32 zone" if EXPERT
+       default y
+--- a/arch/arm64/include/asm/page.h
++++ b/arch/arm64/include/asm/page.h
+@@ -38,4 +38,6 @@ extern int pfn_valid(unsigned long);
+ #include <asm-generic/getorder.h>
++#define ARCH_ZONE_DMA_BITS 30
++
+ #endif
+--- a/arch/arm64/mm/init.c
++++ b/arch/arm64/mm/init.c
+@@ -50,6 +50,13 @@
+ s64 memstart_addr __ro_after_init = -1;
+ EXPORT_SYMBOL(memstart_addr);
++/*
++ * We create both ZONE_DMA and ZONE_DMA32. ZONE_DMA covers the first 1G of
++ * memory as some devices, namely the Raspberry Pi 4, have peripherals with
++ * this limited view of the memory. ZONE_DMA32 will cover the rest of the 32
++ * bit addressable memory area.
++ */
++phys_addr_t arm64_dma_phys_limit __ro_after_init;
+ phys_addr_t arm64_dma32_phys_limit __ro_after_init;
+ #ifdef CONFIG_KEXEC_CORE
+@@ -163,15 +170,16 @@ static void __init reserve_elfcorehdr(vo
+ {
+ }
+ #endif /* CONFIG_CRASH_DUMP */
++
+ /*
+- * Return the maximum physical address for ZONE_DMA32 (DMA_BIT_MASK(32)). It
+- * currently assumes that for memory starting above 4G, 32-bit devices will
+- * use a DMA offset.
++ * Return the maximum physical address for a zone with a given address size
++ * limit. It currently assumes that for memory starting above 4G, 32-bit
++ * devices will use a DMA offset.
+  */
+-static phys_addr_t __init max_zone_dma32_phys(void)
++static phys_addr_t __init max_zone_phys(unsigned int zone_bits)
+ {
+-      phys_addr_t offset = memblock_start_of_DRAM() & GENMASK_ULL(63, 32);
+-      return min(offset + (1ULL << 32), memblock_end_of_DRAM());
++      phys_addr_t offset = memblock_start_of_DRAM() & GENMASK_ULL(63, zone_bits);
++      return min(offset + (1ULL << zone_bits), memblock_end_of_DRAM());
+ }
+ #ifdef CONFIG_NUMA
+@@ -180,6 +188,9 @@ static void __init zone_sizes_init(unsig
+ {
+       unsigned long max_zone_pfns[MAX_NR_ZONES]  = {0};
++#ifdef CONFIG_ZONE_DMA
++      max_zone_pfns[ZONE_DMA] = PFN_DOWN(arm64_dma_phys_limit);
++#endif
+ #ifdef CONFIG_ZONE_DMA32
+       max_zone_pfns[ZONE_DMA32] = PFN_DOWN(arm64_dma32_phys_limit);
+ #endif
+@@ -195,13 +206,18 @@ static void __init zone_sizes_init(unsig
+       struct memblock_region *reg;
+       unsigned long zone_size[MAX_NR_ZONES], zhole_size[MAX_NR_ZONES];
+       unsigned long max_dma32 = min;
++      unsigned long max_dma = min;
+       memset(zone_size, 0, sizeof(zone_size));
+-      /* 4GB maximum for 32-bit only capable devices */
++#ifdef CONFIG_ZONE_DMA
++      max_dma = PFN_DOWN(arm64_dma_phys_limit);
++      zone_size[ZONE_DMA] = max_dma - min;
++      max_dma32 = max_dma;
++#endif
+ #ifdef CONFIG_ZONE_DMA32
+       max_dma32 = PFN_DOWN(arm64_dma32_phys_limit);
+-      zone_size[ZONE_DMA32] = max_dma32 - min;
++      zone_size[ZONE_DMA32] = max_dma32 - max_dma;
+ #endif
+       zone_size[ZONE_NORMAL] = max - max_dma32;
+@@ -213,11 +229,17 @@ static void __init zone_sizes_init(unsig
+               if (start >= max)
+                       continue;
+-
++#ifdef CONFIG_ZONE_DMA
++              if (start < max_dma) {
++                      unsigned long dma_end = min_not_zero(end, max_dma);
++                      zhole_size[ZONE_DMA] -= dma_end - start;
++              }
++#endif
+ #ifdef CONFIG_ZONE_DMA32
+               if (start < max_dma32) {
+-                      unsigned long dma_end = min(end, max_dma32);
+-                      zhole_size[ZONE_DMA32] -= dma_end - start;
++                      unsigned long dma32_end = min(end, max_dma32);
++                      unsigned long dma32_start = max(start, max_dma);
++                      zhole_size[ZONE_DMA32] -= dma32_end - dma32_start;
+               }
+ #endif
+               if (end > max_dma32) {
+@@ -408,9 +430,11 @@ void __init arm64_memblock_init(void)
+       early_init_fdt_scan_reserved_mem();
+-      /* 4GB maximum for 32-bit only capable devices */
++      if (IS_ENABLED(CONFIG_ZONE_DMA))
++              arm64_dma_phys_limit = max_zone_phys(ARCH_ZONE_DMA_BITS);
++
+       if (IS_ENABLED(CONFIG_ZONE_DMA32))
+-              arm64_dma32_phys_limit = max_zone_dma32_phys();
++              arm64_dma32_phys_limit = max_zone_phys(32);
+       else
+               arm64_dma32_phys_limit = PHYS_MASK + 1;
+@@ -420,7 +444,7 @@ void __init arm64_memblock_init(void)
+       high_memory = __va(memblock_end_of_DRAM() - 1) + 1;
+-      dma_contiguous_reserve(arm64_dma32_phys_limit);
++      dma_contiguous_reserve(arm64_dma_phys_limit ? : arm64_dma32_phys_limit);
+ }
+ void __init bootmem_init(void)
+@@ -524,7 +548,7 @@ static void __init free_unused_memmap(vo
+ void __init mem_init(void)
+ {
+       if (swiotlb_force == SWIOTLB_FORCE ||
+-          max_pfn > (arm64_dma32_phys_limit >> PAGE_SHIFT))
++          max_pfn > PFN_DOWN(arm64_dma_phys_limit ? : arm64_dma32_phys_limit))
+               swiotlb_init(1);
+       else
+               swiotlb_force = SWIOTLB_NO_FORCE;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0436-of-address-Follow-DMA-parent-for-dma-coherent.patch b/target/linux/bcm27xx/patches-5.4/950-0436-of-address-Follow-DMA-parent-for-dma-coherent.patch
deleted file mode 100644 (file)
index 76af58a..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-From e4a649779ff6857240fe691cdf147a3b4896e71b Mon Sep 17 00:00:00 2001
-From: Robin Murphy <robin.murphy@arm.com>
-Date: Wed, 3 Jul 2019 14:47:31 +0100
-Subject: [PATCH] of: address: Follow DMA parent for "dma-coherent"
-
-commit c60bf3eb888a362100aa1bdbea351dab681e262a upstream.
-
-Much like for address translation, when checking for DMA coherence we
-should be sure to walk up the DMA hierarchy, rather than the MMIO one,
-now that we can accommodate them being different.
-
-Signed-off-by: Robin Murphy <robin.murphy@arm.com>
-Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Rob Herring <robh@kernel.org>
----
- drivers/of/address.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/of/address.c
-+++ b/drivers/of/address.c
-@@ -1025,7 +1025,7 @@ bool of_dma_is_coherent(struct device_no
-                       of_node_put(node);
-                       return true;
-               }
--              node = of_get_next_parent(node);
-+              node = of_get_next_dma_parent(node);
-       }
-       of_node_put(node);
-       return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0437-mm-refresh-ZONE_DMA-and-ZONE_DMA32-comments-in-enum-.patch b/target/linux/bcm27xx/patches-5.4/950-0437-mm-refresh-ZONE_DMA-and-ZONE_DMA32-comments-in-enum-.patch
new file mode 100644 (file)
index 0000000..23811e0
--- /dev/null
@@ -0,0 +1,84 @@
+From 1c108eaeae73a504ac1b2d882bc1fefb91eecf17 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Wed, 11 Sep 2019 20:25:46 +0200
+Subject: [PATCH] mm: refresh ZONE_DMA and ZONE_DMA32 comments in 'enum
+ zone_type'
+
+commit 734f9246e791d8da278957b2c326d7709b2a97c0 upstream.
+
+These zones usage has evolved with time and the comments were outdated.
+This joins both ZONE_DMA and ZONE_DMA32 explanation and gives up to date
+examples on how they are used on different architectures.
+
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Christoph Hellwig <hch@lst.de>
+Reviewed-by: Catalin Marinas <catalin.marinas@arm.com>
+Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
+---
+ include/linux/mmzone.h | 45 ++++++++++++++++++++++++------------------
+ 1 file changed, 26 insertions(+), 19 deletions(-)
+
+--- a/include/linux/mmzone.h
++++ b/include/linux/mmzone.h
+@@ -358,33 +358,40 @@ struct per_cpu_nodestat {
+ #endif /* !__GENERATING_BOUNDS.H */
+ enum zone_type {
+-#ifdef CONFIG_ZONE_DMA
+       /*
+-       * ZONE_DMA is used when there are devices that are not able
+-       * to do DMA to all of addressable memory (ZONE_NORMAL). Then we
+-       * carve out the portion of memory that is needed for these devices.
+-       * The range is arch specific.
+-       *
+-       * Some examples
+-       *
+-       * Architecture         Limit
+-       * ---------------------------
+-       * parisc, ia64, sparc  <4G
+-       * s390, powerpc        <2G
+-       * arm                  Various
+-       * alpha                Unlimited or 0-16MB.
++       * ZONE_DMA and ZONE_DMA32 are used when there are peripherals not able
++       * to DMA to all of the addressable memory (ZONE_NORMAL).
++       * On architectures where this area covers the whole 32 bit address
++       * space ZONE_DMA32 is used. ZONE_DMA is left for the ones with smaller
++       * DMA addressing constraints. This distinction is important as a 32bit
++       * DMA mask is assumed when ZONE_DMA32 is defined. Some 64-bit
++       * platforms may need both zones as they support peripherals with
++       * different DMA addressing limitations.
++       *
++       * Some examples:
++       *
++       *  - i386 and x86_64 have a fixed 16M ZONE_DMA and ZONE_DMA32 for the
++       *    rest of the lower 4G.
++       *
++       *  - arm only uses ZONE_DMA, the size, up to 4G, may vary depending on
++       *    the specific device.
++       *
++       *  - arm64 has a fixed 1G ZONE_DMA and ZONE_DMA32 for the rest of the
++       *    lower 4G.
++       *
++       *  - powerpc only uses ZONE_DMA, the size, up to 2G, may vary
++       *    depending on the specific device.
+        *
+-       * i386, x86_64 and multiple other arches
+-       *                      <16M.
++       *  - s390 uses ZONE_DMA fixed to the lower 2G.
++       *
++       *  - ia64 and riscv only use ZONE_DMA32.
++       *
++       *  - parisc uses neither.
+        */
++#ifdef CONFIG_ZONE_DMA
+       ZONE_DMA,
+ #endif
+ #ifdef CONFIG_ZONE_DMA32
+-      /*
+-       * x86_64 needs two ZONE_DMAs because it supports devices that are
+-       * only able to do DMA to the lower 16M but also 32 bit devices that
+-       * can only do DMA areas below 4G.
+-       */
+       ZONE_DMA32,
+ #endif
+       /*
diff --git a/target/linux/bcm27xx/patches-5.4/950-0437-of-Factor-out-addr-size-cells-parsing.patch b/target/linux/bcm27xx/patches-5.4/950-0437-of-Factor-out-addr-size-cells-parsing.patch
deleted file mode 100644 (file)
index 045ee98..0000000
+++ /dev/null
@@ -1,117 +0,0 @@
-From 839aeedc908eb729b9014e7d1d38e109778a52d2 Mon Sep 17 00:00:00 2001
-From: Robin Murphy <robin.murphy@arm.com>
-Date: Tue, 2 Jul 2019 18:42:39 +0100
-Subject: [PATCH] of: Factor out #{addr,size}-cells parsing
-
-In some cases such as PCI host controllers, we may have a "parent bus"
-which is an OF leaf node, but still need to correctly parse ranges from
-the point of view of that bus. For that, factor out variants of the
-"#addr-cells" and "#size-cells" parsers which do not assume they have a
-device node and thus immediately traverse upwards before reading the
-relevant property.
-
-Signed-off-by: Robin Murphy <robin.murphy@arm.com>
-[robh: don't make of_bus_n_{addr,size}_cells() public]
-Reviewed-by: Geert Uytterhoeven <geert+renesas@glider.be>
-Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Rob Herring <robh@kernel.org>
-
-(cherry picked from commit b68ac8dc22ebbf003e26e44bf4dd3030c076df5a)
----
- drivers/of/address.c    |  2 ++
- drivers/of/base.c       | 32 ++++++++++++++++++++++----------
- drivers/of/of_private.h | 14 ++++++++++++++
- 3 files changed, 38 insertions(+), 10 deletions(-)
-
---- a/drivers/of/address.c
-+++ b/drivers/of/address.c
-@@ -14,6 +14,8 @@
- #include <linux/slab.h>
- #include <linux/string.h>
-+#include "of_private.h"
-+
- /* Max address size we deal with */
- #define OF_MAX_ADDR_CELLS     4
- #define OF_CHECK_ADDR_COUNT(na)       ((na) > 0 && (na) <= OF_MAX_ADDR_CELLS)
---- a/drivers/of/base.c
-+++ b/drivers/of/base.c
-@@ -86,34 +86,46 @@ static bool __of_node_is_type(const stru
-       return np && match && type && !strcmp(match, type);
- }
--int of_n_addr_cells(struct device_node *np)
-+int of_bus_n_addr_cells(struct device_node *np)
- {
-       u32 cells;
--      do {
--              if (np->parent)
--                      np = np->parent;
-+      for (; np; np = np->parent)
-               if (!of_property_read_u32(np, "#address-cells", &cells))
-                       return cells;
--      } while (np->parent);
-+
-       /* No #address-cells property for the root node */
-       return OF_ROOT_NODE_ADDR_CELLS_DEFAULT;
- }
-+
-+int of_n_addr_cells(struct device_node *np)
-+{
-+      if (np->parent)
-+              np = np->parent;
-+
-+      return of_bus_n_addr_cells(np);
-+}
- EXPORT_SYMBOL(of_n_addr_cells);
--int of_n_size_cells(struct device_node *np)
-+int of_bus_n_size_cells(struct device_node *np)
- {
-       u32 cells;
--      do {
--              if (np->parent)
--                      np = np->parent;
-+      for (; np; np = np->parent)
-               if (!of_property_read_u32(np, "#size-cells", &cells))
-                       return cells;
--      } while (np->parent);
-+
-       /* No #size-cells property for the root node */
-       return OF_ROOT_NODE_SIZE_CELLS_DEFAULT;
- }
-+
-+int of_n_size_cells(struct device_node *np)
-+{
-+      if (np->parent)
-+              np = np->parent;
-+
-+      return of_bus_n_size_cells(np);
-+}
- EXPORT_SYMBOL(of_n_size_cells);
- #ifdef CONFIG_NUMA
---- a/drivers/of/of_private.h
-+++ b/drivers/of/of_private.h
-@@ -158,4 +158,18 @@ extern void __of_sysfs_remove_bin_file(s
- #define for_each_transaction_entry_reverse(_oft, _te) \
-       list_for_each_entry_reverse(_te, &(_oft)->te_list, node)
-+extern int of_bus_n_addr_cells(struct device_node *np);
-+extern int of_bus_n_size_cells(struct device_node *np);
-+
-+#ifdef CONFIG_OF_ADDRESS
-+extern int of_dma_get_range(struct device_node *np, u64 *dma_addr,
-+                          u64 *paddr, u64 *size);
-+#else
-+static inline int of_dma_get_range(struct device_node *np, u64 *dma_addr,
-+                                 u64 *paddr, u64 *size)
-+{
-+      return -ENODEV;
-+}
-+#endif
-+
- #endif /* _LINUX_OF_PRIVATE_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0438-of-address-Translate-dma-ranges-for-parent-nodes-mis.patch b/target/linux/bcm27xx/patches-5.4/950-0438-of-address-Translate-dma-ranges-for-parent-nodes-mis.patch
deleted file mode 100644 (file)
index 28d1987..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-From 39f5d9e883393e32938eac45b564f74afde8a942 Mon Sep 17 00:00:00 2001
-From: Rob Herring <robh@kernel.org>
-Date: Wed, 4 Sep 2019 11:43:30 +0100
-Subject: [PATCH] of/address: Translate 'dma-ranges' for parent nodes
- missing 'dma-ranges'
-
-commit 81db12ee15cb83926e290a8a3654a2dfebc80935 upstream.
-
-'dma-ranges' frequently exists without parent nodes having 'dma-ranges'.
-While this is an error for 'ranges', this is fine because DMA capable
-devices always have a translatable DMA address. Also, with no
-'dma-ranges' at all, the assumption is that DMA addresses are 1:1 with
-no restrictions unless perhaps the device itself has implicit
-restrictions.
-
-Cc: Robin Murphy <robin.murphy@arm.com>
-Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Rob Herring <robh@kernel.org>
----
- drivers/of/address.c | 6 +++++-
- 1 file changed, 5 insertions(+), 1 deletion(-)
-
---- a/drivers/of/address.c
-+++ b/drivers/of/address.c
-@@ -519,9 +519,13 @@ static int of_translate_one(struct devic
-        *
-        * As far as we know, this damage only exists on Apple machines, so
-        * This code is only enabled on powerpc. --gcl
-+       *
-+       * This quirk also applies for 'dma-ranges' which frequently exist in
-+       * child nodes without 'dma-ranges' in the parent nodes. --RobH
-        */
-       ranges = of_get_property(parent, rprop, &rlen);
--      if (ranges == NULL && !of_empty_ranges_quirk(parent)) {
-+      if (ranges == NULL && !of_empty_ranges_quirk(parent) &&
-+          strcmp(rprop, "dma-ranges")) {
-               pr_debug("no ranges; cannot translate\n");
-               return 1;
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0438-resource-Add-a-resource_list_first_type-helper.patch b/target/linux/bcm27xx/patches-5.4/950-0438-resource-Add-a-resource_list_first_type-helper.patch
new file mode 100644 (file)
index 0000000..c2c959a
--- /dev/null
@@ -0,0 +1,36 @@
+From dacb1a46835914b8c3862db15726bcc0a68af8f5 Mon Sep 17 00:00:00 2001
+From: Rob Herring <robh@kernel.org>
+Date: Mon, 28 Oct 2019 11:32:32 -0500
+Subject: [PATCH] resource: Add a resource_list_first_type helper
+
+commit 494f8b10d832456a96be4ee7317425f6936cabc8 upstream.
+
+A common pattern is looping over a resource_list just to get a matching
+entry with a specific type. Add resource_list_first_type() helper which
+implements this.
+
+Signed-off-by: Rob Herring <robh@kernel.org>
+Signed-off-by: Lorenzo Pieralisi <lorenzo.pieralisi@arm.com>
+---
+ include/linux/resource_ext.h | 12 ++++++++++++
+ 1 file changed, 12 insertions(+)
+
+--- a/include/linux/resource_ext.h
++++ b/include/linux/resource_ext.h
+@@ -66,4 +66,16 @@ resource_list_destroy_entry(struct resou
+ #define resource_list_for_each_entry_safe(entry, tmp, list)   \
+       list_for_each_entry_safe((entry), (tmp), (list), node)
++static inline struct resource_entry *
++resource_list_first_type(struct list_head *list, unsigned long type)
++{
++      struct resource_entry *entry;
++
++      resource_list_for_each_entry(entry, list) {
++              if (resource_type(entry->res) == type)
++                      return entry;
++      }
++      return NULL;
++}
++
+ #endif /* _LINUX_RESOURCE_EXT_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0439-dma-direct-turn-ARCH_ZONE_DMA_BITS-into-a-variable.patch b/target/linux/bcm27xx/patches-5.4/950-0439-dma-direct-turn-ARCH_ZONE_DMA_BITS-into-a-variable.patch
new file mode 100644 (file)
index 0000000..ab04d64
--- /dev/null
@@ -0,0 +1,195 @@
+From 78b03f0aef9f67c4db700ba5dc56e2c8f562d181 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Mon, 14 Oct 2019 20:31:03 +0200
+Subject: [PATCH] dma/direct: turn ARCH_ZONE_DMA_BITS into a variable
+
+commit 8b5369ea580964dbc982781bfb9fb93459fc5e8d upstream.
+
+Some architectures, notably ARM, are interested in tweaking this
+depending on their runtime DMA addressing limitations.
+
+Acked-by: Christoph Hellwig <hch@lst.de>
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
+---
+ arch/arm64/include/asm/page.h   |  2 --
+ arch/arm64/mm/init.c            |  9 +++++++--
+ arch/powerpc/include/asm/page.h |  9 ---------
+ arch/powerpc/mm/mem.c           | 20 +++++++++++++++-----
+ arch/s390/include/asm/page.h    |  2 --
+ arch/s390/mm/init.c             |  1 +
+ include/linux/dma-direct.h      |  2 ++
+ kernel/dma/direct.c             | 13 ++++++-------
+ 8 files changed, 31 insertions(+), 27 deletions(-)
+
+--- a/arch/arm64/include/asm/page.h
++++ b/arch/arm64/include/asm/page.h
+@@ -38,6 +38,4 @@ extern int pfn_valid(unsigned long);
+ #include <asm-generic/getorder.h>
+-#define ARCH_ZONE_DMA_BITS 30
+-
+ #endif
+--- a/arch/arm64/mm/init.c
++++ b/arch/arm64/mm/init.c
+@@ -20,6 +20,7 @@
+ #include <linux/sort.h>
+ #include <linux/of.h>
+ #include <linux/of_fdt.h>
++#include <linux/dma-direct.h>
+ #include <linux/dma-mapping.h>
+ #include <linux/dma-contiguous.h>
+ #include <linux/efi.h>
+@@ -41,6 +42,8 @@
+ #include <asm/tlb.h>
+ #include <asm/alternative.h>
++#define ARM64_ZONE_DMA_BITS   30
++
+ /*
+  * We need to be able to catch inadvertent references to memstart_addr
+  * that occur (potentially in generic code) before arm64_memblock_init()
+@@ -430,8 +433,10 @@ void __init arm64_memblock_init(void)
+       early_init_fdt_scan_reserved_mem();
+-      if (IS_ENABLED(CONFIG_ZONE_DMA))
+-              arm64_dma_phys_limit = max_zone_phys(ARCH_ZONE_DMA_BITS);
++      if (IS_ENABLED(CONFIG_ZONE_DMA)) {
++              zone_dma_bits = ARM64_ZONE_DMA_BITS;
++              arm64_dma_phys_limit = max_zone_phys(ARM64_ZONE_DMA_BITS);
++      }
+       if (IS_ENABLED(CONFIG_ZONE_DMA32))
+               arm64_dma32_phys_limit = max_zone_phys(32);
+--- a/arch/powerpc/include/asm/page.h
++++ b/arch/powerpc/include/asm/page.h
+@@ -334,13 +334,4 @@ struct vm_area_struct;
+ #endif /* __ASSEMBLY__ */
+ #include <asm/slice.h>
+-/*
+- * Allow 30-bit DMA for very limited Broadcom wifi chips on many powerbooks.
+- */
+-#ifdef CONFIG_PPC32
+-#define ARCH_ZONE_DMA_BITS 30
+-#else
+-#define ARCH_ZONE_DMA_BITS 31
+-#endif
+-
+ #endif /* _ASM_POWERPC_PAGE_H */
+--- a/arch/powerpc/mm/mem.c
++++ b/arch/powerpc/mm/mem.c
+@@ -31,6 +31,7 @@
+ #include <linux/slab.h>
+ #include <linux/vmalloc.h>
+ #include <linux/memremap.h>
++#include <linux/dma-direct.h>
+ #include <asm/pgalloc.h>
+ #include <asm/prom.h>
+@@ -223,10 +224,10 @@ static int __init mark_nonram_nosave(voi
+  * everything else. GFP_DMA32 page allocations automatically fall back to
+  * ZONE_DMA.
+  *
+- * By using 31-bit unconditionally, we can exploit ARCH_ZONE_DMA_BITS to
+- * inform the generic DMA mapping code.  32-bit only devices (if not handled
+- * by an IOMMU anyway) will take a first dip into ZONE_NORMAL and get
+- * otherwise served by ZONE_DMA.
++ * By using 31-bit unconditionally, we can exploit zone_dma_bits to inform the
++ * generic DMA mapping code.  32-bit only devices (if not handled by an IOMMU
++ * anyway) will take a first dip into ZONE_NORMAL and get otherwise served by
++ * ZONE_DMA.
+  */
+ static unsigned long max_zone_pfns[MAX_NR_ZONES];
+@@ -259,9 +260,18 @@ void __init paging_init(void)
+       printk(KERN_DEBUG "Memory hole size: %ldMB\n",
+              (long int)((top_of_ram - total_ram) >> 20));
++      /*
++       * Allow 30-bit DMA for very limited Broadcom wifi chips on many
++       * powerbooks.
++       */
++      if (IS_ENABLED(CONFIG_PPC32))
++              zone_dma_bits = 30;
++      else
++              zone_dma_bits = 31;
++
+ #ifdef CONFIG_ZONE_DMA
+       max_zone_pfns[ZONE_DMA] = min(max_low_pfn,
+-                                    1UL << (ARCH_ZONE_DMA_BITS - PAGE_SHIFT));
++                                    1UL << (zone_dma_bits - PAGE_SHIFT));
+ #endif
+       max_zone_pfns[ZONE_NORMAL] = max_low_pfn;
+ #ifdef CONFIG_HIGHMEM
+--- a/arch/s390/include/asm/page.h
++++ b/arch/s390/include/asm/page.h
+@@ -179,8 +179,6 @@ static inline int devmem_is_allowed(unsi
+ #define VM_DATA_DEFAULT_FLAGS (VM_READ | VM_WRITE | \
+                                VM_MAYREAD | VM_MAYWRITE | VM_MAYEXEC)
+-#define ARCH_ZONE_DMA_BITS    31
+-
+ #include <asm-generic/memory_model.h>
+ #include <asm-generic/getorder.h>
+--- a/arch/s390/mm/init.c
++++ b/arch/s390/mm/init.c
+@@ -118,6 +118,7 @@ void __init paging_init(void)
+       sparse_memory_present_with_active_regions(MAX_NUMNODES);
+       sparse_init();
++      zone_dma_bits = 31;
+       memset(max_zone_pfns, 0, sizeof(max_zone_pfns));
+       max_zone_pfns[ZONE_DMA] = PFN_DOWN(MAX_DMA_ADDRESS);
+       max_zone_pfns[ZONE_NORMAL] = max_low_pfn;
+--- a/include/linux/dma-direct.h
++++ b/include/linux/dma-direct.h
+@@ -8,6 +8,8 @@
+ static inline dma_addr_t phys_to_dma(struct device *dev, phys_addr_t paddr);
++extern unsigned int zone_dma_bits;
++
+ #ifdef CONFIG_ARCH_HAS_PHYS_TO_DMA
+ #include <asm/dma-direct.h>
+ #else
+--- a/kernel/dma/direct.c
++++ b/kernel/dma/direct.c
+@@ -16,12 +16,11 @@
+ #include <linux/swiotlb.h>
+ /*
+- * Most architectures use ZONE_DMA for the first 16 Megabytes, but
+- * some use it for entirely different regions:
++ * Most architectures use ZONE_DMA for the first 16 Megabytes, but some use it
++ * it for entirely different regions. In that case the arch code needs to
++ * override the variable below for dma-direct to work properly.
+  */
+-#ifndef ARCH_ZONE_DMA_BITS
+-#define ARCH_ZONE_DMA_BITS 24
+-#endif
++unsigned int zone_dma_bits __ro_after_init = 24;
+ static void report_addr(struct device *dev, dma_addr_t dma_addr, size_t size)
+ {
+@@ -70,7 +69,7 @@ static gfp_t __dma_direct_optimal_gfp_ma
+        * Note that GFP_DMA32 and GFP_DMA are no ops without the corresponding
+        * zones.
+        */
+-      if (*phys_mask <= DMA_BIT_MASK(ARCH_ZONE_DMA_BITS))
++      if (*phys_mask <= DMA_BIT_MASK(zone_dma_bits))
+               return GFP_DMA;
+       if (*phys_mask <= DMA_BIT_MASK(32))
+               return GFP_DMA32;
+@@ -396,7 +395,7 @@ int dma_direct_supported(struct device *
+       u64 min_mask;
+       if (IS_ENABLED(CONFIG_ZONE_DMA))
+-              min_mask = DMA_BIT_MASK(ARCH_ZONE_DMA_BITS);
++              min_mask = DMA_BIT_MASK(zone_dma_bits);
+       else
+               min_mask = DMA_BIT_MASK(30);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0439-of-Make-of_dma_get_range-work-on-bus-nodes.patch b/target/linux/bcm27xx/patches-5.4/950-0439-of-Make-of_dma_get_range-work-on-bus-nodes.patch
deleted file mode 100644 (file)
index 1cac2df..0000000
+++ /dev/null
@@ -1,107 +0,0 @@
-From 7631cb95056f03136c9e0a35484e8bebe7b52650 Mon Sep 17 00:00:00 2001
-From: Robin Murphy <robin.murphy@arm.com>
-Date: Wed, 3 Jul 2019 18:42:20 +0100
-Subject: [PATCH] of: Make of_dma_get_range() work on bus nodes
-
-commit 951d48855d86e72e0d6de73440fe09d363168064 upstream.
-
-Since the "dma-ranges" property is only valid for a node representing a
-bus, of_dma_get_range() currently assumes the node passed in is a leaf
-representing a device, and starts the walk from its parent. In cases
-like PCI host controllers on typical FDT systems, however, where the PCI
-endpoints are probed dynamically the initial leaf node represents the
-'bus' itself, and this logic means we fail to consider any "dma-ranges"
-describing the host bridge itself. Rework the logic such that
-of_dma_get_range() also works correctly starting from a bus node
-containing "dma-ranges".
-
-While this does mean "dma-ranges" could incorrectly be in a device leaf
-node, there isn't really any way in this function to ensure that a leaf
-node is or isn't a bus node.
-
-Signed-off-by: Robin Murphy <robin.murphy@arm.com>
-[robh: Allow for the bus child node to still be passed in]
-Signed-off-by: Rob Herring <robh@kernel.org>
-Reviewed-by: Robin Murphy <robin.murphy@arm.com>
-Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
----
- drivers/of/address.c | 44 ++++++++++++++++++--------------------------
- 1 file changed, 18 insertions(+), 26 deletions(-)
-
---- a/drivers/of/address.c
-+++ b/drivers/of/address.c
-@@ -940,47 +940,39 @@ int of_dma_get_range(struct device_node
-       const __be32 *ranges = NULL;
-       int len, naddr, nsize, pna;
-       int ret = 0;
-+      bool found_dma_ranges = false;
-       u64 dmaaddr;
--      if (!node)
--              return -EINVAL;
--
--      while (1) {
--              struct device_node *parent;
--
--              naddr = of_n_addr_cells(node);
--              nsize = of_n_size_cells(node);
--
--              parent = __of_get_dma_parent(node);
--              of_node_put(node);
--
--              node = parent;
--              if (!node)
--                      break;
--
-+      while (node) {
-               ranges = of_get_property(node, "dma-ranges", &len);
-               /* Ignore empty ranges, they imply no translation required */
-               if (ranges && len > 0)
-                       break;
--              /*
--               * At least empty ranges has to be defined for parent node if
--               * DMA is supported
--               */
--              if (!ranges)
--                      break;
-+              /* Once we find 'dma-ranges', then a missing one is an error */
-+              if (found_dma_ranges && !ranges) {
-+                      ret = -ENODEV;
-+                      goto out;
-+              }
-+              found_dma_ranges = true;
-+
-+              node = of_get_next_dma_parent(node);
-       }
--      if (!ranges) {
-+      if (!node || !ranges) {
-               pr_debug("no dma-ranges found for node(%pOF)\n", np);
-               ret = -ENODEV;
-               goto out;
-       }
--      len /= sizeof(u32);
--
-+      naddr = of_bus_n_addr_cells(node);
-+      nsize = of_bus_n_size_cells(node);
-       pna = of_n_addr_cells(node);
-+      if ((len / sizeof(__be32)) % (pna + naddr + nsize)) {
-+              ret = -EINVAL;
-+              goto out;
-+      }
-       /* dma-ranges format:
-        * DMA addr     : naddr cells
-@@ -988,7 +980,7 @@ int of_dma_get_range(struct device_node
-        * size         : nsize cells
-        */
-       dmaaddr = of_read_number(ranges, naddr);
--      *paddr = of_translate_dma_address(np, ranges);
-+      *paddr = of_translate_dma_address(node, ranges + naddr);
-       if (*paddr == OF_BAD_ADDR) {
-               pr_err("translation of DMA address(%pad) to CPU address failed node(%pOF)\n",
-                      dma_addr, np);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0440-arm64-mm-use-arm64_dma_phys_limit-instead-of-calling.patch b/target/linux/bcm27xx/patches-5.4/950-0440-arm64-mm-use-arm64_dma_phys_limit-instead-of-calling.patch
deleted file mode 100644 (file)
index c697494..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-From c17f622cbb33332a305ef383506740d3d01aa831 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Wed, 11 Sep 2019 20:25:43 +0200
-Subject: [PATCH] arm64: mm: use arm64_dma_phys_limit instead of
- calling max_zone_dma_phys()
-
-commit ae970dc096b2d39f65f2e18d142e3978dc9ee1c7 upstream.
-
-By the time we call zones_sizes_init() arm64_dma_phys_limit already
-contains the result of max_zone_dma_phys(). We use the variable instead
-of calling the function directly to save some precious cpu time.
-
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Catalin Marinas <catalin.marinas@arm.com>
-Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
----
- arch/arm64/mm/init.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/arch/arm64/mm/init.c
-+++ b/arch/arm64/mm/init.c
-@@ -181,7 +181,7 @@ static void __init zone_sizes_init(unsig
-       unsigned long max_zone_pfns[MAX_NR_ZONES]  = {0};
- #ifdef CONFIG_ZONE_DMA32
--      max_zone_pfns[ZONE_DMA32] = PFN_DOWN(max_zone_dma_phys());
-+      max_zone_pfns[ZONE_DMA32] = PFN_DOWN(arm64_dma_phys_limit);
- #endif
-       max_zone_pfns[ZONE_NORMAL] = max;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0440-x86-PCI-sta2x11-use-default-DMA-address-translation.patch b/target/linux/bcm27xx/patches-5.4/950-0440-x86-PCI-sta2x11-use-default-DMA-address-translation.patch
new file mode 100644 (file)
index 0000000..51fd4be
--- /dev/null
@@ -0,0 +1,259 @@
+From 97a48106d1698038720495fdd49c491b283bf110 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Thu, 7 Nov 2019 16:06:45 +0100
+Subject: [PATCH] x86/PCI: sta2x11: use default DMA address translation
+
+commit e380a0394c36a3a878c858418d5dd7f5f195b6fc upstream.
+
+The devices found behind this PCIe chip have unusual DMA mapping
+constraints as there is an AMBA interconnect placed in between them and
+the different PCI endpoints. The offset between physical memory
+addresses and AMBA's view is provided by reading a PCI config register,
+which is saved and used whenever DMA mapping is needed.
+
+It turns out that this DMA setup can be represented by properly setting
+'dma_pfn_offset', 'dma_bus_mask' and 'dma_mask' during the PCI device
+enable fixup. And ultimately allows us to get rid of this device's
+custom DMA functions.
+
+Aside from the code deletion and DMA setup, sta2x11_pdev_to_mapping() is
+moved to avoid warnings whenever CONFIG_PM is not enabled.
+
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Christoph Hellwig <hch@lst.de>
+---
+ arch/x86/Kconfig                  |   1 -
+ arch/x86/include/asm/device.h     |   3 -
+ arch/x86/include/asm/dma-direct.h |   9 --
+ arch/x86/pci/sta2x11-fixup.c      | 135 ++++++------------------------
+ 4 files changed, 26 insertions(+), 122 deletions(-)
+ delete mode 100644 arch/x86/include/asm/dma-direct.h
+
+--- a/arch/x86/Kconfig
++++ b/arch/x86/Kconfig
+@@ -708,7 +708,6 @@ config X86_SUPPORTS_MEMORY_FAILURE
+ config STA2X11
+       bool "STA2X11 Companion Chip Support"
+       depends on X86_32_NON_STANDARD && PCI
+-      select ARCH_HAS_PHYS_TO_DMA
+       select SWIOTLB
+       select MFD_STA2X11
+       select GPIOLIB
+--- a/arch/x86/include/asm/device.h
++++ b/arch/x86/include/asm/device.h
+@@ -6,9 +6,6 @@ struct dev_archdata {
+ #if defined(CONFIG_INTEL_IOMMU) || defined(CONFIG_AMD_IOMMU)
+       void *iommu; /* hook for IOMMU specific extension */
+ #endif
+-#ifdef CONFIG_STA2X11
+-      bool is_sta2x11;
+-#endif
+ };
+ #if defined(CONFIG_X86_DEV_DMA_OPS) && defined(CONFIG_PCI_DOMAINS)
+--- a/arch/x86/include/asm/dma-direct.h
++++ /dev/null
+@@ -1,9 +0,0 @@
+-/* SPDX-License-Identifier: GPL-2.0 */
+-#ifndef ASM_X86_DMA_DIRECT_H
+-#define ASM_X86_DMA_DIRECT_H 1
+-
+-bool dma_capable(struct device *dev, dma_addr_t addr, size_t size);
+-dma_addr_t __phys_to_dma(struct device *dev, phys_addr_t paddr);
+-phys_addr_t __dma_to_phys(struct device *dev, dma_addr_t daddr);
+-
+-#endif /* ASM_X86_DMA_DIRECT_H */
+--- a/arch/x86/pci/sta2x11-fixup.c
++++ b/arch/x86/pci/sta2x11-fixup.c
+@@ -30,7 +30,6 @@ struct sta2x11_ahb_regs { /* saved durin
+ };
+ struct sta2x11_mapping {
+-      u32 amba_base;
+       int is_suspended;
+       struct sta2x11_ahb_regs regs[STA2X11_NR_FUNCS];
+ };
+@@ -92,18 +91,6 @@ static int sta2x11_pdev_to_ep(struct pci
+       return pdev->bus->number - instance->bus0;
+ }
+-static struct sta2x11_mapping *sta2x11_pdev_to_mapping(struct pci_dev *pdev)
+-{
+-      struct sta2x11_instance *instance;
+-      int ep;
+-
+-      instance = sta2x11_pdev_to_instance(pdev);
+-      if (!instance)
+-              return NULL;
+-      ep = sta2x11_pdev_to_ep(pdev);
+-      return instance->map + ep;
+-}
+-
+ /* This is exported, as some devices need to access the MFD registers */
+ struct sta2x11_instance *sta2x11_get_instance(struct pci_dev *pdev)
+ {
+@@ -111,39 +98,6 @@ struct sta2x11_instance *sta2x11_get_ins
+ }
+ EXPORT_SYMBOL(sta2x11_get_instance);
+-
+-/**
+- * p2a - Translate physical address to STA2x11 AMBA address,
+- *       used for DMA transfers to STA2x11
+- * @p: Physical address
+- * @pdev: PCI device (must be hosted within the connext)
+- */
+-static dma_addr_t p2a(dma_addr_t p, struct pci_dev *pdev)
+-{
+-      struct sta2x11_mapping *map;
+-      dma_addr_t a;
+-
+-      map = sta2x11_pdev_to_mapping(pdev);
+-      a = p + map->amba_base;
+-      return a;
+-}
+-
+-/**
+- * a2p - Translate STA2x11 AMBA address to physical address
+- *       used for DMA transfers from STA2x11
+- * @a: STA2x11 AMBA address
+- * @pdev: PCI device (must be hosted within the connext)
+- */
+-static dma_addr_t a2p(dma_addr_t a, struct pci_dev *pdev)
+-{
+-      struct sta2x11_mapping *map;
+-      dma_addr_t p;
+-
+-      map = sta2x11_pdev_to_mapping(pdev);
+-      p = a - map->amba_base;
+-      return p;
+-}
+-
+ /* At setup time, we use our own ops if the device is a ConneXt one */
+ static void sta2x11_setup_pdev(struct pci_dev *pdev)
+ {
+@@ -151,9 +105,6 @@ static void sta2x11_setup_pdev(struct pc
+       if (!instance) /* either a sta2x11 bridge or another ST device */
+               return;
+-      pci_set_consistent_dma_mask(pdev, STA2X11_AMBA_SIZE - 1);
+-      pci_set_dma_mask(pdev, STA2X11_AMBA_SIZE - 1);
+-      pdev->dev.archdata.is_sta2x11 = true;
+       /* We must enable all devices as master, for audio DMA to work */
+       pci_set_master(pdev);
+@@ -161,61 +112,6 @@ static void sta2x11_setup_pdev(struct pc
+ DECLARE_PCI_FIXUP_ENABLE(PCI_VENDOR_ID_STMICRO, PCI_ANY_ID, sta2x11_setup_pdev);
+ /*
+- * The following three functions are exported (used in swiotlb: FIXME)
+- */
+-/**
+- * dma_capable - Check if device can manage DMA transfers (FIXME: kill it)
+- * @dev: device for a PCI device
+- * @addr: DMA address
+- * @size: DMA size
+- */
+-bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
+-{
+-      struct sta2x11_mapping *map;
+-
+-      if (!dev->archdata.is_sta2x11) {
+-              if (!dev->dma_mask)
+-                      return false;
+-              return addr + size - 1 <= *dev->dma_mask;
+-      }
+-
+-      map = sta2x11_pdev_to_mapping(to_pci_dev(dev));
+-
+-      if (!map || (addr < map->amba_base))
+-              return false;
+-      if (addr + size >= map->amba_base + STA2X11_AMBA_SIZE) {
+-              return false;
+-      }
+-
+-      return true;
+-}
+-
+-/**
+- * __phys_to_dma - Return the DMA AMBA address used for this STA2x11 device
+- * @dev: device for a PCI device
+- * @paddr: Physical address
+- */
+-dma_addr_t __phys_to_dma(struct device *dev, phys_addr_t paddr)
+-{
+-      if (!dev->archdata.is_sta2x11)
+-              return paddr;
+-      return p2a(paddr, to_pci_dev(dev));
+-}
+-
+-/**
+- * dma_to_phys - Return the physical address used for this STA2x11 DMA address
+- * @dev: device for a PCI device
+- * @daddr: STA2x11 AMBA DMA address
+- */
+-phys_addr_t __dma_to_phys(struct device *dev, dma_addr_t daddr)
+-{
+-      if (!dev->archdata.is_sta2x11)
+-              return daddr;
+-      return a2p(daddr, to_pci_dev(dev));
+-}
+-
+-
+-/*
+  * At boot we must set up the mappings for the pcie-to-amba bridge.
+  * It involves device access, and the same happens at suspend/resume time
+  */
+@@ -234,12 +130,22 @@ phys_addr_t __dma_to_phys(struct device
+ /* At probe time, enable mapping for each endpoint, using the pdev */
+ static void sta2x11_map_ep(struct pci_dev *pdev)
+ {
+-      struct sta2x11_mapping *map = sta2x11_pdev_to_mapping(pdev);
++      struct sta2x11_instance *instance = sta2x11_pdev_to_instance(pdev);
++      struct device *dev = &pdev->dev;
++      u32 amba_base, max_amba_addr;
+       int i;
+-      if (!map)
++      if (!instance)
+               return;
+-      pci_read_config_dword(pdev, AHB_BASE(0), &map->amba_base);
++
++      pci_read_config_dword(pdev, AHB_BASE(0), &amba_base);
++      max_amba_addr = amba_base + STA2X11_AMBA_SIZE - 1;
++
++      dev->dma_pfn_offset = PFN_DOWN(-amba_base);
++
++      dev->bus_dma_mask = max_amba_addr;
++      pci_set_consistent_dma_mask(pdev, max_amba_addr);
++      pci_set_dma_mask(pdev, max_amba_addr);
+       /* Configure AHB mapping */
+       pci_write_config_dword(pdev, AHB_PEXLBASE(0), 0);
+@@ -253,13 +159,24 @@ static void sta2x11_map_ep(struct pci_de
+       dev_info(&pdev->dev,
+                "sta2x11: Map EP %i: AMBA address %#8x-%#8x\n",
+-               sta2x11_pdev_to_ep(pdev),  map->amba_base,
+-               map->amba_base + STA2X11_AMBA_SIZE - 1);
++               sta2x11_pdev_to_ep(pdev), amba_base, max_amba_addr);
+ }
+ DECLARE_PCI_FIXUP_ENABLE(PCI_VENDOR_ID_STMICRO, PCI_ANY_ID, sta2x11_map_ep);
+ #ifdef CONFIG_PM /* Some register values must be saved and restored */
++static struct sta2x11_mapping *sta2x11_pdev_to_mapping(struct pci_dev *pdev)
++{
++      struct sta2x11_instance *instance;
++      int ep;
++
++      instance = sta2x11_pdev_to_instance(pdev);
++      if (!instance)
++              return NULL;
++      ep = sta2x11_pdev_to_ep(pdev);
++      return instance->map + ep;
++}
++
+ static void suspend_mapping(struct pci_dev *pdev)
+ {
+       struct sta2x11_mapping *map = sta2x11_pdev_to_mapping(pdev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0441-PCI-of-Add-inbound-resource-parsing-to-helpers.patch b/target/linux/bcm27xx/patches-5.4/950-0441-PCI-of-Add-inbound-resource-parsing-to-helpers.patch
new file mode 100644 (file)
index 0000000..493ea63
--- /dev/null
@@ -0,0 +1,427 @@
+From 125a18144253e3a3f4bcad24484ee9b590dc47c6 Mon Sep 17 00:00:00 2001
+From: Rob Herring <robh@kernel.org>
+Date: Wed, 30 Oct 2019 17:30:57 -0500
+Subject: [PATCH] PCI: of: Add inbound resource parsing to helpers
+
+Extend devm_of_pci_get_host_bridge_resources() and
+pci_parse_request_of_pci_ranges() helpers to also parse the inbound
+addresses from DT 'dma-ranges' and populate a resource list with the
+translated addresses. This will help ensure 'dma-ranges' is always
+parsed in a consistent way.
+
+Tested-by: Srinath Mannam <srinath.mannam@broadcom.com>
+Tested-by: Thomas Petazzoni <thomas.petazzoni@bootlin.com> # for AArdvark
+Signed-off-by: Rob Herring <robh@kernel.org>
+Signed-off-by: Lorenzo Pieralisi <lorenzo.pieralisi@arm.com>
+Reviewed-by: Srinath Mannam <srinath.mannam@broadcom.com>
+Reviewed-by: Andrew Murray <andrew.murray@arm.com>
+Acked-by: Gustavo Pimentel <gustavo.pimentel@synopsys.com>
+Cc: Jingoo Han <jingoohan1@gmail.com>
+Cc: Gustavo Pimentel <gustavo.pimentel@synopsys.com>
+Cc: Lorenzo Pieralisi <lorenzo.pieralisi@arm.com>
+Cc: Bjorn Helgaas <bhelgaas@google.com>
+Cc: Thomas Petazzoni <thomas.petazzoni@bootlin.com>
+Cc: Will Deacon <will@kernel.org>
+Cc: Linus Walleij <linus.walleij@linaro.org>
+Cc: Toan Le <toan@os.amperecomputing.com>
+Cc: Ley Foon Tan <lftan@altera.com>
+Cc: Tom Joseph <tjoseph@cadence.com>
+Cc: Ray Jui <rjui@broadcom.com>
+Cc: Scott Branden <sbranden@broadcom.com>
+Cc: bcm-kernel-feedback-list@broadcom.com
+Cc: Ryder Lee <ryder.lee@mediatek.com>
+Cc: Karthikeyan Mitran <m.karthikeyan@mobiveil.co.in>
+Cc: Hou Zhiqiang <Zhiqiang.Hou@nxp.com>
+Cc: Simon Horman <horms@verge.net.au>
+Cc: Shawn Lin <shawn.lin@rock-chips.com>
+Cc: Heiko Stuebner <heiko@sntech.de>
+Cc: Michal Simek <michal.simek@xilinx.com>
+Cc: rfi@lists.rocketboards.org
+Cc: linux-mediatek@lists.infradead.org
+Cc: linux-renesas-soc@vger.kernel.org
+Cc: linux-rockchip@lists.infradead.org
+(cherry picked from commit 331f63457165a30c708280de2c77f1742c6351dc)
+---
+ .../pci/controller/dwc/pcie-designware-host.c |  8 +--
+ drivers/pci/controller/pci-aardvark.c         |  3 +-
+ drivers/pci/controller/pci-ftpci100.c         |  4 +-
+ drivers/pci/controller/pci-host-common.c      |  2 +-
+ drivers/pci/controller/pci-v3-semi.c          |  8 +--
+ drivers/pci/controller/pci-versatile.c        |  3 +-
+ drivers/pci/controller/pci-xgene.c            |  4 +-
+ drivers/pci/controller/pcie-altera.c          |  5 +-
+ drivers/pci/controller/pcie-cadence-host.c    |  2 +-
+ drivers/pci/controller/pcie-iproc-platform.c  |  4 +-
+ drivers/pci/controller/pcie-mediatek.c        |  4 +-
+ drivers/pci/controller/pcie-mobiveil.c        |  4 +-
+ drivers/pci/controller/pcie-rcar.c            |  3 +-
+ drivers/pci/controller/pcie-rockchip-host.c   |  4 +-
+ drivers/pci/controller/pcie-xilinx-nwl.c      |  4 +-
+ drivers/pci/controller/pcie-xilinx.c          |  4 +-
+ drivers/pci/of.c                              | 61 ++++++++++++++++---
+ drivers/pci/pci.h                             |  8 ++-
+ include/linux/pci.h                           |  9 ++-
+ 19 files changed, 96 insertions(+), 48 deletions(-)
+
+--- a/drivers/pci/controller/dwc/pcie-designware-host.c
++++ b/drivers/pci/controller/dwc/pcie-designware-host.c
+@@ -345,12 +345,8 @@ int dw_pcie_host_init(struct pcie_port *
+       if (!bridge)
+               return -ENOMEM;
+-      ret = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff,
+-                                      &bridge->windows, &pp->io_base);
+-      if (ret)
+-              return ret;
+-
+-      ret = devm_request_pci_bus_resources(dev, &bridge->windows);
++      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
++                                            &bridge->dma_ranges, NULL);
+       if (ret)
+               return ret;
+--- a/drivers/pci/controller/pci-aardvark.c
++++ b/drivers/pci/controller/pci-aardvark.c
+@@ -1018,7 +1018,8 @@ static int advk_pcie_probe(struct platfo
+               return ret;
+       }
+-      ret = advk_pcie_parse_request_of_pci_ranges(pcie);
++      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
++                                            &bridge->dma_ranges, &bus);
+       if (ret) {
+               dev_err(dev, "Failed to parse resources\n");
+               return ret;
+--- a/drivers/pci/controller/pci-ftpci100.c
++++ b/drivers/pci/controller/pci-ftpci100.c
+@@ -480,8 +480,8 @@ static int faraday_pci_probe(struct plat
+       if (IS_ERR(p->base))
+               return PTR_ERR(p->base);
+-      ret = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff,
+-                                                  &res, &io_base);
++      ret = pci_parse_request_of_pci_ranges(dev, &host->windows,
++                                            &host->dma_ranges, NULL);
+       if (ret)
+               return ret;
+--- a/drivers/pci/controller/pci-host-common.c
++++ b/drivers/pci/controller/pci-host-common.c
+@@ -27,7 +27,7 @@ static struct pci_config_window *gen_pci
+       struct pci_config_window *cfg;
+       /* Parse our PCI ranges and request their resources */
+-      err = pci_parse_request_of_pci_ranges(dev, resources, &bus_range);
++      err = pci_parse_request_of_pci_ranges(dev, resources, NULL, &bus_range);
+       if (err)
+               return ERR_PTR(err);
+--- a/drivers/pci/controller/pci-v3-semi.c
++++ b/drivers/pci/controller/pci-v3-semi.c
+@@ -793,12 +793,8 @@ static int v3_pci_probe(struct platform_
+       if (IS_ERR(v3->config_base))
+               return PTR_ERR(v3->config_base);
+-      ret = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff, &res,
+-                                                  &io_base);
+-      if (ret)
+-              return ret;
+-
+-      ret = devm_request_pci_bus_resources(dev, &res);
++      ret = pci_parse_request_of_pci_ranges(dev, &host->windows,
++                                            &host->dma_ranges, NULL);
+       if (ret)
+               return ret;
+--- a/drivers/pci/controller/pci-versatile.c
++++ b/drivers/pci/controller/pci-versatile.c
+@@ -141,7 +141,8 @@ static int versatile_pci_probe(struct pl
+       if (IS_ERR(versatile_cfg_base[1]))
+               return PTR_ERR(versatile_cfg_base[1]);
+-      ret = versatile_pci_parse_request_of_pci_ranges(dev, &pci_res);
++      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
++                                            NULL, NULL);
+       if (ret)
+               return ret;
+--- a/drivers/pci/controller/pci-xgene.c
++++ b/drivers/pci/controller/pci-xgene.c
+@@ -634,8 +634,8 @@ static int xgene_pcie_probe(struct platf
+       if (ret)
+               return ret;
+-      ret = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff, &res,
+-                                                  &iobase);
++      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
++                                            &bridge->dma_ranges, NULL);
+       if (ret)
+               return ret;
+--- a/drivers/pci/controller/pcie-altera.c
++++ b/drivers/pci/controller/pcie-altera.c
+@@ -833,9 +833,8 @@ static int altera_pcie_probe(struct plat
+               return ret;
+       }
+-      INIT_LIST_HEAD(&pcie->resources);
+-
+-      ret = altera_pcie_parse_request_of_pci_ranges(pcie);
++      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
++                                            &bridge->dma_ranges, NULL);
+       if (ret) {
+               dev_err(dev, "Failed add resources\n");
+               return ret;
+--- a/drivers/pci/controller/pcie-cadence-host.c
++++ b/drivers/pci/controller/pcie-cadence-host.c
+@@ -216,7 +216,7 @@ static int cdns_pcie_host_init(struct de
+       int err;
+       /* Parse our PCI ranges and request their resources */
+-      err = pci_parse_request_of_pci_ranges(dev, resources, &bus_range);
++      err = pci_parse_request_of_pci_ranges(dev, resources, NULL, &bus_range);
+       if (err)
+               return err;
+--- a/drivers/pci/controller/pcie-iproc-platform.c
++++ b/drivers/pci/controller/pcie-iproc-platform.c
+@@ -97,8 +97,8 @@ static int iproc_pcie_pltfm_probe(struct
+       if (IS_ERR(pcie->phy))
+               return PTR_ERR(pcie->phy);
+-      ret = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff, &resources,
+-                                                  &iobase);
++      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
++                                            &bridge->dma_ranges, NULL);
+       if (ret) {
+               dev_err(dev, "unable to get PCI host bridge resources\n");
+               return ret;
+--- a/drivers/pci/controller/pcie-mediatek.c
++++ b/drivers/pci/controller/pcie-mediatek.c
+@@ -1027,8 +1027,8 @@ static int mtk_pcie_setup(struct mtk_pci
+       resource_size_t io_base;
+       int err;
+-      err = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff,
+-                                                  windows, &io_base);
++      err = pci_parse_request_of_pci_ranges(dev, windows,
++                                            &host->dma_ranges, &bus);
+       if (err)
+               return err;
+--- a/drivers/pci/controller/pcie-mobiveil.c
++++ b/drivers/pci/controller/pcie-mobiveil.c
+@@ -883,8 +883,8 @@ static int mobiveil_pcie_probe(struct pl
+       INIT_LIST_HEAD(&pcie->resources);
+       /* parse the host bridge base addresses from the device tree file */
+-      ret = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff,
+-                                                  &pcie->resources, &iobase);
++      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
++                                            &bridge->dma_ranges, NULL);
+       if (ret) {
+               dev_err(dev, "Getting bridge resources failed\n");
+               return ret;
+--- a/drivers/pci/controller/pcie-rcar.c
++++ b/drivers/pci/controller/pcie-rcar.c
+@@ -1144,7 +1144,8 @@ static int rcar_pcie_probe(struct platfo
+       pcie->dev = dev;
+       platform_set_drvdata(pdev, pcie);
+-      err = pci_parse_request_of_pci_ranges(dev, &pcie->resources, NULL);
++      err = pci_parse_request_of_pci_ranges(dev, &pcie->resources,
++                                            &bridge->dma_ranges, NULL);
+       if (err)
+               goto err_free_bridge;
+--- a/drivers/pci/controller/pcie-rockchip-host.c
++++ b/drivers/pci/controller/pcie-rockchip-host.c
+@@ -995,8 +995,8 @@ static int rockchip_pcie_probe(struct pl
+       if (err < 0)
+               goto err_deinit_port;
+-      err = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff,
+-                                                  &res, &io_base);
++      err = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
++                                            &bridge->dma_ranges, &bus_res);
+       if (err)
+               goto err_remove_irq_domain;
+--- a/drivers/pci/controller/pcie-xilinx-nwl.c
++++ b/drivers/pci/controller/pcie-xilinx-nwl.c
+@@ -845,8 +845,8 @@ static int nwl_pcie_probe(struct platfor
+               return err;
+       }
+-      err = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff, &res,
+-                                                  &iobase);
++      err = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
++                                            &bridge->dma_ranges, NULL);
+       if (err) {
+               dev_err(dev, "Getting bridge resources failed\n");
+               return err;
+--- a/drivers/pci/controller/pcie-xilinx.c
++++ b/drivers/pci/controller/pcie-xilinx.c
+@@ -647,8 +647,8 @@ static int xilinx_pcie_probe(struct plat
+               return err;
+       }
+-      err = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff, &res,
+-                                                  &iobase);
++      err = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
++                                            &bridge->dma_ranges, NULL);
+       if (err) {
+               dev_err(dev, "Getting bridge resources failed\n");
+               return err;
+--- a/drivers/pci/of.c
++++ b/drivers/pci/of.c
+@@ -257,14 +257,16 @@ EXPORT_SYMBOL_GPL(of_pci_check_probe_onl
+  */
+ int devm_of_pci_get_host_bridge_resources(struct device *dev,
+                       unsigned char busno, unsigned char bus_max,
+-                      struct list_head *resources, resource_size_t *io_base)
++                      struct list_head *resources,
++                      struct list_head *ib_resources,
++                      resource_size_t *io_base)
+ {
+       struct device_node *dev_node = dev->of_node;
+       struct resource *res, tmp_res;
+       struct resource *bus_range;
+       struct of_pci_range range;
+       struct of_pci_range_parser parser;
+-      char range_type[4];
++      const char *range_type;
+       int err;
+       if (io_base)
+@@ -298,12 +300,12 @@ int devm_of_pci_get_host_bridge_resource
+       for_each_of_pci_range(&parser, &range) {
+               /* Read next ranges element */
+               if ((range.flags & IORESOURCE_TYPE_BITS) == IORESOURCE_IO)
+-                      snprintf(range_type, 4, " IO");
++                      range_type = "IO";
+               else if ((range.flags & IORESOURCE_TYPE_BITS) == IORESOURCE_MEM)
+-                      snprintf(range_type, 4, "MEM");
++                      range_type = "MEM";
+               else
+-                      snprintf(range_type, 4, "err");
+-              dev_info(dev, "  %s %#010llx..%#010llx -> %#010llx\n",
++                      range_type = "err";
++              dev_info(dev, "  %6s %#012llx..%#012llx -> %#012llx\n",
+                        range_type, range.cpu_addr,
+                        range.cpu_addr + range.size - 1, range.pci_addr);
+@@ -340,6 +342,48 @@ int devm_of_pci_get_host_bridge_resource
+               pci_add_resource_offset(resources, res, res->start - range.pci_addr);
+       }
++      /* Check for dma-ranges property */
++      if (!ib_resources)
++              return 0;
++      err = of_pci_dma_range_parser_init(&parser, dev_node);
++      if (err)
++              return 0;
++
++      dev_dbg(dev, "Parsing dma-ranges property...\n");
++      for_each_of_pci_range(&parser, &range) {
++              struct resource_entry *entry;
++              /*
++               * If we failed translation or got a zero-sized region
++               * then skip this range
++               */
++              if (((range.flags & IORESOURCE_TYPE_BITS) != IORESOURCE_MEM) ||
++                  range.cpu_addr == OF_BAD_ADDR || range.size == 0)
++                      continue;
++
++              dev_info(dev, "  %6s %#012llx..%#012llx -> %#012llx\n",
++                       "IB MEM", range.cpu_addr,
++                       range.cpu_addr + range.size - 1, range.pci_addr);
++
++
++              err = of_pci_range_to_resource(&range, dev_node, &tmp_res);
++              if (err)
++                      continue;
++
++              res = devm_kmemdup(dev, &tmp_res, sizeof(tmp_res), GFP_KERNEL);
++              if (!res) {
++                      err = -ENOMEM;
++                      goto failed;
++              }
++
++              /* Keep the resource list sorted */
++              resource_list_for_each_entry(entry, ib_resources)
++                      if (entry->res->start > res->start)
++                              break;
++
++              pci_add_resource_offset(&entry->node, res,
++                                      res->start - range.pci_addr);
++      }
++
+       return 0;
+ failed:
+@@ -482,6 +526,7 @@ EXPORT_SYMBOL_GPL(of_irq_parse_and_map_p
+ int pci_parse_request_of_pci_ranges(struct device *dev,
+                                   struct list_head *resources,
++                                  struct list_head *ib_resources,
+                                   struct resource **bus_range)
+ {
+       int err, res_valid = 0;
+@@ -489,8 +534,10 @@ int pci_parse_request_of_pci_ranges(stru
+       struct resource_entry *win, *tmp;
+       INIT_LIST_HEAD(resources);
++      if (ib_resources)
++              INIT_LIST_HEAD(ib_resources);
+       err = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff, resources,
+-                                                  &iobase);
++                                                  ib_resources, &iobase);
+       if (err)
+               return err;
+--- a/drivers/pci/pci.h
++++ b/drivers/pci/pci.h
+@@ -637,11 +637,15 @@ static inline void pci_release_bus_of_no
+ #if defined(CONFIG_OF_ADDRESS)
+ int devm_of_pci_get_host_bridge_resources(struct device *dev,
+                       unsigned char busno, unsigned char bus_max,
+-                      struct list_head *resources, resource_size_t *io_base);
++                      struct list_head *resources,
++                      struct list_head *ib_resources,
++                      resource_size_t *io_base);
+ #else
+ static inline int devm_of_pci_get_host_bridge_resources(struct device *dev,
+                       unsigned char busno, unsigned char bus_max,
+-                      struct list_head *resources, resource_size_t *io_base)
++                      struct list_head *resources,
++                      struct list_head *ib_resources,
++                      resource_size_t *io_base)
+ {
+       return -EINVAL;
+ }
+--- a/include/linux/pci.h
++++ b/include/linux/pci.h
+@@ -2278,6 +2278,7 @@ struct irq_domain;
+ struct irq_domain *pci_host_bridge_of_msi_domain(struct pci_bus *bus);
+ int pci_parse_request_of_pci_ranges(struct device *dev,
+                                   struct list_head *resources,
++                                  struct list_head *ib_resources,
+                                   struct resource **bus_range);
+ /* Arch may override this (weak) */
+@@ -2286,9 +2287,11 @@ struct device_node *pcibios_get_phb_of_n
+ #else /* CONFIG_OF */
+ static inline struct irq_domain *
+ pci_host_bridge_of_msi_domain(struct pci_bus *bus) { return NULL; }
+-static inline int pci_parse_request_of_pci_ranges(struct device *dev,
+-                                                struct list_head *resources,
+-                                                struct resource **bus_range)
++static inline int
++pci_parse_request_of_pci_ranges(struct device *dev,
++                              struct list_head *resources,
++                              struct list_head *ib_resources,
++                              struct resource **bus_range)
+ {
+       return -EINVAL;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0441-arm64-rename-variables-used-to-calculate-ZONE_DMA32-.patch b/target/linux/bcm27xx/patches-5.4/950-0441-arm64-rename-variables-used-to-calculate-ZONE_DMA32-.patch
deleted file mode 100644 (file)
index df184f5..0000000
+++ /dev/null
@@ -1,117 +0,0 @@
-From 4d2bd7f66bac81b042afc2a6e742bd776a5a3938 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Wed, 11 Sep 2019 20:25:44 +0200
-Subject: [PATCH] arm64: rename variables used to calculate
- ZONE_DMA32's size
-
-commit a573cdd7973dedd87e62196c400332896bb236c8 upstream.
-
-Let the name indicate that they are used to calculate ZONE_DMA32's size
-as opposed to ZONE_DMA.
-
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Catalin Marinas <catalin.marinas@arm.com>
-Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
----
- arch/arm64/mm/init.c | 30 +++++++++++++++---------------
- 1 file changed, 15 insertions(+), 15 deletions(-)
-
---- a/arch/arm64/mm/init.c
-+++ b/arch/arm64/mm/init.c
-@@ -50,7 +50,7 @@
- s64 memstart_addr __ro_after_init = -1;
- EXPORT_SYMBOL(memstart_addr);
--phys_addr_t arm64_dma_phys_limit __ro_after_init;
-+phys_addr_t arm64_dma32_phys_limit __ro_after_init;
- #ifdef CONFIG_KEXEC_CORE
- /*
-@@ -168,7 +168,7 @@ static void __init reserve_elfcorehdr(vo
-  * currently assumes that for memory starting above 4G, 32-bit devices will
-  * use a DMA offset.
-  */
--static phys_addr_t __init max_zone_dma_phys(void)
-+static phys_addr_t __init max_zone_dma32_phys(void)
- {
-       phys_addr_t offset = memblock_start_of_DRAM() & GENMASK_ULL(63, 32);
-       return min(offset + (1ULL << 32), memblock_end_of_DRAM());
-@@ -181,7 +181,7 @@ static void __init zone_sizes_init(unsig
-       unsigned long max_zone_pfns[MAX_NR_ZONES]  = {0};
- #ifdef CONFIG_ZONE_DMA32
--      max_zone_pfns[ZONE_DMA32] = PFN_DOWN(arm64_dma_phys_limit);
-+      max_zone_pfns[ZONE_DMA32] = PFN_DOWN(arm64_dma32_phys_limit);
- #endif
-       max_zone_pfns[ZONE_NORMAL] = max;
-@@ -194,16 +194,16 @@ static void __init zone_sizes_init(unsig
- {
-       struct memblock_region *reg;
-       unsigned long zone_size[MAX_NR_ZONES], zhole_size[MAX_NR_ZONES];
--      unsigned long max_dma = min;
-+      unsigned long max_dma32 = min;
-       memset(zone_size, 0, sizeof(zone_size));
-       /* 4GB maximum for 32-bit only capable devices */
- #ifdef CONFIG_ZONE_DMA32
--      max_dma = PFN_DOWN(arm64_dma_phys_limit);
--      zone_size[ZONE_DMA32] = max_dma - min;
-+      max_dma32 = PFN_DOWN(arm64_dma32_phys_limit);
-+      zone_size[ZONE_DMA32] = max_dma32 - min;
- #endif
--      zone_size[ZONE_NORMAL] = max - max_dma;
-+      zone_size[ZONE_NORMAL] = max - max_dma32;
-       memcpy(zhole_size, zone_size, sizeof(zhole_size));
-@@ -215,14 +215,14 @@ static void __init zone_sizes_init(unsig
-                       continue;
- #ifdef CONFIG_ZONE_DMA32
--              if (start < max_dma) {
--                      unsigned long dma_end = min(end, max_dma);
-+              if (start < max_dma32) {
-+                      unsigned long dma_end = min(end, max_dma32);
-                       zhole_size[ZONE_DMA32] -= dma_end - start;
-               }
- #endif
--              if (end > max_dma) {
-+              if (end > max_dma32) {
-                       unsigned long normal_end = min(end, max);
--                      unsigned long normal_start = max(start, max_dma);
-+                      unsigned long normal_start = max(start, max_dma32);
-                       zhole_size[ZONE_NORMAL] -= normal_end - normal_start;
-               }
-       }
-@@ -410,9 +410,9 @@ void __init arm64_memblock_init(void)
-       /* 4GB maximum for 32-bit only capable devices */
-       if (IS_ENABLED(CONFIG_ZONE_DMA32))
--              arm64_dma_phys_limit = max_zone_dma_phys();
-+              arm64_dma32_phys_limit = max_zone_dma32_phys();
-       else
--              arm64_dma_phys_limit = PHYS_MASK + 1;
-+              arm64_dma32_phys_limit = PHYS_MASK + 1;
-       reserve_crashkernel();
-@@ -420,7 +420,7 @@ void __init arm64_memblock_init(void)
-       high_memory = __va(memblock_end_of_DRAM() - 1) + 1;
--      dma_contiguous_reserve(arm64_dma_phys_limit);
-+      dma_contiguous_reserve(arm64_dma32_phys_limit);
- }
- void __init bootmem_init(void)
-@@ -524,7 +524,7 @@ static void __init free_unused_memmap(vo
- void __init mem_init(void)
- {
-       if (swiotlb_force == SWIOTLB_FORCE ||
--          max_pfn > (arm64_dma_phys_limit >> PAGE_SHIFT))
-+          max_pfn > (arm64_dma32_phys_limit >> PAGE_SHIFT))
-               swiotlb_init(1);
-       else
-               swiotlb_force = SWIOTLB_NO_FORCE;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0442-arm64-use-both-ZONE_DMA-and-ZONE_DMA32.patch b/target/linux/bcm27xx/patches-5.4/950-0442-arm64-use-both-ZONE_DMA-and-ZONE_DMA32.patch
deleted file mode 100644 (file)
index ccb2071..0000000
+++ /dev/null
@@ -1,174 +0,0 @@
-From 1fb65f4bc30fbadd0c89521985ff8142693c9631 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Wed, 11 Sep 2019 20:25:45 +0200
-Subject: [PATCH] arm64: use both ZONE_DMA and ZONE_DMA32
-
-commit 1a8e1cef7603e218339ac63cb3178b25554524e5 upstream.
-
-So far all arm64 devices have supported 32 bit DMA masks for their
-peripherals. This is not true anymore for the Raspberry Pi 4 as most of
-it's peripherals can only address the first GB of memory on a total of
-up to 4 GB.
-
-This goes against ZONE_DMA32's intent, as it's expected for ZONE_DMA32
-to be addressable with a 32 bit mask. So it was decided to re-introduce
-ZONE_DMA in arm64.
-
-ZONE_DMA will contain the lower 1G of memory, which is currently the
-memory area addressable by any peripheral on an arm64 device.
-ZONE_DMA32 will contain the rest of the 32 bit addressable memory.
-
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Catalin Marinas <catalin.marinas@arm.com>
-Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
----
- arch/arm64/Kconfig            |  4 +++
- arch/arm64/include/asm/page.h |  2 ++
- arch/arm64/mm/init.c          | 54 +++++++++++++++++++++++++----------
- 3 files changed, 45 insertions(+), 15 deletions(-)
-
---- a/arch/arm64/Kconfig
-+++ b/arch/arm64/Kconfig
-@@ -267,6 +267,10 @@ config GENERIC_CSUM
- config GENERIC_CALIBRATE_DELAY
-       def_bool y
-+config ZONE_DMA
-+      bool "Support DMA zone" if EXPERT
-+      default y
-+
- config ZONE_DMA32
-       bool "Support DMA32 zone" if EXPERT
-       default y
---- a/arch/arm64/include/asm/page.h
-+++ b/arch/arm64/include/asm/page.h
-@@ -38,4 +38,6 @@ extern int pfn_valid(unsigned long);
- #include <asm-generic/getorder.h>
-+#define ARCH_ZONE_DMA_BITS 30
-+
- #endif
---- a/arch/arm64/mm/init.c
-+++ b/arch/arm64/mm/init.c
-@@ -50,6 +50,13 @@
- s64 memstart_addr __ro_after_init = -1;
- EXPORT_SYMBOL(memstart_addr);
-+/*
-+ * We create both ZONE_DMA and ZONE_DMA32. ZONE_DMA covers the first 1G of
-+ * memory as some devices, namely the Raspberry Pi 4, have peripherals with
-+ * this limited view of the memory. ZONE_DMA32 will cover the rest of the 32
-+ * bit addressable memory area.
-+ */
-+phys_addr_t arm64_dma_phys_limit __ro_after_init;
- phys_addr_t arm64_dma32_phys_limit __ro_after_init;
- #ifdef CONFIG_KEXEC_CORE
-@@ -163,15 +170,16 @@ static void __init reserve_elfcorehdr(vo
- {
- }
- #endif /* CONFIG_CRASH_DUMP */
-+
- /*
-- * Return the maximum physical address for ZONE_DMA32 (DMA_BIT_MASK(32)). It
-- * currently assumes that for memory starting above 4G, 32-bit devices will
-- * use a DMA offset.
-+ * Return the maximum physical address for a zone with a given address size
-+ * limit. It currently assumes that for memory starting above 4G, 32-bit
-+ * devices will use a DMA offset.
-  */
--static phys_addr_t __init max_zone_dma32_phys(void)
-+static phys_addr_t __init max_zone_phys(unsigned int zone_bits)
- {
--      phys_addr_t offset = memblock_start_of_DRAM() & GENMASK_ULL(63, 32);
--      return min(offset + (1ULL << 32), memblock_end_of_DRAM());
-+      phys_addr_t offset = memblock_start_of_DRAM() & GENMASK_ULL(63, zone_bits);
-+      return min(offset + (1ULL << zone_bits), memblock_end_of_DRAM());
- }
- #ifdef CONFIG_NUMA
-@@ -180,6 +188,9 @@ static void __init zone_sizes_init(unsig
- {
-       unsigned long max_zone_pfns[MAX_NR_ZONES]  = {0};
-+#ifdef CONFIG_ZONE_DMA
-+      max_zone_pfns[ZONE_DMA] = PFN_DOWN(arm64_dma_phys_limit);
-+#endif
- #ifdef CONFIG_ZONE_DMA32
-       max_zone_pfns[ZONE_DMA32] = PFN_DOWN(arm64_dma32_phys_limit);
- #endif
-@@ -195,13 +206,18 @@ static void __init zone_sizes_init(unsig
-       struct memblock_region *reg;
-       unsigned long zone_size[MAX_NR_ZONES], zhole_size[MAX_NR_ZONES];
-       unsigned long max_dma32 = min;
-+      unsigned long max_dma = min;
-       memset(zone_size, 0, sizeof(zone_size));
--      /* 4GB maximum for 32-bit only capable devices */
-+#ifdef CONFIG_ZONE_DMA
-+      max_dma = PFN_DOWN(arm64_dma_phys_limit);
-+      zone_size[ZONE_DMA] = max_dma - min;
-+      max_dma32 = max_dma;
-+#endif
- #ifdef CONFIG_ZONE_DMA32
-       max_dma32 = PFN_DOWN(arm64_dma32_phys_limit);
--      zone_size[ZONE_DMA32] = max_dma32 - min;
-+      zone_size[ZONE_DMA32] = max_dma32 - max_dma;
- #endif
-       zone_size[ZONE_NORMAL] = max - max_dma32;
-@@ -213,11 +229,17 @@ static void __init zone_sizes_init(unsig
-               if (start >= max)
-                       continue;
--
-+#ifdef CONFIG_ZONE_DMA
-+              if (start < max_dma) {
-+                      unsigned long dma_end = min_not_zero(end, max_dma);
-+                      zhole_size[ZONE_DMA] -= dma_end - start;
-+              }
-+#endif
- #ifdef CONFIG_ZONE_DMA32
-               if (start < max_dma32) {
--                      unsigned long dma_end = min(end, max_dma32);
--                      zhole_size[ZONE_DMA32] -= dma_end - start;
-+                      unsigned long dma32_end = min(end, max_dma32);
-+                      unsigned long dma32_start = max(start, max_dma);
-+                      zhole_size[ZONE_DMA32] -= dma32_end - dma32_start;
-               }
- #endif
-               if (end > max_dma32) {
-@@ -408,9 +430,11 @@ void __init arm64_memblock_init(void)
-       early_init_fdt_scan_reserved_mem();
--      /* 4GB maximum for 32-bit only capable devices */
-+      if (IS_ENABLED(CONFIG_ZONE_DMA))
-+              arm64_dma_phys_limit = max_zone_phys(ARCH_ZONE_DMA_BITS);
-+
-       if (IS_ENABLED(CONFIG_ZONE_DMA32))
--              arm64_dma32_phys_limit = max_zone_dma32_phys();
-+              arm64_dma32_phys_limit = max_zone_phys(32);
-       else
-               arm64_dma32_phys_limit = PHYS_MASK + 1;
-@@ -420,7 +444,7 @@ void __init arm64_memblock_init(void)
-       high_memory = __va(memblock_end_of_DRAM() - 1) + 1;
--      dma_contiguous_reserve(arm64_dma32_phys_limit);
-+      dma_contiguous_reserve(arm64_dma_phys_limit ? : arm64_dma32_phys_limit);
- }
- void __init bootmem_init(void)
-@@ -524,7 +548,7 @@ static void __init free_unused_memmap(vo
- void __init mem_init(void)
- {
-       if (swiotlb_force == SWIOTLB_FORCE ||
--          max_pfn > (arm64_dma32_phys_limit >> PAGE_SHIFT))
-+          max_pfn > PFN_DOWN(arm64_dma_phys_limit ? : arm64_dma32_phys_limit))
-               swiotlb_init(1);
-       else
-               swiotlb_force = SWIOTLB_NO_FORCE;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0442-dma-direct-unify-the-dma_capable-definitions.patch b/target/linux/bcm27xx/patches-5.4/950-0442-dma-direct-unify-the-dma_capable-definitions.patch
new file mode 100644 (file)
index 0000000..d115f0e
--- /dev/null
@@ -0,0 +1,103 @@
+From 203e0c39b262fc1da6f976495c32ec38ea93a137 Mon Sep 17 00:00:00 2001
+From: Christoph Hellwig <hch@lst.de>
+Date: Tue, 12 Nov 2019 17:06:04 +0100
+Subject: [PATCH] dma-direct: unify the dma_capable definitions
+
+commit 130c1ccbf55330b55e82612a6e54eebb82c9d746 upstream.
+
+Currently each architectures that wants to override dma_to_phys and
+phys_to_dma also has to provide dma_capable.  But there isn't really
+any good reason for that.  powerpc and mips just have copies of the
+generic one minus the latests fix, and the arm one was the inspiration
+for said fix, but misses the bus_dma_mask handling.
+Make all architectures use the generic version instead.
+
+Signed-off-by: Christoph Hellwig <hch@lst.de>
+Acked-by: Michael Ellerman <mpe@ellerman.id.au> (powerpc)
+Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+---
+ arch/arm/include/asm/dma-direct.h     | 19 -------------------
+ arch/mips/include/asm/dma-direct.h    |  8 --------
+ arch/powerpc/include/asm/dma-direct.h |  9 ---------
+ include/linux/dma-direct.h            |  2 +-
+ 4 files changed, 1 insertion(+), 37 deletions(-)
+
+--- a/arch/arm/include/asm/dma-direct.h
++++ b/arch/arm/include/asm/dma-direct.h
+@@ -14,23 +14,4 @@ static inline phys_addr_t __dma_to_phys(
+       return __pfn_to_phys(dma_to_pfn(dev, dev_addr)) + offset;
+ }
+-static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
+-{
+-      u64 limit, mask;
+-
+-      if (!dev->dma_mask)
+-              return 0;
+-
+-      mask = *dev->dma_mask;
+-
+-      limit = (mask + 1) & ~mask;
+-      if (limit && size > limit)
+-              return 0;
+-
+-      if ((addr | (addr + size - 1)) & ~mask)
+-              return 0;
+-
+-      return 1;
+-}
+-
+ #endif /* ASM_ARM_DMA_DIRECT_H */
+--- a/arch/mips/include/asm/dma-direct.h
++++ b/arch/mips/include/asm/dma-direct.h
+@@ -2,14 +2,6 @@
+ #ifndef _MIPS_DMA_DIRECT_H
+ #define _MIPS_DMA_DIRECT_H 1
+-static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
+-{
+-      if (!dev->dma_mask)
+-              return false;
+-
+-      return addr + size - 1 <= *dev->dma_mask;
+-}
+-
+ dma_addr_t __phys_to_dma(struct device *dev, phys_addr_t paddr);
+ phys_addr_t __dma_to_phys(struct device *dev, dma_addr_t daddr);
+--- a/arch/powerpc/include/asm/dma-direct.h
++++ b/arch/powerpc/include/asm/dma-direct.h
+@@ -2,15 +2,6 @@
+ #ifndef ASM_POWERPC_DMA_DIRECT_H
+ #define ASM_POWERPC_DMA_DIRECT_H 1
+-static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
+-{
+-      if (!dev->dma_mask)
+-              return false;
+-
+-      return addr + size - 1 <=
+-              min_not_zero(*dev->dma_mask, dev->bus_dma_mask);
+-}
+-
+ static inline dma_addr_t __phys_to_dma(struct device *dev, phys_addr_t paddr)
+ {
+       if (!dev)
+--- a/include/linux/dma-direct.h
++++ b/include/linux/dma-direct.h
+@@ -26,6 +26,7 @@ static inline phys_addr_t __dma_to_phys(
+       return paddr + ((phys_addr_t)dev->dma_pfn_offset << PAGE_SHIFT);
+ }
++#endif /* !CONFIG_ARCH_HAS_PHYS_TO_DMA */
+ static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
+ {
+@@ -40,7 +41,6 @@ static inline bool dma_capable(struct de
+       return end <= min_not_zero(*dev->dma_mask, dev->bus_dma_mask);
+ }
+-#endif /* !CONFIG_ARCH_HAS_PHYS_TO_DMA */
+ #ifdef CONFIG_ARCH_HAS_FORCE_DMA_UNENCRYPTED
+ bool force_dma_unencrypted(struct device *dev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0443-dma-direct-avoid-a-forward-declaration-for-phys_to_d.patch b/target/linux/bcm27xx/patches-5.4/950-0443-dma-direct-avoid-a-forward-declaration-for-phys_to_d.patch
new file mode 100644 (file)
index 0000000..a98f1d3
--- /dev/null
@@ -0,0 +1,69 @@
+From a3794022e928547de664abd03b61280163c7f13a Mon Sep 17 00:00:00 2001
+From: Christoph Hellwig <hch@lst.de>
+Date: Tue, 12 Nov 2019 17:07:43 +0100
+Subject: [PATCH] dma-direct: avoid a forward declaration for
+ phys_to_dma
+
+Move dma_capable down a bit so that we don't need a forward declaration
+for phys_to_dma.
+
+Signed-off-by: Christoph Hellwig <hch@lst.de>
+Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+(cherry picked from commit c7345159f7db6fb69ec1c3b3f8f28cd05c731be2)
+---
+ include/linux/dma-direct.h | 30 ++++++++++++++----------------
+ 1 file changed, 14 insertions(+), 16 deletions(-)
+
+--- a/include/linux/dma-direct.h
++++ b/include/linux/dma-direct.h
+@@ -6,8 +6,6 @@
+ #include <linux/memblock.h> /* for min_low_pfn */
+ #include <linux/mem_encrypt.h>
+-static inline dma_addr_t phys_to_dma(struct device *dev, phys_addr_t paddr);
+-
+ extern unsigned int zone_dma_bits;
+ #ifdef CONFIG_ARCH_HAS_PHYS_TO_DMA
+@@ -28,20 +26,6 @@ static inline phys_addr_t __dma_to_phys(
+ }
+ #endif /* !CONFIG_ARCH_HAS_PHYS_TO_DMA */
+-static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
+-{
+-      dma_addr_t end = addr + size - 1;
+-
+-      if (!dev->dma_mask)
+-              return false;
+-
+-      if (!IS_ENABLED(CONFIG_ARCH_DMA_ADDR_T_64BIT) &&
+-          min(addr, end) < phys_to_dma(dev, PFN_PHYS(min_low_pfn)))
+-              return false;
+-
+-      return end <= min_not_zero(*dev->dma_mask, dev->bus_dma_mask);
+-}
+-
+ #ifdef CONFIG_ARCH_HAS_FORCE_DMA_UNENCRYPTED
+ bool force_dma_unencrypted(struct device *dev);
+ #else
+@@ -67,6 +51,20 @@ static inline phys_addr_t dma_to_phys(st
+       return __sme_clr(__dma_to_phys(dev, daddr));
+ }
++static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
++{
++      dma_addr_t end = addr + size - 1;
++
++      if (!dev->dma_mask)
++              return false;
++
++      if (!IS_ENABLED(CONFIG_ARCH_DMA_ADDR_T_64BIT) &&
++          min(addr, end) < phys_to_dma(dev, PFN_PHYS(min_low_pfn)))
++              return false;
++
++      return end <= min_not_zero(*dev->dma_mask, dev->bus_dma_mask);
++}
++
+ u64 dma_direct_get_required_mask(struct device *dev);
+ void *dma_direct_alloc(struct device *dev, size_t size, dma_addr_t *dma_handle,
+               gfp_t gfp, unsigned long attrs);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0443-mm-refresh-ZONE_DMA-and-ZONE_DMA32-comments-in-enum-.patch b/target/linux/bcm27xx/patches-5.4/950-0443-mm-refresh-ZONE_DMA-and-ZONE_DMA32-comments-in-enum-.patch
deleted file mode 100644 (file)
index 23811e0..0000000
+++ /dev/null
@@ -1,84 +0,0 @@
-From 1c108eaeae73a504ac1b2d882bc1fefb91eecf17 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Wed, 11 Sep 2019 20:25:46 +0200
-Subject: [PATCH] mm: refresh ZONE_DMA and ZONE_DMA32 comments in 'enum
- zone_type'
-
-commit 734f9246e791d8da278957b2c326d7709b2a97c0 upstream.
-
-These zones usage has evolved with time and the comments were outdated.
-This joins both ZONE_DMA and ZONE_DMA32 explanation and gives up to date
-examples on how they are used on different architectures.
-
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Christoph Hellwig <hch@lst.de>
-Reviewed-by: Catalin Marinas <catalin.marinas@arm.com>
-Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
----
- include/linux/mmzone.h | 45 ++++++++++++++++++++++++------------------
- 1 file changed, 26 insertions(+), 19 deletions(-)
-
---- a/include/linux/mmzone.h
-+++ b/include/linux/mmzone.h
-@@ -358,33 +358,40 @@ struct per_cpu_nodestat {
- #endif /* !__GENERATING_BOUNDS.H */
- enum zone_type {
--#ifdef CONFIG_ZONE_DMA
-       /*
--       * ZONE_DMA is used when there are devices that are not able
--       * to do DMA to all of addressable memory (ZONE_NORMAL). Then we
--       * carve out the portion of memory that is needed for these devices.
--       * The range is arch specific.
--       *
--       * Some examples
--       *
--       * Architecture         Limit
--       * ---------------------------
--       * parisc, ia64, sparc  <4G
--       * s390, powerpc        <2G
--       * arm                  Various
--       * alpha                Unlimited or 0-16MB.
-+       * ZONE_DMA and ZONE_DMA32 are used when there are peripherals not able
-+       * to DMA to all of the addressable memory (ZONE_NORMAL).
-+       * On architectures where this area covers the whole 32 bit address
-+       * space ZONE_DMA32 is used. ZONE_DMA is left for the ones with smaller
-+       * DMA addressing constraints. This distinction is important as a 32bit
-+       * DMA mask is assumed when ZONE_DMA32 is defined. Some 64-bit
-+       * platforms may need both zones as they support peripherals with
-+       * different DMA addressing limitations.
-+       *
-+       * Some examples:
-+       *
-+       *  - i386 and x86_64 have a fixed 16M ZONE_DMA and ZONE_DMA32 for the
-+       *    rest of the lower 4G.
-+       *
-+       *  - arm only uses ZONE_DMA, the size, up to 4G, may vary depending on
-+       *    the specific device.
-+       *
-+       *  - arm64 has a fixed 1G ZONE_DMA and ZONE_DMA32 for the rest of the
-+       *    lower 4G.
-+       *
-+       *  - powerpc only uses ZONE_DMA, the size, up to 2G, may vary
-+       *    depending on the specific device.
-        *
--       * i386, x86_64 and multiple other arches
--       *                      <16M.
-+       *  - s390 uses ZONE_DMA fixed to the lower 2G.
-+       *
-+       *  - ia64 and riscv only use ZONE_DMA32.
-+       *
-+       *  - parisc uses neither.
-        */
-+#ifdef CONFIG_ZONE_DMA
-       ZONE_DMA,
- #endif
- #ifdef CONFIG_ZONE_DMA32
--      /*
--       * x86_64 needs two ZONE_DMAs because it supports devices that are
--       * only able to do DMA to the lower 16M but also 32 bit devices that
--       * can only do DMA areas below 4G.
--       */
-       ZONE_DMA32,
- #endif
-       /*
diff --git a/target/linux/bcm27xx/patches-5.4/950-0444-dma-direct-exclude-dma_direct_map_resource-from-the-.patch b/target/linux/bcm27xx/patches-5.4/950-0444-dma-direct-exclude-dma_direct_map_resource-from-the-.patch
new file mode 100644 (file)
index 0000000..2534b71
--- /dev/null
@@ -0,0 +1,115 @@
+From b763f24aed409296eb76d085c279b2c40462f8a1 Mon Sep 17 00:00:00 2001
+From: Christoph Hellwig <hch@lst.de>
+Date: Tue, 19 Nov 2019 17:38:58 +0100
+Subject: [PATCH] dma-direct: exclude dma_direct_map_resource from the
+ min_low_pfn check
+
+commit 68a33b1794665ba8a1d1ef1d3bfcc7c587d380a6 upstream.
+
+The valid memory address check in dma_capable only makes sense when mapping
+normal memory, not when using dma_map_resource to map a device resource.
+Add a new boolean argument to dma_capable to exclude that check for the
+dma_map_resource case.
+
+Fixes: b12d66278dd6 ("dma-direct: check for overflows on 32 bit DMA addresses")
+Reported-by: Marek Szyprowski <m.szyprowski@samsung.com>
+Signed-off-by: Christoph Hellwig <hch@lst.de>
+Acked-by: Marek Szyprowski <m.szyprowski@samsung.com>
+Tested-by: Marek Szyprowski <m.szyprowski@samsung.com>
+---
+ arch/x86/kernel/amd_gart_64.c | 4 ++--
+ drivers/xen/swiotlb-xen.c     | 4 ++--
+ include/linux/dma-direct.h    | 5 +++--
+ kernel/dma/direct.c           | 4 ++--
+ kernel/dma/swiotlb.c          | 2 +-
+ 5 files changed, 10 insertions(+), 9 deletions(-)
+
+--- a/arch/x86/kernel/amd_gart_64.c
++++ b/arch/x86/kernel/amd_gart_64.c
+@@ -185,13 +185,13 @@ static void iommu_full(struct device *de
+ static inline int
+ need_iommu(struct device *dev, unsigned long addr, size_t size)
+ {
+-      return force_iommu || !dma_capable(dev, addr, size);
++      return force_iommu || !dma_capable(dev, addr, size, true);
+ }
+ static inline int
+ nonforced_iommu(struct device *dev, unsigned long addr, size_t size)
+ {
+-      return !dma_capable(dev, addr, size);
++      return !dma_capable(dev, addr, size, true);
+ }
+ /* Map a single continuous physical area into the IOMMU.
+--- a/drivers/xen/swiotlb-xen.c
++++ b/drivers/xen/swiotlb-xen.c
+@@ -381,7 +381,7 @@ static dma_addr_t xen_swiotlb_map_page(s
+        * we can safely return the device addr and not worry about bounce
+        * buffering it.
+        */
+-      if (dma_capable(dev, dev_addr, size) &&
++      if (dma_capable(dev, dev_addr, size, true) &&
+           !range_straddles_page_boundary(phys, size) &&
+               !xen_arch_need_swiotlb(dev, phys, dev_addr) &&
+               swiotlb_force != SWIOTLB_FORCE)
+@@ -403,7 +403,7 @@ static dma_addr_t xen_swiotlb_map_page(s
+       /*
+        * Ensure that the address returned is DMA'ble
+        */
+-      if (unlikely(!dma_capable(dev, dev_addr, size))) {
++      if (unlikely(!dma_capable(dev, dev_addr, size, true))) {
+               swiotlb_tbl_unmap_single(dev, map, size, size, dir,
+                               attrs | DMA_ATTR_SKIP_CPU_SYNC);
+               return DMA_MAPPING_ERROR;
+--- a/include/linux/dma-direct.h
++++ b/include/linux/dma-direct.h
+@@ -51,14 +51,15 @@ static inline phys_addr_t dma_to_phys(st
+       return __sme_clr(__dma_to_phys(dev, daddr));
+ }
+-static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
++static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size,
++              bool is_ram)
+ {
+       dma_addr_t end = addr + size - 1;
+       if (!dev->dma_mask)
+               return false;
+-      if (!IS_ENABLED(CONFIG_ARCH_DMA_ADDR_T_64BIT) &&
++      if (is_ram && !IS_ENABLED(CONFIG_ARCH_DMA_ADDR_T_64BIT) &&
+           min(addr, end) < phys_to_dma(dev, PFN_PHYS(min_low_pfn)))
+               return false;
+--- a/kernel/dma/direct.c
++++ b/kernel/dma/direct.c
+@@ -326,7 +326,7 @@ static inline bool dma_direct_possible(s
+               size_t size)
+ {
+       return swiotlb_force != SWIOTLB_FORCE &&
+-              dma_capable(dev, dma_addr, size);
++              dma_capable(dev, dma_addr, size, true);
+ }
+ dma_addr_t dma_direct_map_page(struct device *dev, struct page *page,
+@@ -375,7 +375,7 @@ dma_addr_t dma_direct_map_resource(struc
+ {
+       dma_addr_t dma_addr = paddr;
+-      if (unlikely(!dma_capable(dev, dma_addr, size))) {
++      if (unlikely(!dma_capable(dev, dma_addr, size, false))) {
+               report_addr(dev, dma_addr, size);
+               return DMA_MAPPING_ERROR;
+       }
+--- a/kernel/dma/swiotlb.c
++++ b/kernel/dma/swiotlb.c
+@@ -682,7 +682,7 @@ bool swiotlb_map(struct device *dev, phy
+       /* Ensure that the address returned is DMA'ble */
+       *dma_addr = __phys_to_dma(dev, *phys);
+-      if (unlikely(!dma_capable(dev, *dma_addr, size))) {
++      if (unlikely(!dma_capable(dev, *dma_addr, size, true))) {
+               swiotlb_tbl_unmap_single(dev, *phys, size, size, dir,
+                       attrs | DMA_ATTR_SKIP_CPU_SYNC);
+               return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0444-resource-Add-a-resource_list_first_type-helper.patch b/target/linux/bcm27xx/patches-5.4/950-0444-resource-Add-a-resource_list_first_type-helper.patch
deleted file mode 100644 (file)
index c2c959a..0000000
+++ /dev/null
@@ -1,36 +0,0 @@
-From dacb1a46835914b8c3862db15726bcc0a68af8f5 Mon Sep 17 00:00:00 2001
-From: Rob Herring <robh@kernel.org>
-Date: Mon, 28 Oct 2019 11:32:32 -0500
-Subject: [PATCH] resource: Add a resource_list_first_type helper
-
-commit 494f8b10d832456a96be4ee7317425f6936cabc8 upstream.
-
-A common pattern is looping over a resource_list just to get a matching
-entry with a specific type. Add resource_list_first_type() helper which
-implements this.
-
-Signed-off-by: Rob Herring <robh@kernel.org>
-Signed-off-by: Lorenzo Pieralisi <lorenzo.pieralisi@arm.com>
----
- include/linux/resource_ext.h | 12 ++++++++++++
- 1 file changed, 12 insertions(+)
-
---- a/include/linux/resource_ext.h
-+++ b/include/linux/resource_ext.h
-@@ -66,4 +66,16 @@ resource_list_destroy_entry(struct resou
- #define resource_list_for_each_entry_safe(entry, tmp, list)   \
-       list_for_each_entry_safe((entry), (tmp), (list), node)
-+static inline struct resource_entry *
-+resource_list_first_type(struct list_head *list, unsigned long type)
-+{
-+      struct resource_entry *entry;
-+
-+      resource_list_for_each_entry(entry, list) {
-+              if (resource_type(entry->res) == type)
-+                      return entry;
-+      }
-+      return NULL;
-+}
-+
- #endif /* _LINUX_RESOURCE_EXT_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0445-dma-direct-turn-ARCH_ZONE_DMA_BITS-into-a-variable.patch b/target/linux/bcm27xx/patches-5.4/950-0445-dma-direct-turn-ARCH_ZONE_DMA_BITS-into-a-variable.patch
deleted file mode 100644 (file)
index ab04d64..0000000
+++ /dev/null
@@ -1,195 +0,0 @@
-From 78b03f0aef9f67c4db700ba5dc56e2c8f562d181 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Mon, 14 Oct 2019 20:31:03 +0200
-Subject: [PATCH] dma/direct: turn ARCH_ZONE_DMA_BITS into a variable
-
-commit 8b5369ea580964dbc982781bfb9fb93459fc5e8d upstream.
-
-Some architectures, notably ARM, are interested in tweaking this
-depending on their runtime DMA addressing limitations.
-
-Acked-by: Christoph Hellwig <hch@lst.de>
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
----
- arch/arm64/include/asm/page.h   |  2 --
- arch/arm64/mm/init.c            |  9 +++++++--
- arch/powerpc/include/asm/page.h |  9 ---------
- arch/powerpc/mm/mem.c           | 20 +++++++++++++++-----
- arch/s390/include/asm/page.h    |  2 --
- arch/s390/mm/init.c             |  1 +
- include/linux/dma-direct.h      |  2 ++
- kernel/dma/direct.c             | 13 ++++++-------
- 8 files changed, 31 insertions(+), 27 deletions(-)
-
---- a/arch/arm64/include/asm/page.h
-+++ b/arch/arm64/include/asm/page.h
-@@ -38,6 +38,4 @@ extern int pfn_valid(unsigned long);
- #include <asm-generic/getorder.h>
--#define ARCH_ZONE_DMA_BITS 30
--
- #endif
---- a/arch/arm64/mm/init.c
-+++ b/arch/arm64/mm/init.c
-@@ -20,6 +20,7 @@
- #include <linux/sort.h>
- #include <linux/of.h>
- #include <linux/of_fdt.h>
-+#include <linux/dma-direct.h>
- #include <linux/dma-mapping.h>
- #include <linux/dma-contiguous.h>
- #include <linux/efi.h>
-@@ -41,6 +42,8 @@
- #include <asm/tlb.h>
- #include <asm/alternative.h>
-+#define ARM64_ZONE_DMA_BITS   30
-+
- /*
-  * We need to be able to catch inadvertent references to memstart_addr
-  * that occur (potentially in generic code) before arm64_memblock_init()
-@@ -430,8 +433,10 @@ void __init arm64_memblock_init(void)
-       early_init_fdt_scan_reserved_mem();
--      if (IS_ENABLED(CONFIG_ZONE_DMA))
--              arm64_dma_phys_limit = max_zone_phys(ARCH_ZONE_DMA_BITS);
-+      if (IS_ENABLED(CONFIG_ZONE_DMA)) {
-+              zone_dma_bits = ARM64_ZONE_DMA_BITS;
-+              arm64_dma_phys_limit = max_zone_phys(ARM64_ZONE_DMA_BITS);
-+      }
-       if (IS_ENABLED(CONFIG_ZONE_DMA32))
-               arm64_dma32_phys_limit = max_zone_phys(32);
---- a/arch/powerpc/include/asm/page.h
-+++ b/arch/powerpc/include/asm/page.h
-@@ -334,13 +334,4 @@ struct vm_area_struct;
- #endif /* __ASSEMBLY__ */
- #include <asm/slice.h>
--/*
-- * Allow 30-bit DMA for very limited Broadcom wifi chips on many powerbooks.
-- */
--#ifdef CONFIG_PPC32
--#define ARCH_ZONE_DMA_BITS 30
--#else
--#define ARCH_ZONE_DMA_BITS 31
--#endif
--
- #endif /* _ASM_POWERPC_PAGE_H */
---- a/arch/powerpc/mm/mem.c
-+++ b/arch/powerpc/mm/mem.c
-@@ -31,6 +31,7 @@
- #include <linux/slab.h>
- #include <linux/vmalloc.h>
- #include <linux/memremap.h>
-+#include <linux/dma-direct.h>
- #include <asm/pgalloc.h>
- #include <asm/prom.h>
-@@ -223,10 +224,10 @@ static int __init mark_nonram_nosave(voi
-  * everything else. GFP_DMA32 page allocations automatically fall back to
-  * ZONE_DMA.
-  *
-- * By using 31-bit unconditionally, we can exploit ARCH_ZONE_DMA_BITS to
-- * inform the generic DMA mapping code.  32-bit only devices (if not handled
-- * by an IOMMU anyway) will take a first dip into ZONE_NORMAL and get
-- * otherwise served by ZONE_DMA.
-+ * By using 31-bit unconditionally, we can exploit zone_dma_bits to inform the
-+ * generic DMA mapping code.  32-bit only devices (if not handled by an IOMMU
-+ * anyway) will take a first dip into ZONE_NORMAL and get otherwise served by
-+ * ZONE_DMA.
-  */
- static unsigned long max_zone_pfns[MAX_NR_ZONES];
-@@ -259,9 +260,18 @@ void __init paging_init(void)
-       printk(KERN_DEBUG "Memory hole size: %ldMB\n",
-              (long int)((top_of_ram - total_ram) >> 20));
-+      /*
-+       * Allow 30-bit DMA for very limited Broadcom wifi chips on many
-+       * powerbooks.
-+       */
-+      if (IS_ENABLED(CONFIG_PPC32))
-+              zone_dma_bits = 30;
-+      else
-+              zone_dma_bits = 31;
-+
- #ifdef CONFIG_ZONE_DMA
-       max_zone_pfns[ZONE_DMA] = min(max_low_pfn,
--                                    1UL << (ARCH_ZONE_DMA_BITS - PAGE_SHIFT));
-+                                    1UL << (zone_dma_bits - PAGE_SHIFT));
- #endif
-       max_zone_pfns[ZONE_NORMAL] = max_low_pfn;
- #ifdef CONFIG_HIGHMEM
---- a/arch/s390/include/asm/page.h
-+++ b/arch/s390/include/asm/page.h
-@@ -179,8 +179,6 @@ static inline int devmem_is_allowed(unsi
- #define VM_DATA_DEFAULT_FLAGS (VM_READ | VM_WRITE | \
-                                VM_MAYREAD | VM_MAYWRITE | VM_MAYEXEC)
--#define ARCH_ZONE_DMA_BITS    31
--
- #include <asm-generic/memory_model.h>
- #include <asm-generic/getorder.h>
---- a/arch/s390/mm/init.c
-+++ b/arch/s390/mm/init.c
-@@ -118,6 +118,7 @@ void __init paging_init(void)
-       sparse_memory_present_with_active_regions(MAX_NUMNODES);
-       sparse_init();
-+      zone_dma_bits = 31;
-       memset(max_zone_pfns, 0, sizeof(max_zone_pfns));
-       max_zone_pfns[ZONE_DMA] = PFN_DOWN(MAX_DMA_ADDRESS);
-       max_zone_pfns[ZONE_NORMAL] = max_low_pfn;
---- a/include/linux/dma-direct.h
-+++ b/include/linux/dma-direct.h
-@@ -8,6 +8,8 @@
- static inline dma_addr_t phys_to_dma(struct device *dev, phys_addr_t paddr);
-+extern unsigned int zone_dma_bits;
-+
- #ifdef CONFIG_ARCH_HAS_PHYS_TO_DMA
- #include <asm/dma-direct.h>
- #else
---- a/kernel/dma/direct.c
-+++ b/kernel/dma/direct.c
-@@ -16,12 +16,11 @@
- #include <linux/swiotlb.h>
- /*
-- * Most architectures use ZONE_DMA for the first 16 Megabytes, but
-- * some use it for entirely different regions:
-+ * Most architectures use ZONE_DMA for the first 16 Megabytes, but some use it
-+ * it for entirely different regions. In that case the arch code needs to
-+ * override the variable below for dma-direct to work properly.
-  */
--#ifndef ARCH_ZONE_DMA_BITS
--#define ARCH_ZONE_DMA_BITS 24
--#endif
-+unsigned int zone_dma_bits __ro_after_init = 24;
- static void report_addr(struct device *dev, dma_addr_t dma_addr, size_t size)
- {
-@@ -70,7 +69,7 @@ static gfp_t __dma_direct_optimal_gfp_ma
-        * Note that GFP_DMA32 and GFP_DMA are no ops without the corresponding
-        * zones.
-        */
--      if (*phys_mask <= DMA_BIT_MASK(ARCH_ZONE_DMA_BITS))
-+      if (*phys_mask <= DMA_BIT_MASK(zone_dma_bits))
-               return GFP_DMA;
-       if (*phys_mask <= DMA_BIT_MASK(32))
-               return GFP_DMA32;
-@@ -396,7 +395,7 @@ int dma_direct_supported(struct device *
-       u64 min_mask;
-       if (IS_ENABLED(CONFIG_ZONE_DMA))
--              min_mask = DMA_BIT_MASK(ARCH_ZONE_DMA_BITS);
-+              min_mask = DMA_BIT_MASK(zone_dma_bits);
-       else
-               min_mask = DMA_BIT_MASK(30);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0445-dma-mapping-treat-dev-bus_dma_mask-as-a-DMA-limit.patch b/target/linux/bcm27xx/patches-5.4/950-0445-dma-mapping-treat-dev-bus_dma_mask-as-a-DMA-limit.patch
new file mode 100644 (file)
index 0000000..d968e93
--- /dev/null
@@ -0,0 +1,366 @@
+From d5430c466b3c3b5f631ee37be333a40924575b72 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Thu, 21 Nov 2019 10:26:44 +0100
+Subject: [PATCH] dma-mapping: treat dev->bus_dma_mask as a DMA limit
+
+commit a7ba70f1787f977f970cd116076c6fce4b9e01cc upstream.
+
+Using a mask to represent bus DMA constraints has a set of limitations.
+The biggest one being it can only hold a power of two (minus one). The
+DMA mapping code is already aware of this and treats dev->bus_dma_mask
+as a limit. This quirk is already used by some architectures although
+still rare.
+
+With the introduction of the Raspberry Pi 4 we've found a new contender
+for the use of bus DMA limits, as its PCIe bus can only address the
+lower 3GB of memory (of a total of 4GB). This is impossible to represent
+with a mask. To make things worse the device-tree code rounds non power
+of two bus DMA limits to the next power of two, which is unacceptable in
+this case.
+
+In the light of this, rename dev->bus_dma_mask to dev->bus_dma_limit all
+over the tree and treat it as such. Note that dev->bus_dma_limit should
+contain the higher accessible DMA address.
+
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Robin Murphy <robin.murphy@arm.com>
+Signed-off-by: Christoph Hellwig <hch@lst.de>
+---
+ arch/mips/pci/fixup-sb1250.c  | 16 ++++++++--------
+ arch/powerpc/sysdev/fsl_pci.c |  6 +++---
+ arch/x86/kernel/pci-dma.c     |  2 +-
+ arch/x86/mm/mem_encrypt.c     |  2 +-
+ arch/x86/pci/sta2x11-fixup.c  |  2 +-
+ drivers/acpi/arm64/iort.c     | 20 +++++++-------------
+ drivers/ata/ahci.c            |  2 +-
+ drivers/iommu/dma-iommu.c     |  3 +--
+ drivers/of/device.c           |  9 +++++----
+ include/linux/device.h        |  6 +++---
+ include/linux/dma-direct.h    |  2 +-
+ include/linux/dma-mapping.h   |  2 +-
+ kernel/dma/direct.c           | 27 +++++++++++++--------------
+ 13 files changed, 46 insertions(+), 53 deletions(-)
+
+--- a/arch/mips/pci/fixup-sb1250.c
++++ b/arch/mips/pci/fixup-sb1250.c
+@@ -21,22 +21,22 @@ DECLARE_PCI_FIXUP_EARLY(PCI_VENDOR_ID_SI
+ /*
+  * The BCM1250, etc. PCI host bridge does not support DAC on its 32-bit
+- * bus, so we set the bus's DMA mask accordingly.  However the HT link
++ * bus, so we set the bus's DMA limit accordingly.  However the HT link
+  * down the artificial PCI-HT bridge supports 40-bit addressing and the
+  * SP1011 HT-PCI bridge downstream supports both DAC and a 64-bit bus
+  * width, so we record the PCI-HT bridge's secondary and subordinate bus
+- * numbers and do not set the mask for devices present in the inclusive
++ * numbers and do not set the limit for devices present in the inclusive
+  * range of those.
+  */
+-struct sb1250_bus_dma_mask_exclude {
++struct sb1250_bus_dma_limit_exclude {
+       bool set;
+       unsigned char start;
+       unsigned char end;
+ };
+-static int sb1250_bus_dma_mask(struct pci_dev *dev, void *data)
++static int sb1250_bus_dma_limit(struct pci_dev *dev, void *data)
+ {
+-      struct sb1250_bus_dma_mask_exclude *exclude = data;
++      struct sb1250_bus_dma_limit_exclude *exclude = data;
+       bool exclude_this;
+       bool ht_bridge;
+@@ -55,7 +55,7 @@ static int sb1250_bus_dma_mask(struct pc
+                       exclude->start, exclude->end);
+       } else {
+               dev_dbg(&dev->dev, "disabling DAC for device");
+-              dev->dev.bus_dma_mask = DMA_BIT_MASK(32);
++              dev->dev.bus_dma_limit = DMA_BIT_MASK(32);
+       }
+       return 0;
+@@ -63,9 +63,9 @@ static int sb1250_bus_dma_mask(struct pc
+ static void quirk_sb1250_pci_dac(struct pci_dev *dev)
+ {
+-      struct sb1250_bus_dma_mask_exclude exclude = { .set = false };
++      struct sb1250_bus_dma_limit_exclude exclude = { .set = false };
+-      pci_walk_bus(dev->bus, sb1250_bus_dma_mask, &exclude);
++      pci_walk_bus(dev->bus, sb1250_bus_dma_limit, &exclude);
+ }
+ DECLARE_PCI_FIXUP_FINAL(PCI_VENDOR_ID_SIBYTE, PCI_DEVICE_ID_BCM1250_PCI,
+                       quirk_sb1250_pci_dac);
+--- a/arch/powerpc/sysdev/fsl_pci.c
++++ b/arch/powerpc/sysdev/fsl_pci.c
+@@ -115,8 +115,8 @@ static void pci_dma_dev_setup_swiotlb(st
+ {
+       struct pci_controller *hose = pci_bus_to_host(pdev->bus);
+-      pdev->dev.bus_dma_mask =
+-              hose->dma_window_base_cur + hose->dma_window_size;
++      pdev->dev.bus_dma_limit =
++              hose->dma_window_base_cur + hose->dma_window_size - 1;
+ }
+ static void setup_swiotlb_ops(struct pci_controller *hose)
+@@ -135,7 +135,7 @@ static void fsl_pci_dma_set_mask(struct
+        * mapping that allows addressing any RAM address from across PCI.
+        */
+       if (dev_is_pci(dev) && dma_mask >= pci64_dma_offset * 2 - 1) {
+-              dev->bus_dma_mask = 0;
++              dev->bus_dma_limit = 0;
+               dev->archdata.dma_offset = pci64_dma_offset;
+       }
+ }
+--- a/arch/x86/kernel/pci-dma.c
++++ b/arch/x86/kernel/pci-dma.c
+@@ -146,7 +146,7 @@ rootfs_initcall(pci_iommu_init);
+ static int via_no_dac_cb(struct pci_dev *pdev, void *data)
+ {
+-      pdev->dev.bus_dma_mask = DMA_BIT_MASK(32);
++      pdev->dev.bus_dma_limit = DMA_BIT_MASK(32);
+       return 0;
+ }
+--- a/arch/x86/mm/mem_encrypt.c
++++ b/arch/x86/mm/mem_encrypt.c
+@@ -367,7 +367,7 @@ bool force_dma_unencrypted(struct device
+       if (sme_active()) {
+               u64 dma_enc_mask = DMA_BIT_MASK(__ffs64(sme_me_mask));
+               u64 dma_dev_mask = min_not_zero(dev->coherent_dma_mask,
+-                                              dev->bus_dma_mask);
++                                              dev->bus_dma_limit);
+               if (dma_dev_mask <= dma_enc_mask)
+                       return true;
+--- a/arch/x86/pci/sta2x11-fixup.c
++++ b/arch/x86/pci/sta2x11-fixup.c
+@@ -143,7 +143,7 @@ static void sta2x11_map_ep(struct pci_de
+       dev->dma_pfn_offset = PFN_DOWN(-amba_base);
+-      dev->bus_dma_mask = max_amba_addr;
++      dev->bus_dma_limit = max_amba_addr;
+       pci_set_consistent_dma_mask(pdev, max_amba_addr);
+       pci_set_dma_mask(pdev, max_amba_addr);
+--- a/drivers/acpi/arm64/iort.c
++++ b/drivers/acpi/arm64/iort.c
+@@ -1062,8 +1062,8 @@ static int rc_dma_get_range(struct devic
+  */
+ void iort_dma_setup(struct device *dev, u64 *dma_addr, u64 *dma_size)
+ {
+-      u64 mask, dmaaddr = 0, size = 0, offset = 0;
+-      int ret, msb;
++      u64 end, mask, dmaaddr = 0, size = 0, offset = 0;
++      int ret;
+       /*
+        * If @dev is expected to be DMA-capable then the bus code that created
+@@ -1090,19 +1090,13 @@ void iort_dma_setup(struct device *dev,
+       }
+       if (!ret) {
+-              msb = fls64(dmaaddr + size - 1);
+               /*
+-               * Round-up to the power-of-two mask or set
+-               * the mask to the whole 64-bit address space
+-               * in case the DMA region covers the full
+-               * memory window.
++               * Limit coherent and dma mask based on size retrieved from
++               * firmware.
+                */
+-              mask = msb == 64 ? U64_MAX : (1ULL << msb) - 1;
+-              /*
+-               * Limit coherent and dma mask based on size
+-               * retrieved from firmware.
+-               */
+-              dev->bus_dma_mask = mask;
++              end = dmaaddr + size - 1;
++              mask = DMA_BIT_MASK(ilog2(end) + 1);
++              dev->bus_dma_limit = end;
+               dev->coherent_dma_mask = mask;
+               *dev->dma_mask = mask;
+       }
+--- a/drivers/ata/ahci.c
++++ b/drivers/ata/ahci.c
+@@ -900,7 +900,7 @@ static int ahci_configure_dma_masks(stru
+        * value, don't extend it here. This happens on STA2X11, for example.
+        *
+        * XXX: manipulating the DMA mask from platform code is completely
+-       * bogus, platform code should use dev->bus_dma_mask instead..
++       * bogus, platform code should use dev->bus_dma_limit instead..
+        */
+       if (pdev->dma_mask && pdev->dma_mask < DMA_BIT_MASK(32))
+               return 0;
+--- a/drivers/iommu/dma-iommu.c
++++ b/drivers/iommu/dma-iommu.c
+@@ -404,8 +404,7 @@ static dma_addr_t iommu_dma_alloc_iova(s
+       if (iova_len < (1 << (IOVA_RANGE_CACHE_MAX_SIZE - 1)))
+               iova_len = roundup_pow_of_two(iova_len);
+-      if (dev->bus_dma_mask)
+-              dma_limit &= dev->bus_dma_mask;
++      dma_limit = min_not_zero(dma_limit, dev->bus_dma_limit);
+       if (domain->geometry.force_aperture)
+               dma_limit = min(dma_limit, domain->geometry.aperture_end);
+--- a/drivers/of/device.c
++++ b/drivers/of/device.c
+@@ -93,7 +93,7 @@ int of_dma_configure(struct device *dev,
+       bool coherent;
+       unsigned long offset;
+       const struct iommu_ops *iommu;
+-      u64 mask;
++      u64 mask, end;
+       ret = of_dma_get_range(np, &dma_addr, &paddr, &size);
+       if (ret < 0) {
+@@ -148,12 +148,13 @@ int of_dma_configure(struct device *dev,
+        * Limit coherent and dma mask based on size and default mask
+        * set by the driver.
+        */
+-      mask = DMA_BIT_MASK(ilog2(dma_addr + size - 1) + 1);
++      end = dma_addr + size - 1;
++      mask = DMA_BIT_MASK(ilog2(end) + 1);
+       dev->coherent_dma_mask &= mask;
+       *dev->dma_mask &= mask;
+-      /* ...but only set bus mask if we found valid dma-ranges earlier */
++      /* ...but only set bus limit if we found valid dma-ranges earlier */
+       if (!ret)
+-              dev->bus_dma_mask = mask;
++              dev->bus_dma_limit = end;
+       coherent = of_dma_is_coherent(np);
+       dev_dbg(dev, "device is%sdma coherent\n",
+--- a/include/linux/device.h
++++ b/include/linux/device.h
+@@ -1186,8 +1186,8 @@ struct dev_links_info {
+  * @coherent_dma_mask: Like dma_mask, but for alloc_coherent mapping as not all
+  *            hardware supports 64-bit addresses for consistent allocations
+  *            such descriptors.
+- * @bus_dma_mask: Mask of an upstream bridge or bus which imposes a smaller DMA
+- *            limit than the device itself supports.
++ * @bus_dma_limit: Limit of an upstream bridge or bus which imposes a smaller
++ *            DMA limit than the device itself supports.
+  * @dma_pfn_offset: offset of DMA memory range relatively of RAM
+  * @dma_parms:        A low level driver may set these to teach IOMMU code about
+  *            segment limitations.
+@@ -1270,7 +1270,7 @@ struct device {
+                                            not all hardware supports
+                                            64 bit addresses for consistent
+                                            allocations such descriptors. */
+-      u64             bus_dma_mask;   /* upstream dma_mask constraint */
++      u64             bus_dma_limit;  /* upstream dma constraint */
+       unsigned long   dma_pfn_offset;
+       struct device_dma_parameters *dma_parms;
+--- a/include/linux/dma-direct.h
++++ b/include/linux/dma-direct.h
+@@ -63,7 +63,7 @@ static inline bool dma_capable(struct de
+           min(addr, end) < phys_to_dma(dev, PFN_PHYS(min_low_pfn)))
+               return false;
+-      return end <= min_not_zero(*dev->dma_mask, dev->bus_dma_mask);
++      return end <= min_not_zero(*dev->dma_mask, dev->bus_dma_limit);
+ }
+ u64 dma_direct_get_required_mask(struct device *dev);
+--- a/include/linux/dma-mapping.h
++++ b/include/linux/dma-mapping.h
+@@ -697,7 +697,7 @@ static inline int dma_coerce_mask_and_co
+  */
+ static inline bool dma_addressing_limited(struct device *dev)
+ {
+-      return min_not_zero(dma_get_mask(dev), dev->bus_dma_mask) <
++      return min_not_zero(dma_get_mask(dev), dev->bus_dma_limit) <
+                           dma_get_required_mask(dev);
+ }
+--- a/kernel/dma/direct.c
++++ b/kernel/dma/direct.c
+@@ -26,10 +26,10 @@ static void report_addr(struct device *d
+ {
+       if (!dev->dma_mask) {
+               dev_err_once(dev, "DMA map on device without dma_mask\n");
+-      } else if (*dev->dma_mask >= DMA_BIT_MASK(32) || dev->bus_dma_mask) {
++      } else if (*dev->dma_mask >= DMA_BIT_MASK(32) || dev->bus_dma_limit) {
+               dev_err_once(dev,
+-                      "overflow %pad+%zu of DMA mask %llx bus mask %llx\n",
+-                      &dma_addr, size, *dev->dma_mask, dev->bus_dma_mask);
++                      "overflow %pad+%zu of DMA mask %llx bus limit %llx\n",
++                      &dma_addr, size, *dev->dma_mask, dev->bus_dma_limit);
+       }
+       WARN_ON_ONCE(1);
+ }
+@@ -51,15 +51,14 @@ u64 dma_direct_get_required_mask(struct
+ }
+ static gfp_t __dma_direct_optimal_gfp_mask(struct device *dev, u64 dma_mask,
+-              u64 *phys_mask)
++              u64 *phys_limit)
+ {
+-      if (dev->bus_dma_mask && dev->bus_dma_mask < dma_mask)
+-              dma_mask = dev->bus_dma_mask;
++      u64 dma_limit = min_not_zero(dma_mask, dev->bus_dma_limit);
+       if (force_dma_unencrypted(dev))
+-              *phys_mask = __dma_to_phys(dev, dma_mask);
++              *phys_limit = __dma_to_phys(dev, dma_limit);
+       else
+-              *phys_mask = dma_to_phys(dev, dma_mask);
++              *phys_limit = dma_to_phys(dev, dma_limit);
+       /*
+        * Optimistically try the zone that the physical address mask falls
+@@ -69,9 +68,9 @@ static gfp_t __dma_direct_optimal_gfp_ma
+        * Note that GFP_DMA32 and GFP_DMA are no ops without the corresponding
+        * zones.
+        */
+-      if (*phys_mask <= DMA_BIT_MASK(zone_dma_bits))
++      if (*phys_limit <= DMA_BIT_MASK(zone_dma_bits))
+               return GFP_DMA;
+-      if (*phys_mask <= DMA_BIT_MASK(32))
++      if (*phys_limit <= DMA_BIT_MASK(32))
+               return GFP_DMA32;
+       return 0;
+ }
+@@ -79,7 +78,7 @@ static gfp_t __dma_direct_optimal_gfp_ma
+ static bool dma_coherent_ok(struct device *dev, phys_addr_t phys, size_t size)
+ {
+       return phys_to_dma_direct(dev, phys) + size - 1 <=
+-                      min_not_zero(dev->coherent_dma_mask, dev->bus_dma_mask);
++                      min_not_zero(dev->coherent_dma_mask, dev->bus_dma_limit);
+ }
+ struct page *__dma_direct_alloc_pages(struct device *dev, size_t size,
+@@ -88,7 +87,7 @@ struct page *__dma_direct_alloc_pages(st
+       size_t alloc_size = PAGE_ALIGN(size);
+       int node = dev_to_node(dev);
+       struct page *page = NULL;
+-      u64 phys_mask;
++      u64 phys_limit;
+       if (attrs & DMA_ATTR_NO_WARN)
+               gfp |= __GFP_NOWARN;
+@@ -96,7 +95,7 @@ struct page *__dma_direct_alloc_pages(st
+       /* we always manually zero the memory once we are done: */
+       gfp &= ~__GFP_ZERO;
+       gfp |= __dma_direct_optimal_gfp_mask(dev, dev->coherent_dma_mask,
+-                      &phys_mask);
++                      &phys_limit);
+       page = dma_alloc_contiguous(dev, alloc_size, gfp);
+       if (page && !dma_coherent_ok(dev, page_to_phys(page), size)) {
+               dma_free_contiguous(dev, page, alloc_size);
+@@ -110,7 +109,7 @@ again:
+               page = NULL;
+               if (IS_ENABLED(CONFIG_ZONE_DMA32) &&
+-                  phys_mask < DMA_BIT_MASK(64) &&
++                  phys_limit < DMA_BIT_MASK(64) &&
+                   !(gfp & (GFP_DMA32 | GFP_DMA))) {
+                       gfp |= GFP_DMA32;
+                       goto again;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0446-ARM-dts-bcm2711-Enable-PCIe-controller.patch b/target/linux/bcm27xx/patches-5.4/950-0446-ARM-dts-bcm2711-Enable-PCIe-controller.patch
new file mode 100644 (file)
index 0000000..9f114c1
--- /dev/null
@@ -0,0 +1,56 @@
+From 0ec0bc884f6cf1ec9775c750f78ce28be7da4340 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Mon, 16 Dec 2019 12:01:08 +0100
+Subject: [PATCH] ARM: dts: bcm2711: Enable PCIe controller
+
+commit d5c8dc0d4c880fbde5293cc186b1ab23466254c4 upstream.
+
+This enables bcm2711's PCIe bus, which is hardwired to a VIA
+Technologies XHCI USB 3.0 controller.
+
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
+---
+ arch/arm/boot/dts/bcm2711.dtsi | 31 ++++++++++++++++++++++++++++++-
+ 1 file changed, 30 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -331,7 +331,36 @@
+               #address-cells = <2>;
+               #size-cells = <1>;
+-              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>;
++              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>,
++                       <0x6 0x00000000  0x6 0x00000000  0x40000000>;
++
++              pcie0: pcie@7d500000 {
++                      compatible = "brcm,bcm2711-pcie";
++                      reg = <0x0 0x7d500000 0x9310>;
++                      device_type = "pci";
++                      #address-cells = <3>;
++                      #interrupt-cells = <1>;
++                      #size-cells = <2>;
++                      interrupts = <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>,
++                                   <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>;
++                      interrupt-names = "pcie", "msi";
++                      interrupt-map-mask = <0x0 0x0 0x0 0x7>;
++                      interrupt-map = <0 0 0 1 &gicv2 GIC_SPI 143
++                                                      IRQ_TYPE_LEVEL_HIGH>;
++                      msi-controller;
++                      msi-parent = <&pcie0>;
++
++                      ranges = <0x02000000 0x0 0xf8000000 0x6 0x00000000
++                                0x0 0x04000000>;
++                      /*
++                       * The wrapper around the PCIe block has a bug
++                       * preventing it from accessing beyond the first 3GB of
++                       * memory.
++                       */
++                      dma-ranges = <0x02000000 0x0 0x00000000 0x0 0x00000000
++                                    0x0 0xc0000000>;
++                      brcm,enable-ssc;
++              };
+               genet: ethernet@7d580000 {
+                       compatible = "brcm,bcm2711-genet-v5";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0446-x86-PCI-sta2x11-use-default-DMA-address-translation.patch b/target/linux/bcm27xx/patches-5.4/950-0446-x86-PCI-sta2x11-use-default-DMA-address-translation.patch
deleted file mode 100644 (file)
index 51fd4be..0000000
+++ /dev/null
@@ -1,259 +0,0 @@
-From 97a48106d1698038720495fdd49c491b283bf110 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Thu, 7 Nov 2019 16:06:45 +0100
-Subject: [PATCH] x86/PCI: sta2x11: use default DMA address translation
-
-commit e380a0394c36a3a878c858418d5dd7f5f195b6fc upstream.
-
-The devices found behind this PCIe chip have unusual DMA mapping
-constraints as there is an AMBA interconnect placed in between them and
-the different PCI endpoints. The offset between physical memory
-addresses and AMBA's view is provided by reading a PCI config register,
-which is saved and used whenever DMA mapping is needed.
-
-It turns out that this DMA setup can be represented by properly setting
-'dma_pfn_offset', 'dma_bus_mask' and 'dma_mask' during the PCI device
-enable fixup. And ultimately allows us to get rid of this device's
-custom DMA functions.
-
-Aside from the code deletion and DMA setup, sta2x11_pdev_to_mapping() is
-moved to avoid warnings whenever CONFIG_PM is not enabled.
-
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Christoph Hellwig <hch@lst.de>
----
- arch/x86/Kconfig                  |   1 -
- arch/x86/include/asm/device.h     |   3 -
- arch/x86/include/asm/dma-direct.h |   9 --
- arch/x86/pci/sta2x11-fixup.c      | 135 ++++++------------------------
- 4 files changed, 26 insertions(+), 122 deletions(-)
- delete mode 100644 arch/x86/include/asm/dma-direct.h
-
---- a/arch/x86/Kconfig
-+++ b/arch/x86/Kconfig
-@@ -708,7 +708,6 @@ config X86_SUPPORTS_MEMORY_FAILURE
- config STA2X11
-       bool "STA2X11 Companion Chip Support"
-       depends on X86_32_NON_STANDARD && PCI
--      select ARCH_HAS_PHYS_TO_DMA
-       select SWIOTLB
-       select MFD_STA2X11
-       select GPIOLIB
---- a/arch/x86/include/asm/device.h
-+++ b/arch/x86/include/asm/device.h
-@@ -6,9 +6,6 @@ struct dev_archdata {
- #if defined(CONFIG_INTEL_IOMMU) || defined(CONFIG_AMD_IOMMU)
-       void *iommu; /* hook for IOMMU specific extension */
- #endif
--#ifdef CONFIG_STA2X11
--      bool is_sta2x11;
--#endif
- };
- #if defined(CONFIG_X86_DEV_DMA_OPS) && defined(CONFIG_PCI_DOMAINS)
---- a/arch/x86/include/asm/dma-direct.h
-+++ /dev/null
-@@ -1,9 +0,0 @@
--/* SPDX-License-Identifier: GPL-2.0 */
--#ifndef ASM_X86_DMA_DIRECT_H
--#define ASM_X86_DMA_DIRECT_H 1
--
--bool dma_capable(struct device *dev, dma_addr_t addr, size_t size);
--dma_addr_t __phys_to_dma(struct device *dev, phys_addr_t paddr);
--phys_addr_t __dma_to_phys(struct device *dev, dma_addr_t daddr);
--
--#endif /* ASM_X86_DMA_DIRECT_H */
---- a/arch/x86/pci/sta2x11-fixup.c
-+++ b/arch/x86/pci/sta2x11-fixup.c
-@@ -30,7 +30,6 @@ struct sta2x11_ahb_regs { /* saved durin
- };
- struct sta2x11_mapping {
--      u32 amba_base;
-       int is_suspended;
-       struct sta2x11_ahb_regs regs[STA2X11_NR_FUNCS];
- };
-@@ -92,18 +91,6 @@ static int sta2x11_pdev_to_ep(struct pci
-       return pdev->bus->number - instance->bus0;
- }
--static struct sta2x11_mapping *sta2x11_pdev_to_mapping(struct pci_dev *pdev)
--{
--      struct sta2x11_instance *instance;
--      int ep;
--
--      instance = sta2x11_pdev_to_instance(pdev);
--      if (!instance)
--              return NULL;
--      ep = sta2x11_pdev_to_ep(pdev);
--      return instance->map + ep;
--}
--
- /* This is exported, as some devices need to access the MFD registers */
- struct sta2x11_instance *sta2x11_get_instance(struct pci_dev *pdev)
- {
-@@ -111,39 +98,6 @@ struct sta2x11_instance *sta2x11_get_ins
- }
- EXPORT_SYMBOL(sta2x11_get_instance);
--
--/**
-- * p2a - Translate physical address to STA2x11 AMBA address,
-- *       used for DMA transfers to STA2x11
-- * @p: Physical address
-- * @pdev: PCI device (must be hosted within the connext)
-- */
--static dma_addr_t p2a(dma_addr_t p, struct pci_dev *pdev)
--{
--      struct sta2x11_mapping *map;
--      dma_addr_t a;
--
--      map = sta2x11_pdev_to_mapping(pdev);
--      a = p + map->amba_base;
--      return a;
--}
--
--/**
-- * a2p - Translate STA2x11 AMBA address to physical address
-- *       used for DMA transfers from STA2x11
-- * @a: STA2x11 AMBA address
-- * @pdev: PCI device (must be hosted within the connext)
-- */
--static dma_addr_t a2p(dma_addr_t a, struct pci_dev *pdev)
--{
--      struct sta2x11_mapping *map;
--      dma_addr_t p;
--
--      map = sta2x11_pdev_to_mapping(pdev);
--      p = a - map->amba_base;
--      return p;
--}
--
- /* At setup time, we use our own ops if the device is a ConneXt one */
- static void sta2x11_setup_pdev(struct pci_dev *pdev)
- {
-@@ -151,9 +105,6 @@ static void sta2x11_setup_pdev(struct pc
-       if (!instance) /* either a sta2x11 bridge or another ST device */
-               return;
--      pci_set_consistent_dma_mask(pdev, STA2X11_AMBA_SIZE - 1);
--      pci_set_dma_mask(pdev, STA2X11_AMBA_SIZE - 1);
--      pdev->dev.archdata.is_sta2x11 = true;
-       /* We must enable all devices as master, for audio DMA to work */
-       pci_set_master(pdev);
-@@ -161,61 +112,6 @@ static void sta2x11_setup_pdev(struct pc
- DECLARE_PCI_FIXUP_ENABLE(PCI_VENDOR_ID_STMICRO, PCI_ANY_ID, sta2x11_setup_pdev);
- /*
-- * The following three functions are exported (used in swiotlb: FIXME)
-- */
--/**
-- * dma_capable - Check if device can manage DMA transfers (FIXME: kill it)
-- * @dev: device for a PCI device
-- * @addr: DMA address
-- * @size: DMA size
-- */
--bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
--{
--      struct sta2x11_mapping *map;
--
--      if (!dev->archdata.is_sta2x11) {
--              if (!dev->dma_mask)
--                      return false;
--              return addr + size - 1 <= *dev->dma_mask;
--      }
--
--      map = sta2x11_pdev_to_mapping(to_pci_dev(dev));
--
--      if (!map || (addr < map->amba_base))
--              return false;
--      if (addr + size >= map->amba_base + STA2X11_AMBA_SIZE) {
--              return false;
--      }
--
--      return true;
--}
--
--/**
-- * __phys_to_dma - Return the DMA AMBA address used for this STA2x11 device
-- * @dev: device for a PCI device
-- * @paddr: Physical address
-- */
--dma_addr_t __phys_to_dma(struct device *dev, phys_addr_t paddr)
--{
--      if (!dev->archdata.is_sta2x11)
--              return paddr;
--      return p2a(paddr, to_pci_dev(dev));
--}
--
--/**
-- * dma_to_phys - Return the physical address used for this STA2x11 DMA address
-- * @dev: device for a PCI device
-- * @daddr: STA2x11 AMBA DMA address
-- */
--phys_addr_t __dma_to_phys(struct device *dev, dma_addr_t daddr)
--{
--      if (!dev->archdata.is_sta2x11)
--              return daddr;
--      return a2p(daddr, to_pci_dev(dev));
--}
--
--
--/*
-  * At boot we must set up the mappings for the pcie-to-amba bridge.
-  * It involves device access, and the same happens at suspend/resume time
-  */
-@@ -234,12 +130,22 @@ phys_addr_t __dma_to_phys(struct device
- /* At probe time, enable mapping for each endpoint, using the pdev */
- static void sta2x11_map_ep(struct pci_dev *pdev)
- {
--      struct sta2x11_mapping *map = sta2x11_pdev_to_mapping(pdev);
-+      struct sta2x11_instance *instance = sta2x11_pdev_to_instance(pdev);
-+      struct device *dev = &pdev->dev;
-+      u32 amba_base, max_amba_addr;
-       int i;
--      if (!map)
-+      if (!instance)
-               return;
--      pci_read_config_dword(pdev, AHB_BASE(0), &map->amba_base);
-+
-+      pci_read_config_dword(pdev, AHB_BASE(0), &amba_base);
-+      max_amba_addr = amba_base + STA2X11_AMBA_SIZE - 1;
-+
-+      dev->dma_pfn_offset = PFN_DOWN(-amba_base);
-+
-+      dev->bus_dma_mask = max_amba_addr;
-+      pci_set_consistent_dma_mask(pdev, max_amba_addr);
-+      pci_set_dma_mask(pdev, max_amba_addr);
-       /* Configure AHB mapping */
-       pci_write_config_dword(pdev, AHB_PEXLBASE(0), 0);
-@@ -253,13 +159,24 @@ static void sta2x11_map_ep(struct pci_de
-       dev_info(&pdev->dev,
-                "sta2x11: Map EP %i: AMBA address %#8x-%#8x\n",
--               sta2x11_pdev_to_ep(pdev),  map->amba_base,
--               map->amba_base + STA2X11_AMBA_SIZE - 1);
-+               sta2x11_pdev_to_ep(pdev), amba_base, max_amba_addr);
- }
- DECLARE_PCI_FIXUP_ENABLE(PCI_VENDOR_ID_STMICRO, PCI_ANY_ID, sta2x11_map_ep);
- #ifdef CONFIG_PM /* Some register values must be saved and restored */
-+static struct sta2x11_mapping *sta2x11_pdev_to_mapping(struct pci_dev *pdev)
-+{
-+      struct sta2x11_instance *instance;
-+      int ep;
-+
-+      instance = sta2x11_pdev_to_instance(pdev);
-+      if (!instance)
-+              return NULL;
-+      ep = sta2x11_pdev_to_ep(pdev);
-+      return instance->map + ep;
-+}
-+
- static void suspend_mapping(struct pci_dev *pdev)
- {
-       struct sta2x11_mapping *map = sta2x11_pdev_to_mapping(pdev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0447-PCI-brcmstb-Add-Broadcom-STB-PCIe-host-controller-dr.patch b/target/linux/bcm27xx/patches-5.4/950-0447-PCI-brcmstb-Add-Broadcom-STB-PCIe-host-controller-dr.patch
new file mode 100644 (file)
index 0000000..ca97a19
--- /dev/null
@@ -0,0 +1,810 @@
+From 4d9470c29736bf81bdb0d21da24cf350b1e99402 Mon Sep 17 00:00:00 2001
+From: Jim Quinlan <james.quinlan@broadcom.com>
+Date: Mon, 16 Dec 2019 12:01:09 +0100
+Subject: [PATCH] PCI: brcmstb: Add Broadcom STB PCIe host controller
+ driver
+
+commit c0452137034bda8f686dd9a2e167949bfffd6776 upstream.
+
+This adds a basic driver for Broadcom's STB PCIe controller, for now
+aimed at Raspberry Pi 4's SoC, bcm2711.
+
+Signed-off-by: Jim Quinlan <james.quinlan@broadcom.com>
+Co-developed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+[lorenzo.pieralisi@arm.com: updated brcm_pcie_get_rc_bar2_size_and_offset()according to https://lore.kernel.org/linux-pci/be8ddb33a7360af1815cf686f77f3f0913d02be3.camel@suse.de]
+Signed-off-by: Lorenzo Pieralisi <lorenzo.pieralisi@arm.com>
+Reviewed-by: Andrew Murray <andrew.murray@arm.com>
+Reviewed-by: Jeremy Linton <jeremy.linton@arm.com>
+---
+ drivers/pci/controller/Kconfig        |   8 +
+ drivers/pci/controller/Makefile       |   1 +
+ drivers/pci/controller/pcie-brcmstb.c | 755 ++++++++++++++++++++++++++
+ 3 files changed, 764 insertions(+)
+ create mode 100644 drivers/pci/controller/pcie-brcmstb.c
+
+--- a/drivers/pci/controller/Kconfig
++++ b/drivers/pci/controller/Kconfig
+@@ -281,6 +281,14 @@ config VMD
+         To compile this driver as a module, choose M here: the
+         module will be called vmd.
++config PCIE_BRCMSTB
++      tristate "Broadcom Brcmstb PCIe host controller"
++      depends on ARCH_BCM2835 || COMPILE_TEST
++      depends on OF
++      help
++        Say Y here to enable PCIe host controller support for
++        Broadcom STB based SoCs, like the Raspberry Pi 4.
++
+ config PCI_HYPERV_INTERFACE
+       tristate "Hyper-V PCI Interface"
+       depends on X86 && HYPERV && PCI_MSI && PCI_MSI_IRQ_DOMAIN && X86_64
+--- a/drivers/pci/controller/Makefile
++++ b/drivers/pci/controller/Makefile
+@@ -30,6 +30,7 @@ obj-$(CONFIG_PCIE_MEDIATEK) += pcie-medi
+ obj-$(CONFIG_PCIE_MOBIVEIL) += pcie-mobiveil.o
+ obj-$(CONFIG_PCIE_TANGO_SMP8759) += pcie-tango.o
+ obj-$(CONFIG_VMD) += vmd.o
++obj-$(CONFIG_PCIE_BRCMSTB) += pcie-brcmstb.o
+ # pcie-hisi.o quirks are needed even without CONFIG_PCIE_DW
+ obj-y                         += dwc/
+--- /dev/null
++++ b/drivers/pci/controller/pcie-brcmstb.c
+@@ -0,0 +1,755 @@
++// SPDX-License-Identifier: GPL-2.0+
++/* Copyright (C) 2009 - 2019 Broadcom */
++
++#include <linux/bitfield.h>
++#include <linux/clk.h>
++#include <linux/compiler.h>
++#include <linux/delay.h>
++#include <linux/init.h>
++#include <linux/interrupt.h>
++#include <linux/io.h>
++#include <linux/ioport.h>
++#include <linux/irqdomain.h>
++#include <linux/kernel.h>
++#include <linux/list.h>
++#include <linux/log2.h>
++#include <linux/module.h>
++#include <linux/of_address.h>
++#include <linux/of_irq.h>
++#include <linux/of_pci.h>
++#include <linux/of_platform.h>
++#include <linux/pci.h>
++#include <linux/printk.h>
++#include <linux/sizes.h>
++#include <linux/slab.h>
++#include <linux/string.h>
++#include <linux/types.h>
++
++#include "../pci.h"
++
++/* BRCM_PCIE_CAP_REGS - Offset for the mandatory capability config regs */
++#define BRCM_PCIE_CAP_REGS                            0x00ac
++
++/* Broadcom STB PCIe Register Offsets */
++#define PCIE_RC_CFG_VENDOR_VENDOR_SPECIFIC_REG1                               0x0188
++#define  PCIE_RC_CFG_VENDOR_VENDOR_SPECIFIC_REG1_ENDIAN_MODE_BAR2_MASK        0xc
++#define  PCIE_RC_CFG_VENDOR_SPCIFIC_REG1_LITTLE_ENDIAN                        0x0
++
++#define PCIE_RC_CFG_PRIV1_ID_VAL3                     0x043c
++#define  PCIE_RC_CFG_PRIV1_ID_VAL3_CLASS_CODE_MASK    0xffffff
++
++#define PCIE_RC_DL_MDIO_ADDR                          0x1100
++#define PCIE_RC_DL_MDIO_WR_DATA                               0x1104
++#define PCIE_RC_DL_MDIO_RD_DATA                               0x1108
++
++#define PCIE_MISC_MISC_CTRL                           0x4008
++#define  PCIE_MISC_MISC_CTRL_SCB_ACCESS_EN_MASK               0x1000
++#define  PCIE_MISC_MISC_CTRL_CFG_READ_UR_MODE_MASK    0x2000
++#define  PCIE_MISC_MISC_CTRL_MAX_BURST_SIZE_MASK      0x300000
++#define  PCIE_MISC_MISC_CTRL_MAX_BURST_SIZE_128               0x0
++#define  PCIE_MISC_MISC_CTRL_SCB0_SIZE_MASK           0xf8000000
++
++#define PCIE_MISC_CPU_2_PCIE_MEM_WIN0_LO              0x400c
++#define PCIE_MEM_WIN0_LO(win) \
++              PCIE_MISC_CPU_2_PCIE_MEM_WIN0_LO + ((win) * 4)
++
++#define PCIE_MISC_CPU_2_PCIE_MEM_WIN0_HI              0x4010
++#define PCIE_MEM_WIN0_HI(win) \
++              PCIE_MISC_CPU_2_PCIE_MEM_WIN0_HI + ((win) * 4)
++
++#define PCIE_MISC_RC_BAR1_CONFIG_LO                   0x402c
++#define  PCIE_MISC_RC_BAR1_CONFIG_LO_SIZE_MASK                0x1f
++
++#define PCIE_MISC_RC_BAR2_CONFIG_LO                   0x4034
++#define  PCIE_MISC_RC_BAR2_CONFIG_LO_SIZE_MASK                0x1f
++#define PCIE_MISC_RC_BAR2_CONFIG_HI                   0x4038
++
++#define PCIE_MISC_RC_BAR3_CONFIG_LO                   0x403c
++#define  PCIE_MISC_RC_BAR3_CONFIG_LO_SIZE_MASK                0x1f
++
++#define PCIE_MISC_PCIE_CTRL                           0x4064
++#define  PCIE_MISC_PCIE_CTRL_PCIE_L23_REQUEST_MASK    0x1
++
++#define PCIE_MISC_PCIE_STATUS                         0x4068
++#define  PCIE_MISC_PCIE_STATUS_PCIE_PORT_MASK         0x80
++#define  PCIE_MISC_PCIE_STATUS_PCIE_DL_ACTIVE_MASK    0x20
++#define  PCIE_MISC_PCIE_STATUS_PCIE_PHYLINKUP_MASK    0x10
++#define  PCIE_MISC_PCIE_STATUS_PCIE_LINK_IN_L23_MASK  0x40
++
++#define PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT              0x4070
++#define  PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT_LIMIT_MASK  0xfff00000
++#define  PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT_BASE_MASK   0xfff0
++#define PCIE_MEM_WIN0_BASE_LIMIT(win) \
++              PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT + ((win) * 4)
++
++#define PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_HI                 0x4080
++#define  PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_HI_BASE_MASK      0xff
++#define PCIE_MEM_WIN0_BASE_HI(win)    \
++              PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_HI + ((win) * 8)
++
++#define PCIE_MISC_CPU_2_PCIE_MEM_WIN0_LIMIT_HI                        0x4084
++#define  PCIE_MISC_CPU_2_PCIE_MEM_WIN0_LIMIT_HI_LIMIT_MASK    0xff
++#define PCIE_MEM_WIN0_LIMIT_HI(win)   \
++              PCIE_MISC_CPU_2_PCIE_MEM_WIN0_LIMIT_HI + ((win) * 8)
++
++#define PCIE_MISC_HARD_PCIE_HARD_DEBUG                                        0x4204
++#define  PCIE_MISC_HARD_PCIE_HARD_DEBUG_CLKREQ_DEBUG_ENABLE_MASK      0x2
++#define  PCIE_MISC_HARD_PCIE_HARD_DEBUG_SERDES_IDDQ_MASK              0x08000000
++
++#define PCIE_MSI_INTR2_STATUS                         0x4500
++#define PCIE_MSI_INTR2_CLR                            0x4508
++#define PCIE_MSI_INTR2_MASK_SET                               0x4510
++#define PCIE_MSI_INTR2_MASK_CLR                               0x4514
++
++#define PCIE_EXT_CFG_DATA                             0x8000
++
++#define PCIE_EXT_CFG_INDEX                            0x9000
++#define  PCIE_EXT_BUSNUM_SHIFT                                20
++#define  PCIE_EXT_SLOT_SHIFT                          15
++#define  PCIE_EXT_FUNC_SHIFT                          12
++
++#define PCIE_RGR1_SW_INIT_1                           0x9210
++#define  PCIE_RGR1_SW_INIT_1_PERST_MASK                       0x1
++#define  PCIE_RGR1_SW_INIT_1_INIT_MASK                        0x2
++
++/* PCIe parameters */
++#define BRCM_NUM_PCIE_OUT_WINS                0x4
++
++/* MDIO registers */
++#define MDIO_PORT0                    0x0
++#define MDIO_DATA_MASK                        0x7fffffff
++#define MDIO_PORT_MASK                        0xf0000
++#define MDIO_REGAD_MASK                       0xffff
++#define MDIO_CMD_MASK                 0xfff00000
++#define MDIO_CMD_READ                 0x1
++#define MDIO_CMD_WRITE                        0x0
++#define MDIO_DATA_DONE_MASK           0x80000000
++#define MDIO_RD_DONE(x)                       (((x) & MDIO_DATA_DONE_MASK) ? 1 : 0)
++#define MDIO_WT_DONE(x)                       (((x) & MDIO_DATA_DONE_MASK) ? 0 : 1)
++#define SSC_REGS_ADDR                 0x1100
++#define SET_ADDR_OFFSET                       0x1f
++#define SSC_CNTL_OFFSET                       0x2
++#define SSC_CNTL_OVRD_EN_MASK         0x8000
++#define SSC_CNTL_OVRD_VAL_MASK                0x4000
++#define SSC_STATUS_OFFSET             0x1
++#define SSC_STATUS_SSC_MASK           0x400
++#define SSC_STATUS_PLL_LOCK_MASK      0x800
++
++/* Internal PCIe Host Controller Information.*/
++struct brcm_pcie {
++      struct device           *dev;
++      void __iomem            *base;
++      struct clk              *clk;
++      struct pci_bus          *root_bus;
++      struct device_node      *np;
++      bool                    ssc;
++      int                     gen;
++};
++
++/*
++ * This is to convert the size of the inbound "BAR" region to the
++ * non-linear values of PCIE_X_MISC_RC_BAR[123]_CONFIG_LO.SIZE
++ */
++static int brcm_pcie_encode_ibar_size(u64 size)
++{
++      int log2_in = ilog2(size);
++
++      if (log2_in >= 12 && log2_in <= 15)
++              /* Covers 4KB to 32KB (inclusive) */
++              return (log2_in - 12) + 0x1c;
++      else if (log2_in >= 16 && log2_in <= 35)
++              /* Covers 64KB to 32GB, (inclusive) */
++              return log2_in - 15;
++      /* Something is awry so disable */
++      return 0;
++}
++
++static u32 brcm_pcie_mdio_form_pkt(int port, int regad, int cmd)
++{
++      u32 pkt = 0;
++
++      pkt |= FIELD_PREP(MDIO_PORT_MASK, port);
++      pkt |= FIELD_PREP(MDIO_REGAD_MASK, regad);
++      pkt |= FIELD_PREP(MDIO_CMD_MASK, cmd);
++
++      return pkt;
++}
++
++/* negative return value indicates error */
++static int brcm_pcie_mdio_read(void __iomem *base, u8 port, u8 regad, u32 *val)
++{
++      int tries;
++      u32 data;
++
++      writel(brcm_pcie_mdio_form_pkt(port, regad, MDIO_CMD_READ),
++                 base + PCIE_RC_DL_MDIO_ADDR);
++      readl(base + PCIE_RC_DL_MDIO_ADDR);
++
++      data = readl(base + PCIE_RC_DL_MDIO_RD_DATA);
++      for (tries = 0; !MDIO_RD_DONE(data) && tries < 10; tries++) {
++              udelay(10);
++              data = readl(base + PCIE_RC_DL_MDIO_RD_DATA);
++      }
++
++      *val = FIELD_GET(MDIO_DATA_MASK, data);
++      return MDIO_RD_DONE(data) ? 0 : -EIO;
++}
++
++/* negative return value indicates error */
++static int brcm_pcie_mdio_write(void __iomem *base, u8 port,
++                              u8 regad, u16 wrdata)
++{
++      int tries;
++      u32 data;
++
++      writel(brcm_pcie_mdio_form_pkt(port, regad, MDIO_CMD_WRITE),
++                 base + PCIE_RC_DL_MDIO_ADDR);
++      readl(base + PCIE_RC_DL_MDIO_ADDR);
++      writel(MDIO_DATA_DONE_MASK | wrdata, base + PCIE_RC_DL_MDIO_WR_DATA);
++
++      data = readl(base + PCIE_RC_DL_MDIO_WR_DATA);
++      for (tries = 0; !MDIO_WT_DONE(data) && tries < 10; tries++) {
++              udelay(10);
++              data = readl(base + PCIE_RC_DL_MDIO_WR_DATA);
++      }
++
++      return MDIO_WT_DONE(data) ? 0 : -EIO;
++}
++
++/*
++ * Configures device for Spread Spectrum Clocking (SSC) mode; a negative
++ * return value indicates error.
++ */
++static int brcm_pcie_set_ssc(struct brcm_pcie *pcie)
++{
++      int pll, ssc;
++      int ret;
++      u32 tmp;
++
++      ret = brcm_pcie_mdio_write(pcie->base, MDIO_PORT0, SET_ADDR_OFFSET,
++                                 SSC_REGS_ADDR);
++      if (ret < 0)
++              return ret;
++
++      ret = brcm_pcie_mdio_read(pcie->base, MDIO_PORT0,
++                                SSC_CNTL_OFFSET, &tmp);
++      if (ret < 0)
++              return ret;
++
++      u32p_replace_bits(&tmp, 1, SSC_CNTL_OVRD_EN_MASK);
++      u32p_replace_bits(&tmp, 1, SSC_CNTL_OVRD_VAL_MASK);
++      ret = brcm_pcie_mdio_write(pcie->base, MDIO_PORT0,
++                                 SSC_CNTL_OFFSET, tmp);
++      if (ret < 0)
++              return ret;
++
++      usleep_range(1000, 2000);
++      ret = brcm_pcie_mdio_read(pcie->base, MDIO_PORT0,
++                                SSC_STATUS_OFFSET, &tmp);
++      if (ret < 0)
++              return ret;
++
++      ssc = FIELD_GET(SSC_STATUS_SSC_MASK, tmp);
++      pll = FIELD_GET(SSC_STATUS_PLL_LOCK_MASK, tmp);
++
++      return ssc && pll ? 0 : -EIO;
++}
++
++/* Limits operation to a specific generation (1, 2, or 3) */
++static void brcm_pcie_set_gen(struct brcm_pcie *pcie, int gen)
++{
++      u16 lnkctl2 = readw(pcie->base + BRCM_PCIE_CAP_REGS + PCI_EXP_LNKCTL2);
++      u32 lnkcap = readl(pcie->base + BRCM_PCIE_CAP_REGS + PCI_EXP_LNKCAP);
++
++      lnkcap = (lnkcap & ~PCI_EXP_LNKCAP_SLS) | gen;
++      writel(lnkcap, pcie->base + BRCM_PCIE_CAP_REGS + PCI_EXP_LNKCAP);
++
++      lnkctl2 = (lnkctl2 & ~0xf) | gen;
++      writew(lnkctl2, pcie->base + BRCM_PCIE_CAP_REGS + PCI_EXP_LNKCTL2);
++}
++
++static void brcm_pcie_set_outbound_win(struct brcm_pcie *pcie,
++                                     unsigned int win, u64 cpu_addr,
++                                     u64 pcie_addr, u64 size)
++{
++      u32 cpu_addr_mb_high, limit_addr_mb_high;
++      phys_addr_t cpu_addr_mb, limit_addr_mb;
++      int high_addr_shift;
++      u32 tmp;
++
++      /* Set the base of the pcie_addr window */
++      writel(lower_32_bits(pcie_addr), pcie->base + PCIE_MEM_WIN0_LO(win));
++      writel(upper_32_bits(pcie_addr), pcie->base + PCIE_MEM_WIN0_HI(win));
++
++      /* Write the addr base & limit lower bits (in MBs) */
++      cpu_addr_mb = cpu_addr / SZ_1M;
++      limit_addr_mb = (cpu_addr + size - 1) / SZ_1M;
++
++      tmp = readl(pcie->base + PCIE_MEM_WIN0_BASE_LIMIT(win));
++      u32p_replace_bits(&tmp, cpu_addr_mb,
++                        PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT_BASE_MASK);
++      u32p_replace_bits(&tmp, limit_addr_mb,
++                        PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT_LIMIT_MASK);
++      writel(tmp, pcie->base + PCIE_MEM_WIN0_BASE_LIMIT(win));
++
++      /* Write the cpu & limit addr upper bits */
++      high_addr_shift =
++              HWEIGHT32(PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT_BASE_MASK);
++
++      cpu_addr_mb_high = cpu_addr_mb >> high_addr_shift;
++      tmp = readl(pcie->base + PCIE_MEM_WIN0_BASE_HI(win));
++      u32p_replace_bits(&tmp, cpu_addr_mb_high,
++                        PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_HI_BASE_MASK);
++      writel(tmp, pcie->base + PCIE_MEM_WIN0_BASE_HI(win));
++
++      limit_addr_mb_high = limit_addr_mb >> high_addr_shift;
++      tmp = readl(pcie->base + PCIE_MEM_WIN0_LIMIT_HI(win));
++      u32p_replace_bits(&tmp, limit_addr_mb_high,
++                        PCIE_MISC_CPU_2_PCIE_MEM_WIN0_LIMIT_HI_LIMIT_MASK);
++      writel(tmp, pcie->base + PCIE_MEM_WIN0_LIMIT_HI(win));
++}
++
++/* The controller is capable of serving in both RC and EP roles */
++static bool brcm_pcie_rc_mode(struct brcm_pcie *pcie)
++{
++      void __iomem *base = pcie->base;
++      u32 val = readl(base + PCIE_MISC_PCIE_STATUS);
++
++      return !!FIELD_GET(PCIE_MISC_PCIE_STATUS_PCIE_PORT_MASK, val);
++}
++
++static bool brcm_pcie_link_up(struct brcm_pcie *pcie)
++{
++      u32 val = readl(pcie->base + PCIE_MISC_PCIE_STATUS);
++      u32 dla = FIELD_GET(PCIE_MISC_PCIE_STATUS_PCIE_DL_ACTIVE_MASK, val);
++      u32 plu = FIELD_GET(PCIE_MISC_PCIE_STATUS_PCIE_PHYLINKUP_MASK, val);
++
++      return dla && plu;
++}
++
++/* Configuration space read/write support */
++static inline int brcm_pcie_cfg_index(int busnr, int devfn, int reg)
++{
++      return ((PCI_SLOT(devfn) & 0x1f) << PCIE_EXT_SLOT_SHIFT)
++              | ((PCI_FUNC(devfn) & 0x07) << PCIE_EXT_FUNC_SHIFT)
++              | (busnr << PCIE_EXT_BUSNUM_SHIFT)
++              | (reg & ~3);
++}
++
++static void __iomem *brcm_pcie_map_conf(struct pci_bus *bus, unsigned int devfn,
++                                      int where)
++{
++      struct brcm_pcie *pcie = bus->sysdata;
++      void __iomem *base = pcie->base;
++      int idx;
++
++      /* Accesses to the RC go right to the RC registers if slot==0 */
++      if (pci_is_root_bus(bus))
++              return PCI_SLOT(devfn) ? NULL : base + where;
++
++      /* For devices, write to the config space index register */
++      idx = brcm_pcie_cfg_index(bus->number, devfn, 0);
++      writel(idx, pcie->base + PCIE_EXT_CFG_INDEX);
++      return base + PCIE_EXT_CFG_DATA + where;
++}
++
++static struct pci_ops brcm_pcie_ops = {
++      .map_bus = brcm_pcie_map_conf,
++      .read = pci_generic_config_read,
++      .write = pci_generic_config_write,
++};
++
++static inline void brcm_pcie_bridge_sw_init_set(struct brcm_pcie *pcie, u32 val)
++{
++      u32 tmp;
++
++      tmp = readl(pcie->base + PCIE_RGR1_SW_INIT_1);
++      u32p_replace_bits(&tmp, val, PCIE_RGR1_SW_INIT_1_INIT_MASK);
++      writel(tmp, pcie->base + PCIE_RGR1_SW_INIT_1);
++}
++
++static inline void brcm_pcie_perst_set(struct brcm_pcie *pcie, u32 val)
++{
++      u32 tmp;
++
++      tmp = readl(pcie->base + PCIE_RGR1_SW_INIT_1);
++      u32p_replace_bits(&tmp, val, PCIE_RGR1_SW_INIT_1_PERST_MASK);
++      writel(tmp, pcie->base + PCIE_RGR1_SW_INIT_1);
++}
++
++static inline int brcm_pcie_get_rc_bar2_size_and_offset(struct brcm_pcie *pcie,
++                                                      u64 *rc_bar2_size,
++                                                      u64 *rc_bar2_offset)
++{
++      struct pci_host_bridge *bridge = pci_host_bridge_from_priv(pcie);
++      struct device *dev = pcie->dev;
++      struct resource_entry *entry;
++
++      entry = resource_list_first_type(&bridge->dma_ranges, IORESOURCE_MEM);
++      if (!entry)
++              return -ENODEV;
++
++
++      /*
++       * The controller expects the inbound window offset to be calculated as
++       * the difference between PCIe's address space and CPU's. The offset
++       * provided by the firmware is calculated the opposite way, so we
++       * negate it.
++       */
++      *rc_bar2_offset = -entry->offset;
++      *rc_bar2_size = 1ULL << fls64(entry->res->end - entry->res->start);
++
++      /*
++       * We validate the inbound memory view even though we should trust
++       * whatever the device-tree provides. This is because of an HW issue on
++       * early Raspberry Pi 4's revisions (bcm2711). It turns out its
++       * firmware has to dynamically edit dma-ranges due to a bug on the
++       * PCIe controller integration, which prohibits any access above the
++       * lower 3GB of memory. Given this, we decided to keep the dma-ranges
++       * in check, avoiding hard to debug device-tree related issues in the
++       * future:
++       *
++       * The PCIe host controller by design must set the inbound viewport to
++       * be a contiguous arrangement of all of the system's memory.  In
++       * addition, its size mut be a power of two.  To further complicate
++       * matters, the viewport must start on a pcie-address that is aligned
++       * on a multiple of its size.  If a portion of the viewport does not
++       * represent system memory -- e.g. 3GB of memory requires a 4GB
++       * viewport -- we can map the outbound memory in or after 3GB and even
++       * though the viewport will overlap the outbound memory the controller
++       * will know to send outbound memory downstream and everything else
++       * upstream.
++       *
++       * For example:
++       *
++       * - The best-case scenario, memory up to 3GB, is to place the inbound
++       *   region in the first 4GB of pcie-space, as some legacy devices can
++       *   only address 32bits. We would also like to put the MSI under 4GB
++       *   as well, since some devices require a 32bit MSI target address.
++       *
++       * - If the system memory is 4GB or larger we cannot start the inbound
++       *   region at location 0 (since we have to allow some space for
++       *   outbound memory @ 3GB). So instead it will  start at the 1x
++       *   multiple of its size
++       */
++      if (!*rc_bar2_size || *rc_bar2_offset % *rc_bar2_size ||
++          (*rc_bar2_offset < SZ_4G && *rc_bar2_offset > SZ_2G)) {
++              dev_err(dev, "Invalid rc_bar2_offset/size: size 0x%llx, off 0x%llx\n",
++                      *rc_bar2_size, *rc_bar2_offset);
++              return -EINVAL;
++      }
++
++      return 0;
++}
++
++static int brcm_pcie_setup(struct brcm_pcie *pcie)
++{
++      struct pci_host_bridge *bridge = pci_host_bridge_from_priv(pcie);
++      u64 rc_bar2_offset, rc_bar2_size;
++      void __iomem *base = pcie->base;
++      struct device *dev = pcie->dev;
++      struct resource_entry *entry;
++      unsigned int scb_size_val;
++      bool ssc_good = false;
++      struct resource *res;
++      int num_out_wins = 0;
++      u16 nlw, cls, lnksta;
++      int i, ret;
++      u32 tmp;
++
++      /* Reset the bridge */
++      brcm_pcie_bridge_sw_init_set(pcie, 1);
++
++      usleep_range(100, 200);
++
++      /* Take the bridge out of reset */
++      brcm_pcie_bridge_sw_init_set(pcie, 0);
++
++      tmp = readl(base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
++      tmp &= ~PCIE_MISC_HARD_PCIE_HARD_DEBUG_SERDES_IDDQ_MASK;
++      writel(tmp, base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
++      /* Wait for SerDes to be stable */
++      usleep_range(100, 200);
++
++      /* Set SCB_MAX_BURST_SIZE, CFG_READ_UR_MODE, SCB_ACCESS_EN */
++      u32p_replace_bits(&tmp, 1, PCIE_MISC_MISC_CTRL_SCB_ACCESS_EN_MASK);
++      u32p_replace_bits(&tmp, 1, PCIE_MISC_MISC_CTRL_CFG_READ_UR_MODE_MASK);
++      u32p_replace_bits(&tmp, PCIE_MISC_MISC_CTRL_MAX_BURST_SIZE_128,
++                        PCIE_MISC_MISC_CTRL_MAX_BURST_SIZE_MASK);
++      writel(tmp, base + PCIE_MISC_MISC_CTRL);
++
++      ret = brcm_pcie_get_rc_bar2_size_and_offset(pcie, &rc_bar2_size,
++                                                  &rc_bar2_offset);
++      if (ret)
++              return ret;
++
++      tmp = lower_32_bits(rc_bar2_offset);
++      u32p_replace_bits(&tmp, brcm_pcie_encode_ibar_size(rc_bar2_size),
++                        PCIE_MISC_RC_BAR2_CONFIG_LO_SIZE_MASK);
++      writel(tmp, base + PCIE_MISC_RC_BAR2_CONFIG_LO);
++      writel(upper_32_bits(rc_bar2_offset),
++             base + PCIE_MISC_RC_BAR2_CONFIG_HI);
++
++      scb_size_val = rc_bar2_size ?
++                     ilog2(rc_bar2_size) - 15 : 0xf; /* 0xf is 1GB */
++      tmp = readl(base + PCIE_MISC_MISC_CTRL);
++      u32p_replace_bits(&tmp, scb_size_val,
++                        PCIE_MISC_MISC_CTRL_SCB0_SIZE_MASK);
++      writel(tmp, base + PCIE_MISC_MISC_CTRL);
++
++      /* disable the PCIe->GISB memory window (RC_BAR1) */
++      tmp = readl(base + PCIE_MISC_RC_BAR1_CONFIG_LO);
++      tmp &= ~PCIE_MISC_RC_BAR1_CONFIG_LO_SIZE_MASK;
++      writel(tmp, base + PCIE_MISC_RC_BAR1_CONFIG_LO);
++
++      /* disable the PCIe->SCB memory window (RC_BAR3) */
++      tmp = readl(base + PCIE_MISC_RC_BAR3_CONFIG_LO);
++      tmp &= ~PCIE_MISC_RC_BAR3_CONFIG_LO_SIZE_MASK;
++      writel(tmp, base + PCIE_MISC_RC_BAR3_CONFIG_LO);
++
++      /* Mask all interrupts since we are not handling any yet */
++      writel(0xffffffff, pcie->base + PCIE_MSI_INTR2_MASK_SET);
++
++      /* clear any interrupts we find on boot */
++      writel(0xffffffff, pcie->base + PCIE_MSI_INTR2_CLR);
++
++      if (pcie->gen)
++              brcm_pcie_set_gen(pcie, pcie->gen);
++
++      /* Unassert the fundamental reset */
++      brcm_pcie_perst_set(pcie, 0);
++
++      /*
++       * Give the RC/EP time to wake up, before trying to configure RC.
++       * Intermittently check status for link-up, up to a total of 100ms.
++       */
++      for (i = 0; i < 100 && !brcm_pcie_link_up(pcie); i += 5)
++              msleep(5);
++
++      if (!brcm_pcie_link_up(pcie)) {
++              dev_err(dev, "link down\n");
++              return -ENODEV;
++      }
++
++      if (!brcm_pcie_rc_mode(pcie)) {
++              dev_err(dev, "PCIe misconfigured; is in EP mode\n");
++              return -EINVAL;
++      }
++
++      resource_list_for_each_entry(entry, &bridge->windows) {
++              res = entry->res;
++
++              if (resource_type(res) != IORESOURCE_MEM)
++                      continue;
++
++              if (num_out_wins >= BRCM_NUM_PCIE_OUT_WINS) {
++                      dev_err(pcie->dev, "too many outbound wins\n");
++                      return -EINVAL;
++              }
++
++              brcm_pcie_set_outbound_win(pcie, num_out_wins, res->start,
++                                         res->start - entry->offset,
++                                         resource_size(res));
++              num_out_wins++;
++      }
++
++      /*
++       * For config space accesses on the RC, show the right class for
++       * a PCIe-PCIe bridge (the default setting is to be EP mode).
++       */
++      tmp = readl(base + PCIE_RC_CFG_PRIV1_ID_VAL3);
++      u32p_replace_bits(&tmp, 0x060400,
++                        PCIE_RC_CFG_PRIV1_ID_VAL3_CLASS_CODE_MASK);
++      writel(tmp, base + PCIE_RC_CFG_PRIV1_ID_VAL3);
++
++      if (pcie->ssc) {
++              ret = brcm_pcie_set_ssc(pcie);
++              if (ret == 0)
++                      ssc_good = true;
++              else
++                      dev_err(dev, "failed attempt to enter ssc mode\n");
++      }
++
++      lnksta = readw(base + BRCM_PCIE_CAP_REGS + PCI_EXP_LNKSTA);
++      cls = FIELD_GET(PCI_EXP_LNKSTA_CLS, lnksta);
++      nlw = FIELD_GET(PCI_EXP_LNKSTA_NLW, lnksta);
++      dev_info(dev, "link up, %s x%u %s\n",
++               PCIE_SPEED2STR(cls + PCI_SPEED_133MHz_PCIX_533),
++               nlw, ssc_good ? "(SSC)" : "(!SSC)");
++
++      /* PCIe->SCB endian mode for BAR */
++      tmp = readl(base + PCIE_RC_CFG_VENDOR_VENDOR_SPECIFIC_REG1);
++      u32p_replace_bits(&tmp, PCIE_RC_CFG_VENDOR_SPCIFIC_REG1_LITTLE_ENDIAN,
++              PCIE_RC_CFG_VENDOR_VENDOR_SPECIFIC_REG1_ENDIAN_MODE_BAR2_MASK);
++      writel(tmp, base + PCIE_RC_CFG_VENDOR_VENDOR_SPECIFIC_REG1);
++
++      /*
++       * Refclk from RC should be gated with CLKREQ# input when ASPM L0s,L1
++       * is enabled => setting the CLKREQ_DEBUG_ENABLE field to 1.
++       */
++      tmp = readl(base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
++      tmp |= PCIE_MISC_HARD_PCIE_HARD_DEBUG_CLKREQ_DEBUG_ENABLE_MASK;
++      writel(tmp, base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
++
++      return 0;
++}
++
++/* L23 is a low-power PCIe link state */
++static void brcm_pcie_enter_l23(struct brcm_pcie *pcie)
++{
++      void __iomem *base = pcie->base;
++      int l23, i;
++      u32 tmp;
++
++      /* Assert request for L23 */
++      tmp = readl(base + PCIE_MISC_PCIE_CTRL);
++      u32p_replace_bits(&tmp, 1, PCIE_MISC_PCIE_CTRL_PCIE_L23_REQUEST_MASK);
++      writel(tmp, base + PCIE_MISC_PCIE_CTRL);
++
++      /* Wait up to 36 msec for L23 */
++      tmp = readl(base + PCIE_MISC_PCIE_STATUS);
++      l23 = FIELD_GET(PCIE_MISC_PCIE_STATUS_PCIE_LINK_IN_L23_MASK, tmp);
++      for (i = 0; i < 15 && !l23; i++) {
++              usleep_range(2000, 2400);
++              tmp = readl(base + PCIE_MISC_PCIE_STATUS);
++              l23 = FIELD_GET(PCIE_MISC_PCIE_STATUS_PCIE_LINK_IN_L23_MASK,
++                              tmp);
++      }
++
++      if (!l23)
++              dev_err(pcie->dev, "failed to enter low-power link state\n");
++}
++
++static void brcm_pcie_turn_off(struct brcm_pcie *pcie)
++{
++      void __iomem *base = pcie->base;
++      int tmp;
++
++      if (brcm_pcie_link_up(pcie))
++              brcm_pcie_enter_l23(pcie);
++      /* Assert fundamental reset */
++      brcm_pcie_perst_set(pcie, 1);
++
++      /* Deassert request for L23 in case it was asserted */
++      tmp = readl(base + PCIE_MISC_PCIE_CTRL);
++      u32p_replace_bits(&tmp, 0, PCIE_MISC_PCIE_CTRL_PCIE_L23_REQUEST_MASK);
++      writel(tmp, base + PCIE_MISC_PCIE_CTRL);
++
++      /* Turn off SerDes */
++      tmp = readl(base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
++      u32p_replace_bits(&tmp, 1, PCIE_MISC_HARD_PCIE_HARD_DEBUG_SERDES_IDDQ_MASK);
++      writel(tmp, base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
++
++      /* Shutdown PCIe bridge */
++      brcm_pcie_bridge_sw_init_set(pcie, 1);
++}
++
++static void __brcm_pcie_remove(struct brcm_pcie *pcie)
++{
++      brcm_pcie_turn_off(pcie);
++      clk_disable_unprepare(pcie->clk);
++      clk_put(pcie->clk);
++}
++
++static int brcm_pcie_remove(struct platform_device *pdev)
++{
++      struct brcm_pcie *pcie = platform_get_drvdata(pdev);
++
++      pci_stop_root_bus(pcie->root_bus);
++      pci_remove_root_bus(pcie->root_bus);
++      __brcm_pcie_remove(pcie);
++
++      return 0;
++}
++
++static int brcm_pcie_probe(struct platform_device *pdev)
++{
++      struct device_node *np = pdev->dev.of_node;
++      struct pci_host_bridge *bridge;
++      struct brcm_pcie *pcie;
++      struct pci_bus *child;
++      struct resource *res;
++      int ret;
++
++      bridge = devm_pci_alloc_host_bridge(&pdev->dev, sizeof(*pcie));
++      if (!bridge)
++              return -ENOMEM;
++
++      pcie = pci_host_bridge_priv(bridge);
++      pcie->dev = &pdev->dev;
++      pcie->np = np;
++
++      res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
++      pcie->base = devm_ioremap_resource(&pdev->dev, res);
++      if (IS_ERR(pcie->base))
++              return PTR_ERR(pcie->base);
++
++      pcie->clk = devm_clk_get_optional(&pdev->dev, "sw_pcie");
++      if (IS_ERR(pcie->clk))
++              return PTR_ERR(pcie->clk);
++
++      ret = of_pci_get_max_link_speed(np);
++      pcie->gen = (ret < 0) ? 0 : ret;
++
++      pcie->ssc = of_property_read_bool(np, "brcm,enable-ssc");
++
++      ret = pci_parse_request_of_pci_ranges(pcie->dev, &bridge->windows,
++                                            &bridge->dma_ranges, NULL);
++      if (ret)
++              return ret;
++
++      ret = clk_prepare_enable(pcie->clk);
++      if (ret) {
++              dev_err(&pdev->dev, "could not enable clock\n");
++              return ret;
++      }
++
++      ret = brcm_pcie_setup(pcie);
++      if (ret)
++              goto fail;
++
++      bridge->dev.parent = &pdev->dev;
++      bridge->busnr = 0;
++      bridge->ops = &brcm_pcie_ops;
++      bridge->sysdata = pcie;
++      bridge->map_irq = of_irq_parse_and_map_pci;
++      bridge->swizzle_irq = pci_common_swizzle;
++
++      ret = pci_scan_root_bus_bridge(bridge);
++      if (ret < 0) {
++              dev_err(pcie->dev, "Scanning root bridge failed\n");
++              goto fail;
++      }
++
++      pci_assign_unassigned_bus_resources(bridge->bus);
++      list_for_each_entry(child, &bridge->bus->children, node)
++              pcie_bus_configure_settings(child);
++      pci_bus_add_devices(bridge->bus);
++      platform_set_drvdata(pdev, pcie);
++      pcie->root_bus = bridge->bus;
++
++      return 0;
++fail:
++      __brcm_pcie_remove(pcie);
++      return ret;
++}
++
++static const struct of_device_id brcm_pcie_match[] = {
++      { .compatible = "brcm,bcm2711-pcie" },
++      {},
++};
++MODULE_DEVICE_TABLE(of, brcm_pcie_match);
++
++static struct platform_driver brcm_pcie_driver = {
++      .probe = brcm_pcie_probe,
++      .remove = brcm_pcie_remove,
++      .driver = {
++              .name = "brcm-pcie",
++              .of_match_table = brcm_pcie_match,
++      },
++};
++module_platform_driver(brcm_pcie_driver);
++
++MODULE_LICENSE("GPL");
++MODULE_DESCRIPTION("Broadcom STB PCIe RC driver");
++MODULE_AUTHOR("Broadcom");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0447-PCI-of-Add-inbound-resource-parsing-to-helpers.patch b/target/linux/bcm27xx/patches-5.4/950-0447-PCI-of-Add-inbound-resource-parsing-to-helpers.patch
deleted file mode 100644 (file)
index 493ea63..0000000
+++ /dev/null
@@ -1,427 +0,0 @@
-From 125a18144253e3a3f4bcad24484ee9b590dc47c6 Mon Sep 17 00:00:00 2001
-From: Rob Herring <robh@kernel.org>
-Date: Wed, 30 Oct 2019 17:30:57 -0500
-Subject: [PATCH] PCI: of: Add inbound resource parsing to helpers
-
-Extend devm_of_pci_get_host_bridge_resources() and
-pci_parse_request_of_pci_ranges() helpers to also parse the inbound
-addresses from DT 'dma-ranges' and populate a resource list with the
-translated addresses. This will help ensure 'dma-ranges' is always
-parsed in a consistent way.
-
-Tested-by: Srinath Mannam <srinath.mannam@broadcom.com>
-Tested-by: Thomas Petazzoni <thomas.petazzoni@bootlin.com> # for AArdvark
-Signed-off-by: Rob Herring <robh@kernel.org>
-Signed-off-by: Lorenzo Pieralisi <lorenzo.pieralisi@arm.com>
-Reviewed-by: Srinath Mannam <srinath.mannam@broadcom.com>
-Reviewed-by: Andrew Murray <andrew.murray@arm.com>
-Acked-by: Gustavo Pimentel <gustavo.pimentel@synopsys.com>
-Cc: Jingoo Han <jingoohan1@gmail.com>
-Cc: Gustavo Pimentel <gustavo.pimentel@synopsys.com>
-Cc: Lorenzo Pieralisi <lorenzo.pieralisi@arm.com>
-Cc: Bjorn Helgaas <bhelgaas@google.com>
-Cc: Thomas Petazzoni <thomas.petazzoni@bootlin.com>
-Cc: Will Deacon <will@kernel.org>
-Cc: Linus Walleij <linus.walleij@linaro.org>
-Cc: Toan Le <toan@os.amperecomputing.com>
-Cc: Ley Foon Tan <lftan@altera.com>
-Cc: Tom Joseph <tjoseph@cadence.com>
-Cc: Ray Jui <rjui@broadcom.com>
-Cc: Scott Branden <sbranden@broadcom.com>
-Cc: bcm-kernel-feedback-list@broadcom.com
-Cc: Ryder Lee <ryder.lee@mediatek.com>
-Cc: Karthikeyan Mitran <m.karthikeyan@mobiveil.co.in>
-Cc: Hou Zhiqiang <Zhiqiang.Hou@nxp.com>
-Cc: Simon Horman <horms@verge.net.au>
-Cc: Shawn Lin <shawn.lin@rock-chips.com>
-Cc: Heiko Stuebner <heiko@sntech.de>
-Cc: Michal Simek <michal.simek@xilinx.com>
-Cc: rfi@lists.rocketboards.org
-Cc: linux-mediatek@lists.infradead.org
-Cc: linux-renesas-soc@vger.kernel.org
-Cc: linux-rockchip@lists.infradead.org
-(cherry picked from commit 331f63457165a30c708280de2c77f1742c6351dc)
----
- .../pci/controller/dwc/pcie-designware-host.c |  8 +--
- drivers/pci/controller/pci-aardvark.c         |  3 +-
- drivers/pci/controller/pci-ftpci100.c         |  4 +-
- drivers/pci/controller/pci-host-common.c      |  2 +-
- drivers/pci/controller/pci-v3-semi.c          |  8 +--
- drivers/pci/controller/pci-versatile.c        |  3 +-
- drivers/pci/controller/pci-xgene.c            |  4 +-
- drivers/pci/controller/pcie-altera.c          |  5 +-
- drivers/pci/controller/pcie-cadence-host.c    |  2 +-
- drivers/pci/controller/pcie-iproc-platform.c  |  4 +-
- drivers/pci/controller/pcie-mediatek.c        |  4 +-
- drivers/pci/controller/pcie-mobiveil.c        |  4 +-
- drivers/pci/controller/pcie-rcar.c            |  3 +-
- drivers/pci/controller/pcie-rockchip-host.c   |  4 +-
- drivers/pci/controller/pcie-xilinx-nwl.c      |  4 +-
- drivers/pci/controller/pcie-xilinx.c          |  4 +-
- drivers/pci/of.c                              | 61 ++++++++++++++++---
- drivers/pci/pci.h                             |  8 ++-
- include/linux/pci.h                           |  9 ++-
- 19 files changed, 96 insertions(+), 48 deletions(-)
-
---- a/drivers/pci/controller/dwc/pcie-designware-host.c
-+++ b/drivers/pci/controller/dwc/pcie-designware-host.c
-@@ -345,12 +345,8 @@ int dw_pcie_host_init(struct pcie_port *
-       if (!bridge)
-               return -ENOMEM;
--      ret = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff,
--                                      &bridge->windows, &pp->io_base);
--      if (ret)
--              return ret;
--
--      ret = devm_request_pci_bus_resources(dev, &bridge->windows);
-+      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
-+                                            &bridge->dma_ranges, NULL);
-       if (ret)
-               return ret;
---- a/drivers/pci/controller/pci-aardvark.c
-+++ b/drivers/pci/controller/pci-aardvark.c
-@@ -1018,7 +1018,8 @@ static int advk_pcie_probe(struct platfo
-               return ret;
-       }
--      ret = advk_pcie_parse_request_of_pci_ranges(pcie);
-+      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
-+                                            &bridge->dma_ranges, &bus);
-       if (ret) {
-               dev_err(dev, "Failed to parse resources\n");
-               return ret;
---- a/drivers/pci/controller/pci-ftpci100.c
-+++ b/drivers/pci/controller/pci-ftpci100.c
-@@ -480,8 +480,8 @@ static int faraday_pci_probe(struct plat
-       if (IS_ERR(p->base))
-               return PTR_ERR(p->base);
--      ret = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff,
--                                                  &res, &io_base);
-+      ret = pci_parse_request_of_pci_ranges(dev, &host->windows,
-+                                            &host->dma_ranges, NULL);
-       if (ret)
-               return ret;
---- a/drivers/pci/controller/pci-host-common.c
-+++ b/drivers/pci/controller/pci-host-common.c
-@@ -27,7 +27,7 @@ static struct pci_config_window *gen_pci
-       struct pci_config_window *cfg;
-       /* Parse our PCI ranges and request their resources */
--      err = pci_parse_request_of_pci_ranges(dev, resources, &bus_range);
-+      err = pci_parse_request_of_pci_ranges(dev, resources, NULL, &bus_range);
-       if (err)
-               return ERR_PTR(err);
---- a/drivers/pci/controller/pci-v3-semi.c
-+++ b/drivers/pci/controller/pci-v3-semi.c
-@@ -793,12 +793,8 @@ static int v3_pci_probe(struct platform_
-       if (IS_ERR(v3->config_base))
-               return PTR_ERR(v3->config_base);
--      ret = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff, &res,
--                                                  &io_base);
--      if (ret)
--              return ret;
--
--      ret = devm_request_pci_bus_resources(dev, &res);
-+      ret = pci_parse_request_of_pci_ranges(dev, &host->windows,
-+                                            &host->dma_ranges, NULL);
-       if (ret)
-               return ret;
---- a/drivers/pci/controller/pci-versatile.c
-+++ b/drivers/pci/controller/pci-versatile.c
-@@ -141,7 +141,8 @@ static int versatile_pci_probe(struct pl
-       if (IS_ERR(versatile_cfg_base[1]))
-               return PTR_ERR(versatile_cfg_base[1]);
--      ret = versatile_pci_parse_request_of_pci_ranges(dev, &pci_res);
-+      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
-+                                            NULL, NULL);
-       if (ret)
-               return ret;
---- a/drivers/pci/controller/pci-xgene.c
-+++ b/drivers/pci/controller/pci-xgene.c
-@@ -634,8 +634,8 @@ static int xgene_pcie_probe(struct platf
-       if (ret)
-               return ret;
--      ret = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff, &res,
--                                                  &iobase);
-+      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
-+                                            &bridge->dma_ranges, NULL);
-       if (ret)
-               return ret;
---- a/drivers/pci/controller/pcie-altera.c
-+++ b/drivers/pci/controller/pcie-altera.c
-@@ -833,9 +833,8 @@ static int altera_pcie_probe(struct plat
-               return ret;
-       }
--      INIT_LIST_HEAD(&pcie->resources);
--
--      ret = altera_pcie_parse_request_of_pci_ranges(pcie);
-+      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
-+                                            &bridge->dma_ranges, NULL);
-       if (ret) {
-               dev_err(dev, "Failed add resources\n");
-               return ret;
---- a/drivers/pci/controller/pcie-cadence-host.c
-+++ b/drivers/pci/controller/pcie-cadence-host.c
-@@ -216,7 +216,7 @@ static int cdns_pcie_host_init(struct de
-       int err;
-       /* Parse our PCI ranges and request their resources */
--      err = pci_parse_request_of_pci_ranges(dev, resources, &bus_range);
-+      err = pci_parse_request_of_pci_ranges(dev, resources, NULL, &bus_range);
-       if (err)
-               return err;
---- a/drivers/pci/controller/pcie-iproc-platform.c
-+++ b/drivers/pci/controller/pcie-iproc-platform.c
-@@ -97,8 +97,8 @@ static int iproc_pcie_pltfm_probe(struct
-       if (IS_ERR(pcie->phy))
-               return PTR_ERR(pcie->phy);
--      ret = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff, &resources,
--                                                  &iobase);
-+      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
-+                                            &bridge->dma_ranges, NULL);
-       if (ret) {
-               dev_err(dev, "unable to get PCI host bridge resources\n");
-               return ret;
---- a/drivers/pci/controller/pcie-mediatek.c
-+++ b/drivers/pci/controller/pcie-mediatek.c
-@@ -1027,8 +1027,8 @@ static int mtk_pcie_setup(struct mtk_pci
-       resource_size_t io_base;
-       int err;
--      err = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff,
--                                                  windows, &io_base);
-+      err = pci_parse_request_of_pci_ranges(dev, windows,
-+                                            &host->dma_ranges, &bus);
-       if (err)
-               return err;
---- a/drivers/pci/controller/pcie-mobiveil.c
-+++ b/drivers/pci/controller/pcie-mobiveil.c
-@@ -883,8 +883,8 @@ static int mobiveil_pcie_probe(struct pl
-       INIT_LIST_HEAD(&pcie->resources);
-       /* parse the host bridge base addresses from the device tree file */
--      ret = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff,
--                                                  &pcie->resources, &iobase);
-+      ret = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
-+                                            &bridge->dma_ranges, NULL);
-       if (ret) {
-               dev_err(dev, "Getting bridge resources failed\n");
-               return ret;
---- a/drivers/pci/controller/pcie-rcar.c
-+++ b/drivers/pci/controller/pcie-rcar.c
-@@ -1144,7 +1144,8 @@ static int rcar_pcie_probe(struct platfo
-       pcie->dev = dev;
-       platform_set_drvdata(pdev, pcie);
--      err = pci_parse_request_of_pci_ranges(dev, &pcie->resources, NULL);
-+      err = pci_parse_request_of_pci_ranges(dev, &pcie->resources,
-+                                            &bridge->dma_ranges, NULL);
-       if (err)
-               goto err_free_bridge;
---- a/drivers/pci/controller/pcie-rockchip-host.c
-+++ b/drivers/pci/controller/pcie-rockchip-host.c
-@@ -995,8 +995,8 @@ static int rockchip_pcie_probe(struct pl
-       if (err < 0)
-               goto err_deinit_port;
--      err = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff,
--                                                  &res, &io_base);
-+      err = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
-+                                            &bridge->dma_ranges, &bus_res);
-       if (err)
-               goto err_remove_irq_domain;
---- a/drivers/pci/controller/pcie-xilinx-nwl.c
-+++ b/drivers/pci/controller/pcie-xilinx-nwl.c
-@@ -845,8 +845,8 @@ static int nwl_pcie_probe(struct platfor
-               return err;
-       }
--      err = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff, &res,
--                                                  &iobase);
-+      err = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
-+                                            &bridge->dma_ranges, NULL);
-       if (err) {
-               dev_err(dev, "Getting bridge resources failed\n");
-               return err;
---- a/drivers/pci/controller/pcie-xilinx.c
-+++ b/drivers/pci/controller/pcie-xilinx.c
-@@ -647,8 +647,8 @@ static int xilinx_pcie_probe(struct plat
-               return err;
-       }
--      err = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff, &res,
--                                                  &iobase);
-+      err = pci_parse_request_of_pci_ranges(dev, &bridge->windows,
-+                                            &bridge->dma_ranges, NULL);
-       if (err) {
-               dev_err(dev, "Getting bridge resources failed\n");
-               return err;
---- a/drivers/pci/of.c
-+++ b/drivers/pci/of.c
-@@ -257,14 +257,16 @@ EXPORT_SYMBOL_GPL(of_pci_check_probe_onl
-  */
- int devm_of_pci_get_host_bridge_resources(struct device *dev,
-                       unsigned char busno, unsigned char bus_max,
--                      struct list_head *resources, resource_size_t *io_base)
-+                      struct list_head *resources,
-+                      struct list_head *ib_resources,
-+                      resource_size_t *io_base)
- {
-       struct device_node *dev_node = dev->of_node;
-       struct resource *res, tmp_res;
-       struct resource *bus_range;
-       struct of_pci_range range;
-       struct of_pci_range_parser parser;
--      char range_type[4];
-+      const char *range_type;
-       int err;
-       if (io_base)
-@@ -298,12 +300,12 @@ int devm_of_pci_get_host_bridge_resource
-       for_each_of_pci_range(&parser, &range) {
-               /* Read next ranges element */
-               if ((range.flags & IORESOURCE_TYPE_BITS) == IORESOURCE_IO)
--                      snprintf(range_type, 4, " IO");
-+                      range_type = "IO";
-               else if ((range.flags & IORESOURCE_TYPE_BITS) == IORESOURCE_MEM)
--                      snprintf(range_type, 4, "MEM");
-+                      range_type = "MEM";
-               else
--                      snprintf(range_type, 4, "err");
--              dev_info(dev, "  %s %#010llx..%#010llx -> %#010llx\n",
-+                      range_type = "err";
-+              dev_info(dev, "  %6s %#012llx..%#012llx -> %#012llx\n",
-                        range_type, range.cpu_addr,
-                        range.cpu_addr + range.size - 1, range.pci_addr);
-@@ -340,6 +342,48 @@ int devm_of_pci_get_host_bridge_resource
-               pci_add_resource_offset(resources, res, res->start - range.pci_addr);
-       }
-+      /* Check for dma-ranges property */
-+      if (!ib_resources)
-+              return 0;
-+      err = of_pci_dma_range_parser_init(&parser, dev_node);
-+      if (err)
-+              return 0;
-+
-+      dev_dbg(dev, "Parsing dma-ranges property...\n");
-+      for_each_of_pci_range(&parser, &range) {
-+              struct resource_entry *entry;
-+              /*
-+               * If we failed translation or got a zero-sized region
-+               * then skip this range
-+               */
-+              if (((range.flags & IORESOURCE_TYPE_BITS) != IORESOURCE_MEM) ||
-+                  range.cpu_addr == OF_BAD_ADDR || range.size == 0)
-+                      continue;
-+
-+              dev_info(dev, "  %6s %#012llx..%#012llx -> %#012llx\n",
-+                       "IB MEM", range.cpu_addr,
-+                       range.cpu_addr + range.size - 1, range.pci_addr);
-+
-+
-+              err = of_pci_range_to_resource(&range, dev_node, &tmp_res);
-+              if (err)
-+                      continue;
-+
-+              res = devm_kmemdup(dev, &tmp_res, sizeof(tmp_res), GFP_KERNEL);
-+              if (!res) {
-+                      err = -ENOMEM;
-+                      goto failed;
-+              }
-+
-+              /* Keep the resource list sorted */
-+              resource_list_for_each_entry(entry, ib_resources)
-+                      if (entry->res->start > res->start)
-+                              break;
-+
-+              pci_add_resource_offset(&entry->node, res,
-+                                      res->start - range.pci_addr);
-+      }
-+
-       return 0;
- failed:
-@@ -482,6 +526,7 @@ EXPORT_SYMBOL_GPL(of_irq_parse_and_map_p
- int pci_parse_request_of_pci_ranges(struct device *dev,
-                                   struct list_head *resources,
-+                                  struct list_head *ib_resources,
-                                   struct resource **bus_range)
- {
-       int err, res_valid = 0;
-@@ -489,8 +534,10 @@ int pci_parse_request_of_pci_ranges(stru
-       struct resource_entry *win, *tmp;
-       INIT_LIST_HEAD(resources);
-+      if (ib_resources)
-+              INIT_LIST_HEAD(ib_resources);
-       err = devm_of_pci_get_host_bridge_resources(dev, 0, 0xff, resources,
--                                                  &iobase);
-+                                                  ib_resources, &iobase);
-       if (err)
-               return err;
---- a/drivers/pci/pci.h
-+++ b/drivers/pci/pci.h
-@@ -637,11 +637,15 @@ static inline void pci_release_bus_of_no
- #if defined(CONFIG_OF_ADDRESS)
- int devm_of_pci_get_host_bridge_resources(struct device *dev,
-                       unsigned char busno, unsigned char bus_max,
--                      struct list_head *resources, resource_size_t *io_base);
-+                      struct list_head *resources,
-+                      struct list_head *ib_resources,
-+                      resource_size_t *io_base);
- #else
- static inline int devm_of_pci_get_host_bridge_resources(struct device *dev,
-                       unsigned char busno, unsigned char bus_max,
--                      struct list_head *resources, resource_size_t *io_base)
-+                      struct list_head *resources,
-+                      struct list_head *ib_resources,
-+                      resource_size_t *io_base)
- {
-       return -EINVAL;
- }
---- a/include/linux/pci.h
-+++ b/include/linux/pci.h
-@@ -2278,6 +2278,7 @@ struct irq_domain;
- struct irq_domain *pci_host_bridge_of_msi_domain(struct pci_bus *bus);
- int pci_parse_request_of_pci_ranges(struct device *dev,
-                                   struct list_head *resources,
-+                                  struct list_head *ib_resources,
-                                   struct resource **bus_range);
- /* Arch may override this (weak) */
-@@ -2286,9 +2287,11 @@ struct device_node *pcibios_get_phb_of_n
- #else /* CONFIG_OF */
- static inline struct irq_domain *
- pci_host_bridge_of_msi_domain(struct pci_bus *bus) { return NULL; }
--static inline int pci_parse_request_of_pci_ranges(struct device *dev,
--                                                struct list_head *resources,
--                                                struct resource **bus_range)
-+static inline int
-+pci_parse_request_of_pci_ranges(struct device *dev,
-+                              struct list_head *resources,
-+                              struct list_head *ib_resources,
-+                              struct resource **bus_range)
- {
-       return -EINVAL;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0448-PCI-brcmstb-Add-MSI-support.patch b/target/linux/bcm27xx/patches-5.4/950-0448-PCI-brcmstb-Add-MSI-support.patch
new file mode 100644 (file)
index 0000000..a27259b
--- /dev/null
@@ -0,0 +1,383 @@
+From 1a90ecdfae1c0cf1b242276f6f0e3d98b5877f14 Mon Sep 17 00:00:00 2001
+From: Jim Quinlan <james.quinlan@broadcom.com>
+Date: Mon, 16 Dec 2019 12:01:10 +0100
+Subject: [PATCH] PCI: brcmstb: Add MSI support
+
+commit 40ca1bf580ef24df30702032ba5e40dfdcaa200b upstream.
+
+This adds MSI support to the Broadcom STB PCIe host controller. The MSI
+controller is physically located within the PCIe block, however, there
+is no reason why the MSI controller could not be moved elsewhere in the
+future. MSIX is not supported by the HW.
+
+Since the internal Brcmstb MSI controller is intertwined with the PCIe
+controller, it is not its own platform device but rather part of the
+PCIe platform device.
+
+Signed-off-by: Jim Quinlan <james.quinlan@broadcom.com>
+Co-developed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Lorenzo Pieralisi <lorenzo.pieralisi@arm.com>
+Reviewed-by: Marc Zyngier <maz@kernel.org>
+Reviewed-by: Andrew Murray <andrew.murray@arm.com>
+---
+ drivers/pci/controller/Kconfig        |   1 +
+ drivers/pci/controller/pcie-brcmstb.c | 262 +++++++++++++++++++++++++-
+ 2 files changed, 262 insertions(+), 1 deletion(-)
+
+--- a/drivers/pci/controller/Kconfig
++++ b/drivers/pci/controller/Kconfig
+@@ -285,6 +285,7 @@ config PCIE_BRCMSTB
+       tristate "Broadcom Brcmstb PCIe host controller"
+       depends on ARCH_BCM2835 || COMPILE_TEST
+       depends on OF
++      depends on PCI_MSI_IRQ_DOMAIN
+       help
+         Say Y here to enable PCIe host controller support for
+         Broadcom STB based SoCs, like the Raspberry Pi 4.
+--- a/drivers/pci/controller/pcie-brcmstb.c
++++ b/drivers/pci/controller/pcie-brcmstb.c
+@@ -2,6 +2,7 @@
+ /* Copyright (C) 2009 - 2019 Broadcom */
+ #include <linux/bitfield.h>
++#include <linux/bitops.h>
+ #include <linux/clk.h>
+ #include <linux/compiler.h>
+ #include <linux/delay.h>
+@@ -9,11 +10,13 @@
+ #include <linux/interrupt.h>
+ #include <linux/io.h>
+ #include <linux/ioport.h>
++#include <linux/irqchip/chained_irq.h>
+ #include <linux/irqdomain.h>
+ #include <linux/kernel.h>
+ #include <linux/list.h>
+ #include <linux/log2.h>
+ #include <linux/module.h>
++#include <linux/msi.h>
+ #include <linux/of_address.h>
+ #include <linux/of_irq.h>
+ #include <linux/of_pci.h>
+@@ -67,6 +70,12 @@
+ #define PCIE_MISC_RC_BAR3_CONFIG_LO                   0x403c
+ #define  PCIE_MISC_RC_BAR3_CONFIG_LO_SIZE_MASK                0x1f
++#define PCIE_MISC_MSI_BAR_CONFIG_LO                   0x4044
++#define PCIE_MISC_MSI_BAR_CONFIG_HI                   0x4048
++
++#define PCIE_MISC_MSI_DATA_CONFIG                     0x404c
++#define  PCIE_MISC_MSI_DATA_CONFIG_VAL                        0xffe06540
++
+ #define PCIE_MISC_PCIE_CTRL                           0x4064
+ #define  PCIE_MISC_PCIE_CTRL_PCIE_L23_REQUEST_MASK    0x1
+@@ -114,6 +123,11 @@
+ /* PCIe parameters */
+ #define BRCM_NUM_PCIE_OUT_WINS                0x4
++#define BRCM_INT_PCI_MSI_NR           32
++
++/* MSI target adresses */
++#define BRCM_MSI_TARGET_ADDR_LT_4GB   0x0fffffffcULL
++#define BRCM_MSI_TARGET_ADDR_GT_4GB   0xffffffffcULL
+ /* MDIO registers */
+ #define MDIO_PORT0                    0x0
+@@ -135,6 +149,19 @@
+ #define SSC_STATUS_SSC_MASK           0x400
+ #define SSC_STATUS_PLL_LOCK_MASK      0x800
++struct brcm_msi {
++      struct device           *dev;
++      void __iomem            *base;
++      struct device_node      *np;
++      struct irq_domain       *msi_domain;
++      struct irq_domain       *inner_domain;
++      struct mutex            lock; /* guards the alloc/free operations */
++      u64                     target_addr;
++      int                     irq;
++      /* used indicates which MSI interrupts have been alloc'd */
++      unsigned long           used;
++};
++
+ /* Internal PCIe Host Controller Information.*/
+ struct brcm_pcie {
+       struct device           *dev;
+@@ -144,6 +171,8 @@ struct brcm_pcie {
+       struct device_node      *np;
+       bool                    ssc;
+       int                     gen;
++      u64                     msi_target_addr;
++      struct brcm_msi         *msi;
+ };
+ /*
+@@ -309,6 +338,215 @@ static void brcm_pcie_set_outbound_win(s
+       writel(tmp, pcie->base + PCIE_MEM_WIN0_LIMIT_HI(win));
+ }
++static struct irq_chip brcm_msi_irq_chip = {
++      .name            = "BRCM STB PCIe MSI",
++      .irq_ack         = irq_chip_ack_parent,
++      .irq_mask        = pci_msi_mask_irq,
++      .irq_unmask      = pci_msi_unmask_irq,
++};
++
++static struct msi_domain_info brcm_msi_domain_info = {
++      /* Multi MSI is supported by the controller, but not by this driver */
++      .flags  = (MSI_FLAG_USE_DEF_DOM_OPS | MSI_FLAG_USE_DEF_CHIP_OPS),
++      .chip   = &brcm_msi_irq_chip,
++};
++
++static void brcm_pcie_msi_isr(struct irq_desc *desc)
++{
++      struct irq_chip *chip = irq_desc_get_chip(desc);
++      unsigned long status, virq;
++      struct brcm_msi *msi;
++      struct device *dev;
++      u32 bit;
++
++      chained_irq_enter(chip, desc);
++      msi = irq_desc_get_handler_data(desc);
++      dev = msi->dev;
++
++      status = readl(msi->base + PCIE_MSI_INTR2_STATUS);
++      for_each_set_bit(bit, &status, BRCM_INT_PCI_MSI_NR) {
++              virq = irq_find_mapping(msi->inner_domain, bit);
++              if (virq)
++                      generic_handle_irq(virq);
++              else
++                      dev_dbg(dev, "unexpected MSI\n");
++      }
++
++      chained_irq_exit(chip, desc);
++}
++
++static void brcm_msi_compose_msi_msg(struct irq_data *data, struct msi_msg *msg)
++{
++      struct brcm_msi *msi = irq_data_get_irq_chip_data(data);
++
++      msg->address_lo = lower_32_bits(msi->target_addr);
++      msg->address_hi = upper_32_bits(msi->target_addr);
++      msg->data = (0xffff & PCIE_MISC_MSI_DATA_CONFIG_VAL) | data->hwirq;
++}
++
++static int brcm_msi_set_affinity(struct irq_data *irq_data,
++                               const struct cpumask *mask, bool force)
++{
++      return -EINVAL;
++}
++
++static void brcm_msi_ack_irq(struct irq_data *data)
++{
++      struct brcm_msi *msi = irq_data_get_irq_chip_data(data);
++
++      writel(1 << data->hwirq, msi->base + PCIE_MSI_INTR2_CLR);
++}
++
++
++static struct irq_chip brcm_msi_bottom_irq_chip = {
++      .name                   = "BRCM STB MSI",
++      .irq_compose_msi_msg    = brcm_msi_compose_msi_msg,
++      .irq_set_affinity       = brcm_msi_set_affinity,
++      .irq_ack                = brcm_msi_ack_irq,
++};
++
++static int brcm_msi_alloc(struct brcm_msi *msi)
++{
++      int hwirq;
++
++      mutex_lock(&msi->lock);
++      hwirq = bitmap_find_free_region(&msi->used, BRCM_INT_PCI_MSI_NR, 0);
++      mutex_unlock(&msi->lock);
++
++      return hwirq;
++}
++
++static void brcm_msi_free(struct brcm_msi *msi, unsigned long hwirq)
++{
++      mutex_lock(&msi->lock);
++      bitmap_release_region(&msi->used, hwirq, 0);
++      mutex_unlock(&msi->lock);
++}
++
++static int brcm_irq_domain_alloc(struct irq_domain *domain, unsigned int virq,
++                               unsigned int nr_irqs, void *args)
++{
++      struct brcm_msi *msi = domain->host_data;
++      int hwirq;
++
++      hwirq = brcm_msi_alloc(msi);
++
++      if (hwirq < 0)
++              return hwirq;
++
++      irq_domain_set_info(domain, virq, (irq_hw_number_t)hwirq,
++                          &brcm_msi_bottom_irq_chip, domain->host_data,
++                          handle_edge_irq, NULL, NULL);
++      return 0;
++}
++
++static void brcm_irq_domain_free(struct irq_domain *domain,
++                               unsigned int virq, unsigned int nr_irqs)
++{
++      struct irq_data *d = irq_domain_get_irq_data(domain, virq);
++      struct brcm_msi *msi = irq_data_get_irq_chip_data(d);
++
++      brcm_msi_free(msi, d->hwirq);
++}
++
++static const struct irq_domain_ops msi_domain_ops = {
++      .alloc  = brcm_irq_domain_alloc,
++      .free   = brcm_irq_domain_free,
++};
++
++static int brcm_allocate_domains(struct brcm_msi *msi)
++{
++      struct fwnode_handle *fwnode = of_node_to_fwnode(msi->np);
++      struct device *dev = msi->dev;
++
++      msi->inner_domain = irq_domain_add_linear(NULL, BRCM_INT_PCI_MSI_NR,
++                                                &msi_domain_ops, msi);
++      if (!msi->inner_domain) {
++              dev_err(dev, "failed to create IRQ domain\n");
++              return -ENOMEM;
++      }
++
++      msi->msi_domain = pci_msi_create_irq_domain(fwnode,
++                                                  &brcm_msi_domain_info,
++                                                  msi->inner_domain);
++      if (!msi->msi_domain) {
++              dev_err(dev, "failed to create MSI domain\n");
++              irq_domain_remove(msi->inner_domain);
++              return -ENOMEM;
++      }
++
++      return 0;
++}
++
++static void brcm_free_domains(struct brcm_msi *msi)
++{
++      irq_domain_remove(msi->msi_domain);
++      irq_domain_remove(msi->inner_domain);
++}
++
++static void brcm_msi_remove(struct brcm_pcie *pcie)
++{
++      struct brcm_msi *msi = pcie->msi;
++
++      if (!msi)
++              return;
++      irq_set_chained_handler(msi->irq, NULL);
++      irq_set_handler_data(msi->irq, NULL);
++      brcm_free_domains(msi);
++}
++
++static void brcm_msi_set_regs(struct brcm_msi *msi)
++{
++      writel(0xffffffff, msi->base + PCIE_MSI_INTR2_MASK_CLR);
++
++      /*
++       * The 0 bit of PCIE_MISC_MSI_BAR_CONFIG_LO is repurposed to MSI
++       * enable, which we set to 1.
++       */
++      writel(lower_32_bits(msi->target_addr) | 0x1,
++             msi->base + PCIE_MISC_MSI_BAR_CONFIG_LO);
++      writel(upper_32_bits(msi->target_addr),
++             msi->base + PCIE_MISC_MSI_BAR_CONFIG_HI);
++
++      writel(PCIE_MISC_MSI_DATA_CONFIG_VAL,
++             msi->base + PCIE_MISC_MSI_DATA_CONFIG);
++}
++
++static int brcm_pcie_enable_msi(struct brcm_pcie *pcie)
++{
++      struct brcm_msi *msi;
++      int irq, ret;
++      struct device *dev = pcie->dev;
++
++      irq = irq_of_parse_and_map(dev->of_node, 1);
++      if (irq <= 0) {
++              dev_err(dev, "cannot map MSI interrupt\n");
++              return -ENODEV;
++      }
++
++      msi = devm_kzalloc(dev, sizeof(struct brcm_msi), GFP_KERNEL);
++      if (!msi)
++              return -ENOMEM;
++
++      mutex_init(&msi->lock);
++      msi->dev = dev;
++      msi->base = pcie->base;
++      msi->np = pcie->np;
++      msi->target_addr = pcie->msi_target_addr;
++      msi->irq = irq;
++
++      ret = brcm_allocate_domains(msi);
++      if (ret)
++              return ret;
++
++      irq_set_chained_handler_and_data(msi->irq, brcm_pcie_msi_isr, msi);
++
++      brcm_msi_set_regs(msi);
++      pcie->msi = msi;
++
++      return 0;
++}
++
+ /* The controller is capable of serving in both RC and EP roles */
+ static bool brcm_pcie_rc_mode(struct brcm_pcie *pcie)
+ {
+@@ -497,6 +735,18 @@ static int brcm_pcie_setup(struct brcm_p
+                         PCIE_MISC_MISC_CTRL_SCB0_SIZE_MASK);
+       writel(tmp, base + PCIE_MISC_MISC_CTRL);
++      /*
++       * We ideally want the MSI target address to be located in the 32bit
++       * addressable memory area. Some devices might depend on it. This is
++       * possible either when the inbound window is located above the lower
++       * 4GB or when the inbound area is smaller than 4GB (taking into
++       * account the rounding-up we're forced to perform).
++       */
++      if (rc_bar2_offset >= SZ_4G || (rc_bar2_size + rc_bar2_offset) < SZ_4G)
++              pcie->msi_target_addr = BRCM_MSI_TARGET_ADDR_LT_4GB;
++      else
++              pcie->msi_target_addr = BRCM_MSI_TARGET_ADDR_GT_4GB;
++
+       /* disable the PCIe->GISB memory window (RC_BAR1) */
+       tmp = readl(base + PCIE_MISC_RC_BAR1_CONFIG_LO);
+       tmp &= ~PCIE_MISC_RC_BAR1_CONFIG_LO_SIZE_MASK;
+@@ -646,6 +896,7 @@ static void brcm_pcie_turn_off(struct br
+ static void __brcm_pcie_remove(struct brcm_pcie *pcie)
+ {
++      brcm_msi_remove(pcie);
+       brcm_pcie_turn_off(pcie);
+       clk_disable_unprepare(pcie->clk);
+       clk_put(pcie->clk);
+@@ -664,7 +915,7 @@ static int brcm_pcie_remove(struct platf
+ static int brcm_pcie_probe(struct platform_device *pdev)
+ {
+-      struct device_node *np = pdev->dev.of_node;
++      struct device_node *np = pdev->dev.of_node, *msi_np;
+       struct pci_host_bridge *bridge;
+       struct brcm_pcie *pcie;
+       struct pci_bus *child;
+@@ -708,6 +959,15 @@ static int brcm_pcie_probe(struct platfo
+       if (ret)
+               goto fail;
++      msi_np = of_parse_phandle(pcie->np, "msi-parent", 0);
++      if (pci_msi_enabled() && msi_np == pcie->np) {
++              ret = brcm_pcie_enable_msi(pcie);
++              if (ret) {
++                      dev_err(pcie->dev, "probe of internal MSI failed");
++                      goto fail;
++              }
++      }
++
+       bridge->dev.parent = &pdev->dev;
+       bridge->busnr = 0;
+       bridge->ops = &brcm_pcie_ops;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0448-dma-direct-unify-the-dma_capable-definitions.patch b/target/linux/bcm27xx/patches-5.4/950-0448-dma-direct-unify-the-dma_capable-definitions.patch
deleted file mode 100644 (file)
index d115f0e..0000000
+++ /dev/null
@@ -1,103 +0,0 @@
-From 203e0c39b262fc1da6f976495c32ec38ea93a137 Mon Sep 17 00:00:00 2001
-From: Christoph Hellwig <hch@lst.de>
-Date: Tue, 12 Nov 2019 17:06:04 +0100
-Subject: [PATCH] dma-direct: unify the dma_capable definitions
-
-commit 130c1ccbf55330b55e82612a6e54eebb82c9d746 upstream.
-
-Currently each architectures that wants to override dma_to_phys and
-phys_to_dma also has to provide dma_capable.  But there isn't really
-any good reason for that.  powerpc and mips just have copies of the
-generic one minus the latests fix, and the arm one was the inspiration
-for said fix, but misses the bus_dma_mask handling.
-Make all architectures use the generic version instead.
-
-Signed-off-by: Christoph Hellwig <hch@lst.de>
-Acked-by: Michael Ellerman <mpe@ellerman.id.au> (powerpc)
-Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
----
- arch/arm/include/asm/dma-direct.h     | 19 -------------------
- arch/mips/include/asm/dma-direct.h    |  8 --------
- arch/powerpc/include/asm/dma-direct.h |  9 ---------
- include/linux/dma-direct.h            |  2 +-
- 4 files changed, 1 insertion(+), 37 deletions(-)
-
---- a/arch/arm/include/asm/dma-direct.h
-+++ b/arch/arm/include/asm/dma-direct.h
-@@ -14,23 +14,4 @@ static inline phys_addr_t __dma_to_phys(
-       return __pfn_to_phys(dma_to_pfn(dev, dev_addr)) + offset;
- }
--static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
--{
--      u64 limit, mask;
--
--      if (!dev->dma_mask)
--              return 0;
--
--      mask = *dev->dma_mask;
--
--      limit = (mask + 1) & ~mask;
--      if (limit && size > limit)
--              return 0;
--
--      if ((addr | (addr + size - 1)) & ~mask)
--              return 0;
--
--      return 1;
--}
--
- #endif /* ASM_ARM_DMA_DIRECT_H */
---- a/arch/mips/include/asm/dma-direct.h
-+++ b/arch/mips/include/asm/dma-direct.h
-@@ -2,14 +2,6 @@
- #ifndef _MIPS_DMA_DIRECT_H
- #define _MIPS_DMA_DIRECT_H 1
--static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
--{
--      if (!dev->dma_mask)
--              return false;
--
--      return addr + size - 1 <= *dev->dma_mask;
--}
--
- dma_addr_t __phys_to_dma(struct device *dev, phys_addr_t paddr);
- phys_addr_t __dma_to_phys(struct device *dev, dma_addr_t daddr);
---- a/arch/powerpc/include/asm/dma-direct.h
-+++ b/arch/powerpc/include/asm/dma-direct.h
-@@ -2,15 +2,6 @@
- #ifndef ASM_POWERPC_DMA_DIRECT_H
- #define ASM_POWERPC_DMA_DIRECT_H 1
--static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
--{
--      if (!dev->dma_mask)
--              return false;
--
--      return addr + size - 1 <=
--              min_not_zero(*dev->dma_mask, dev->bus_dma_mask);
--}
--
- static inline dma_addr_t __phys_to_dma(struct device *dev, phys_addr_t paddr)
- {
-       if (!dev)
---- a/include/linux/dma-direct.h
-+++ b/include/linux/dma-direct.h
-@@ -26,6 +26,7 @@ static inline phys_addr_t __dma_to_phys(
-       return paddr + ((phys_addr_t)dev->dma_pfn_offset << PAGE_SHIFT);
- }
-+#endif /* !CONFIG_ARCH_HAS_PHYS_TO_DMA */
- static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
- {
-@@ -40,7 +41,6 @@ static inline bool dma_capable(struct de
-       return end <= min_not_zero(*dev->dma_mask, dev->bus_dma_mask);
- }
--#endif /* !CONFIG_ARCH_HAS_PHYS_TO_DMA */
- #ifdef CONFIG_ARCH_HAS_FORCE_DMA_UNENCRYPTED
- bool force_dma_unencrypted(struct device *dev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0449-PCI-brcmstb-Fix-build-on-32bit-ARM-platforms-with-ol.patch b/target/linux/bcm27xx/patches-5.4/950-0449-PCI-brcmstb-Fix-build-on-32bit-ARM-platforms-with-ol.patch
new file mode 100644 (file)
index 0000000..6bb45cc
--- /dev/null
@@ -0,0 +1,38 @@
+From 39192141aa16809323c24d8910e3a63488f7f55d Mon Sep 17 00:00:00 2001
+From: Marek Szyprowski <m.szyprowski@samsung.com>
+Date: Thu, 27 Feb 2020 12:51:46 +0100
+Subject: [PATCH] PCI: brcmstb: Fix build on 32bit ARM platforms with
+ older compilers
+
+commit 73a7a271b3eee7b83f29b13866163776f1cbef89 upstream.
+
+Some older compilers have no implementation for the helper for 64-bit
+unsigned division/modulo, so linking pcie-brcmstb driver causes the
+"undefined reference to `__aeabi_uldivmod'" error.
+
+*rc_bar2_size is always a power of two, because it is calculated as:
+"1ULL << fls64(entry->res->end - entry->res->start)", so the modulo
+operation in the subsequent check can be replaced by a simple logical
+AND with a proper mask.
+
+Link: https://lore.kernel.org/r/20200227115146.24515-1-m.szyprowski@samsung.com
+Fixes: c0452137034b ("PCI: brcmstb: Add Broadcom STB PCIe host controller driver")
+Signed-off-by: Marek Szyprowski <m.szyprowski@samsung.com>
+Signed-off-by: Bjorn Helgaas <bhelgaas@google.com>
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Acked-by: Lorenzo Pieralisi <lorenzo.pieralisi@arm.com>
+---
+ drivers/pci/controller/pcie-brcmstb.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/pci/controller/pcie-brcmstb.c
++++ b/drivers/pci/controller/pcie-brcmstb.c
+@@ -670,7 +670,7 @@ static inline int brcm_pcie_get_rc_bar2_
+        *   outbound memory @ 3GB). So instead it will  start at the 1x
+        *   multiple of its size
+        */
+-      if (!*rc_bar2_size || *rc_bar2_offset % *rc_bar2_size ||
++      if (!*rc_bar2_size || (*rc_bar2_offset & (*rc_bar2_size - 1)) ||
+           (*rc_bar2_offset < SZ_4G && *rc_bar2_offset > SZ_2G)) {
+               dev_err(dev, "Invalid rc_bar2_offset/size: size 0x%llx, off 0x%llx\n",
+                       *rc_bar2_size, *rc_bar2_offset);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0449-dma-direct-avoid-a-forward-declaration-for-phys_to_d.patch b/target/linux/bcm27xx/patches-5.4/950-0449-dma-direct-avoid-a-forward-declaration-for-phys_to_d.patch
deleted file mode 100644 (file)
index a98f1d3..0000000
+++ /dev/null
@@ -1,69 +0,0 @@
-From a3794022e928547de664abd03b61280163c7f13a Mon Sep 17 00:00:00 2001
-From: Christoph Hellwig <hch@lst.de>
-Date: Tue, 12 Nov 2019 17:07:43 +0100
-Subject: [PATCH] dma-direct: avoid a forward declaration for
- phys_to_dma
-
-Move dma_capable down a bit so that we don't need a forward declaration
-for phys_to_dma.
-
-Signed-off-by: Christoph Hellwig <hch@lst.de>
-Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-(cherry picked from commit c7345159f7db6fb69ec1c3b3f8f28cd05c731be2)
----
- include/linux/dma-direct.h | 30 ++++++++++++++----------------
- 1 file changed, 14 insertions(+), 16 deletions(-)
-
---- a/include/linux/dma-direct.h
-+++ b/include/linux/dma-direct.h
-@@ -6,8 +6,6 @@
- #include <linux/memblock.h> /* for min_low_pfn */
- #include <linux/mem_encrypt.h>
--static inline dma_addr_t phys_to_dma(struct device *dev, phys_addr_t paddr);
--
- extern unsigned int zone_dma_bits;
- #ifdef CONFIG_ARCH_HAS_PHYS_TO_DMA
-@@ -28,20 +26,6 @@ static inline phys_addr_t __dma_to_phys(
- }
- #endif /* !CONFIG_ARCH_HAS_PHYS_TO_DMA */
--static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
--{
--      dma_addr_t end = addr + size - 1;
--
--      if (!dev->dma_mask)
--              return false;
--
--      if (!IS_ENABLED(CONFIG_ARCH_DMA_ADDR_T_64BIT) &&
--          min(addr, end) < phys_to_dma(dev, PFN_PHYS(min_low_pfn)))
--              return false;
--
--      return end <= min_not_zero(*dev->dma_mask, dev->bus_dma_mask);
--}
--
- #ifdef CONFIG_ARCH_HAS_FORCE_DMA_UNENCRYPTED
- bool force_dma_unencrypted(struct device *dev);
- #else
-@@ -67,6 +51,20 @@ static inline phys_addr_t dma_to_phys(st
-       return __sme_clr(__dma_to_phys(dev, daddr));
- }
-+static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
-+{
-+      dma_addr_t end = addr + size - 1;
-+
-+      if (!dev->dma_mask)
-+              return false;
-+
-+      if (!IS_ENABLED(CONFIG_ARCH_DMA_ADDR_T_64BIT) &&
-+          min(addr, end) < phys_to_dma(dev, PFN_PHYS(min_low_pfn)))
-+              return false;
-+
-+      return end <= min_not_zero(*dev->dma_mask, dev->bus_dma_mask);
-+}
-+
- u64 dma_direct_get_required_mask(struct device *dev);
- void *dma_direct_alloc(struct device *dev, size_t size, dma_addr_t *dma_handle,
-               gfp_t gfp, unsigned long attrs);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0450-bcm2711-rpi.dtsi-Use-upstream-pcie-node.patch b/target/linux/bcm27xx/patches-5.4/950-0450-bcm2711-rpi.dtsi-Use-upstream-pcie-node.patch
new file mode 100644 (file)
index 0000000..729d6e6
--- /dev/null
@@ -0,0 +1,75 @@
+From 5e9b9f246802f492e7740ab2589aa8c81df5ef20 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 2 Mar 2020 15:05:25 +0000
+Subject: [PATCH] bcm2711-rpi.dtsi: Use upstream pcie node
+
+Now that the upstream bcm2711 DT has a pcie DT node there's no need to
+define one downstream.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts |  2 +-
+ arch/arm/boot/dts/bcm2711-rpi.dtsi    | 41 ---------------------------
+ 2 files changed, 1 insertion(+), 42 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -163,7 +163,7 @@
+               i2c6 = &i2c6;
+               /delete-property/ ethernet;
+               /delete-property/ intc;
+-              pcie0 = &pcie_0;
++              pcie0 = &pcie0;
+       };
+       /delete-node/ wifi-pwrseq;
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -66,47 +66,6 @@
+                <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
+       dma-ranges = <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
+-      pcie_0: pcie@7d500000 {
+-              reg = <0x0 0x7d500000 0x9310>,
+-                    <0x0 0x7e00f300 0x20>;
+-              msi-controller;
+-              msi-parent = <&pcie_0>;
+-              #address-cells = <3>;
+-              #interrupt-cells = <1>;
+-              #size-cells = <2>;
+-              bus-range = <0x0 0x01>;
+-              compatible = "brcm,bcm2711b0-pcie", // Safe value
+-                           "brcm,bcm2711-pcie",
+-                           "brcm,pci-plat-dev";
+-              max-link-speed = <2>;
+-              tot-num-pcie = <1>;
+-              linux,pci-domain = <0>;
+-              interrupts = <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>,
+-                           <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>;
+-              interrupt-names = "pcie", "msi";
+-              interrupt-map-mask = <0x0 0x0 0x0 0x7>;
+-              interrupt-map = <0 0 0 1 &gicv2 GIC_SPI 143
+-                                      IRQ_TYPE_LEVEL_HIGH
+-                               0 0 0 2 &gicv2 GIC_SPI 144
+-                                      IRQ_TYPE_LEVEL_HIGH
+-                               0 0 0 3 &gicv2 GIC_SPI 145
+-                                      IRQ_TYPE_LEVEL_HIGH
+-                               0 0 0 4 &gicv2 GIC_SPI 146
+-                                      IRQ_TYPE_LEVEL_HIGH>;
+-
+-              /* Map outbound accesses from scb:0x6_00000000-03ffffff
+-               * to pci:0x0_f8000000-fbffffff
+-               */
+-              ranges = <0x02000000 0x0 0xf8000000  0x6 0x00000000
+-                        0x0 0x04000000>;
+-              /* Map inbound accesses from pci:0x0_00000000..ffffffff
+-               * to scb:0x0_00000000-ffffffff
+-               */
+-              dma-ranges = <0x02000000 0x0 0x00000000  0x0 0x00000000
+-                            0x1 0x00000000>;
+-              status = "okay";
+-      };
+-
+       dma40: dma@7e007b00 {
+               compatible = "brcm,bcm2711-dma";
+               reg = <0x0 0x7e007b00 0x400>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0450-dma-direct-exclude-dma_direct_map_resource-from-the-.patch b/target/linux/bcm27xx/patches-5.4/950-0450-dma-direct-exclude-dma_direct_map_resource-from-the-.patch
deleted file mode 100644 (file)
index 2534b71..0000000
+++ /dev/null
@@ -1,115 +0,0 @@
-From b763f24aed409296eb76d085c279b2c40462f8a1 Mon Sep 17 00:00:00 2001
-From: Christoph Hellwig <hch@lst.de>
-Date: Tue, 19 Nov 2019 17:38:58 +0100
-Subject: [PATCH] dma-direct: exclude dma_direct_map_resource from the
- min_low_pfn check
-
-commit 68a33b1794665ba8a1d1ef1d3bfcc7c587d380a6 upstream.
-
-The valid memory address check in dma_capable only makes sense when mapping
-normal memory, not when using dma_map_resource to map a device resource.
-Add a new boolean argument to dma_capable to exclude that check for the
-dma_map_resource case.
-
-Fixes: b12d66278dd6 ("dma-direct: check for overflows on 32 bit DMA addresses")
-Reported-by: Marek Szyprowski <m.szyprowski@samsung.com>
-Signed-off-by: Christoph Hellwig <hch@lst.de>
-Acked-by: Marek Szyprowski <m.szyprowski@samsung.com>
-Tested-by: Marek Szyprowski <m.szyprowski@samsung.com>
----
- arch/x86/kernel/amd_gart_64.c | 4 ++--
- drivers/xen/swiotlb-xen.c     | 4 ++--
- include/linux/dma-direct.h    | 5 +++--
- kernel/dma/direct.c           | 4 ++--
- kernel/dma/swiotlb.c          | 2 +-
- 5 files changed, 10 insertions(+), 9 deletions(-)
-
---- a/arch/x86/kernel/amd_gart_64.c
-+++ b/arch/x86/kernel/amd_gart_64.c
-@@ -185,13 +185,13 @@ static void iommu_full(struct device *de
- static inline int
- need_iommu(struct device *dev, unsigned long addr, size_t size)
- {
--      return force_iommu || !dma_capable(dev, addr, size);
-+      return force_iommu || !dma_capable(dev, addr, size, true);
- }
- static inline int
- nonforced_iommu(struct device *dev, unsigned long addr, size_t size)
- {
--      return !dma_capable(dev, addr, size);
-+      return !dma_capable(dev, addr, size, true);
- }
- /* Map a single continuous physical area into the IOMMU.
---- a/drivers/xen/swiotlb-xen.c
-+++ b/drivers/xen/swiotlb-xen.c
-@@ -381,7 +381,7 @@ static dma_addr_t xen_swiotlb_map_page(s
-        * we can safely return the device addr and not worry about bounce
-        * buffering it.
-        */
--      if (dma_capable(dev, dev_addr, size) &&
-+      if (dma_capable(dev, dev_addr, size, true) &&
-           !range_straddles_page_boundary(phys, size) &&
-               !xen_arch_need_swiotlb(dev, phys, dev_addr) &&
-               swiotlb_force != SWIOTLB_FORCE)
-@@ -403,7 +403,7 @@ static dma_addr_t xen_swiotlb_map_page(s
-       /*
-        * Ensure that the address returned is DMA'ble
-        */
--      if (unlikely(!dma_capable(dev, dev_addr, size))) {
-+      if (unlikely(!dma_capable(dev, dev_addr, size, true))) {
-               swiotlb_tbl_unmap_single(dev, map, size, size, dir,
-                               attrs | DMA_ATTR_SKIP_CPU_SYNC);
-               return DMA_MAPPING_ERROR;
---- a/include/linux/dma-direct.h
-+++ b/include/linux/dma-direct.h
-@@ -51,14 +51,15 @@ static inline phys_addr_t dma_to_phys(st
-       return __sme_clr(__dma_to_phys(dev, daddr));
- }
--static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size)
-+static inline bool dma_capable(struct device *dev, dma_addr_t addr, size_t size,
-+              bool is_ram)
- {
-       dma_addr_t end = addr + size - 1;
-       if (!dev->dma_mask)
-               return false;
--      if (!IS_ENABLED(CONFIG_ARCH_DMA_ADDR_T_64BIT) &&
-+      if (is_ram && !IS_ENABLED(CONFIG_ARCH_DMA_ADDR_T_64BIT) &&
-           min(addr, end) < phys_to_dma(dev, PFN_PHYS(min_low_pfn)))
-               return false;
---- a/kernel/dma/direct.c
-+++ b/kernel/dma/direct.c
-@@ -326,7 +326,7 @@ static inline bool dma_direct_possible(s
-               size_t size)
- {
-       return swiotlb_force != SWIOTLB_FORCE &&
--              dma_capable(dev, dma_addr, size);
-+              dma_capable(dev, dma_addr, size, true);
- }
- dma_addr_t dma_direct_map_page(struct device *dev, struct page *page,
-@@ -375,7 +375,7 @@ dma_addr_t dma_direct_map_resource(struc
- {
-       dma_addr_t dma_addr = paddr;
--      if (unlikely(!dma_capable(dev, dma_addr, size))) {
-+      if (unlikely(!dma_capable(dev, dma_addr, size, false))) {
-               report_addr(dev, dma_addr, size);
-               return DMA_MAPPING_ERROR;
-       }
---- a/kernel/dma/swiotlb.c
-+++ b/kernel/dma/swiotlb.c
-@@ -682,7 +682,7 @@ bool swiotlb_map(struct device *dev, phy
-       /* Ensure that the address returned is DMA'ble */
-       *dma_addr = __phys_to_dma(dev, *phys);
--      if (unlikely(!dma_capable(dev, *dma_addr, size))) {
-+      if (unlikely(!dma_capable(dev, *dma_addr, size, true))) {
-               swiotlb_tbl_unmap_single(dev, *phys, size, size, dir,
-                       attrs | DMA_ATTR_SKIP_CPU_SYNC);
-               return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0451-dma-mapping-treat-dev-bus_dma_mask-as-a-DMA-limit.patch b/target/linux/bcm27xx/patches-5.4/950-0451-dma-mapping-treat-dev-bus_dma_mask-as-a-DMA-limit.patch
deleted file mode 100644 (file)
index d968e93..0000000
+++ /dev/null
@@ -1,366 +0,0 @@
-From d5430c466b3c3b5f631ee37be333a40924575b72 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Thu, 21 Nov 2019 10:26:44 +0100
-Subject: [PATCH] dma-mapping: treat dev->bus_dma_mask as a DMA limit
-
-commit a7ba70f1787f977f970cd116076c6fce4b9e01cc upstream.
-
-Using a mask to represent bus DMA constraints has a set of limitations.
-The biggest one being it can only hold a power of two (minus one). The
-DMA mapping code is already aware of this and treats dev->bus_dma_mask
-as a limit. This quirk is already used by some architectures although
-still rare.
-
-With the introduction of the Raspberry Pi 4 we've found a new contender
-for the use of bus DMA limits, as its PCIe bus can only address the
-lower 3GB of memory (of a total of 4GB). This is impossible to represent
-with a mask. To make things worse the device-tree code rounds non power
-of two bus DMA limits to the next power of two, which is unacceptable in
-this case.
-
-In the light of this, rename dev->bus_dma_mask to dev->bus_dma_limit all
-over the tree and treat it as such. Note that dev->bus_dma_limit should
-contain the higher accessible DMA address.
-
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Robin Murphy <robin.murphy@arm.com>
-Signed-off-by: Christoph Hellwig <hch@lst.de>
----
- arch/mips/pci/fixup-sb1250.c  | 16 ++++++++--------
- arch/powerpc/sysdev/fsl_pci.c |  6 +++---
- arch/x86/kernel/pci-dma.c     |  2 +-
- arch/x86/mm/mem_encrypt.c     |  2 +-
- arch/x86/pci/sta2x11-fixup.c  |  2 +-
- drivers/acpi/arm64/iort.c     | 20 +++++++-------------
- drivers/ata/ahci.c            |  2 +-
- drivers/iommu/dma-iommu.c     |  3 +--
- drivers/of/device.c           |  9 +++++----
- include/linux/device.h        |  6 +++---
- include/linux/dma-direct.h    |  2 +-
- include/linux/dma-mapping.h   |  2 +-
- kernel/dma/direct.c           | 27 +++++++++++++--------------
- 13 files changed, 46 insertions(+), 53 deletions(-)
-
---- a/arch/mips/pci/fixup-sb1250.c
-+++ b/arch/mips/pci/fixup-sb1250.c
-@@ -21,22 +21,22 @@ DECLARE_PCI_FIXUP_EARLY(PCI_VENDOR_ID_SI
- /*
-  * The BCM1250, etc. PCI host bridge does not support DAC on its 32-bit
-- * bus, so we set the bus's DMA mask accordingly.  However the HT link
-+ * bus, so we set the bus's DMA limit accordingly.  However the HT link
-  * down the artificial PCI-HT bridge supports 40-bit addressing and the
-  * SP1011 HT-PCI bridge downstream supports both DAC and a 64-bit bus
-  * width, so we record the PCI-HT bridge's secondary and subordinate bus
-- * numbers and do not set the mask for devices present in the inclusive
-+ * numbers and do not set the limit for devices present in the inclusive
-  * range of those.
-  */
--struct sb1250_bus_dma_mask_exclude {
-+struct sb1250_bus_dma_limit_exclude {
-       bool set;
-       unsigned char start;
-       unsigned char end;
- };
--static int sb1250_bus_dma_mask(struct pci_dev *dev, void *data)
-+static int sb1250_bus_dma_limit(struct pci_dev *dev, void *data)
- {
--      struct sb1250_bus_dma_mask_exclude *exclude = data;
-+      struct sb1250_bus_dma_limit_exclude *exclude = data;
-       bool exclude_this;
-       bool ht_bridge;
-@@ -55,7 +55,7 @@ static int sb1250_bus_dma_mask(struct pc
-                       exclude->start, exclude->end);
-       } else {
-               dev_dbg(&dev->dev, "disabling DAC for device");
--              dev->dev.bus_dma_mask = DMA_BIT_MASK(32);
-+              dev->dev.bus_dma_limit = DMA_BIT_MASK(32);
-       }
-       return 0;
-@@ -63,9 +63,9 @@ static int sb1250_bus_dma_mask(struct pc
- static void quirk_sb1250_pci_dac(struct pci_dev *dev)
- {
--      struct sb1250_bus_dma_mask_exclude exclude = { .set = false };
-+      struct sb1250_bus_dma_limit_exclude exclude = { .set = false };
--      pci_walk_bus(dev->bus, sb1250_bus_dma_mask, &exclude);
-+      pci_walk_bus(dev->bus, sb1250_bus_dma_limit, &exclude);
- }
- DECLARE_PCI_FIXUP_FINAL(PCI_VENDOR_ID_SIBYTE, PCI_DEVICE_ID_BCM1250_PCI,
-                       quirk_sb1250_pci_dac);
---- a/arch/powerpc/sysdev/fsl_pci.c
-+++ b/arch/powerpc/sysdev/fsl_pci.c
-@@ -115,8 +115,8 @@ static void pci_dma_dev_setup_swiotlb(st
- {
-       struct pci_controller *hose = pci_bus_to_host(pdev->bus);
--      pdev->dev.bus_dma_mask =
--              hose->dma_window_base_cur + hose->dma_window_size;
-+      pdev->dev.bus_dma_limit =
-+              hose->dma_window_base_cur + hose->dma_window_size - 1;
- }
- static void setup_swiotlb_ops(struct pci_controller *hose)
-@@ -135,7 +135,7 @@ static void fsl_pci_dma_set_mask(struct
-        * mapping that allows addressing any RAM address from across PCI.
-        */
-       if (dev_is_pci(dev) && dma_mask >= pci64_dma_offset * 2 - 1) {
--              dev->bus_dma_mask = 0;
-+              dev->bus_dma_limit = 0;
-               dev->archdata.dma_offset = pci64_dma_offset;
-       }
- }
---- a/arch/x86/kernel/pci-dma.c
-+++ b/arch/x86/kernel/pci-dma.c
-@@ -146,7 +146,7 @@ rootfs_initcall(pci_iommu_init);
- static int via_no_dac_cb(struct pci_dev *pdev, void *data)
- {
--      pdev->dev.bus_dma_mask = DMA_BIT_MASK(32);
-+      pdev->dev.bus_dma_limit = DMA_BIT_MASK(32);
-       return 0;
- }
---- a/arch/x86/mm/mem_encrypt.c
-+++ b/arch/x86/mm/mem_encrypt.c
-@@ -367,7 +367,7 @@ bool force_dma_unencrypted(struct device
-       if (sme_active()) {
-               u64 dma_enc_mask = DMA_BIT_MASK(__ffs64(sme_me_mask));
-               u64 dma_dev_mask = min_not_zero(dev->coherent_dma_mask,
--                                              dev->bus_dma_mask);
-+                                              dev->bus_dma_limit);
-               if (dma_dev_mask <= dma_enc_mask)
-                       return true;
---- a/arch/x86/pci/sta2x11-fixup.c
-+++ b/arch/x86/pci/sta2x11-fixup.c
-@@ -143,7 +143,7 @@ static void sta2x11_map_ep(struct pci_de
-       dev->dma_pfn_offset = PFN_DOWN(-amba_base);
--      dev->bus_dma_mask = max_amba_addr;
-+      dev->bus_dma_limit = max_amba_addr;
-       pci_set_consistent_dma_mask(pdev, max_amba_addr);
-       pci_set_dma_mask(pdev, max_amba_addr);
---- a/drivers/acpi/arm64/iort.c
-+++ b/drivers/acpi/arm64/iort.c
-@@ -1062,8 +1062,8 @@ static int rc_dma_get_range(struct devic
-  */
- void iort_dma_setup(struct device *dev, u64 *dma_addr, u64 *dma_size)
- {
--      u64 mask, dmaaddr = 0, size = 0, offset = 0;
--      int ret, msb;
-+      u64 end, mask, dmaaddr = 0, size = 0, offset = 0;
-+      int ret;
-       /*
-        * If @dev is expected to be DMA-capable then the bus code that created
-@@ -1090,19 +1090,13 @@ void iort_dma_setup(struct device *dev,
-       }
-       if (!ret) {
--              msb = fls64(dmaaddr + size - 1);
-               /*
--               * Round-up to the power-of-two mask or set
--               * the mask to the whole 64-bit address space
--               * in case the DMA region covers the full
--               * memory window.
-+               * Limit coherent and dma mask based on size retrieved from
-+               * firmware.
-                */
--              mask = msb == 64 ? U64_MAX : (1ULL << msb) - 1;
--              /*
--               * Limit coherent and dma mask based on size
--               * retrieved from firmware.
--               */
--              dev->bus_dma_mask = mask;
-+              end = dmaaddr + size - 1;
-+              mask = DMA_BIT_MASK(ilog2(end) + 1);
-+              dev->bus_dma_limit = end;
-               dev->coherent_dma_mask = mask;
-               *dev->dma_mask = mask;
-       }
---- a/drivers/ata/ahci.c
-+++ b/drivers/ata/ahci.c
-@@ -900,7 +900,7 @@ static int ahci_configure_dma_masks(stru
-        * value, don't extend it here. This happens on STA2X11, for example.
-        *
-        * XXX: manipulating the DMA mask from platform code is completely
--       * bogus, platform code should use dev->bus_dma_mask instead..
-+       * bogus, platform code should use dev->bus_dma_limit instead..
-        */
-       if (pdev->dma_mask && pdev->dma_mask < DMA_BIT_MASK(32))
-               return 0;
---- a/drivers/iommu/dma-iommu.c
-+++ b/drivers/iommu/dma-iommu.c
-@@ -404,8 +404,7 @@ static dma_addr_t iommu_dma_alloc_iova(s
-       if (iova_len < (1 << (IOVA_RANGE_CACHE_MAX_SIZE - 1)))
-               iova_len = roundup_pow_of_two(iova_len);
--      if (dev->bus_dma_mask)
--              dma_limit &= dev->bus_dma_mask;
-+      dma_limit = min_not_zero(dma_limit, dev->bus_dma_limit);
-       if (domain->geometry.force_aperture)
-               dma_limit = min(dma_limit, domain->geometry.aperture_end);
---- a/drivers/of/device.c
-+++ b/drivers/of/device.c
-@@ -93,7 +93,7 @@ int of_dma_configure(struct device *dev,
-       bool coherent;
-       unsigned long offset;
-       const struct iommu_ops *iommu;
--      u64 mask;
-+      u64 mask, end;
-       ret = of_dma_get_range(np, &dma_addr, &paddr, &size);
-       if (ret < 0) {
-@@ -148,12 +148,13 @@ int of_dma_configure(struct device *dev,
-        * Limit coherent and dma mask based on size and default mask
-        * set by the driver.
-        */
--      mask = DMA_BIT_MASK(ilog2(dma_addr + size - 1) + 1);
-+      end = dma_addr + size - 1;
-+      mask = DMA_BIT_MASK(ilog2(end) + 1);
-       dev->coherent_dma_mask &= mask;
-       *dev->dma_mask &= mask;
--      /* ...but only set bus mask if we found valid dma-ranges earlier */
-+      /* ...but only set bus limit if we found valid dma-ranges earlier */
-       if (!ret)
--              dev->bus_dma_mask = mask;
-+              dev->bus_dma_limit = end;
-       coherent = of_dma_is_coherent(np);
-       dev_dbg(dev, "device is%sdma coherent\n",
---- a/include/linux/device.h
-+++ b/include/linux/device.h
-@@ -1186,8 +1186,8 @@ struct dev_links_info {
-  * @coherent_dma_mask: Like dma_mask, but for alloc_coherent mapping as not all
-  *            hardware supports 64-bit addresses for consistent allocations
-  *            such descriptors.
-- * @bus_dma_mask: Mask of an upstream bridge or bus which imposes a smaller DMA
-- *            limit than the device itself supports.
-+ * @bus_dma_limit: Limit of an upstream bridge or bus which imposes a smaller
-+ *            DMA limit than the device itself supports.
-  * @dma_pfn_offset: offset of DMA memory range relatively of RAM
-  * @dma_parms:        A low level driver may set these to teach IOMMU code about
-  *            segment limitations.
-@@ -1270,7 +1270,7 @@ struct device {
-                                            not all hardware supports
-                                            64 bit addresses for consistent
-                                            allocations such descriptors. */
--      u64             bus_dma_mask;   /* upstream dma_mask constraint */
-+      u64             bus_dma_limit;  /* upstream dma constraint */
-       unsigned long   dma_pfn_offset;
-       struct device_dma_parameters *dma_parms;
---- a/include/linux/dma-direct.h
-+++ b/include/linux/dma-direct.h
-@@ -63,7 +63,7 @@ static inline bool dma_capable(struct de
-           min(addr, end) < phys_to_dma(dev, PFN_PHYS(min_low_pfn)))
-               return false;
--      return end <= min_not_zero(*dev->dma_mask, dev->bus_dma_mask);
-+      return end <= min_not_zero(*dev->dma_mask, dev->bus_dma_limit);
- }
- u64 dma_direct_get_required_mask(struct device *dev);
---- a/include/linux/dma-mapping.h
-+++ b/include/linux/dma-mapping.h
-@@ -697,7 +697,7 @@ static inline int dma_coerce_mask_and_co
-  */
- static inline bool dma_addressing_limited(struct device *dev)
- {
--      return min_not_zero(dma_get_mask(dev), dev->bus_dma_mask) <
-+      return min_not_zero(dma_get_mask(dev), dev->bus_dma_limit) <
-                           dma_get_required_mask(dev);
- }
---- a/kernel/dma/direct.c
-+++ b/kernel/dma/direct.c
-@@ -26,10 +26,10 @@ static void report_addr(struct device *d
- {
-       if (!dev->dma_mask) {
-               dev_err_once(dev, "DMA map on device without dma_mask\n");
--      } else if (*dev->dma_mask >= DMA_BIT_MASK(32) || dev->bus_dma_mask) {
-+      } else if (*dev->dma_mask >= DMA_BIT_MASK(32) || dev->bus_dma_limit) {
-               dev_err_once(dev,
--                      "overflow %pad+%zu of DMA mask %llx bus mask %llx\n",
--                      &dma_addr, size, *dev->dma_mask, dev->bus_dma_mask);
-+                      "overflow %pad+%zu of DMA mask %llx bus limit %llx\n",
-+                      &dma_addr, size, *dev->dma_mask, dev->bus_dma_limit);
-       }
-       WARN_ON_ONCE(1);
- }
-@@ -51,15 +51,14 @@ u64 dma_direct_get_required_mask(struct
- }
- static gfp_t __dma_direct_optimal_gfp_mask(struct device *dev, u64 dma_mask,
--              u64 *phys_mask)
-+              u64 *phys_limit)
- {
--      if (dev->bus_dma_mask && dev->bus_dma_mask < dma_mask)
--              dma_mask = dev->bus_dma_mask;
-+      u64 dma_limit = min_not_zero(dma_mask, dev->bus_dma_limit);
-       if (force_dma_unencrypted(dev))
--              *phys_mask = __dma_to_phys(dev, dma_mask);
-+              *phys_limit = __dma_to_phys(dev, dma_limit);
-       else
--              *phys_mask = dma_to_phys(dev, dma_mask);
-+              *phys_limit = dma_to_phys(dev, dma_limit);
-       /*
-        * Optimistically try the zone that the physical address mask falls
-@@ -69,9 +68,9 @@ static gfp_t __dma_direct_optimal_gfp_ma
-        * Note that GFP_DMA32 and GFP_DMA are no ops without the corresponding
-        * zones.
-        */
--      if (*phys_mask <= DMA_BIT_MASK(zone_dma_bits))
-+      if (*phys_limit <= DMA_BIT_MASK(zone_dma_bits))
-               return GFP_DMA;
--      if (*phys_mask <= DMA_BIT_MASK(32))
-+      if (*phys_limit <= DMA_BIT_MASK(32))
-               return GFP_DMA32;
-       return 0;
- }
-@@ -79,7 +78,7 @@ static gfp_t __dma_direct_optimal_gfp_ma
- static bool dma_coherent_ok(struct device *dev, phys_addr_t phys, size_t size)
- {
-       return phys_to_dma_direct(dev, phys) + size - 1 <=
--                      min_not_zero(dev->coherent_dma_mask, dev->bus_dma_mask);
-+                      min_not_zero(dev->coherent_dma_mask, dev->bus_dma_limit);
- }
- struct page *__dma_direct_alloc_pages(struct device *dev, size_t size,
-@@ -88,7 +87,7 @@ struct page *__dma_direct_alloc_pages(st
-       size_t alloc_size = PAGE_ALIGN(size);
-       int node = dev_to_node(dev);
-       struct page *page = NULL;
--      u64 phys_mask;
-+      u64 phys_limit;
-       if (attrs & DMA_ATTR_NO_WARN)
-               gfp |= __GFP_NOWARN;
-@@ -96,7 +95,7 @@ struct page *__dma_direct_alloc_pages(st
-       /* we always manually zero the memory once we are done: */
-       gfp &= ~__GFP_ZERO;
-       gfp |= __dma_direct_optimal_gfp_mask(dev, dev->coherent_dma_mask,
--                      &phys_mask);
-+                      &phys_limit);
-       page = dma_alloc_contiguous(dev, alloc_size, gfp);
-       if (page && !dma_coherent_ok(dev, page_to_phys(page), size)) {
-               dma_free_contiguous(dev, page, alloc_size);
-@@ -110,7 +109,7 @@ again:
-               page = NULL;
-               if (IS_ENABLED(CONFIG_ZONE_DMA32) &&
--                  phys_mask < DMA_BIT_MASK(64) &&
-+                  phys_limit < DMA_BIT_MASK(64) &&
-                   !(gfp & (GFP_DMA32 | GFP_DMA))) {
-                       gfp |= GFP_DMA32;
-                       goto again;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0451-media-dt-bindings-media-i2c-Add-IMX219-CMOS-sensor-b.patch b/target/linux/bcm27xx/patches-5.4/950-0451-media-dt-bindings-media-i2c-Add-IMX219-CMOS-sensor-b.patch
new file mode 100644 (file)
index 0000000..c93f450
--- /dev/null
@@ -0,0 +1,156 @@
+From a3ceeebaaa66e6786490e850b5019808da3785c0 Mon Sep 17 00:00:00 2001
+From: Andrey Konovalov <andrey.konovalov@linaro.org>
+Date: Mon, 20 Jan 2020 05:15:57 -0300
+Subject: [PATCH] media: dt-bindings: media: i2c: Add IMX219 CMOS
+ sensor binding
+
+Commit 9d730f2cf4c0391785855dd231577d2de2594df9 upstream.
+(Currently on linux-media/master, queued for 5.7)
+
+Add YAML device tree binding for IMX219 CMOS image sensor, and
+the relevant MAINTAINERS entries.
+
+Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
+Reviewed-by: Rob Herring <robh@kernel.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ .../devicetree/bindings/media/i2c/imx219.yaml | 114 ++++++++++++++++++
+ MAINTAINERS                                   |   8 ++
+ 2 files changed, 122 insertions(+)
+ create mode 100644 Documentation/devicetree/bindings/media/i2c/imx219.yaml
+
+--- /dev/null
++++ b/Documentation/devicetree/bindings/media/i2c/imx219.yaml
+@@ -0,0 +1,114 @@
++# SPDX-License-Identifier: (GPL-2.0-only OR BSD-2-Clause)
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/media/i2c/imx219.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Sony 1/4.0-Inch 8Mpixel CMOS Digital Image Sensor
++
++maintainers:
++  - Dave Stevenson <dave.stevenson@raspberrypi.com>
++
++description: |-
++  The Sony imx219 is a 1/4.0-inch CMOS active pixel digital image sensor
++  with an active array size of 3280H x 2464V. It is programmable through
++  I2C interface. The I2C address is fixed to 0x10 as per sensor data sheet.
++  Image data is sent through MIPI CSI-2, which is configured as either 2 or
++  4 data lanes.
++
++properties:
++  compatible:
++    const: sony,imx219
++
++  reg:
++    description: I2C device address
++    maxItems: 1
++
++  clocks:
++    maxItems: 1
++
++  VDIG-supply:
++    description:
++      Digital I/O voltage supply, 1.8 volts
++
++  VANA-supply:
++    description:
++      Analog voltage supply, 2.8 volts
++
++  VDDL-supply:
++    description:
++      Digital core voltage supply, 1.2 volts
++
++  reset-gpios:
++    description: |-
++      Reference to the GPIO connected to the xclr pin, if any.
++      Must be released (set high) after all supplies are applied.
++
++  # See ../video-interfaces.txt for more details
++  port:
++    type: object
++    properties:
++      endpoint:
++        type: object
++        properties:
++          data-lanes:
++            description: |-
++              The sensor supports either two-lane, or four-lane operation.
++              If this property is omitted four-lane operation is assumed.
++              For two-lane operation the property must be set to <1 2>.
++            items:
++              - const: 1
++              - const: 2
++
++          clock-noncontinuous:
++            type: boolean
++            description: |-
++              MIPI CSI-2 clock is non-continuous if this property is present,
++              otherwise it's continuous.
++
++          link-frequencies:
++            allOf:
++              - $ref: /schemas/types.yaml#/definitions/uint64-array
++            description:
++              Allowed data bus frequencies.
++
++        required:
++          - link-frequencies
++
++required:
++  - compatible
++  - reg
++  - clocks
++  - VANA-supply
++  - VDIG-supply
++  - VDDL-supply
++  - port
++
++additionalProperties: false
++
++examples:
++  - |
++    i2c0 {
++        #address-cells = <1>;
++        #size-cells = <0>;
++
++        imx219: sensor@10 {
++            compatible = "sony,imx219";
++            reg = <0x10>;
++            clocks = <&imx219_clk>;
++            VANA-supply = <&imx219_vana>;   /* 2.8v */
++            VDIG-supply = <&imx219_vdig>;   /* 1.8v */
++            VDDL-supply = <&imx219_vddl>;   /* 1.2v */
++
++            port {
++                imx219_0: endpoint {
++                    remote-endpoint = <&csi1_ep>;
++                    data-lanes = <1 2>;
++                    clock-noncontinuous;
++                    link-frequencies = /bits/ 64 <456000000>;
++                };
++            };
++        };
++    };
++
++...
+--- a/MAINTAINERS
++++ b/MAINTAINERS
+@@ -15143,6 +15143,14 @@ S:    Maintained
+ F:    drivers/media/i2c/imx214.c
+ F:    Documentation/devicetree/bindings/media/i2c/sony,imx214.txt
++SONY IMX219 SENSOR DRIVER
++M:    Dave Stevenson <dave.stevenson@raspberrypi.com>
++L:    linux-media@vger.kernel.org
++T:    git git://linuxtv.org/media_tree.git
++S:    Maintained
++F:    drivers/media/i2c/imx219.c
++F:    Documentation/devicetree/bindings/media/i2c/imx219.yaml
++
+ SONY IMX258 SENSOR DRIVER
+ M:    Sakari Ailus <sakari.ailus@linux.intel.com>
+ L:    linux-media@vger.kernel.org
diff --git a/target/linux/bcm27xx/patches-5.4/950-0452-ARM-dts-bcm2711-Enable-PCIe-controller.patch b/target/linux/bcm27xx/patches-5.4/950-0452-ARM-dts-bcm2711-Enable-PCIe-controller.patch
deleted file mode 100644 (file)
index 9f114c1..0000000
+++ /dev/null
@@ -1,56 +0,0 @@
-From 0ec0bc884f6cf1ec9775c750f78ce28be7da4340 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Mon, 16 Dec 2019 12:01:08 +0100
-Subject: [PATCH] ARM: dts: bcm2711: Enable PCIe controller
-
-commit d5c8dc0d4c880fbde5293cc186b1ab23466254c4 upstream.
-
-This enables bcm2711's PCIe bus, which is hardwired to a VIA
-Technologies XHCI USB 3.0 controller.
-
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
----
- arch/arm/boot/dts/bcm2711.dtsi | 31 ++++++++++++++++++++++++++++++-
- 1 file changed, 30 insertions(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -331,7 +331,36 @@
-               #address-cells = <2>;
-               #size-cells = <1>;
--              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>;
-+              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>,
-+                       <0x6 0x00000000  0x6 0x00000000  0x40000000>;
-+
-+              pcie0: pcie@7d500000 {
-+                      compatible = "brcm,bcm2711-pcie";
-+                      reg = <0x0 0x7d500000 0x9310>;
-+                      device_type = "pci";
-+                      #address-cells = <3>;
-+                      #interrupt-cells = <1>;
-+                      #size-cells = <2>;
-+                      interrupts = <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>,
-+                                   <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>;
-+                      interrupt-names = "pcie", "msi";
-+                      interrupt-map-mask = <0x0 0x0 0x0 0x7>;
-+                      interrupt-map = <0 0 0 1 &gicv2 GIC_SPI 143
-+                                                      IRQ_TYPE_LEVEL_HIGH>;
-+                      msi-controller;
-+                      msi-parent = <&pcie0>;
-+
-+                      ranges = <0x02000000 0x0 0xf8000000 0x6 0x00000000
-+                                0x0 0x04000000>;
-+                      /*
-+                       * The wrapper around the PCIe block has a bug
-+                       * preventing it from accessing beyond the first 3GB of
-+                       * memory.
-+                       */
-+                      dma-ranges = <0x02000000 0x0 0x00000000 0x0 0x00000000
-+                                    0x0 0xc0000000>;
-+                      brcm,enable-ssc;
-+              };
-               genet: ethernet@7d580000 {
-                       compatible = "brcm,bcm2711-genet-v5";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0452-media-i2c-Add-driver-for-Sony-IMX219-sensor.patch b/target/linux/bcm27xx/patches-5.4/950-0452-media-i2c-Add-driver-for-Sony-IMX219-sensor.patch
new file mode 100644 (file)
index 0000000..4ca345f
--- /dev/null
@@ -0,0 +1,1372 @@
+From 5cd8c4efeb46ce1ef370dd3012a7951ba430b58f Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Mon, 20 Jan 2020 05:15:58 -0300
+Subject: [PATCH] media: i2c: Add driver for Sony IMX219 sensor
+
+Commit 1283b3b8f82b9004fbb94398cade5c8e797a2c8d upstream.
+(Currently on linux-media/master, queued for 5.7)
+
+Adds a driver for the 8MPix Sony IMX219 CSI2 sensor.
+Whilst the sensor supports 2 or 4 CSI2 data lanes, this driver
+currently only supports 2 lanes.
+8MPix @ 15fps, 1080P @ 30fps (cropped FOV), and 1640x1232 (2x2 binned)
+@ 30fps are currently supported.
+
+[Sakari Ailus: make imx219_check_hwcfg static]
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ drivers/media/i2c/Kconfig  |   11 +
+ drivers/media/i2c/Makefile |    1 +
+ drivers/media/i2c/imx219.c | 1312 ++++++++++++++++++++++++++++++++++++
+ 3 files changed, 1324 insertions(+)
+ create mode 100644 drivers/media/i2c/imx219.c
+
+--- a/drivers/media/i2c/Kconfig
++++ b/drivers/media/i2c/Kconfig
+@@ -578,6 +578,17 @@ config VIDEO_IMX214
+         To compile this driver as a module, choose M here: the
+         module will be called imx214.
++config VIDEO_IMX219
++      tristate "Sony IMX219 sensor support"
++      depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
++      select V4L2_FWNODE
++      help
++        This is a Video4Linux2 sensor driver for the Sony
++        IMX219 camera.
++
++        To compile this driver as a module, choose M here: the
++        module will be called imx219.
++
+ config VIDEO_IMX258
+       tristate "Sony IMX258 sensor support"
+       depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
+--- a/drivers/media/i2c/Makefile
++++ b/drivers/media/i2c/Makefile
+@@ -111,6 +111,7 @@ obj-$(CONFIG_VIDEO_ML86V7667)      += ml86v76
+ obj-$(CONFIG_VIDEO_OV2659)    += ov2659.o
+ obj-$(CONFIG_VIDEO_TC358743)  += tc358743.o
+ obj-$(CONFIG_VIDEO_IMX214)    += imx214.o
++obj-$(CONFIG_VIDEO_IMX219)    += imx219.o
+ obj-$(CONFIG_VIDEO_IMX258)    += imx258.o
+ obj-$(CONFIG_VIDEO_IMX274)    += imx274.o
+ obj-$(CONFIG_VIDEO_IMX319)    += imx319.o
+--- /dev/null
++++ b/drivers/media/i2c/imx219.c
+@@ -0,0 +1,1312 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * A V4L2 driver for Sony IMX219 cameras.
++ * Copyright (C) 2019, Raspberry Pi (Trading) Ltd
++ *
++ * Based on Sony imx258 camera driver
++ * Copyright (C) 2018 Intel Corporation
++ *
++ * DT / fwnode changes, and regulator / GPIO control taken from imx214 driver
++ * Copyright 2018 Qtechnology A/S
++ *
++ * Flip handling taken from the Sony IMX319 driver.
++ * Copyright (C) 2018 Intel Corporation
++ *
++ */
++
++#include <linux/clk.h>
++#include <linux/clk-provider.h>
++#include <linux/clkdev.h>
++#include <linux/delay.h>
++#include <linux/gpio/consumer.h>
++#include <linux/i2c.h>
++#include <linux/module.h>
++#include <linux/pm_runtime.h>
++#include <linux/regulator/consumer.h>
++#include <media/v4l2-ctrls.h>
++#include <media/v4l2-device.h>
++#include <media/v4l2-event.h>
++#include <media/v4l2-fwnode.h>
++#include <media/v4l2-mediabus.h>
++#include <asm/unaligned.h>
++
++#define IMX219_REG_VALUE_08BIT                1
++#define IMX219_REG_VALUE_16BIT                2
++
++#define IMX219_REG_MODE_SELECT                0x0100
++#define IMX219_MODE_STANDBY           0x00
++#define IMX219_MODE_STREAMING         0x01
++
++/* Chip ID */
++#define IMX219_REG_CHIP_ID            0x0000
++#define IMX219_CHIP_ID                        0x0219
++
++/* External clock frequency is 24.0M */
++#define IMX219_XCLK_FREQ              24000000
++
++/* Pixel rate is fixed at 182.4M for all the modes */
++#define IMX219_PIXEL_RATE             182400000
++
++#define IMX219_DEFAULT_LINK_FREQ      456000000
++
++/* V_TIMING internal */
++#define IMX219_REG_VTS                        0x0160
++#define IMX219_VTS_15FPS              0x0dc6
++#define IMX219_VTS_30FPS_1080P                0x06e3
++#define IMX219_VTS_30FPS_BINNED               0x06e3
++#define IMX219_VTS_MAX                        0xffff
++
++#define IMX219_VBLANK_MIN             4
++
++/*Frame Length Line*/
++#define IMX219_FLL_MIN                        0x08a6
++#define IMX219_FLL_MAX                        0xffff
++#define IMX219_FLL_STEP                       1
++#define IMX219_FLL_DEFAULT            0x0c98
++
++/* HBLANK control - read only */
++#define IMX219_PPL_DEFAULT            3448
++
++/* Exposure control */
++#define IMX219_REG_EXPOSURE           0x015a
++#define IMX219_EXPOSURE_MIN           4
++#define IMX219_EXPOSURE_STEP          1
++#define IMX219_EXPOSURE_DEFAULT               0x640
++#define IMX219_EXPOSURE_MAX           65535
++
++/* Analog gain control */
++#define IMX219_REG_ANALOG_GAIN                0x0157
++#define IMX219_ANA_GAIN_MIN           0
++#define IMX219_ANA_GAIN_MAX           232
++#define IMX219_ANA_GAIN_STEP          1
++#define IMX219_ANA_GAIN_DEFAULT               0x0
++
++/* Digital gain control */
++#define IMX219_REG_DIGITAL_GAIN               0x0158
++#define IMX219_DGTL_GAIN_MIN          0x0100
++#define IMX219_DGTL_GAIN_MAX          0x0fff
++#define IMX219_DGTL_GAIN_DEFAULT      0x0100
++#define IMX219_DGTL_GAIN_STEP         1
++
++#define IMX219_REG_ORIENTATION                0x0172
++
++/* Test Pattern Control */
++#define IMX219_REG_TEST_PATTERN               0x0600
++#define IMX219_TEST_PATTERN_DISABLE   0
++#define IMX219_TEST_PATTERN_SOLID_COLOR       1
++#define IMX219_TEST_PATTERN_COLOR_BARS        2
++#define IMX219_TEST_PATTERN_GREY_COLOR        3
++#define IMX219_TEST_PATTERN_PN9               4
++
++/* Test pattern colour components */
++#define IMX219_REG_TESTP_RED          0x0602
++#define IMX219_REG_TESTP_GREENR               0x0604
++#define IMX219_REG_TESTP_BLUE         0x0606
++#define IMX219_REG_TESTP_GREENB               0x0608
++#define IMX219_TESTP_COLOUR_MIN               0
++#define IMX219_TESTP_COLOUR_MAX               0x03ff
++#define IMX219_TESTP_COLOUR_STEP      1
++#define IMX219_TESTP_RED_DEFAULT      IMX219_TESTP_COLOUR_MAX
++#define IMX219_TESTP_GREENR_DEFAULT   0
++#define IMX219_TESTP_BLUE_DEFAULT     0
++#define IMX219_TESTP_GREENB_DEFAULT   0
++
++struct imx219_reg {
++      u16 address;
++      u8 val;
++};
++
++struct imx219_reg_list {
++      unsigned int num_of_regs;
++      const struct imx219_reg *regs;
++};
++
++/* Mode : resolution and related config&values */
++struct imx219_mode {
++      /* Frame width */
++      unsigned int width;
++      /* Frame height */
++      unsigned int height;
++
++      /* V-timing */
++      unsigned int vts_def;
++
++      /* Default register values */
++      struct imx219_reg_list reg_list;
++};
++
++/*
++ * Register sets lifted off the i2C interface from the Raspberry Pi firmware
++ * driver.
++ * 3280x2464 = mode 2, 1920x1080 = mode 1, and 1640x1232 = mode 4.
++ */
++static const struct imx219_reg mode_3280x2464_regs[] = {
++      {0x0100, 0x00},
++      {0x30eb, 0x0c},
++      {0x30eb, 0x05},
++      {0x300a, 0xff},
++      {0x300b, 0xff},
++      {0x30eb, 0x05},
++      {0x30eb, 0x09},
++      {0x0114, 0x01},
++      {0x0128, 0x00},
++      {0x012a, 0x18},
++      {0x012b, 0x00},
++      {0x0164, 0x00},
++      {0x0165, 0x00},
++      {0x0166, 0x0c},
++      {0x0167, 0xcf},
++      {0x0168, 0x00},
++      {0x0169, 0x00},
++      {0x016a, 0x09},
++      {0x016b, 0x9f},
++      {0x016c, 0x0c},
++      {0x016d, 0xd0},
++      {0x016e, 0x09},
++      {0x016f, 0xa0},
++      {0x0170, 0x01},
++      {0x0171, 0x01},
++      {0x0174, 0x00},
++      {0x0175, 0x00},
++      {0x018c, 0x0a},
++      {0x018d, 0x0a},
++      {0x0301, 0x05},
++      {0x0303, 0x01},
++      {0x0304, 0x03},
++      {0x0305, 0x03},
++      {0x0306, 0x00},
++      {0x0307, 0x39},
++      {0x0309, 0x0a},
++      {0x030b, 0x01},
++      {0x030c, 0x00},
++      {0x030d, 0x72},
++      {0x0624, 0x0c},
++      {0x0625, 0xd0},
++      {0x0626, 0x09},
++      {0x0627, 0xa0},
++      {0x455e, 0x00},
++      {0x471e, 0x4b},
++      {0x4767, 0x0f},
++      {0x4750, 0x14},
++      {0x4540, 0x00},
++      {0x47b4, 0x14},
++      {0x4713, 0x30},
++      {0x478b, 0x10},
++      {0x478f, 0x10},
++      {0x4793, 0x10},
++      {0x4797, 0x0e},
++      {0x479b, 0x0e},
++      {0x0162, 0x0d},
++      {0x0163, 0x78},
++};
++
++static const struct imx219_reg mode_1920_1080_regs[] = {
++      {0x0100, 0x00},
++      {0x30eb, 0x05},
++      {0x30eb, 0x0c},
++      {0x300a, 0xff},
++      {0x300b, 0xff},
++      {0x30eb, 0x05},
++      {0x30eb, 0x09},
++      {0x0114, 0x01},
++      {0x0128, 0x00},
++      {0x012a, 0x18},
++      {0x012b, 0x00},
++      {0x0162, 0x0d},
++      {0x0163, 0x78},
++      {0x0164, 0x02},
++      {0x0165, 0xa8},
++      {0x0166, 0x0a},
++      {0x0167, 0x27},
++      {0x0168, 0x02},
++      {0x0169, 0xb4},
++      {0x016a, 0x06},
++      {0x016b, 0xeb},
++      {0x016c, 0x07},
++      {0x016d, 0x80},
++      {0x016e, 0x04},
++      {0x016f, 0x38},
++      {0x0170, 0x01},
++      {0x0171, 0x01},
++      {0x0174, 0x00},
++      {0x0175, 0x00},
++      {0x018c, 0x0a},
++      {0x018d, 0x0a},
++      {0x0301, 0x05},
++      {0x0303, 0x01},
++      {0x0304, 0x03},
++      {0x0305, 0x03},
++      {0x0306, 0x00},
++      {0x0307, 0x39},
++      {0x0309, 0x0a},
++      {0x030b, 0x01},
++      {0x030c, 0x00},
++      {0x030d, 0x72},
++      {0x0624, 0x07},
++      {0x0625, 0x80},
++      {0x0626, 0x04},
++      {0x0627, 0x38},
++      {0x455e, 0x00},
++      {0x471e, 0x4b},
++      {0x4767, 0x0f},
++      {0x4750, 0x14},
++      {0x4540, 0x00},
++      {0x47b4, 0x14},
++      {0x4713, 0x30},
++      {0x478b, 0x10},
++      {0x478f, 0x10},
++      {0x4793, 0x10},
++      {0x4797, 0x0e},
++      {0x479b, 0x0e},
++      {0x0162, 0x0d},
++      {0x0163, 0x78},
++};
++
++static const struct imx219_reg mode_1640_1232_regs[] = {
++      {0x0100, 0x00},
++      {0x30eb, 0x0c},
++      {0x30eb, 0x05},
++      {0x300a, 0xff},
++      {0x300b, 0xff},
++      {0x30eb, 0x05},
++      {0x30eb, 0x09},
++      {0x0114, 0x01},
++      {0x0128, 0x00},
++      {0x012a, 0x18},
++      {0x012b, 0x00},
++      {0x0164, 0x00},
++      {0x0165, 0x00},
++      {0x0166, 0x0c},
++      {0x0167, 0xcf},
++      {0x0168, 0x00},
++      {0x0169, 0x00},
++      {0x016a, 0x09},
++      {0x016b, 0x9f},
++      {0x016c, 0x06},
++      {0x016d, 0x68},
++      {0x016e, 0x04},
++      {0x016f, 0xd0},
++      {0x0170, 0x01},
++      {0x0171, 0x01},
++      {0x0174, 0x01},
++      {0x0175, 0x01},
++      {0x018c, 0x0a},
++      {0x018d, 0x0a},
++      {0x0301, 0x05},
++      {0x0303, 0x01},
++      {0x0304, 0x03},
++      {0x0305, 0x03},
++      {0x0306, 0x00},
++      {0x0307, 0x39},
++      {0x0309, 0x0a},
++      {0x030b, 0x01},
++      {0x030c, 0x00},
++      {0x030d, 0x72},
++      {0x0624, 0x06},
++      {0x0625, 0x68},
++      {0x0626, 0x04},
++      {0x0627, 0xd0},
++      {0x455e, 0x00},
++      {0x471e, 0x4b},
++      {0x4767, 0x0f},
++      {0x4750, 0x14},
++      {0x4540, 0x00},
++      {0x47b4, 0x14},
++      {0x4713, 0x30},
++      {0x478b, 0x10},
++      {0x478f, 0x10},
++      {0x4793, 0x10},
++      {0x4797, 0x0e},
++      {0x479b, 0x0e},
++      {0x0162, 0x0d},
++      {0x0163, 0x78},
++};
++
++static const char * const imx219_test_pattern_menu[] = {
++      "Disabled",
++      "Color Bars",
++      "Solid Color",
++      "Grey Color Bars",
++      "PN9"
++};
++
++static const int imx219_test_pattern_val[] = {
++      IMX219_TEST_PATTERN_DISABLE,
++      IMX219_TEST_PATTERN_COLOR_BARS,
++      IMX219_TEST_PATTERN_SOLID_COLOR,
++      IMX219_TEST_PATTERN_GREY_COLOR,
++      IMX219_TEST_PATTERN_PN9,
++};
++
++/* regulator supplies */
++static const char * const imx219_supply_name[] = {
++      /* Supplies can be enabled in any order */
++      "VANA",  /* Analog (2.8V) supply */
++      "VDIG",  /* Digital Core (1.8V) supply */
++      "VDDL",  /* IF (1.2V) supply */
++};
++
++#define IMX219_NUM_SUPPLIES ARRAY_SIZE(imx219_supply_name)
++
++/*
++ * Initialisation delay between XCLR low->high and the moment when the sensor
++ * can start capture (i.e. can leave software stanby) must be not less than:
++ *   t4 + max(t5, t6 + <time to initialize the sensor register over I2C>)
++ * where
++ *   t4 is fixed, and is max 200uS,
++ *   t5 is fixed, and is 6000uS,
++ *   t6 depends on the sensor external clock, and is max 32000 clock periods.
++ * As per sensor datasheet, the external clock must be from 6MHz to 27MHz.
++ * So for any acceptable external clock t6 is always within the range of
++ * 1185 to 5333 uS, and is always less than t5.
++ * For this reason this is always safe to wait (t4 + t5) = 6200 uS, then
++ * initialize the sensor over I2C, and then exit the software standby.
++ *
++ * This start-up time can be optimized a bit more, if we start the writes
++ * over I2C after (t4+t6), but before (t4+t5) expires. But then sensor
++ * initialization over I2C may complete before (t4+t5) expires, and we must
++ * ensure that capture is not started before (t4+t5).
++ *
++ * This delay doesn't account for the power supply startup time. If needed,
++ * this should be taken care of via the regulator framework. E.g. in the
++ * case of DT for regulator-fixed one should define the startup-delay-us
++ * property.
++ */
++#define IMX219_XCLR_MIN_DELAY_US      6200
++#define IMX219_XCLR_DELAY_RANGE_US    1000
++
++/* Mode configs */
++static const struct imx219_mode supported_modes[] = {
++      {
++              /* 8MPix 15fps mode */
++              .width = 3280,
++              .height = 2464,
++              .vts_def = IMX219_VTS_15FPS,
++              .reg_list = {
++                      .num_of_regs = ARRAY_SIZE(mode_3280x2464_regs),
++                      .regs = mode_3280x2464_regs,
++              },
++      },
++      {
++              /* 1080P 30fps cropped */
++              .width = 1920,
++              .height = 1080,
++              .vts_def = IMX219_VTS_30FPS_1080P,
++              .reg_list = {
++                      .num_of_regs = ARRAY_SIZE(mode_1920_1080_regs),
++                      .regs = mode_1920_1080_regs,
++              },
++      },
++      {
++              /* 2x2 binned 30fps mode */
++              .width = 1640,
++              .height = 1232,
++              .vts_def = IMX219_VTS_30FPS_BINNED,
++              .reg_list = {
++                      .num_of_regs = ARRAY_SIZE(mode_1640_1232_regs),
++                      .regs = mode_1640_1232_regs,
++              },
++      },
++};
++
++struct imx219 {
++      struct v4l2_subdev sd;
++      struct media_pad pad;
++
++      struct clk *xclk; /* system clock to IMX219 */
++      u32 xclk_freq;
++
++      struct gpio_desc *reset_gpio;
++      struct regulator_bulk_data supplies[IMX219_NUM_SUPPLIES];
++
++      struct v4l2_ctrl_handler ctrl_handler;
++      /* V4L2 Controls */
++      struct v4l2_ctrl *pixel_rate;
++      struct v4l2_ctrl *exposure;
++      struct v4l2_ctrl *vflip;
++      struct v4l2_ctrl *hflip;
++      struct v4l2_ctrl *vblank;
++      struct v4l2_ctrl *hblank;
++
++      /* Current mode */
++      const struct imx219_mode *mode;
++
++      /*
++       * Mutex for serialized access:
++       * Protect sensor module set pad format and start/stop streaming safely.
++       */
++      struct mutex mutex;
++
++      /* Streaming on/off */
++      bool streaming;
++};
++
++static inline struct imx219 *to_imx219(struct v4l2_subdev *_sd)
++{
++      return container_of(_sd, struct imx219, sd);
++}
++
++/* Read registers up to 2 at a time */
++static int imx219_read_reg(struct imx219 *imx219, u16 reg, u32 len, u32 *val)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
++      struct i2c_msg msgs[2];
++      u8 addr_buf[2] = { reg >> 8, reg & 0xff };
++      u8 data_buf[4] = { 0, };
++      int ret;
++
++      if (len > 4)
++              return -EINVAL;
++
++      /* Write register address */
++      msgs[0].addr = client->addr;
++      msgs[0].flags = 0;
++      msgs[0].len = ARRAY_SIZE(addr_buf);
++      msgs[0].buf = addr_buf;
++
++      /* Read data from register */
++      msgs[1].addr = client->addr;
++      msgs[1].flags = I2C_M_RD;
++      msgs[1].len = len;
++      msgs[1].buf = &data_buf[4 - len];
++
++      ret = i2c_transfer(client->adapter, msgs, ARRAY_SIZE(msgs));
++      if (ret != ARRAY_SIZE(msgs))
++              return -EIO;
++
++      *val = get_unaligned_be32(data_buf);
++
++      return 0;
++}
++
++/* Write registers up to 2 at a time */
++static int imx219_write_reg(struct imx219 *imx219, u16 reg, u32 len, u32 val)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
++      u8 buf[6];
++
++      if (len > 4)
++              return -EINVAL;
++
++      put_unaligned_be16(reg, buf);
++      put_unaligned_be32(val << (8 * (4 - len)), buf + 2);
++      if (i2c_master_send(client, buf, len + 2) != len + 2)
++              return -EIO;
++
++      return 0;
++}
++
++/* Write a list of registers */
++static int imx219_write_regs(struct imx219 *imx219,
++                           const struct imx219_reg *regs, u32 len)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
++      unsigned int i;
++      int ret;
++
++      for (i = 0; i < len; i++) {
++              ret = imx219_write_reg(imx219, regs[i].address, 1, regs[i].val);
++              if (ret) {
++                      dev_err_ratelimited(&client->dev,
++                                          "Failed to write reg 0x%4.4x. error = %d\n",
++                                          regs[i].address, ret);
++
++                      return ret;
++              }
++      }
++
++      return 0;
++}
++
++/* Get bayer order based on flip setting. */
++static u32 imx219_get_format_code(struct imx219 *imx219)
++{
++      /*
++       * Only one bayer order is supported.
++       * It depends on the flip settings.
++       */
++      static const u32 codes[2][2] = {
++              { MEDIA_BUS_FMT_SRGGB10_1X10, MEDIA_BUS_FMT_SGRBG10_1X10, },
++              { MEDIA_BUS_FMT_SGBRG10_1X10, MEDIA_BUS_FMT_SBGGR10_1X10, },
++      };
++
++      lockdep_assert_held(&imx219->mutex);
++      return codes[imx219->vflip->val][imx219->hflip->val];
++}
++
++static int imx219_open(struct v4l2_subdev *sd, struct v4l2_subdev_fh *fh)
++{
++      struct imx219 *imx219 = to_imx219(sd);
++      struct v4l2_mbus_framefmt *try_fmt =
++              v4l2_subdev_get_try_format(sd, fh->pad, 0);
++
++      mutex_lock(&imx219->mutex);
++
++      /* Initialize try_fmt */
++      try_fmt->width = supported_modes[0].width;
++      try_fmt->height = supported_modes[0].height;
++      try_fmt->code = imx219_get_format_code(imx219);
++      try_fmt->field = V4L2_FIELD_NONE;
++
++      mutex_unlock(&imx219->mutex);
++
++      return 0;
++}
++
++static int imx219_set_ctrl(struct v4l2_ctrl *ctrl)
++{
++      struct imx219 *imx219 =
++              container_of(ctrl->handler, struct imx219, ctrl_handler);
++      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
++      int ret;
++
++      if (ctrl->id == V4L2_CID_VBLANK) {
++              int exposure_max, exposure_def;
++
++              /* Update max exposure while meeting expected vblanking */
++              exposure_max = imx219->mode->height + ctrl->val - 4;
++              exposure_def = (exposure_max < IMX219_EXPOSURE_DEFAULT) ?
++                      exposure_max : IMX219_EXPOSURE_DEFAULT;
++              __v4l2_ctrl_modify_range(imx219->exposure,
++                                       imx219->exposure->minimum,
++                                       exposure_max, imx219->exposure->step,
++                                       exposure_def);
++      }
++
++      /*
++       * Applying V4L2 control value only happens
++       * when power is up for streaming
++       */
++      if (pm_runtime_get_if_in_use(&client->dev) == 0)
++              return 0;
++
++      switch (ctrl->id) {
++      case V4L2_CID_ANALOGUE_GAIN:
++              ret = imx219_write_reg(imx219, IMX219_REG_ANALOG_GAIN,
++                                     IMX219_REG_VALUE_08BIT, ctrl->val);
++              break;
++      case V4L2_CID_EXPOSURE:
++              ret = imx219_write_reg(imx219, IMX219_REG_EXPOSURE,
++                                     IMX219_REG_VALUE_16BIT, ctrl->val);
++              break;
++      case V4L2_CID_DIGITAL_GAIN:
++              ret = imx219_write_reg(imx219, IMX219_REG_DIGITAL_GAIN,
++                                     IMX219_REG_VALUE_16BIT, ctrl->val);
++              break;
++      case V4L2_CID_TEST_PATTERN:
++              ret = imx219_write_reg(imx219, IMX219_REG_TEST_PATTERN,
++                                     IMX219_REG_VALUE_16BIT,
++                                     imx219_test_pattern_val[ctrl->val]);
++              break;
++      case V4L2_CID_HFLIP:
++      case V4L2_CID_VFLIP:
++              ret = imx219_write_reg(imx219, IMX219_REG_ORIENTATION, 1,
++                                     imx219->hflip->val |
++                                     imx219->vflip->val << 1);
++              break;
++      case V4L2_CID_VBLANK:
++              ret = imx219_write_reg(imx219, IMX219_REG_VTS,
++                                     IMX219_REG_VALUE_16BIT,
++                                     imx219->mode->height + ctrl->val);
++              break;
++      case V4L2_CID_TEST_PATTERN_RED:
++              ret = imx219_write_reg(imx219, IMX219_REG_TESTP_RED,
++                                     IMX219_REG_VALUE_16BIT, ctrl->val);
++              break;
++      case V4L2_CID_TEST_PATTERN_GREENR:
++              ret = imx219_write_reg(imx219, IMX219_REG_TESTP_GREENR,
++                                     IMX219_REG_VALUE_16BIT, ctrl->val);
++              break;
++      case V4L2_CID_TEST_PATTERN_BLUE:
++              ret = imx219_write_reg(imx219, IMX219_REG_TESTP_BLUE,
++                                     IMX219_REG_VALUE_16BIT, ctrl->val);
++              break;
++      case V4L2_CID_TEST_PATTERN_GREENB:
++              ret = imx219_write_reg(imx219, IMX219_REG_TESTP_GREENB,
++                                     IMX219_REG_VALUE_16BIT, ctrl->val);
++              break;
++      default:
++              dev_info(&client->dev,
++                       "ctrl(id:0x%x,val:0x%x) is not handled\n",
++                       ctrl->id, ctrl->val);
++              ret = -EINVAL;
++              break;
++      }
++
++      pm_runtime_put(&client->dev);
++
++      return ret;
++}
++
++static const struct v4l2_ctrl_ops imx219_ctrl_ops = {
++      .s_ctrl = imx219_set_ctrl,
++};
++
++static int imx219_enum_mbus_code(struct v4l2_subdev *sd,
++                               struct v4l2_subdev_pad_config *cfg,
++                               struct v4l2_subdev_mbus_code_enum *code)
++{
++      struct imx219 *imx219 = to_imx219(sd);
++
++      /*
++       * Only one bayer order is supported (though it depends on the flip
++       * settings)
++       */
++      if (code->index > 0)
++              return -EINVAL;
++
++      code->code = imx219_get_format_code(imx219);
++
++      return 0;
++}
++
++static int imx219_enum_frame_size(struct v4l2_subdev *sd,
++                                struct v4l2_subdev_pad_config *cfg,
++                                struct v4l2_subdev_frame_size_enum *fse)
++{
++      struct imx219 *imx219 = to_imx219(sd);
++
++      if (fse->index >= ARRAY_SIZE(supported_modes))
++              return -EINVAL;
++
++      if (fse->code != imx219_get_format_code(imx219))
++              return -EINVAL;
++
++      fse->min_width = supported_modes[fse->index].width;
++      fse->max_width = fse->min_width;
++      fse->min_height = supported_modes[fse->index].height;
++      fse->max_height = fse->min_height;
++
++      return 0;
++}
++
++static void imx219_reset_colorspace(struct v4l2_mbus_framefmt *fmt)
++{
++      fmt->colorspace = V4L2_COLORSPACE_SRGB;
++      fmt->ycbcr_enc = V4L2_MAP_YCBCR_ENC_DEFAULT(fmt->colorspace);
++      fmt->quantization = V4L2_MAP_QUANTIZATION_DEFAULT(true,
++                                                        fmt->colorspace,
++                                                        fmt->ycbcr_enc);
++      fmt->xfer_func = V4L2_MAP_XFER_FUNC_DEFAULT(fmt->colorspace);
++}
++
++static void imx219_update_pad_format(struct imx219 *imx219,
++                                   const struct imx219_mode *mode,
++                                   struct v4l2_subdev_format *fmt)
++{
++      fmt->format.width = mode->width;
++      fmt->format.height = mode->height;
++      fmt->format.code = imx219_get_format_code(imx219);
++      fmt->format.field = V4L2_FIELD_NONE;
++
++      imx219_reset_colorspace(&fmt->format);
++}
++
++static int __imx219_get_pad_format(struct imx219 *imx219,
++                                 struct v4l2_subdev_pad_config *cfg,
++                                 struct v4l2_subdev_format *fmt)
++{
++      if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
++              struct v4l2_mbus_framefmt *try_fmt =
++                      v4l2_subdev_get_try_format(&imx219->sd, cfg, fmt->pad);
++              /* update the code which could change due to vflip or hflip: */
++              try_fmt->code = imx219_get_format_code(imx219);
++              fmt->format = *try_fmt;
++      } else {
++              imx219_update_pad_format(imx219, imx219->mode, fmt);
++      }
++
++      return 0;
++}
++
++static int imx219_get_pad_format(struct v4l2_subdev *sd,
++                               struct v4l2_subdev_pad_config *cfg,
++                               struct v4l2_subdev_format *fmt)
++{
++      struct imx219 *imx219 = to_imx219(sd);
++      int ret;
++
++      mutex_lock(&imx219->mutex);
++      ret = __imx219_get_pad_format(imx219, cfg, fmt);
++      mutex_unlock(&imx219->mutex);
++
++      return ret;
++}
++
++static int imx219_set_pad_format(struct v4l2_subdev *sd,
++                               struct v4l2_subdev_pad_config *cfg,
++                               struct v4l2_subdev_format *fmt)
++{
++      struct imx219 *imx219 = to_imx219(sd);
++      const struct imx219_mode *mode;
++      struct v4l2_mbus_framefmt *framefmt;
++      int exposure_max, exposure_def, hblank;
++
++      mutex_lock(&imx219->mutex);
++
++      /* Bayer order varies with flips */
++      fmt->format.code = imx219_get_format_code(imx219);
++
++      mode = v4l2_find_nearest_size(supported_modes,
++                                    ARRAY_SIZE(supported_modes),
++                                    width, height,
++                                    fmt->format.width, fmt->format.height);
++      imx219_update_pad_format(imx219, mode, fmt);
++      if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
++              framefmt = v4l2_subdev_get_try_format(sd, cfg, fmt->pad);
++              *framefmt = fmt->format;
++      } else if (imx219->mode != mode) {
++              imx219->mode = mode;
++              /* Update limits and set FPS to default */
++              __v4l2_ctrl_modify_range(imx219->vblank, IMX219_VBLANK_MIN,
++                                       IMX219_VTS_MAX - mode->height, 1,
++                                       mode->vts_def - mode->height);
++              __v4l2_ctrl_s_ctrl(imx219->vblank,
++                                 mode->vts_def - mode->height);
++              /* Update max exposure while meeting expected vblanking */
++              exposure_max = mode->vts_def - 4;
++              exposure_def = (exposure_max < IMX219_EXPOSURE_DEFAULT) ?
++                      exposure_max : IMX219_EXPOSURE_DEFAULT;
++              __v4l2_ctrl_modify_range(imx219->exposure,
++                                       imx219->exposure->minimum,
++                                       exposure_max, imx219->exposure->step,
++                                       exposure_def);
++              /*
++               * Currently PPL is fixed to IMX219_PPL_DEFAULT, so hblank
++               * depends on mode->width only, and is not changeble in any
++               * way other than changing the mode.
++               */
++              hblank = IMX219_PPL_DEFAULT - mode->width;
++              __v4l2_ctrl_modify_range(imx219->hblank, hblank, hblank, 1,
++                                       hblank);
++      }
++
++      mutex_unlock(&imx219->mutex);
++
++      return 0;
++}
++
++static int imx219_start_streaming(struct imx219 *imx219)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
++      const struct imx219_reg_list *reg_list;
++      int ret;
++
++      /* Apply default values of current mode */
++      reg_list = &imx219->mode->reg_list;
++      ret = imx219_write_regs(imx219, reg_list->regs, reg_list->num_of_regs);
++      if (ret) {
++              dev_err(&client->dev, "%s failed to set mode\n", __func__);
++              return ret;
++      }
++
++      /* Apply customized values from user */
++      ret =  __v4l2_ctrl_handler_setup(imx219->sd.ctrl_handler);
++      if (ret)
++              return ret;
++
++      /* set stream on register */
++      return imx219_write_reg(imx219, IMX219_REG_MODE_SELECT,
++                              IMX219_REG_VALUE_08BIT, IMX219_MODE_STREAMING);
++}
++
++static void imx219_stop_streaming(struct imx219 *imx219)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
++      int ret;
++
++      /* set stream off register */
++      ret = imx219_write_reg(imx219, IMX219_REG_MODE_SELECT,
++                             IMX219_REG_VALUE_08BIT, IMX219_MODE_STANDBY);
++      if (ret)
++              dev_err(&client->dev, "%s failed to set stream\n", __func__);
++}
++
++static int imx219_set_stream(struct v4l2_subdev *sd, int enable)
++{
++      struct imx219 *imx219 = to_imx219(sd);
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
++      int ret = 0;
++
++      mutex_lock(&imx219->mutex);
++      if (imx219->streaming == enable) {
++              mutex_unlock(&imx219->mutex);
++              return 0;
++      }
++
++      if (enable) {
++              ret = pm_runtime_get_sync(&client->dev);
++              if (ret < 0) {
++                      pm_runtime_put_noidle(&client->dev);
++                      goto err_unlock;
++              }
++
++              /*
++               * Apply default & customized values
++               * and then start streaming.
++               */
++              ret = imx219_start_streaming(imx219);
++              if (ret)
++                      goto err_rpm_put;
++      } else {
++              imx219_stop_streaming(imx219);
++              pm_runtime_put(&client->dev);
++      }
++
++      imx219->streaming = enable;
++
++      /* vflip and hflip cannot change during streaming */
++      __v4l2_ctrl_grab(imx219->vflip, enable);
++      __v4l2_ctrl_grab(imx219->hflip, enable);
++
++      mutex_unlock(&imx219->mutex);
++
++      return ret;
++
++err_rpm_put:
++      pm_runtime_put(&client->dev);
++err_unlock:
++      mutex_unlock(&imx219->mutex);
++
++      return ret;
++}
++
++/* Power/clock management functions */
++static int imx219_power_on(struct device *dev)
++{
++      struct i2c_client *client = to_i2c_client(dev);
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct imx219 *imx219 = to_imx219(sd);
++      int ret;
++
++      ret = regulator_bulk_enable(IMX219_NUM_SUPPLIES,
++                                  imx219->supplies);
++      if (ret) {
++              dev_err(&client->dev, "%s: failed to enable regulators\n",
++                      __func__);
++              return ret;
++      }
++
++      ret = clk_prepare_enable(imx219->xclk);
++      if (ret) {
++              dev_err(&client->dev, "%s: failed to enable clock\n",
++                      __func__);
++              goto reg_off;
++      }
++
++      gpiod_set_value_cansleep(imx219->reset_gpio, 1);
++      usleep_range(IMX219_XCLR_MIN_DELAY_US,
++                   IMX219_XCLR_MIN_DELAY_US + IMX219_XCLR_DELAY_RANGE_US);
++
++      return 0;
++
++reg_off:
++      regulator_bulk_disable(IMX219_NUM_SUPPLIES, imx219->supplies);
++
++      return ret;
++}
++
++static int imx219_power_off(struct device *dev)
++{
++      struct i2c_client *client = to_i2c_client(dev);
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct imx219 *imx219 = to_imx219(sd);
++
++      gpiod_set_value_cansleep(imx219->reset_gpio, 0);
++      regulator_bulk_disable(IMX219_NUM_SUPPLIES, imx219->supplies);
++      clk_disable_unprepare(imx219->xclk);
++
++      return 0;
++}
++
++static int __maybe_unused imx219_suspend(struct device *dev)
++{
++      struct i2c_client *client = to_i2c_client(dev);
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct imx219 *imx219 = to_imx219(sd);
++
++      if (imx219->streaming)
++              imx219_stop_streaming(imx219);
++
++      return 0;
++}
++
++static int __maybe_unused imx219_resume(struct device *dev)
++{
++      struct i2c_client *client = to_i2c_client(dev);
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct imx219 *imx219 = to_imx219(sd);
++      int ret;
++
++      if (imx219->streaming) {
++              ret = imx219_start_streaming(imx219);
++              if (ret)
++                      goto error;
++      }
++
++      return 0;
++
++error:
++      imx219_stop_streaming(imx219);
++      imx219->streaming = 0;
++
++      return ret;
++}
++
++static int imx219_get_regulators(struct imx219 *imx219)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
++      unsigned int i;
++
++      for (i = 0; i < IMX219_NUM_SUPPLIES; i++)
++              imx219->supplies[i].supply = imx219_supply_name[i];
++
++      return devm_regulator_bulk_get(&client->dev,
++                                     IMX219_NUM_SUPPLIES,
++                                     imx219->supplies);
++}
++
++/* Verify chip ID */
++static int imx219_identify_module(struct imx219 *imx219)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
++      int ret;
++      u32 val;
++
++      ret = imx219_read_reg(imx219, IMX219_REG_CHIP_ID,
++                            IMX219_REG_VALUE_16BIT, &val);
++      if (ret) {
++              dev_err(&client->dev, "failed to read chip id %x\n",
++                      IMX219_CHIP_ID);
++              return ret;
++      }
++
++      if (val != IMX219_CHIP_ID) {
++              dev_err(&client->dev, "chip id mismatch: %x!=%x\n",
++                      IMX219_CHIP_ID, val);
++              return -EIO;
++      }
++
++      return 0;
++}
++
++static const struct v4l2_subdev_core_ops imx219_core_ops = {
++      .subscribe_event = v4l2_ctrl_subdev_subscribe_event,
++      .unsubscribe_event = v4l2_event_subdev_unsubscribe,
++};
++
++static const struct v4l2_subdev_video_ops imx219_video_ops = {
++      .s_stream = imx219_set_stream,
++};
++
++static const struct v4l2_subdev_pad_ops imx219_pad_ops = {
++      .enum_mbus_code = imx219_enum_mbus_code,
++      .get_fmt = imx219_get_pad_format,
++      .set_fmt = imx219_set_pad_format,
++      .enum_frame_size = imx219_enum_frame_size,
++};
++
++static const struct v4l2_subdev_ops imx219_subdev_ops = {
++      .core = &imx219_core_ops,
++      .video = &imx219_video_ops,
++      .pad = &imx219_pad_ops,
++};
++
++static const struct v4l2_subdev_internal_ops imx219_internal_ops = {
++      .open = imx219_open,
++};
++
++/* Initialize control handlers */
++static int imx219_init_controls(struct imx219 *imx219)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
++      struct v4l2_ctrl_handler *ctrl_hdlr;
++      unsigned int height = imx219->mode->height;
++      int exposure_max, exposure_def, hblank;
++      int i, ret;
++
++      ctrl_hdlr = &imx219->ctrl_handler;
++      ret = v4l2_ctrl_handler_init(ctrl_hdlr, 9);
++      if (ret)
++              return ret;
++
++      mutex_init(&imx219->mutex);
++      ctrl_hdlr->lock = &imx219->mutex;
++
++      /* By default, PIXEL_RATE is read only */
++      imx219->pixel_rate = v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
++                                             V4L2_CID_PIXEL_RATE,
++                                             IMX219_PIXEL_RATE,
++                                             IMX219_PIXEL_RATE, 1,
++                                             IMX219_PIXEL_RATE);
++
++      /* Initial vblank/hblank/exposure parameters based on current mode */
++      imx219->vblank = v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
++                                         V4L2_CID_VBLANK, IMX219_VBLANK_MIN,
++                                         IMX219_VTS_MAX - height, 1,
++                                         imx219->mode->vts_def - height);
++      hblank = IMX219_PPL_DEFAULT - imx219->mode->width;
++      imx219->hblank = v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
++                                         V4L2_CID_HBLANK, hblank, hblank,
++                                         1, hblank);
++      if (imx219->hblank)
++              imx219->hblank->flags |= V4L2_CTRL_FLAG_READ_ONLY;
++      exposure_max = imx219->mode->vts_def - 4;
++      exposure_def = (exposure_max < IMX219_EXPOSURE_DEFAULT) ?
++              exposure_max : IMX219_EXPOSURE_DEFAULT;
++      imx219->exposure = v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
++                                           V4L2_CID_EXPOSURE,
++                                           IMX219_EXPOSURE_MIN, exposure_max,
++                                           IMX219_EXPOSURE_STEP,
++                                           exposure_def);
++
++      v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops, V4L2_CID_ANALOGUE_GAIN,
++                        IMX219_ANA_GAIN_MIN, IMX219_ANA_GAIN_MAX,
++                        IMX219_ANA_GAIN_STEP, IMX219_ANA_GAIN_DEFAULT);
++
++      v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops, V4L2_CID_DIGITAL_GAIN,
++                        IMX219_DGTL_GAIN_MIN, IMX219_DGTL_GAIN_MAX,
++                        IMX219_DGTL_GAIN_STEP, IMX219_DGTL_GAIN_DEFAULT);
++
++      imx219->hflip = v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
++                                        V4L2_CID_HFLIP, 0, 1, 1, 0);
++      if (imx219->hflip)
++              imx219->hflip->flags |= V4L2_CTRL_FLAG_MODIFY_LAYOUT;
++
++      imx219->vflip = v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
++                                        V4L2_CID_VFLIP, 0, 1, 1, 0);
++      if (imx219->vflip)
++              imx219->vflip->flags |= V4L2_CTRL_FLAG_MODIFY_LAYOUT;
++
++      v4l2_ctrl_new_std_menu_items(ctrl_hdlr, &imx219_ctrl_ops,
++                                   V4L2_CID_TEST_PATTERN,
++                                   ARRAY_SIZE(imx219_test_pattern_menu) - 1,
++                                   0, 0, imx219_test_pattern_menu);
++      for (i = 0; i < 4; i++) {
++              /*
++               * The assumption is that
++               * V4L2_CID_TEST_PATTERN_GREENR == V4L2_CID_TEST_PATTERN_RED + 1
++               * V4L2_CID_TEST_PATTERN_BLUE   == V4L2_CID_TEST_PATTERN_RED + 2
++               * V4L2_CID_TEST_PATTERN_GREENB == V4L2_CID_TEST_PATTERN_RED + 3
++               */
++              v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
++                                V4L2_CID_TEST_PATTERN_RED + i,
++                                IMX219_TESTP_COLOUR_MIN,
++                                IMX219_TESTP_COLOUR_MAX,
++                                IMX219_TESTP_COLOUR_STEP,
++                                IMX219_TESTP_COLOUR_MAX);
++              /* The "Solid color" pattern is white by default */
++      }
++
++      if (ctrl_hdlr->error) {
++              ret = ctrl_hdlr->error;
++              dev_err(&client->dev, "%s control init failed (%d)\n",
++                      __func__, ret);
++              goto error;
++      }
++
++      imx219->sd.ctrl_handler = ctrl_hdlr;
++
++      return 0;
++
++error:
++      v4l2_ctrl_handler_free(ctrl_hdlr);
++      mutex_destroy(&imx219->mutex);
++
++      return ret;
++}
++
++static void imx219_free_controls(struct imx219 *imx219)
++{
++      v4l2_ctrl_handler_free(imx219->sd.ctrl_handler);
++      mutex_destroy(&imx219->mutex);
++}
++
++static int imx219_check_hwcfg(struct device *dev)
++{
++      struct fwnode_handle *endpoint;
++      struct v4l2_fwnode_endpoint ep_cfg = {
++              .bus_type = V4L2_MBUS_CSI2_DPHY
++      };
++      int ret = -EINVAL;
++
++      endpoint = fwnode_graph_get_next_endpoint(dev_fwnode(dev), NULL);
++      if (!endpoint) {
++              dev_err(dev, "endpoint node not found\n");
++              return -EINVAL;
++      }
++
++      if (v4l2_fwnode_endpoint_alloc_parse(endpoint, &ep_cfg)) {
++              dev_err(dev, "could not parse endpoint\n");
++              goto error_out;
++      }
++
++      /* Check the number of MIPI CSI2 data lanes */
++      if (ep_cfg.bus.mipi_csi2.num_data_lanes != 2) {
++              dev_err(dev, "only 2 data lanes are currently supported\n");
++              goto error_out;
++      }
++
++      /* Check the link frequency set in device tree */
++      if (!ep_cfg.nr_of_link_frequencies) {
++              dev_err(dev, "link-frequency property not found in DT\n");
++              goto error_out;
++      }
++
++      if (ep_cfg.nr_of_link_frequencies != 1 ||
++          ep_cfg.link_frequencies[0] != IMX219_DEFAULT_LINK_FREQ) {
++              dev_err(dev, "Link frequency not supported: %lld\n",
++                      ep_cfg.link_frequencies[0]);
++              goto error_out;
++      }
++
++      ret = 0;
++
++error_out:
++      v4l2_fwnode_endpoint_free(&ep_cfg);
++      fwnode_handle_put(endpoint);
++
++      return ret;
++}
++
++static int imx219_probe(struct i2c_client *client)
++{
++      struct device *dev = &client->dev;
++      struct imx219 *imx219;
++      int ret;
++
++      imx219 = devm_kzalloc(&client->dev, sizeof(*imx219), GFP_KERNEL);
++      if (!imx219)
++              return -ENOMEM;
++
++      v4l2_i2c_subdev_init(&imx219->sd, client, &imx219_subdev_ops);
++
++      /* Check the hardware configuration in device tree */
++      if (imx219_check_hwcfg(dev))
++              return -EINVAL;
++
++      /* Get system clock (xclk) */
++      imx219->xclk = devm_clk_get(dev, NULL);
++      if (IS_ERR(imx219->xclk)) {
++              dev_err(dev, "failed to get xclk\n");
++              return PTR_ERR(imx219->xclk);
++      }
++
++      imx219->xclk_freq = clk_get_rate(imx219->xclk);
++      if (imx219->xclk_freq != IMX219_XCLK_FREQ) {
++              dev_err(dev, "xclk frequency not supported: %d Hz\n",
++                      imx219->xclk_freq);
++              return -EINVAL;
++      }
++
++      ret = imx219_get_regulators(imx219);
++      if (ret) {
++              dev_err(dev, "failed to get regulators\n");
++              return ret;
++      }
++
++      /* Request optional enable pin */
++      imx219->reset_gpio = devm_gpiod_get_optional(dev, "reset",
++                                                   GPIOD_OUT_HIGH);
++
++      /*
++       * The sensor must be powered for imx219_identify_module()
++       * to be able to read the CHIP_ID register
++       */
++      ret = imx219_power_on(dev);
++      if (ret)
++              return ret;
++
++      ret = imx219_identify_module(imx219);
++      if (ret)
++              goto error_power_off;
++
++      /* Set default mode to max resolution */
++      imx219->mode = &supported_modes[0];
++
++      ret = imx219_init_controls(imx219);
++      if (ret)
++              goto error_power_off;
++
++      /* Initialize subdev */
++      imx219->sd.internal_ops = &imx219_internal_ops;
++      imx219->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
++      imx219->sd.entity.function = MEDIA_ENT_F_CAM_SENSOR;
++
++      /* Initialize source pad */
++      imx219->pad.flags = MEDIA_PAD_FL_SOURCE;
++
++      ret = media_entity_pads_init(&imx219->sd.entity, 1, &imx219->pad);
++      if (ret) {
++              dev_err(dev, "failed to init entity pads: %d\n", ret);
++              goto error_handler_free;
++      }
++
++      ret = v4l2_async_register_subdev_sensor_common(&imx219->sd);
++      if (ret < 0) {
++              dev_err(dev, "failed to register sensor sub-device: %d\n", ret);
++              goto error_media_entity;
++      }
++
++      /* Enable runtime PM and turn off the device */
++      pm_runtime_set_active(dev);
++      pm_runtime_enable(dev);
++      pm_runtime_idle(dev);
++
++      return 0;
++
++error_media_entity:
++      media_entity_cleanup(&imx219->sd.entity);
++
++error_handler_free:
++      imx219_free_controls(imx219);
++
++error_power_off:
++      imx219_power_off(dev);
++
++      return ret;
++}
++
++static int imx219_remove(struct i2c_client *client)
++{
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct imx219 *imx219 = to_imx219(sd);
++
++      v4l2_async_unregister_subdev(sd);
++      media_entity_cleanup(&sd->entity);
++      imx219_free_controls(imx219);
++
++      pm_runtime_disable(&client->dev);
++      if (!pm_runtime_status_suspended(&client->dev))
++              imx219_power_off(&client->dev);
++      pm_runtime_set_suspended(&client->dev);
++
++      return 0;
++}
++
++static const struct of_device_id imx219_dt_ids[] = {
++      { .compatible = "sony,imx219" },
++      { /* sentinel */ }
++};
++MODULE_DEVICE_TABLE(of, imx219_dt_ids);
++
++static const struct dev_pm_ops imx219_pm_ops = {
++      SET_SYSTEM_SLEEP_PM_OPS(imx219_suspend, imx219_resume)
++      SET_RUNTIME_PM_OPS(imx219_power_off, imx219_power_on, NULL)
++};
++
++static struct i2c_driver imx219_i2c_driver = {
++      .driver = {
++              .name = "imx219",
++              .of_match_table = imx219_dt_ids,
++              .pm = &imx219_pm_ops,
++      },
++      .probe_new = imx219_probe,
++      .remove = imx219_remove,
++};
++
++module_i2c_driver(imx219_i2c_driver);
++
++MODULE_AUTHOR("Dave Stevenson <dave.stevenson@raspberrypi.com");
++MODULE_DESCRIPTION("Sony IMX219 sensor driver");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0453-PCI-brcmstb-Add-Broadcom-STB-PCIe-host-controller-dr.patch b/target/linux/bcm27xx/patches-5.4/950-0453-PCI-brcmstb-Add-Broadcom-STB-PCIe-host-controller-dr.patch
deleted file mode 100644 (file)
index ca97a19..0000000
+++ /dev/null
@@ -1,810 +0,0 @@
-From 4d9470c29736bf81bdb0d21da24cf350b1e99402 Mon Sep 17 00:00:00 2001
-From: Jim Quinlan <james.quinlan@broadcom.com>
-Date: Mon, 16 Dec 2019 12:01:09 +0100
-Subject: [PATCH] PCI: brcmstb: Add Broadcom STB PCIe host controller
- driver
-
-commit c0452137034bda8f686dd9a2e167949bfffd6776 upstream.
-
-This adds a basic driver for Broadcom's STB PCIe controller, for now
-aimed at Raspberry Pi 4's SoC, bcm2711.
-
-Signed-off-by: Jim Quinlan <james.quinlan@broadcom.com>
-Co-developed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-[lorenzo.pieralisi@arm.com: updated brcm_pcie_get_rc_bar2_size_and_offset()according to https://lore.kernel.org/linux-pci/be8ddb33a7360af1815cf686f77f3f0913d02be3.camel@suse.de]
-Signed-off-by: Lorenzo Pieralisi <lorenzo.pieralisi@arm.com>
-Reviewed-by: Andrew Murray <andrew.murray@arm.com>
-Reviewed-by: Jeremy Linton <jeremy.linton@arm.com>
----
- drivers/pci/controller/Kconfig        |   8 +
- drivers/pci/controller/Makefile       |   1 +
- drivers/pci/controller/pcie-brcmstb.c | 755 ++++++++++++++++++++++++++
- 3 files changed, 764 insertions(+)
- create mode 100644 drivers/pci/controller/pcie-brcmstb.c
-
---- a/drivers/pci/controller/Kconfig
-+++ b/drivers/pci/controller/Kconfig
-@@ -281,6 +281,14 @@ config VMD
-         To compile this driver as a module, choose M here: the
-         module will be called vmd.
-+config PCIE_BRCMSTB
-+      tristate "Broadcom Brcmstb PCIe host controller"
-+      depends on ARCH_BCM2835 || COMPILE_TEST
-+      depends on OF
-+      help
-+        Say Y here to enable PCIe host controller support for
-+        Broadcom STB based SoCs, like the Raspberry Pi 4.
-+
- config PCI_HYPERV_INTERFACE
-       tristate "Hyper-V PCI Interface"
-       depends on X86 && HYPERV && PCI_MSI && PCI_MSI_IRQ_DOMAIN && X86_64
---- a/drivers/pci/controller/Makefile
-+++ b/drivers/pci/controller/Makefile
-@@ -30,6 +30,7 @@ obj-$(CONFIG_PCIE_MEDIATEK) += pcie-medi
- obj-$(CONFIG_PCIE_MOBIVEIL) += pcie-mobiveil.o
- obj-$(CONFIG_PCIE_TANGO_SMP8759) += pcie-tango.o
- obj-$(CONFIG_VMD) += vmd.o
-+obj-$(CONFIG_PCIE_BRCMSTB) += pcie-brcmstb.o
- # pcie-hisi.o quirks are needed even without CONFIG_PCIE_DW
- obj-y                         += dwc/
---- /dev/null
-+++ b/drivers/pci/controller/pcie-brcmstb.c
-@@ -0,0 +1,755 @@
-+// SPDX-License-Identifier: GPL-2.0+
-+/* Copyright (C) 2009 - 2019 Broadcom */
-+
-+#include <linux/bitfield.h>
-+#include <linux/clk.h>
-+#include <linux/compiler.h>
-+#include <linux/delay.h>
-+#include <linux/init.h>
-+#include <linux/interrupt.h>
-+#include <linux/io.h>
-+#include <linux/ioport.h>
-+#include <linux/irqdomain.h>
-+#include <linux/kernel.h>
-+#include <linux/list.h>
-+#include <linux/log2.h>
-+#include <linux/module.h>
-+#include <linux/of_address.h>
-+#include <linux/of_irq.h>
-+#include <linux/of_pci.h>
-+#include <linux/of_platform.h>
-+#include <linux/pci.h>
-+#include <linux/printk.h>
-+#include <linux/sizes.h>
-+#include <linux/slab.h>
-+#include <linux/string.h>
-+#include <linux/types.h>
-+
-+#include "../pci.h"
-+
-+/* BRCM_PCIE_CAP_REGS - Offset for the mandatory capability config regs */
-+#define BRCM_PCIE_CAP_REGS                            0x00ac
-+
-+/* Broadcom STB PCIe Register Offsets */
-+#define PCIE_RC_CFG_VENDOR_VENDOR_SPECIFIC_REG1                               0x0188
-+#define  PCIE_RC_CFG_VENDOR_VENDOR_SPECIFIC_REG1_ENDIAN_MODE_BAR2_MASK        0xc
-+#define  PCIE_RC_CFG_VENDOR_SPCIFIC_REG1_LITTLE_ENDIAN                        0x0
-+
-+#define PCIE_RC_CFG_PRIV1_ID_VAL3                     0x043c
-+#define  PCIE_RC_CFG_PRIV1_ID_VAL3_CLASS_CODE_MASK    0xffffff
-+
-+#define PCIE_RC_DL_MDIO_ADDR                          0x1100
-+#define PCIE_RC_DL_MDIO_WR_DATA                               0x1104
-+#define PCIE_RC_DL_MDIO_RD_DATA                               0x1108
-+
-+#define PCIE_MISC_MISC_CTRL                           0x4008
-+#define  PCIE_MISC_MISC_CTRL_SCB_ACCESS_EN_MASK               0x1000
-+#define  PCIE_MISC_MISC_CTRL_CFG_READ_UR_MODE_MASK    0x2000
-+#define  PCIE_MISC_MISC_CTRL_MAX_BURST_SIZE_MASK      0x300000
-+#define  PCIE_MISC_MISC_CTRL_MAX_BURST_SIZE_128               0x0
-+#define  PCIE_MISC_MISC_CTRL_SCB0_SIZE_MASK           0xf8000000
-+
-+#define PCIE_MISC_CPU_2_PCIE_MEM_WIN0_LO              0x400c
-+#define PCIE_MEM_WIN0_LO(win) \
-+              PCIE_MISC_CPU_2_PCIE_MEM_WIN0_LO + ((win) * 4)
-+
-+#define PCIE_MISC_CPU_2_PCIE_MEM_WIN0_HI              0x4010
-+#define PCIE_MEM_WIN0_HI(win) \
-+              PCIE_MISC_CPU_2_PCIE_MEM_WIN0_HI + ((win) * 4)
-+
-+#define PCIE_MISC_RC_BAR1_CONFIG_LO                   0x402c
-+#define  PCIE_MISC_RC_BAR1_CONFIG_LO_SIZE_MASK                0x1f
-+
-+#define PCIE_MISC_RC_BAR2_CONFIG_LO                   0x4034
-+#define  PCIE_MISC_RC_BAR2_CONFIG_LO_SIZE_MASK                0x1f
-+#define PCIE_MISC_RC_BAR2_CONFIG_HI                   0x4038
-+
-+#define PCIE_MISC_RC_BAR3_CONFIG_LO                   0x403c
-+#define  PCIE_MISC_RC_BAR3_CONFIG_LO_SIZE_MASK                0x1f
-+
-+#define PCIE_MISC_PCIE_CTRL                           0x4064
-+#define  PCIE_MISC_PCIE_CTRL_PCIE_L23_REQUEST_MASK    0x1
-+
-+#define PCIE_MISC_PCIE_STATUS                         0x4068
-+#define  PCIE_MISC_PCIE_STATUS_PCIE_PORT_MASK         0x80
-+#define  PCIE_MISC_PCIE_STATUS_PCIE_DL_ACTIVE_MASK    0x20
-+#define  PCIE_MISC_PCIE_STATUS_PCIE_PHYLINKUP_MASK    0x10
-+#define  PCIE_MISC_PCIE_STATUS_PCIE_LINK_IN_L23_MASK  0x40
-+
-+#define PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT              0x4070
-+#define  PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT_LIMIT_MASK  0xfff00000
-+#define  PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT_BASE_MASK   0xfff0
-+#define PCIE_MEM_WIN0_BASE_LIMIT(win) \
-+              PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT + ((win) * 4)
-+
-+#define PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_HI                 0x4080
-+#define  PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_HI_BASE_MASK      0xff
-+#define PCIE_MEM_WIN0_BASE_HI(win)    \
-+              PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_HI + ((win) * 8)
-+
-+#define PCIE_MISC_CPU_2_PCIE_MEM_WIN0_LIMIT_HI                        0x4084
-+#define  PCIE_MISC_CPU_2_PCIE_MEM_WIN0_LIMIT_HI_LIMIT_MASK    0xff
-+#define PCIE_MEM_WIN0_LIMIT_HI(win)   \
-+              PCIE_MISC_CPU_2_PCIE_MEM_WIN0_LIMIT_HI + ((win) * 8)
-+
-+#define PCIE_MISC_HARD_PCIE_HARD_DEBUG                                        0x4204
-+#define  PCIE_MISC_HARD_PCIE_HARD_DEBUG_CLKREQ_DEBUG_ENABLE_MASK      0x2
-+#define  PCIE_MISC_HARD_PCIE_HARD_DEBUG_SERDES_IDDQ_MASK              0x08000000
-+
-+#define PCIE_MSI_INTR2_STATUS                         0x4500
-+#define PCIE_MSI_INTR2_CLR                            0x4508
-+#define PCIE_MSI_INTR2_MASK_SET                               0x4510
-+#define PCIE_MSI_INTR2_MASK_CLR                               0x4514
-+
-+#define PCIE_EXT_CFG_DATA                             0x8000
-+
-+#define PCIE_EXT_CFG_INDEX                            0x9000
-+#define  PCIE_EXT_BUSNUM_SHIFT                                20
-+#define  PCIE_EXT_SLOT_SHIFT                          15
-+#define  PCIE_EXT_FUNC_SHIFT                          12
-+
-+#define PCIE_RGR1_SW_INIT_1                           0x9210
-+#define  PCIE_RGR1_SW_INIT_1_PERST_MASK                       0x1
-+#define  PCIE_RGR1_SW_INIT_1_INIT_MASK                        0x2
-+
-+/* PCIe parameters */
-+#define BRCM_NUM_PCIE_OUT_WINS                0x4
-+
-+/* MDIO registers */
-+#define MDIO_PORT0                    0x0
-+#define MDIO_DATA_MASK                        0x7fffffff
-+#define MDIO_PORT_MASK                        0xf0000
-+#define MDIO_REGAD_MASK                       0xffff
-+#define MDIO_CMD_MASK                 0xfff00000
-+#define MDIO_CMD_READ                 0x1
-+#define MDIO_CMD_WRITE                        0x0
-+#define MDIO_DATA_DONE_MASK           0x80000000
-+#define MDIO_RD_DONE(x)                       (((x) & MDIO_DATA_DONE_MASK) ? 1 : 0)
-+#define MDIO_WT_DONE(x)                       (((x) & MDIO_DATA_DONE_MASK) ? 0 : 1)
-+#define SSC_REGS_ADDR                 0x1100
-+#define SET_ADDR_OFFSET                       0x1f
-+#define SSC_CNTL_OFFSET                       0x2
-+#define SSC_CNTL_OVRD_EN_MASK         0x8000
-+#define SSC_CNTL_OVRD_VAL_MASK                0x4000
-+#define SSC_STATUS_OFFSET             0x1
-+#define SSC_STATUS_SSC_MASK           0x400
-+#define SSC_STATUS_PLL_LOCK_MASK      0x800
-+
-+/* Internal PCIe Host Controller Information.*/
-+struct brcm_pcie {
-+      struct device           *dev;
-+      void __iomem            *base;
-+      struct clk              *clk;
-+      struct pci_bus          *root_bus;
-+      struct device_node      *np;
-+      bool                    ssc;
-+      int                     gen;
-+};
-+
-+/*
-+ * This is to convert the size of the inbound "BAR" region to the
-+ * non-linear values of PCIE_X_MISC_RC_BAR[123]_CONFIG_LO.SIZE
-+ */
-+static int brcm_pcie_encode_ibar_size(u64 size)
-+{
-+      int log2_in = ilog2(size);
-+
-+      if (log2_in >= 12 && log2_in <= 15)
-+              /* Covers 4KB to 32KB (inclusive) */
-+              return (log2_in - 12) + 0x1c;
-+      else if (log2_in >= 16 && log2_in <= 35)
-+              /* Covers 64KB to 32GB, (inclusive) */
-+              return log2_in - 15;
-+      /* Something is awry so disable */
-+      return 0;
-+}
-+
-+static u32 brcm_pcie_mdio_form_pkt(int port, int regad, int cmd)
-+{
-+      u32 pkt = 0;
-+
-+      pkt |= FIELD_PREP(MDIO_PORT_MASK, port);
-+      pkt |= FIELD_PREP(MDIO_REGAD_MASK, regad);
-+      pkt |= FIELD_PREP(MDIO_CMD_MASK, cmd);
-+
-+      return pkt;
-+}
-+
-+/* negative return value indicates error */
-+static int brcm_pcie_mdio_read(void __iomem *base, u8 port, u8 regad, u32 *val)
-+{
-+      int tries;
-+      u32 data;
-+
-+      writel(brcm_pcie_mdio_form_pkt(port, regad, MDIO_CMD_READ),
-+                 base + PCIE_RC_DL_MDIO_ADDR);
-+      readl(base + PCIE_RC_DL_MDIO_ADDR);
-+
-+      data = readl(base + PCIE_RC_DL_MDIO_RD_DATA);
-+      for (tries = 0; !MDIO_RD_DONE(data) && tries < 10; tries++) {
-+              udelay(10);
-+              data = readl(base + PCIE_RC_DL_MDIO_RD_DATA);
-+      }
-+
-+      *val = FIELD_GET(MDIO_DATA_MASK, data);
-+      return MDIO_RD_DONE(data) ? 0 : -EIO;
-+}
-+
-+/* negative return value indicates error */
-+static int brcm_pcie_mdio_write(void __iomem *base, u8 port,
-+                              u8 regad, u16 wrdata)
-+{
-+      int tries;
-+      u32 data;
-+
-+      writel(brcm_pcie_mdio_form_pkt(port, regad, MDIO_CMD_WRITE),
-+                 base + PCIE_RC_DL_MDIO_ADDR);
-+      readl(base + PCIE_RC_DL_MDIO_ADDR);
-+      writel(MDIO_DATA_DONE_MASK | wrdata, base + PCIE_RC_DL_MDIO_WR_DATA);
-+
-+      data = readl(base + PCIE_RC_DL_MDIO_WR_DATA);
-+      for (tries = 0; !MDIO_WT_DONE(data) && tries < 10; tries++) {
-+              udelay(10);
-+              data = readl(base + PCIE_RC_DL_MDIO_WR_DATA);
-+      }
-+
-+      return MDIO_WT_DONE(data) ? 0 : -EIO;
-+}
-+
-+/*
-+ * Configures device for Spread Spectrum Clocking (SSC) mode; a negative
-+ * return value indicates error.
-+ */
-+static int brcm_pcie_set_ssc(struct brcm_pcie *pcie)
-+{
-+      int pll, ssc;
-+      int ret;
-+      u32 tmp;
-+
-+      ret = brcm_pcie_mdio_write(pcie->base, MDIO_PORT0, SET_ADDR_OFFSET,
-+                                 SSC_REGS_ADDR);
-+      if (ret < 0)
-+              return ret;
-+
-+      ret = brcm_pcie_mdio_read(pcie->base, MDIO_PORT0,
-+                                SSC_CNTL_OFFSET, &tmp);
-+      if (ret < 0)
-+              return ret;
-+
-+      u32p_replace_bits(&tmp, 1, SSC_CNTL_OVRD_EN_MASK);
-+      u32p_replace_bits(&tmp, 1, SSC_CNTL_OVRD_VAL_MASK);
-+      ret = brcm_pcie_mdio_write(pcie->base, MDIO_PORT0,
-+                                 SSC_CNTL_OFFSET, tmp);
-+      if (ret < 0)
-+              return ret;
-+
-+      usleep_range(1000, 2000);
-+      ret = brcm_pcie_mdio_read(pcie->base, MDIO_PORT0,
-+                                SSC_STATUS_OFFSET, &tmp);
-+      if (ret < 0)
-+              return ret;
-+
-+      ssc = FIELD_GET(SSC_STATUS_SSC_MASK, tmp);
-+      pll = FIELD_GET(SSC_STATUS_PLL_LOCK_MASK, tmp);
-+
-+      return ssc && pll ? 0 : -EIO;
-+}
-+
-+/* Limits operation to a specific generation (1, 2, or 3) */
-+static void brcm_pcie_set_gen(struct brcm_pcie *pcie, int gen)
-+{
-+      u16 lnkctl2 = readw(pcie->base + BRCM_PCIE_CAP_REGS + PCI_EXP_LNKCTL2);
-+      u32 lnkcap = readl(pcie->base + BRCM_PCIE_CAP_REGS + PCI_EXP_LNKCAP);
-+
-+      lnkcap = (lnkcap & ~PCI_EXP_LNKCAP_SLS) | gen;
-+      writel(lnkcap, pcie->base + BRCM_PCIE_CAP_REGS + PCI_EXP_LNKCAP);
-+
-+      lnkctl2 = (lnkctl2 & ~0xf) | gen;
-+      writew(lnkctl2, pcie->base + BRCM_PCIE_CAP_REGS + PCI_EXP_LNKCTL2);
-+}
-+
-+static void brcm_pcie_set_outbound_win(struct brcm_pcie *pcie,
-+                                     unsigned int win, u64 cpu_addr,
-+                                     u64 pcie_addr, u64 size)
-+{
-+      u32 cpu_addr_mb_high, limit_addr_mb_high;
-+      phys_addr_t cpu_addr_mb, limit_addr_mb;
-+      int high_addr_shift;
-+      u32 tmp;
-+
-+      /* Set the base of the pcie_addr window */
-+      writel(lower_32_bits(pcie_addr), pcie->base + PCIE_MEM_WIN0_LO(win));
-+      writel(upper_32_bits(pcie_addr), pcie->base + PCIE_MEM_WIN0_HI(win));
-+
-+      /* Write the addr base & limit lower bits (in MBs) */
-+      cpu_addr_mb = cpu_addr / SZ_1M;
-+      limit_addr_mb = (cpu_addr + size - 1) / SZ_1M;
-+
-+      tmp = readl(pcie->base + PCIE_MEM_WIN0_BASE_LIMIT(win));
-+      u32p_replace_bits(&tmp, cpu_addr_mb,
-+                        PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT_BASE_MASK);
-+      u32p_replace_bits(&tmp, limit_addr_mb,
-+                        PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT_LIMIT_MASK);
-+      writel(tmp, pcie->base + PCIE_MEM_WIN0_BASE_LIMIT(win));
-+
-+      /* Write the cpu & limit addr upper bits */
-+      high_addr_shift =
-+              HWEIGHT32(PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_LIMIT_BASE_MASK);
-+
-+      cpu_addr_mb_high = cpu_addr_mb >> high_addr_shift;
-+      tmp = readl(pcie->base + PCIE_MEM_WIN0_BASE_HI(win));
-+      u32p_replace_bits(&tmp, cpu_addr_mb_high,
-+                        PCIE_MISC_CPU_2_PCIE_MEM_WIN0_BASE_HI_BASE_MASK);
-+      writel(tmp, pcie->base + PCIE_MEM_WIN0_BASE_HI(win));
-+
-+      limit_addr_mb_high = limit_addr_mb >> high_addr_shift;
-+      tmp = readl(pcie->base + PCIE_MEM_WIN0_LIMIT_HI(win));
-+      u32p_replace_bits(&tmp, limit_addr_mb_high,
-+                        PCIE_MISC_CPU_2_PCIE_MEM_WIN0_LIMIT_HI_LIMIT_MASK);
-+      writel(tmp, pcie->base + PCIE_MEM_WIN0_LIMIT_HI(win));
-+}
-+
-+/* The controller is capable of serving in both RC and EP roles */
-+static bool brcm_pcie_rc_mode(struct brcm_pcie *pcie)
-+{
-+      void __iomem *base = pcie->base;
-+      u32 val = readl(base + PCIE_MISC_PCIE_STATUS);
-+
-+      return !!FIELD_GET(PCIE_MISC_PCIE_STATUS_PCIE_PORT_MASK, val);
-+}
-+
-+static bool brcm_pcie_link_up(struct brcm_pcie *pcie)
-+{
-+      u32 val = readl(pcie->base + PCIE_MISC_PCIE_STATUS);
-+      u32 dla = FIELD_GET(PCIE_MISC_PCIE_STATUS_PCIE_DL_ACTIVE_MASK, val);
-+      u32 plu = FIELD_GET(PCIE_MISC_PCIE_STATUS_PCIE_PHYLINKUP_MASK, val);
-+
-+      return dla && plu;
-+}
-+
-+/* Configuration space read/write support */
-+static inline int brcm_pcie_cfg_index(int busnr, int devfn, int reg)
-+{
-+      return ((PCI_SLOT(devfn) & 0x1f) << PCIE_EXT_SLOT_SHIFT)
-+              | ((PCI_FUNC(devfn) & 0x07) << PCIE_EXT_FUNC_SHIFT)
-+              | (busnr << PCIE_EXT_BUSNUM_SHIFT)
-+              | (reg & ~3);
-+}
-+
-+static void __iomem *brcm_pcie_map_conf(struct pci_bus *bus, unsigned int devfn,
-+                                      int where)
-+{
-+      struct brcm_pcie *pcie = bus->sysdata;
-+      void __iomem *base = pcie->base;
-+      int idx;
-+
-+      /* Accesses to the RC go right to the RC registers if slot==0 */
-+      if (pci_is_root_bus(bus))
-+              return PCI_SLOT(devfn) ? NULL : base + where;
-+
-+      /* For devices, write to the config space index register */
-+      idx = brcm_pcie_cfg_index(bus->number, devfn, 0);
-+      writel(idx, pcie->base + PCIE_EXT_CFG_INDEX);
-+      return base + PCIE_EXT_CFG_DATA + where;
-+}
-+
-+static struct pci_ops brcm_pcie_ops = {
-+      .map_bus = brcm_pcie_map_conf,
-+      .read = pci_generic_config_read,
-+      .write = pci_generic_config_write,
-+};
-+
-+static inline void brcm_pcie_bridge_sw_init_set(struct brcm_pcie *pcie, u32 val)
-+{
-+      u32 tmp;
-+
-+      tmp = readl(pcie->base + PCIE_RGR1_SW_INIT_1);
-+      u32p_replace_bits(&tmp, val, PCIE_RGR1_SW_INIT_1_INIT_MASK);
-+      writel(tmp, pcie->base + PCIE_RGR1_SW_INIT_1);
-+}
-+
-+static inline void brcm_pcie_perst_set(struct brcm_pcie *pcie, u32 val)
-+{
-+      u32 tmp;
-+
-+      tmp = readl(pcie->base + PCIE_RGR1_SW_INIT_1);
-+      u32p_replace_bits(&tmp, val, PCIE_RGR1_SW_INIT_1_PERST_MASK);
-+      writel(tmp, pcie->base + PCIE_RGR1_SW_INIT_1);
-+}
-+
-+static inline int brcm_pcie_get_rc_bar2_size_and_offset(struct brcm_pcie *pcie,
-+                                                      u64 *rc_bar2_size,
-+                                                      u64 *rc_bar2_offset)
-+{
-+      struct pci_host_bridge *bridge = pci_host_bridge_from_priv(pcie);
-+      struct device *dev = pcie->dev;
-+      struct resource_entry *entry;
-+
-+      entry = resource_list_first_type(&bridge->dma_ranges, IORESOURCE_MEM);
-+      if (!entry)
-+              return -ENODEV;
-+
-+
-+      /*
-+       * The controller expects the inbound window offset to be calculated as
-+       * the difference between PCIe's address space and CPU's. The offset
-+       * provided by the firmware is calculated the opposite way, so we
-+       * negate it.
-+       */
-+      *rc_bar2_offset = -entry->offset;
-+      *rc_bar2_size = 1ULL << fls64(entry->res->end - entry->res->start);
-+
-+      /*
-+       * We validate the inbound memory view even though we should trust
-+       * whatever the device-tree provides. This is because of an HW issue on
-+       * early Raspberry Pi 4's revisions (bcm2711). It turns out its
-+       * firmware has to dynamically edit dma-ranges due to a bug on the
-+       * PCIe controller integration, which prohibits any access above the
-+       * lower 3GB of memory. Given this, we decided to keep the dma-ranges
-+       * in check, avoiding hard to debug device-tree related issues in the
-+       * future:
-+       *
-+       * The PCIe host controller by design must set the inbound viewport to
-+       * be a contiguous arrangement of all of the system's memory.  In
-+       * addition, its size mut be a power of two.  To further complicate
-+       * matters, the viewport must start on a pcie-address that is aligned
-+       * on a multiple of its size.  If a portion of the viewport does not
-+       * represent system memory -- e.g. 3GB of memory requires a 4GB
-+       * viewport -- we can map the outbound memory in or after 3GB and even
-+       * though the viewport will overlap the outbound memory the controller
-+       * will know to send outbound memory downstream and everything else
-+       * upstream.
-+       *
-+       * For example:
-+       *
-+       * - The best-case scenario, memory up to 3GB, is to place the inbound
-+       *   region in the first 4GB of pcie-space, as some legacy devices can
-+       *   only address 32bits. We would also like to put the MSI under 4GB
-+       *   as well, since some devices require a 32bit MSI target address.
-+       *
-+       * - If the system memory is 4GB or larger we cannot start the inbound
-+       *   region at location 0 (since we have to allow some space for
-+       *   outbound memory @ 3GB). So instead it will  start at the 1x
-+       *   multiple of its size
-+       */
-+      if (!*rc_bar2_size || *rc_bar2_offset % *rc_bar2_size ||
-+          (*rc_bar2_offset < SZ_4G && *rc_bar2_offset > SZ_2G)) {
-+              dev_err(dev, "Invalid rc_bar2_offset/size: size 0x%llx, off 0x%llx\n",
-+                      *rc_bar2_size, *rc_bar2_offset);
-+              return -EINVAL;
-+      }
-+
-+      return 0;
-+}
-+
-+static int brcm_pcie_setup(struct brcm_pcie *pcie)
-+{
-+      struct pci_host_bridge *bridge = pci_host_bridge_from_priv(pcie);
-+      u64 rc_bar2_offset, rc_bar2_size;
-+      void __iomem *base = pcie->base;
-+      struct device *dev = pcie->dev;
-+      struct resource_entry *entry;
-+      unsigned int scb_size_val;
-+      bool ssc_good = false;
-+      struct resource *res;
-+      int num_out_wins = 0;
-+      u16 nlw, cls, lnksta;
-+      int i, ret;
-+      u32 tmp;
-+
-+      /* Reset the bridge */
-+      brcm_pcie_bridge_sw_init_set(pcie, 1);
-+
-+      usleep_range(100, 200);
-+
-+      /* Take the bridge out of reset */
-+      brcm_pcie_bridge_sw_init_set(pcie, 0);
-+
-+      tmp = readl(base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
-+      tmp &= ~PCIE_MISC_HARD_PCIE_HARD_DEBUG_SERDES_IDDQ_MASK;
-+      writel(tmp, base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
-+      /* Wait for SerDes to be stable */
-+      usleep_range(100, 200);
-+
-+      /* Set SCB_MAX_BURST_SIZE, CFG_READ_UR_MODE, SCB_ACCESS_EN */
-+      u32p_replace_bits(&tmp, 1, PCIE_MISC_MISC_CTRL_SCB_ACCESS_EN_MASK);
-+      u32p_replace_bits(&tmp, 1, PCIE_MISC_MISC_CTRL_CFG_READ_UR_MODE_MASK);
-+      u32p_replace_bits(&tmp, PCIE_MISC_MISC_CTRL_MAX_BURST_SIZE_128,
-+                        PCIE_MISC_MISC_CTRL_MAX_BURST_SIZE_MASK);
-+      writel(tmp, base + PCIE_MISC_MISC_CTRL);
-+
-+      ret = brcm_pcie_get_rc_bar2_size_and_offset(pcie, &rc_bar2_size,
-+                                                  &rc_bar2_offset);
-+      if (ret)
-+              return ret;
-+
-+      tmp = lower_32_bits(rc_bar2_offset);
-+      u32p_replace_bits(&tmp, brcm_pcie_encode_ibar_size(rc_bar2_size),
-+                        PCIE_MISC_RC_BAR2_CONFIG_LO_SIZE_MASK);
-+      writel(tmp, base + PCIE_MISC_RC_BAR2_CONFIG_LO);
-+      writel(upper_32_bits(rc_bar2_offset),
-+             base + PCIE_MISC_RC_BAR2_CONFIG_HI);
-+
-+      scb_size_val = rc_bar2_size ?
-+                     ilog2(rc_bar2_size) - 15 : 0xf; /* 0xf is 1GB */
-+      tmp = readl(base + PCIE_MISC_MISC_CTRL);
-+      u32p_replace_bits(&tmp, scb_size_val,
-+                        PCIE_MISC_MISC_CTRL_SCB0_SIZE_MASK);
-+      writel(tmp, base + PCIE_MISC_MISC_CTRL);
-+
-+      /* disable the PCIe->GISB memory window (RC_BAR1) */
-+      tmp = readl(base + PCIE_MISC_RC_BAR1_CONFIG_LO);
-+      tmp &= ~PCIE_MISC_RC_BAR1_CONFIG_LO_SIZE_MASK;
-+      writel(tmp, base + PCIE_MISC_RC_BAR1_CONFIG_LO);
-+
-+      /* disable the PCIe->SCB memory window (RC_BAR3) */
-+      tmp = readl(base + PCIE_MISC_RC_BAR3_CONFIG_LO);
-+      tmp &= ~PCIE_MISC_RC_BAR3_CONFIG_LO_SIZE_MASK;
-+      writel(tmp, base + PCIE_MISC_RC_BAR3_CONFIG_LO);
-+
-+      /* Mask all interrupts since we are not handling any yet */
-+      writel(0xffffffff, pcie->base + PCIE_MSI_INTR2_MASK_SET);
-+
-+      /* clear any interrupts we find on boot */
-+      writel(0xffffffff, pcie->base + PCIE_MSI_INTR2_CLR);
-+
-+      if (pcie->gen)
-+              brcm_pcie_set_gen(pcie, pcie->gen);
-+
-+      /* Unassert the fundamental reset */
-+      brcm_pcie_perst_set(pcie, 0);
-+
-+      /*
-+       * Give the RC/EP time to wake up, before trying to configure RC.
-+       * Intermittently check status for link-up, up to a total of 100ms.
-+       */
-+      for (i = 0; i < 100 && !brcm_pcie_link_up(pcie); i += 5)
-+              msleep(5);
-+
-+      if (!brcm_pcie_link_up(pcie)) {
-+              dev_err(dev, "link down\n");
-+              return -ENODEV;
-+      }
-+
-+      if (!brcm_pcie_rc_mode(pcie)) {
-+              dev_err(dev, "PCIe misconfigured; is in EP mode\n");
-+              return -EINVAL;
-+      }
-+
-+      resource_list_for_each_entry(entry, &bridge->windows) {
-+              res = entry->res;
-+
-+              if (resource_type(res) != IORESOURCE_MEM)
-+                      continue;
-+
-+              if (num_out_wins >= BRCM_NUM_PCIE_OUT_WINS) {
-+                      dev_err(pcie->dev, "too many outbound wins\n");
-+                      return -EINVAL;
-+              }
-+
-+              brcm_pcie_set_outbound_win(pcie, num_out_wins, res->start,
-+                                         res->start - entry->offset,
-+                                         resource_size(res));
-+              num_out_wins++;
-+      }
-+
-+      /*
-+       * For config space accesses on the RC, show the right class for
-+       * a PCIe-PCIe bridge (the default setting is to be EP mode).
-+       */
-+      tmp = readl(base + PCIE_RC_CFG_PRIV1_ID_VAL3);
-+      u32p_replace_bits(&tmp, 0x060400,
-+                        PCIE_RC_CFG_PRIV1_ID_VAL3_CLASS_CODE_MASK);
-+      writel(tmp, base + PCIE_RC_CFG_PRIV1_ID_VAL3);
-+
-+      if (pcie->ssc) {
-+              ret = brcm_pcie_set_ssc(pcie);
-+              if (ret == 0)
-+                      ssc_good = true;
-+              else
-+                      dev_err(dev, "failed attempt to enter ssc mode\n");
-+      }
-+
-+      lnksta = readw(base + BRCM_PCIE_CAP_REGS + PCI_EXP_LNKSTA);
-+      cls = FIELD_GET(PCI_EXP_LNKSTA_CLS, lnksta);
-+      nlw = FIELD_GET(PCI_EXP_LNKSTA_NLW, lnksta);
-+      dev_info(dev, "link up, %s x%u %s\n",
-+               PCIE_SPEED2STR(cls + PCI_SPEED_133MHz_PCIX_533),
-+               nlw, ssc_good ? "(SSC)" : "(!SSC)");
-+
-+      /* PCIe->SCB endian mode for BAR */
-+      tmp = readl(base + PCIE_RC_CFG_VENDOR_VENDOR_SPECIFIC_REG1);
-+      u32p_replace_bits(&tmp, PCIE_RC_CFG_VENDOR_SPCIFIC_REG1_LITTLE_ENDIAN,
-+              PCIE_RC_CFG_VENDOR_VENDOR_SPECIFIC_REG1_ENDIAN_MODE_BAR2_MASK);
-+      writel(tmp, base + PCIE_RC_CFG_VENDOR_VENDOR_SPECIFIC_REG1);
-+
-+      /*
-+       * Refclk from RC should be gated with CLKREQ# input when ASPM L0s,L1
-+       * is enabled => setting the CLKREQ_DEBUG_ENABLE field to 1.
-+       */
-+      tmp = readl(base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
-+      tmp |= PCIE_MISC_HARD_PCIE_HARD_DEBUG_CLKREQ_DEBUG_ENABLE_MASK;
-+      writel(tmp, base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
-+
-+      return 0;
-+}
-+
-+/* L23 is a low-power PCIe link state */
-+static void brcm_pcie_enter_l23(struct brcm_pcie *pcie)
-+{
-+      void __iomem *base = pcie->base;
-+      int l23, i;
-+      u32 tmp;
-+
-+      /* Assert request for L23 */
-+      tmp = readl(base + PCIE_MISC_PCIE_CTRL);
-+      u32p_replace_bits(&tmp, 1, PCIE_MISC_PCIE_CTRL_PCIE_L23_REQUEST_MASK);
-+      writel(tmp, base + PCIE_MISC_PCIE_CTRL);
-+
-+      /* Wait up to 36 msec for L23 */
-+      tmp = readl(base + PCIE_MISC_PCIE_STATUS);
-+      l23 = FIELD_GET(PCIE_MISC_PCIE_STATUS_PCIE_LINK_IN_L23_MASK, tmp);
-+      for (i = 0; i < 15 && !l23; i++) {
-+              usleep_range(2000, 2400);
-+              tmp = readl(base + PCIE_MISC_PCIE_STATUS);
-+              l23 = FIELD_GET(PCIE_MISC_PCIE_STATUS_PCIE_LINK_IN_L23_MASK,
-+                              tmp);
-+      }
-+
-+      if (!l23)
-+              dev_err(pcie->dev, "failed to enter low-power link state\n");
-+}
-+
-+static void brcm_pcie_turn_off(struct brcm_pcie *pcie)
-+{
-+      void __iomem *base = pcie->base;
-+      int tmp;
-+
-+      if (brcm_pcie_link_up(pcie))
-+              brcm_pcie_enter_l23(pcie);
-+      /* Assert fundamental reset */
-+      brcm_pcie_perst_set(pcie, 1);
-+
-+      /* Deassert request for L23 in case it was asserted */
-+      tmp = readl(base + PCIE_MISC_PCIE_CTRL);
-+      u32p_replace_bits(&tmp, 0, PCIE_MISC_PCIE_CTRL_PCIE_L23_REQUEST_MASK);
-+      writel(tmp, base + PCIE_MISC_PCIE_CTRL);
-+
-+      /* Turn off SerDes */
-+      tmp = readl(base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
-+      u32p_replace_bits(&tmp, 1, PCIE_MISC_HARD_PCIE_HARD_DEBUG_SERDES_IDDQ_MASK);
-+      writel(tmp, base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
-+
-+      /* Shutdown PCIe bridge */
-+      brcm_pcie_bridge_sw_init_set(pcie, 1);
-+}
-+
-+static void __brcm_pcie_remove(struct brcm_pcie *pcie)
-+{
-+      brcm_pcie_turn_off(pcie);
-+      clk_disable_unprepare(pcie->clk);
-+      clk_put(pcie->clk);
-+}
-+
-+static int brcm_pcie_remove(struct platform_device *pdev)
-+{
-+      struct brcm_pcie *pcie = platform_get_drvdata(pdev);
-+
-+      pci_stop_root_bus(pcie->root_bus);
-+      pci_remove_root_bus(pcie->root_bus);
-+      __brcm_pcie_remove(pcie);
-+
-+      return 0;
-+}
-+
-+static int brcm_pcie_probe(struct platform_device *pdev)
-+{
-+      struct device_node *np = pdev->dev.of_node;
-+      struct pci_host_bridge *bridge;
-+      struct brcm_pcie *pcie;
-+      struct pci_bus *child;
-+      struct resource *res;
-+      int ret;
-+
-+      bridge = devm_pci_alloc_host_bridge(&pdev->dev, sizeof(*pcie));
-+      if (!bridge)
-+              return -ENOMEM;
-+
-+      pcie = pci_host_bridge_priv(bridge);
-+      pcie->dev = &pdev->dev;
-+      pcie->np = np;
-+
-+      res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
-+      pcie->base = devm_ioremap_resource(&pdev->dev, res);
-+      if (IS_ERR(pcie->base))
-+              return PTR_ERR(pcie->base);
-+
-+      pcie->clk = devm_clk_get_optional(&pdev->dev, "sw_pcie");
-+      if (IS_ERR(pcie->clk))
-+              return PTR_ERR(pcie->clk);
-+
-+      ret = of_pci_get_max_link_speed(np);
-+      pcie->gen = (ret < 0) ? 0 : ret;
-+
-+      pcie->ssc = of_property_read_bool(np, "brcm,enable-ssc");
-+
-+      ret = pci_parse_request_of_pci_ranges(pcie->dev, &bridge->windows,
-+                                            &bridge->dma_ranges, NULL);
-+      if (ret)
-+              return ret;
-+
-+      ret = clk_prepare_enable(pcie->clk);
-+      if (ret) {
-+              dev_err(&pdev->dev, "could not enable clock\n");
-+              return ret;
-+      }
-+
-+      ret = brcm_pcie_setup(pcie);
-+      if (ret)
-+              goto fail;
-+
-+      bridge->dev.parent = &pdev->dev;
-+      bridge->busnr = 0;
-+      bridge->ops = &brcm_pcie_ops;
-+      bridge->sysdata = pcie;
-+      bridge->map_irq = of_irq_parse_and_map_pci;
-+      bridge->swizzle_irq = pci_common_swizzle;
-+
-+      ret = pci_scan_root_bus_bridge(bridge);
-+      if (ret < 0) {
-+              dev_err(pcie->dev, "Scanning root bridge failed\n");
-+              goto fail;
-+      }
-+
-+      pci_assign_unassigned_bus_resources(bridge->bus);
-+      list_for_each_entry(child, &bridge->bus->children, node)
-+              pcie_bus_configure_settings(child);
-+      pci_bus_add_devices(bridge->bus);
-+      platform_set_drvdata(pdev, pcie);
-+      pcie->root_bus = bridge->bus;
-+
-+      return 0;
-+fail:
-+      __brcm_pcie_remove(pcie);
-+      return ret;
-+}
-+
-+static const struct of_device_id brcm_pcie_match[] = {
-+      { .compatible = "brcm,bcm2711-pcie" },
-+      {},
-+};
-+MODULE_DEVICE_TABLE(of, brcm_pcie_match);
-+
-+static struct platform_driver brcm_pcie_driver = {
-+      .probe = brcm_pcie_probe,
-+      .remove = brcm_pcie_remove,
-+      .driver = {
-+              .name = "brcm-pcie",
-+              .of_match_table = brcm_pcie_match,
-+      },
-+};
-+module_platform_driver(brcm_pcie_driver);
-+
-+MODULE_LICENSE("GPL");
-+MODULE_DESCRIPTION("Broadcom STB PCIe RC driver");
-+MODULE_AUTHOR("Broadcom");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0453-overlays-imx219-Correct-link-frequency-to-match-the-.patch b/target/linux/bcm27xx/patches-5.4/950-0453-overlays-imx219-Correct-link-frequency-to-match-the-.patch
new file mode 100644 (file)
index 0000000..e9eed21
--- /dev/null
@@ -0,0 +1,25 @@
+From eae83133532e44adae2f632b2168119a4c7249a2 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 11 Mar 2020 12:07:57 +0000
+Subject: [PATCH] overlays: imx219: Correct link frequency to match the
+ upstream driver
+
+The upstream driver is checking the link frequency parameter, and
+the overlay had the wrong value.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/imx219-overlay.dts | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/imx219-overlay.dts
++++ b/arch/arm/boot/dts/overlays/imx219-overlay.dts
+@@ -40,7 +40,7 @@
+                                               data-lanes = <1 2>;
+                                               clock-noncontinuous;
+                                               link-frequencies =
+-                                                      /bits/ 64 <297000000>;
++                                                      /bits/ 64 <456000000>;
+                                       };
+                               };
+                       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0454-Kbuild-Allow-.dtbo-overlays-to-be-built-adjust.patch b/target/linux/bcm27xx/patches-5.4/950-0454-Kbuild-Allow-.dtbo-overlays-to-be-built-adjust.patch
new file mode 100644 (file)
index 0000000..b1cd7bf
--- /dev/null
@@ -0,0 +1,26 @@
+From 61113c5463166d734dc6560574f5fb1536bae795 Mon Sep 17 00:00:00 2001
+From: Nataliya Korovkina <malus.brandywine@gmail.com>
+Date: Thu, 12 Mar 2020 17:22:53 -0400
+Subject: [PATCH] Kbuild: Allow .dtbo overlays to be built, adjust.
+
+This is adjustment to commit
+d368ceaacdccd7732dc97d1d7987bdf7149d62e3 "kbuild: Allow .dtbo overlays to be built piecemeal"
+
+prepare3 target has gone from mainline tree in branch 5.4.y
+
+Signed-off-by: Nataliya Korovkina <malus.brandywine@gmail.com>
+---
+ Makefile | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/Makefile
++++ b/Makefile
+@@ -1261,7 +1261,7 @@ ifneq ($(dtstree),)
+ %.dtb: include/config/kernel.release scripts_dtc
+       $(Q)$(MAKE) $(build)=$(dtstree) $(dtstree)/$@
+-%.dtbo: prepare3 scripts_dtc
++%.dtbo: include/config/kernel.release scripts_dtc
+       $(Q)$(MAKE) $(build)=$(dtstree) $(dtstree)/$@
+ PHONY += dtbs dtbs_install dtbs_check
diff --git a/target/linux/bcm27xx/patches-5.4/950-0454-PCI-brcmstb-Add-MSI-support.patch b/target/linux/bcm27xx/patches-5.4/950-0454-PCI-brcmstb-Add-MSI-support.patch
deleted file mode 100644 (file)
index a27259b..0000000
+++ /dev/null
@@ -1,383 +0,0 @@
-From 1a90ecdfae1c0cf1b242276f6f0e3d98b5877f14 Mon Sep 17 00:00:00 2001
-From: Jim Quinlan <james.quinlan@broadcom.com>
-Date: Mon, 16 Dec 2019 12:01:10 +0100
-Subject: [PATCH] PCI: brcmstb: Add MSI support
-
-commit 40ca1bf580ef24df30702032ba5e40dfdcaa200b upstream.
-
-This adds MSI support to the Broadcom STB PCIe host controller. The MSI
-controller is physically located within the PCIe block, however, there
-is no reason why the MSI controller could not be moved elsewhere in the
-future. MSIX is not supported by the HW.
-
-Since the internal Brcmstb MSI controller is intertwined with the PCIe
-controller, it is not its own platform device but rather part of the
-PCIe platform device.
-
-Signed-off-by: Jim Quinlan <james.quinlan@broadcom.com>
-Co-developed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Lorenzo Pieralisi <lorenzo.pieralisi@arm.com>
-Reviewed-by: Marc Zyngier <maz@kernel.org>
-Reviewed-by: Andrew Murray <andrew.murray@arm.com>
----
- drivers/pci/controller/Kconfig        |   1 +
- drivers/pci/controller/pcie-brcmstb.c | 262 +++++++++++++++++++++++++-
- 2 files changed, 262 insertions(+), 1 deletion(-)
-
---- a/drivers/pci/controller/Kconfig
-+++ b/drivers/pci/controller/Kconfig
-@@ -285,6 +285,7 @@ config PCIE_BRCMSTB
-       tristate "Broadcom Brcmstb PCIe host controller"
-       depends on ARCH_BCM2835 || COMPILE_TEST
-       depends on OF
-+      depends on PCI_MSI_IRQ_DOMAIN
-       help
-         Say Y here to enable PCIe host controller support for
-         Broadcom STB based SoCs, like the Raspberry Pi 4.
---- a/drivers/pci/controller/pcie-brcmstb.c
-+++ b/drivers/pci/controller/pcie-brcmstb.c
-@@ -2,6 +2,7 @@
- /* Copyright (C) 2009 - 2019 Broadcom */
- #include <linux/bitfield.h>
-+#include <linux/bitops.h>
- #include <linux/clk.h>
- #include <linux/compiler.h>
- #include <linux/delay.h>
-@@ -9,11 +10,13 @@
- #include <linux/interrupt.h>
- #include <linux/io.h>
- #include <linux/ioport.h>
-+#include <linux/irqchip/chained_irq.h>
- #include <linux/irqdomain.h>
- #include <linux/kernel.h>
- #include <linux/list.h>
- #include <linux/log2.h>
- #include <linux/module.h>
-+#include <linux/msi.h>
- #include <linux/of_address.h>
- #include <linux/of_irq.h>
- #include <linux/of_pci.h>
-@@ -67,6 +70,12 @@
- #define PCIE_MISC_RC_BAR3_CONFIG_LO                   0x403c
- #define  PCIE_MISC_RC_BAR3_CONFIG_LO_SIZE_MASK                0x1f
-+#define PCIE_MISC_MSI_BAR_CONFIG_LO                   0x4044
-+#define PCIE_MISC_MSI_BAR_CONFIG_HI                   0x4048
-+
-+#define PCIE_MISC_MSI_DATA_CONFIG                     0x404c
-+#define  PCIE_MISC_MSI_DATA_CONFIG_VAL                        0xffe06540
-+
- #define PCIE_MISC_PCIE_CTRL                           0x4064
- #define  PCIE_MISC_PCIE_CTRL_PCIE_L23_REQUEST_MASK    0x1
-@@ -114,6 +123,11 @@
- /* PCIe parameters */
- #define BRCM_NUM_PCIE_OUT_WINS                0x4
-+#define BRCM_INT_PCI_MSI_NR           32
-+
-+/* MSI target adresses */
-+#define BRCM_MSI_TARGET_ADDR_LT_4GB   0x0fffffffcULL
-+#define BRCM_MSI_TARGET_ADDR_GT_4GB   0xffffffffcULL
- /* MDIO registers */
- #define MDIO_PORT0                    0x0
-@@ -135,6 +149,19 @@
- #define SSC_STATUS_SSC_MASK           0x400
- #define SSC_STATUS_PLL_LOCK_MASK      0x800
-+struct brcm_msi {
-+      struct device           *dev;
-+      void __iomem            *base;
-+      struct device_node      *np;
-+      struct irq_domain       *msi_domain;
-+      struct irq_domain       *inner_domain;
-+      struct mutex            lock; /* guards the alloc/free operations */
-+      u64                     target_addr;
-+      int                     irq;
-+      /* used indicates which MSI interrupts have been alloc'd */
-+      unsigned long           used;
-+};
-+
- /* Internal PCIe Host Controller Information.*/
- struct brcm_pcie {
-       struct device           *dev;
-@@ -144,6 +171,8 @@ struct brcm_pcie {
-       struct device_node      *np;
-       bool                    ssc;
-       int                     gen;
-+      u64                     msi_target_addr;
-+      struct brcm_msi         *msi;
- };
- /*
-@@ -309,6 +338,215 @@ static void brcm_pcie_set_outbound_win(s
-       writel(tmp, pcie->base + PCIE_MEM_WIN0_LIMIT_HI(win));
- }
-+static struct irq_chip brcm_msi_irq_chip = {
-+      .name            = "BRCM STB PCIe MSI",
-+      .irq_ack         = irq_chip_ack_parent,
-+      .irq_mask        = pci_msi_mask_irq,
-+      .irq_unmask      = pci_msi_unmask_irq,
-+};
-+
-+static struct msi_domain_info brcm_msi_domain_info = {
-+      /* Multi MSI is supported by the controller, but not by this driver */
-+      .flags  = (MSI_FLAG_USE_DEF_DOM_OPS | MSI_FLAG_USE_DEF_CHIP_OPS),
-+      .chip   = &brcm_msi_irq_chip,
-+};
-+
-+static void brcm_pcie_msi_isr(struct irq_desc *desc)
-+{
-+      struct irq_chip *chip = irq_desc_get_chip(desc);
-+      unsigned long status, virq;
-+      struct brcm_msi *msi;
-+      struct device *dev;
-+      u32 bit;
-+
-+      chained_irq_enter(chip, desc);
-+      msi = irq_desc_get_handler_data(desc);
-+      dev = msi->dev;
-+
-+      status = readl(msi->base + PCIE_MSI_INTR2_STATUS);
-+      for_each_set_bit(bit, &status, BRCM_INT_PCI_MSI_NR) {
-+              virq = irq_find_mapping(msi->inner_domain, bit);
-+              if (virq)
-+                      generic_handle_irq(virq);
-+              else
-+                      dev_dbg(dev, "unexpected MSI\n");
-+      }
-+
-+      chained_irq_exit(chip, desc);
-+}
-+
-+static void brcm_msi_compose_msi_msg(struct irq_data *data, struct msi_msg *msg)
-+{
-+      struct brcm_msi *msi = irq_data_get_irq_chip_data(data);
-+
-+      msg->address_lo = lower_32_bits(msi->target_addr);
-+      msg->address_hi = upper_32_bits(msi->target_addr);
-+      msg->data = (0xffff & PCIE_MISC_MSI_DATA_CONFIG_VAL) | data->hwirq;
-+}
-+
-+static int brcm_msi_set_affinity(struct irq_data *irq_data,
-+                               const struct cpumask *mask, bool force)
-+{
-+      return -EINVAL;
-+}
-+
-+static void brcm_msi_ack_irq(struct irq_data *data)
-+{
-+      struct brcm_msi *msi = irq_data_get_irq_chip_data(data);
-+
-+      writel(1 << data->hwirq, msi->base + PCIE_MSI_INTR2_CLR);
-+}
-+
-+
-+static struct irq_chip brcm_msi_bottom_irq_chip = {
-+      .name                   = "BRCM STB MSI",
-+      .irq_compose_msi_msg    = brcm_msi_compose_msi_msg,
-+      .irq_set_affinity       = brcm_msi_set_affinity,
-+      .irq_ack                = brcm_msi_ack_irq,
-+};
-+
-+static int brcm_msi_alloc(struct brcm_msi *msi)
-+{
-+      int hwirq;
-+
-+      mutex_lock(&msi->lock);
-+      hwirq = bitmap_find_free_region(&msi->used, BRCM_INT_PCI_MSI_NR, 0);
-+      mutex_unlock(&msi->lock);
-+
-+      return hwirq;
-+}
-+
-+static void brcm_msi_free(struct brcm_msi *msi, unsigned long hwirq)
-+{
-+      mutex_lock(&msi->lock);
-+      bitmap_release_region(&msi->used, hwirq, 0);
-+      mutex_unlock(&msi->lock);
-+}
-+
-+static int brcm_irq_domain_alloc(struct irq_domain *domain, unsigned int virq,
-+                               unsigned int nr_irqs, void *args)
-+{
-+      struct brcm_msi *msi = domain->host_data;
-+      int hwirq;
-+
-+      hwirq = brcm_msi_alloc(msi);
-+
-+      if (hwirq < 0)
-+              return hwirq;
-+
-+      irq_domain_set_info(domain, virq, (irq_hw_number_t)hwirq,
-+                          &brcm_msi_bottom_irq_chip, domain->host_data,
-+                          handle_edge_irq, NULL, NULL);
-+      return 0;
-+}
-+
-+static void brcm_irq_domain_free(struct irq_domain *domain,
-+                               unsigned int virq, unsigned int nr_irqs)
-+{
-+      struct irq_data *d = irq_domain_get_irq_data(domain, virq);
-+      struct brcm_msi *msi = irq_data_get_irq_chip_data(d);
-+
-+      brcm_msi_free(msi, d->hwirq);
-+}
-+
-+static const struct irq_domain_ops msi_domain_ops = {
-+      .alloc  = brcm_irq_domain_alloc,
-+      .free   = brcm_irq_domain_free,
-+};
-+
-+static int brcm_allocate_domains(struct brcm_msi *msi)
-+{
-+      struct fwnode_handle *fwnode = of_node_to_fwnode(msi->np);
-+      struct device *dev = msi->dev;
-+
-+      msi->inner_domain = irq_domain_add_linear(NULL, BRCM_INT_PCI_MSI_NR,
-+                                                &msi_domain_ops, msi);
-+      if (!msi->inner_domain) {
-+              dev_err(dev, "failed to create IRQ domain\n");
-+              return -ENOMEM;
-+      }
-+
-+      msi->msi_domain = pci_msi_create_irq_domain(fwnode,
-+                                                  &brcm_msi_domain_info,
-+                                                  msi->inner_domain);
-+      if (!msi->msi_domain) {
-+              dev_err(dev, "failed to create MSI domain\n");
-+              irq_domain_remove(msi->inner_domain);
-+              return -ENOMEM;
-+      }
-+
-+      return 0;
-+}
-+
-+static void brcm_free_domains(struct brcm_msi *msi)
-+{
-+      irq_domain_remove(msi->msi_domain);
-+      irq_domain_remove(msi->inner_domain);
-+}
-+
-+static void brcm_msi_remove(struct brcm_pcie *pcie)
-+{
-+      struct brcm_msi *msi = pcie->msi;
-+
-+      if (!msi)
-+              return;
-+      irq_set_chained_handler(msi->irq, NULL);
-+      irq_set_handler_data(msi->irq, NULL);
-+      brcm_free_domains(msi);
-+}
-+
-+static void brcm_msi_set_regs(struct brcm_msi *msi)
-+{
-+      writel(0xffffffff, msi->base + PCIE_MSI_INTR2_MASK_CLR);
-+
-+      /*
-+       * The 0 bit of PCIE_MISC_MSI_BAR_CONFIG_LO is repurposed to MSI
-+       * enable, which we set to 1.
-+       */
-+      writel(lower_32_bits(msi->target_addr) | 0x1,
-+             msi->base + PCIE_MISC_MSI_BAR_CONFIG_LO);
-+      writel(upper_32_bits(msi->target_addr),
-+             msi->base + PCIE_MISC_MSI_BAR_CONFIG_HI);
-+
-+      writel(PCIE_MISC_MSI_DATA_CONFIG_VAL,
-+             msi->base + PCIE_MISC_MSI_DATA_CONFIG);
-+}
-+
-+static int brcm_pcie_enable_msi(struct brcm_pcie *pcie)
-+{
-+      struct brcm_msi *msi;
-+      int irq, ret;
-+      struct device *dev = pcie->dev;
-+
-+      irq = irq_of_parse_and_map(dev->of_node, 1);
-+      if (irq <= 0) {
-+              dev_err(dev, "cannot map MSI interrupt\n");
-+              return -ENODEV;
-+      }
-+
-+      msi = devm_kzalloc(dev, sizeof(struct brcm_msi), GFP_KERNEL);
-+      if (!msi)
-+              return -ENOMEM;
-+
-+      mutex_init(&msi->lock);
-+      msi->dev = dev;
-+      msi->base = pcie->base;
-+      msi->np = pcie->np;
-+      msi->target_addr = pcie->msi_target_addr;
-+      msi->irq = irq;
-+
-+      ret = brcm_allocate_domains(msi);
-+      if (ret)
-+              return ret;
-+
-+      irq_set_chained_handler_and_data(msi->irq, brcm_pcie_msi_isr, msi);
-+
-+      brcm_msi_set_regs(msi);
-+      pcie->msi = msi;
-+
-+      return 0;
-+}
-+
- /* The controller is capable of serving in both RC and EP roles */
- static bool brcm_pcie_rc_mode(struct brcm_pcie *pcie)
- {
-@@ -497,6 +735,18 @@ static int brcm_pcie_setup(struct brcm_p
-                         PCIE_MISC_MISC_CTRL_SCB0_SIZE_MASK);
-       writel(tmp, base + PCIE_MISC_MISC_CTRL);
-+      /*
-+       * We ideally want the MSI target address to be located in the 32bit
-+       * addressable memory area. Some devices might depend on it. This is
-+       * possible either when the inbound window is located above the lower
-+       * 4GB or when the inbound area is smaller than 4GB (taking into
-+       * account the rounding-up we're forced to perform).
-+       */
-+      if (rc_bar2_offset >= SZ_4G || (rc_bar2_size + rc_bar2_offset) < SZ_4G)
-+              pcie->msi_target_addr = BRCM_MSI_TARGET_ADDR_LT_4GB;
-+      else
-+              pcie->msi_target_addr = BRCM_MSI_TARGET_ADDR_GT_4GB;
-+
-       /* disable the PCIe->GISB memory window (RC_BAR1) */
-       tmp = readl(base + PCIE_MISC_RC_BAR1_CONFIG_LO);
-       tmp &= ~PCIE_MISC_RC_BAR1_CONFIG_LO_SIZE_MASK;
-@@ -646,6 +896,7 @@ static void brcm_pcie_turn_off(struct br
- static void __brcm_pcie_remove(struct brcm_pcie *pcie)
- {
-+      brcm_msi_remove(pcie);
-       brcm_pcie_turn_off(pcie);
-       clk_disable_unprepare(pcie->clk);
-       clk_put(pcie->clk);
-@@ -664,7 +915,7 @@ static int brcm_pcie_remove(struct platf
- static int brcm_pcie_probe(struct platform_device *pdev)
- {
--      struct device_node *np = pdev->dev.of_node;
-+      struct device_node *np = pdev->dev.of_node, *msi_np;
-       struct pci_host_bridge *bridge;
-       struct brcm_pcie *pcie;
-       struct pci_bus *child;
-@@ -708,6 +959,15 @@ static int brcm_pcie_probe(struct platfo
-       if (ret)
-               goto fail;
-+      msi_np = of_parse_phandle(pcie->np, "msi-parent", 0);
-+      if (pci_msi_enabled() && msi_np == pcie->np) {
-+              ret = brcm_pcie_enable_msi(pcie);
-+              if (ret) {
-+                      dev_err(pcie->dev, "probe of internal MSI failed");
-+                      goto fail;
-+              }
-+      }
-+
-       bridge->dev.parent = &pdev->dev;
-       bridge->busnr = 0;
-       bridge->ops = &brcm_pcie_ops;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0455-PCI-brcmstb-Fix-build-on-32bit-ARM-platforms-with-ol.patch b/target/linux/bcm27xx/patches-5.4/950-0455-PCI-brcmstb-Fix-build-on-32bit-ARM-platforms-with-ol.patch
deleted file mode 100644 (file)
index 6bb45cc..0000000
+++ /dev/null
@@ -1,38 +0,0 @@
-From 39192141aa16809323c24d8910e3a63488f7f55d Mon Sep 17 00:00:00 2001
-From: Marek Szyprowski <m.szyprowski@samsung.com>
-Date: Thu, 27 Feb 2020 12:51:46 +0100
-Subject: [PATCH] PCI: brcmstb: Fix build on 32bit ARM platforms with
- older compilers
-
-commit 73a7a271b3eee7b83f29b13866163776f1cbef89 upstream.
-
-Some older compilers have no implementation for the helper for 64-bit
-unsigned division/modulo, so linking pcie-brcmstb driver causes the
-"undefined reference to `__aeabi_uldivmod'" error.
-
-*rc_bar2_size is always a power of two, because it is calculated as:
-"1ULL << fls64(entry->res->end - entry->res->start)", so the modulo
-operation in the subsequent check can be replaced by a simple logical
-AND with a proper mask.
-
-Link: https://lore.kernel.org/r/20200227115146.24515-1-m.szyprowski@samsung.com
-Fixes: c0452137034b ("PCI: brcmstb: Add Broadcom STB PCIe host controller driver")
-Signed-off-by: Marek Szyprowski <m.szyprowski@samsung.com>
-Signed-off-by: Bjorn Helgaas <bhelgaas@google.com>
-Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Acked-by: Lorenzo Pieralisi <lorenzo.pieralisi@arm.com>
----
- drivers/pci/controller/pcie-brcmstb.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/pci/controller/pcie-brcmstb.c
-+++ b/drivers/pci/controller/pcie-brcmstb.c
-@@ -670,7 +670,7 @@ static inline int brcm_pcie_get_rc_bar2_
-        *   outbound memory @ 3GB). So instead it will  start at the 1x
-        *   multiple of its size
-        */
--      if (!*rc_bar2_size || *rc_bar2_offset % *rc_bar2_size ||
-+      if (!*rc_bar2_size || (*rc_bar2_offset & (*rc_bar2_size - 1)) ||
-           (*rc_bar2_offset < SZ_4G && *rc_bar2_offset > SZ_2G)) {
-               dev_err(dev, "Invalid rc_bar2_offset/size: size 0x%llx, off 0x%llx\n",
-                       *rc_bar2_size, *rc_bar2_offset);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0455-media-ov5647-Fix-return-codes-from-ov5647_write-ov56.patch b/target/linux/bcm27xx/patches-5.4/950-0455-media-ov5647-Fix-return-codes-from-ov5647_write-ov56.patch
new file mode 100644 (file)
index 0000000..c7e10cb
--- /dev/null
@@ -0,0 +1,74 @@
+From 23f717168dc55f69ad517d3ab5f412d04a5afc0e Mon Sep 17 00:00:00 2001
+From: David Plowman <david.plowman@raspberrypi.org>
+Date: Wed, 15 Jan 2020 13:40:38 +0000
+Subject: [PATCH] media: ov5647: Fix return codes from
+ ov5647_write/ov5647_read functions.
+
+Previously they were returning positive non-zero codes for success,
+which were getting passed up the call stack. Since release 4.19,
+do_dentry_open (fs/open.c) has been catching these and flagging an
+error. (So this driver has been broken since that date.)
+
+Fixes: 3c2472a [media] media: i2c: Add support for OV5647 sensor
+Signed-off-by: David Plowman <david.plowman@raspberrypi.org>
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/i2c/ov5647.c | 30 +++++++++++++++++++++++++++---
+ 1 file changed, 27 insertions(+), 3 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -214,9 +214,18 @@ static int ov5647_write(struct v4l2_subd
+       struct i2c_client *client = v4l2_get_subdevdata(sd);
+       ret = i2c_master_send(client, data, 3);
+-      if (ret < 0)
++      /*
++       * Writing the wrong number of bytes also needs to be flagged as an
++       * error. Success needs to produce a 0 return code.
++       */
++      if (ret == 3) {
++              ret = 0;
++      } else {
+               dev_dbg(&client->dev, "%s: i2c write error, reg: %x\n",
+                               __func__, reg);
++              if (ret >= 0)
++                      ret = -EINVAL;
++      }
+       return ret;
+ }
+@@ -228,16 +237,31 @@ static int ov5647_read(struct v4l2_subde
+       struct i2c_client *client = v4l2_get_subdevdata(sd);
+       ret = i2c_master_send(client, data_w, 2);
+-      if (ret < 0) {
++      /*
++       * A negative return code, or sending the wrong number of bytes, both
++       * count as an error.
++       */
++      if (ret != 2) {
+               dev_dbg(&client->dev, "%s: i2c write error, reg: %x\n",
+                       __func__, reg);
++              if (ret >= 0)
++                      ret = -EINVAL;
+               return ret;
+       }
+       ret = i2c_master_recv(client, val, 1);
+-      if (ret < 0)
++      /*
++       * The only return value indicating success is 1. Anything else, even
++       * a non-negative value, indicates something went wrong.
++       */
++      if (ret == 1) {
++              ret = 0;
++      } else {
+               dev_dbg(&client->dev, "%s: i2c read error, reg: %x\n",
+                               __func__, reg);
++              if (ret >= 0)
++                      ret = -EINVAL;
++      }
+       return ret;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0456-bcm2711-rpi.dtsi-Use-upstream-pcie-node.patch b/target/linux/bcm27xx/patches-5.4/950-0456-bcm2711-rpi.dtsi-Use-upstream-pcie-node.patch
deleted file mode 100644 (file)
index 729d6e6..0000000
+++ /dev/null
@@ -1,75 +0,0 @@
-From 5e9b9f246802f492e7740ab2589aa8c81df5ef20 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Mon, 2 Mar 2020 15:05:25 +0000
-Subject: [PATCH] bcm2711-rpi.dtsi: Use upstream pcie node
-
-Now that the upstream bcm2711 DT has a pcie DT node there's no need to
-define one downstream.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts |  2 +-
- arch/arm/boot/dts/bcm2711-rpi.dtsi    | 41 ---------------------------
- 2 files changed, 1 insertion(+), 42 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -163,7 +163,7 @@
-               i2c6 = &i2c6;
-               /delete-property/ ethernet;
-               /delete-property/ intc;
--              pcie0 = &pcie_0;
-+              pcie0 = &pcie0;
-       };
-       /delete-node/ wifi-pwrseq;
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -66,47 +66,6 @@
-                <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
-       dma-ranges = <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
--      pcie_0: pcie@7d500000 {
--              reg = <0x0 0x7d500000 0x9310>,
--                    <0x0 0x7e00f300 0x20>;
--              msi-controller;
--              msi-parent = <&pcie_0>;
--              #address-cells = <3>;
--              #interrupt-cells = <1>;
--              #size-cells = <2>;
--              bus-range = <0x0 0x01>;
--              compatible = "brcm,bcm2711b0-pcie", // Safe value
--                           "brcm,bcm2711-pcie",
--                           "brcm,pci-plat-dev";
--              max-link-speed = <2>;
--              tot-num-pcie = <1>;
--              linux,pci-domain = <0>;
--              interrupts = <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>,
--                           <GIC_SPI 148 IRQ_TYPE_LEVEL_HIGH>;
--              interrupt-names = "pcie", "msi";
--              interrupt-map-mask = <0x0 0x0 0x0 0x7>;
--              interrupt-map = <0 0 0 1 &gicv2 GIC_SPI 143
--                                      IRQ_TYPE_LEVEL_HIGH
--                               0 0 0 2 &gicv2 GIC_SPI 144
--                                      IRQ_TYPE_LEVEL_HIGH
--                               0 0 0 3 &gicv2 GIC_SPI 145
--                                      IRQ_TYPE_LEVEL_HIGH
--                               0 0 0 4 &gicv2 GIC_SPI 146
--                                      IRQ_TYPE_LEVEL_HIGH>;
--
--              /* Map outbound accesses from scb:0x6_00000000-03ffffff
--               * to pci:0x0_f8000000-fbffffff
--               */
--              ranges = <0x02000000 0x0 0xf8000000  0x6 0x00000000
--                        0x0 0x04000000>;
--              /* Map inbound accesses from pci:0x0_00000000..ffffffff
--               * to scb:0x0_00000000-ffffffff
--               */
--              dma-ranges = <0x02000000 0x0 0x00000000  0x0 0x00000000
--                            0x1 0x00000000>;
--              status = "okay";
--      };
--
-       dma40: dma@7e007b00 {
-               compatible = "brcm,bcm2711-dma";
-               reg = <0x0 0x7e007b00 0x400>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0456-media-ov5647-Add-basic-support-for-multiple-sensor-m.patch b/target/linux/bcm27xx/patches-5.4/950-0456-media-ov5647-Add-basic-support-for-multiple-sensor-m.patch
new file mode 100644 (file)
index 0000000..5846e96
--- /dev/null
@@ -0,0 +1,407 @@
+From 9e584d9de3387588bf455d3c45ec6a092bfa4266 Mon Sep 17 00:00:00 2001
+From: David Plowman <david.plowman@raspberrypi.com>
+Date: Wed, 29 Jan 2020 15:30:53 +0000
+Subject: [PATCH] media: ov5647: Add basic support for multiple sensor
+ modes.
+
+Specifically:
+
+Added a structure ov5647_mode and a list of supported_modes (though no
+actual new modes as yet). The state object points to the "current mode".
+
+ov5647_enum_mbus_code, ov5647_enum_frame_size, ov5647_set_fmt and
+ov5647_get_fmt all needed upgrading to cope with multiple modes.
+
+__sensor_init (which writes all the registers) is now called by
+ov5647_stream_on (once the mode is known) rather than by
+ov5647_sensor_power.
+
+Signed-off-by: David Plowman <david.plowman@raspberrypi.com>
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/i2c/ov5647.c | 268 ++++++++++++++++++++++++++++---------
+ 1 file changed, 202 insertions(+), 66 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -86,13 +86,17 @@ struct regval_list {
+       u8 data;
+ };
++struct ov5647_mode {
++      struct v4l2_mbus_framefmt       format;
++      struct regval_list              *reg_list;
++      unsigned int                    num_regs;
++};
++
+ struct ov5647 {
+       struct v4l2_subdev              sd;
+       struct media_pad                pad;
+       struct mutex                    lock;
+-      struct v4l2_mbus_framefmt       format;
+-      unsigned int                    width;
+-      unsigned int                    height;
++      const struct ov5647_mode        *mode;
+       int                             power_count;
+       struct clk                      *xclk;
+       struct gpio_desc                *pwdn;
+@@ -207,6 +211,32 @@ static struct regval_list ov5647_640x480
+       {0x0100, 0x01},
+ };
++static struct ov5647_mode supported_modes_8bit[] = {
++      /*
++       * Original 8-bit VGA mode
++       * Uncentred crop (top left quarter) from 2x2 binned 1296x972 image.
++       */
++      {
++              {
++                      .code = MEDIA_BUS_FMT_SBGGR8_1X8,
++                      .colorspace = V4L2_COLORSPACE_SRGB,
++                      .field = V4L2_FIELD_NONE,
++                      .width = 640,
++                      .height = 480
++              },
++              ov5647_640x480,
++              ARRAY_SIZE(ov5647_640x480)
++      },
++      /* more modes below here... */
++};
++
++static struct ov5647_mode supported_modes_10bit[] = {
++      /* no 10-bit modes yet */
++};
++
++/* Use original 8-bit VGA mode as default. */
++#define OV5647_DEFAULT_MODE (&supported_modes_8bit[0])
++
+ static int ov5647_write(struct v4l2_subdev *sd, u16 reg, u8 val)
+ {
+       int ret;
+@@ -293,12 +323,55 @@ static int ov5647_set_virtual_channel(st
+       return ov5647_write(sd, OV5647_REG_MIPI_CTRL14, channel_id | (channel << 6));
+ }
++static int __sensor_init(struct v4l2_subdev *sd)
++{
++      int ret;
++      u8 resetval, rdval;
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
++      struct ov5647 *state = to_state(sd);
++
++      ret = ov5647_read(sd, OV5647_SW_STANDBY, &rdval);
++      if (ret < 0)
++              return ret;
++
++      ret = ov5647_write_array(sd, state->mode->reg_list,
++                               state->mode->num_regs);
++      if (ret < 0) {
++              dev_err(&client->dev, "write sensor default regs error\n");
++              return ret;
++      }
++
++      ret = ov5647_set_virtual_channel(sd, 0);
++      if (ret < 0)
++              return ret;
++
++      ret = ov5647_read(sd, OV5647_SW_STANDBY, &resetval);
++      if (ret < 0)
++              return ret;
++
++      if (!(resetval & 0x01)) {
++              dev_err(&client->dev, "Device was in SW standby");
++              ret = ov5647_write(sd, OV5647_SW_STANDBY, 0x01);
++              if (ret < 0)
++                      return ret;
++      }
++
++      return 0;
++}
++
+ static int ov5647_stream_on(struct v4l2_subdev *sd)
+ {
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
+       struct ov5647 *ov5647 = to_state(sd);
+       u8 val = MIPI_CTRL00_BUS_IDLE;
+       int ret;
++      ret = __sensor_init(sd);
++      if (ret < 0) {
++              dev_err(&client->dev, "sensor_init failed\n");
++              return ret;
++      }
++
+       if (ov5647->flags & V4L2_MBUS_CSI2_NONCONTINUOUS_CLOCK)
+               val |= MIPI_CTRL00_CLOCK_LANE_GATE |
+                      MIPI_CTRL00_LINE_SYNC_ENABLE;
+@@ -347,44 +420,6 @@ static int set_sw_standby(struct v4l2_su
+       return ov5647_write(sd, OV5647_SW_STANDBY, rdval);
+ }
+-static int __sensor_init(struct v4l2_subdev *sd)
+-{
+-      int ret;
+-      u8 resetval, rdval;
+-      struct i2c_client *client = v4l2_get_subdevdata(sd);
+-
+-      ret = ov5647_read(sd, OV5647_SW_STANDBY, &rdval);
+-      if (ret < 0)
+-              return ret;
+-
+-      ret = ov5647_write_array(sd, ov5647_640x480,
+-                                      ARRAY_SIZE(ov5647_640x480));
+-      if (ret < 0) {
+-              dev_err(&client->dev, "write sensor default regs error\n");
+-              return ret;
+-      }
+-
+-      ret = ov5647_set_virtual_channel(sd, 0);
+-      if (ret < 0)
+-              return ret;
+-
+-      ret = ov5647_read(sd, OV5647_SW_STANDBY, &resetval);
+-      if (ret < 0)
+-              return ret;
+-
+-      if (!(resetval & 0x01)) {
+-              dev_err(&client->dev, "Device was in SW standby");
+-              ret = ov5647_write(sd, OV5647_SW_STANDBY, 0x01);
+-              if (ret < 0)
+-                      return ret;
+-      }
+-
+-      /*
+-       * stream off to make the clock lane into LP-11 state.
+-       */
+-      return ov5647_stream_off(sd);
+-}
+-
+ static int ov5647_sensor_power(struct v4l2_subdev *sd, int on)
+ {
+       int ret = 0;
+@@ -408,7 +443,7 @@ static int ov5647_sensor_power(struct v4
+               }
+               ret = ov5647_write_array(sd, sensor_oe_enable_regs,
+-                              ARRAY_SIZE(sensor_oe_enable_regs));
++                                       ARRAY_SIZE(sensor_oe_enable_regs));
+               if (ret < 0) {
+                       clk_disable_unprepare(ov5647->xclk);
+                       dev_err(&client->dev,
+@@ -416,7 +451,10 @@ static int ov5647_sensor_power(struct v4
+                       goto out;
+               }
+-              ret = __sensor_init(sd);
++              /*
++               * Ensure streaming off to make clock lane go into LP-11 state.
++               */
++              ret = ov5647_stream_off(sd);
+               if (ret < 0) {
+                       clk_disable_unprepare(ov5647->xclk);
+                       dev_err(&client->dev,
+@@ -427,7 +465,7 @@ static int ov5647_sensor_power(struct v4
+               dev_dbg(&client->dev, "OV5647 power off\n");
+               ret = ov5647_write_array(sd, sensor_oe_disable_regs,
+-                              ARRAY_SIZE(sensor_oe_disable_regs));
++                                       ARRAY_SIZE(sensor_oe_disable_regs));
+               if (ret < 0)
+                       dev_dbg(&client->dev, "disable oe failed\n");
+@@ -489,10 +527,19 @@ static const struct v4l2_subdev_core_ops
+ static int ov5647_s_stream(struct v4l2_subdev *sd, int enable)
+ {
++      struct ov5647 *state = to_state(sd);
++      int ret = 0;
++
++      mutex_lock(&state->lock);
++
+       if (enable)
+-              return ov5647_stream_on(sd);
++              ret = ov5647_stream_on(sd);
+       else
+-              return ov5647_stream_off(sd);
++              ret = ov5647_stream_off(sd);
++
++      mutex_unlock(&state->lock);
++
++      return ret;
+ }
+ static const struct v4l2_subdev_video_ops ov5647_subdev_video_ops = {
+@@ -503,38 +550,127 @@ static int ov5647_enum_mbus_code(struct
+                               struct v4l2_subdev_pad_config *cfg,
+                               struct v4l2_subdev_mbus_code_enum *code)
+ {
+-      if (code->index > 0)
++      if (code->index == 0 && ARRAY_SIZE(supported_modes_8bit))
++              code->code = MEDIA_BUS_FMT_SBGGR8_1X8;
++      else if (code->index == 0 && ARRAY_SIZE(supported_modes_8bit) == 0 &&
++               ARRAY_SIZE(supported_modes_10bit))
++              code->code = MEDIA_BUS_FMT_SBGGR10_1X10;
++      else if (code->index == 1 && ARRAY_SIZE(supported_modes_8bit) &&
++               ARRAY_SIZE(supported_modes_10bit))
++              code->code = MEDIA_BUS_FMT_SBGGR10_1X10;
++      else
+               return -EINVAL;
+-      code->code = MEDIA_BUS_FMT_SBGGR8_1X8;
++      return 0;
++}
++
++static int ov5647_enum_frame_size(struct v4l2_subdev *sd,
++                                struct v4l2_subdev_pad_config *cfg,
++                                struct v4l2_subdev_frame_size_enum *fse)
++{
++      struct ov5647_mode *mode = NULL;
++
++      if (fse->code == MEDIA_BUS_FMT_SBGGR8_1X8) {
++              if (fse->index >= ARRAY_SIZE(supported_modes_8bit))
++                      return -EINVAL;
++              mode = &supported_modes_8bit[fse->index];
++      } else if (fse->code == MEDIA_BUS_FMT_SBGGR10_1X10) {
++              if (fse->index >= ARRAY_SIZE(supported_modes_10bit))
++                      return -EINVAL;
++              mode = &supported_modes_10bit[fse->index];
++      } else {
++              return -EINVAL;
++      }
++
++      fse->min_width = mode->format.width;
++      fse->max_width = fse->min_width;
++      fse->min_height = mode->format.height;
++      fse->max_height = fse->min_height;
++
++      return 0;
++}
++
++static int ov5647_set_fmt(struct v4l2_subdev *sd,
++                        struct v4l2_subdev_pad_config *cfg,
++                        struct v4l2_subdev_format *format)
++{
++      struct v4l2_mbus_framefmt *fmt = &format->format;
++      struct ov5647 *state = to_state(sd);
++      struct v4l2_mbus_framefmt *framefmt;
++      const struct ov5647_mode *mode_8bit, *mode_10bit, *mode = NULL;
++
++      if (format->pad != 0)
++              return -EINVAL;
++
++      mutex_lock(&state->lock);
++
++      /*
++       * Try to respect any given pixel format, otherwise try for a 10-bit
++       * mode.
++       */
++      mode_8bit = v4l2_find_nearest_size(supported_modes_8bit,
++                                         ARRAY_SIZE(supported_modes_8bit),
++                                         format.width, format.height,
++                                         format->format.width,
++                                         format->format.height);
++      mode_10bit = v4l2_find_nearest_size(supported_modes_10bit,
++                                          ARRAY_SIZE(supported_modes_10bit),
++                                          format.width, format.height,
++                                          format->format.width,
++                                          format->format.height);
++      if (format->format.code == MEDIA_BUS_FMT_SBGGR8_1X8 && mode_8bit)
++              mode = mode_8bit;
++      else if (format->format.code == MEDIA_BUS_FMT_SBGGR10_1X10 &&
++               mode_10bit)
++              mode = mode_10bit;
++      else if (mode_10bit)
++              mode = mode_10bit;
++      else
++              mode = mode_8bit;
++
++      if (!mode)
++              return -EINVAL;
++
++      *fmt = mode->format;
++      if (format->which == V4L2_SUBDEV_FORMAT_TRY) {
++              framefmt = v4l2_subdev_get_try_format(sd, cfg, format->pad);
++              *framefmt = format->format;
++      } else {
++              state->mode = mode;
++      }
++
++      mutex_unlock(&state->lock);
+       return 0;
+ }
+-static int ov5647_set_get_fmt(struct v4l2_subdev *sd,
+-                            struct v4l2_subdev_pad_config *cfg,
+-                            struct v4l2_subdev_format *format)
++static int ov5647_get_fmt(struct v4l2_subdev *sd,
++                        struct v4l2_subdev_pad_config *cfg,
++                        struct v4l2_subdev_format *format)
+ {
+       struct v4l2_mbus_framefmt *fmt = &format->format;
++      struct ov5647 *state = to_state(sd);
+       if (format->pad != 0)
+               return -EINVAL;
+-      /* Only one format is supported, so return that */
+-      memset(fmt, 0, sizeof(*fmt));
+-      fmt->code = MEDIA_BUS_FMT_SBGGR8_1X8;
+-      fmt->colorspace = V4L2_COLORSPACE_SRGB;
+-      fmt->field = V4L2_FIELD_NONE;
+-      fmt->width = 640;
+-      fmt->height = 480;
++      mutex_lock(&state->lock);
++
++      if (format->which == V4L2_SUBDEV_FORMAT_TRY)
++              *fmt = *v4l2_subdev_get_try_format(sd, cfg, format->pad);
++      else
++              *fmt = state->mode->format;
++
++      mutex_unlock(&state->lock);
+       return 0;
+ }
+ static const struct v4l2_subdev_pad_ops ov5647_subdev_pad_ops = {
+       .enum_mbus_code = ov5647_enum_mbus_code,
+-      .set_fmt =        ov5647_set_get_fmt,
+-      .get_fmt =        ov5647_set_get_fmt,
++      .set_fmt =        ov5647_set_fmt,
++      .get_fmt =        ov5647_get_fmt,
++      .enum_frame_size = ov5647_enum_frame_size,
+ };
+ static const struct v4l2_subdev_ops ov5647_subdev_ops = {
+@@ -580,18 +716,15 @@ static int ov5647_open(struct v4l2_subde
+                               v4l2_subdev_get_try_format(sd, fh->pad, 0);
+       struct v4l2_rect *crop =
+                               v4l2_subdev_get_try_crop(sd, fh->pad, 0);
++      struct ov5647 *state = to_state(sd);
+       crop->left = OV5647_COLUMN_START_DEF;
+       crop->top = OV5647_ROW_START_DEF;
+       crop->width = OV5647_WINDOW_WIDTH_DEF;
+       crop->height = OV5647_WINDOW_HEIGHT_DEF;
+-      format->code = MEDIA_BUS_FMT_SBGGR8_1X8;
+-
+-      format->width = OV5647_WINDOW_WIDTH_DEF;
+-      format->height = OV5647_WINDOW_HEIGHT_DEF;
+-      format->field = V4L2_FIELD_NONE;
+-      format->colorspace = V4L2_COLORSPACE_SRGB;
++      /* Set the default format to the same as the sensor. */
++      *format = state->mode->format;
+       return 0;
+ }
+@@ -660,6 +793,9 @@ static int ov5647_probe(struct i2c_clien
+       mutex_init(&sensor->lock);
++      /* Set the default mode before we init the subdev */
++      sensor->mode = OV5647_DEFAULT_MODE;
++
+       sd = &sensor->sd;
+       v4l2_i2c_subdev_init(sd, client, &ov5647_subdev_ops);
+       sensor->sd.internal_ops = &ov5647_subdev_internal_ops;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0457-media-dt-bindings-media-i2c-Add-IMX219-CMOS-sensor-b.patch b/target/linux/bcm27xx/patches-5.4/950-0457-media-dt-bindings-media-i2c-Add-IMX219-CMOS-sensor-b.patch
deleted file mode 100644 (file)
index c93f450..0000000
+++ /dev/null
@@ -1,156 +0,0 @@
-From a3ceeebaaa66e6786490e850b5019808da3785c0 Mon Sep 17 00:00:00 2001
-From: Andrey Konovalov <andrey.konovalov@linaro.org>
-Date: Mon, 20 Jan 2020 05:15:57 -0300
-Subject: [PATCH] media: dt-bindings: media: i2c: Add IMX219 CMOS
- sensor binding
-
-Commit 9d730f2cf4c0391785855dd231577d2de2594df9 upstream.
-(Currently on linux-media/master, queued for 5.7)
-
-Add YAML device tree binding for IMX219 CMOS image sensor, and
-the relevant MAINTAINERS entries.
-
-Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
-Reviewed-by: Rob Herring <robh@kernel.org>
-Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- .../devicetree/bindings/media/i2c/imx219.yaml | 114 ++++++++++++++++++
- MAINTAINERS                                   |   8 ++
- 2 files changed, 122 insertions(+)
- create mode 100644 Documentation/devicetree/bindings/media/i2c/imx219.yaml
-
---- /dev/null
-+++ b/Documentation/devicetree/bindings/media/i2c/imx219.yaml
-@@ -0,0 +1,114 @@
-+# SPDX-License-Identifier: (GPL-2.0-only OR BSD-2-Clause)
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/media/i2c/imx219.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Sony 1/4.0-Inch 8Mpixel CMOS Digital Image Sensor
-+
-+maintainers:
-+  - Dave Stevenson <dave.stevenson@raspberrypi.com>
-+
-+description: |-
-+  The Sony imx219 is a 1/4.0-inch CMOS active pixel digital image sensor
-+  with an active array size of 3280H x 2464V. It is programmable through
-+  I2C interface. The I2C address is fixed to 0x10 as per sensor data sheet.
-+  Image data is sent through MIPI CSI-2, which is configured as either 2 or
-+  4 data lanes.
-+
-+properties:
-+  compatible:
-+    const: sony,imx219
-+
-+  reg:
-+    description: I2C device address
-+    maxItems: 1
-+
-+  clocks:
-+    maxItems: 1
-+
-+  VDIG-supply:
-+    description:
-+      Digital I/O voltage supply, 1.8 volts
-+
-+  VANA-supply:
-+    description:
-+      Analog voltage supply, 2.8 volts
-+
-+  VDDL-supply:
-+    description:
-+      Digital core voltage supply, 1.2 volts
-+
-+  reset-gpios:
-+    description: |-
-+      Reference to the GPIO connected to the xclr pin, if any.
-+      Must be released (set high) after all supplies are applied.
-+
-+  # See ../video-interfaces.txt for more details
-+  port:
-+    type: object
-+    properties:
-+      endpoint:
-+        type: object
-+        properties:
-+          data-lanes:
-+            description: |-
-+              The sensor supports either two-lane, or four-lane operation.
-+              If this property is omitted four-lane operation is assumed.
-+              For two-lane operation the property must be set to <1 2>.
-+            items:
-+              - const: 1
-+              - const: 2
-+
-+          clock-noncontinuous:
-+            type: boolean
-+            description: |-
-+              MIPI CSI-2 clock is non-continuous if this property is present,
-+              otherwise it's continuous.
-+
-+          link-frequencies:
-+            allOf:
-+              - $ref: /schemas/types.yaml#/definitions/uint64-array
-+            description:
-+              Allowed data bus frequencies.
-+
-+        required:
-+          - link-frequencies
-+
-+required:
-+  - compatible
-+  - reg
-+  - clocks
-+  - VANA-supply
-+  - VDIG-supply
-+  - VDDL-supply
-+  - port
-+
-+additionalProperties: false
-+
-+examples:
-+  - |
-+    i2c0 {
-+        #address-cells = <1>;
-+        #size-cells = <0>;
-+
-+        imx219: sensor@10 {
-+            compatible = "sony,imx219";
-+            reg = <0x10>;
-+            clocks = <&imx219_clk>;
-+            VANA-supply = <&imx219_vana>;   /* 2.8v */
-+            VDIG-supply = <&imx219_vdig>;   /* 1.8v */
-+            VDDL-supply = <&imx219_vddl>;   /* 1.2v */
-+
-+            port {
-+                imx219_0: endpoint {
-+                    remote-endpoint = <&csi1_ep>;
-+                    data-lanes = <1 2>;
-+                    clock-noncontinuous;
-+                    link-frequencies = /bits/ 64 <456000000>;
-+                };
-+            };
-+        };
-+    };
-+
-+...
---- a/MAINTAINERS
-+++ b/MAINTAINERS
-@@ -15143,6 +15143,14 @@ S:    Maintained
- F:    drivers/media/i2c/imx214.c
- F:    Documentation/devicetree/bindings/media/i2c/sony,imx214.txt
-+SONY IMX219 SENSOR DRIVER
-+M:    Dave Stevenson <dave.stevenson@raspberrypi.com>
-+L:    linux-media@vger.kernel.org
-+T:    git git://linuxtv.org/media_tree.git
-+S:    Maintained
-+F:    drivers/media/i2c/imx219.c
-+F:    Documentation/devicetree/bindings/media/i2c/imx219.yaml
-+
- SONY IMX258 SENSOR DRIVER
- M:    Sakari Ailus <sakari.ailus@linux.intel.com>
- L:    linux-media@vger.kernel.org
diff --git a/target/linux/bcm27xx/patches-5.4/950-0457-media-ov5647-Add-V4L2-controls-for-analogue-gain-exp.patch b/target/linux/bcm27xx/patches-5.4/950-0457-media-ov5647-Add-V4L2-controls-for-analogue-gain-exp.patch
new file mode 100644 (file)
index 0000000..907bab5
--- /dev/null
@@ -0,0 +1,277 @@
+From d9d333b717f220439868edd533994f2709b3a95f Mon Sep 17 00:00:00 2001
+From: David Plowman <david.plowman@raspberrypi.com>
+Date: Wed, 29 Jan 2020 15:31:23 +0000
+Subject: [PATCH] media: ov5647: Add V4L2 controls for analogue gain,
+ exposure and AWB
+
+Added basic v4l2_ctrl_handler infrastructure (there was none
+previously).
+
+Added controls to let AWB/AEC/AGC run in the sensor's auto mode or
+manually. Also controls to set exposure (in lines) and analogue gain
+(as a register code) from user code.
+
+Also delete registers (just the one) from the VGA mode register set
+that are now controlled by the new V4L2 controls.
+
+Signed-off-by: David Plowman <david.plowman@raspberrypi.com>
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/i2c/ov5647.c | 175 ++++++++++++++++++++++++++++++++++++-
+ 1 file changed, 174 insertions(+), 1 deletion(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -29,11 +29,13 @@
+ #include <linux/of_graph.h>
+ #include <linux/slab.h>
+ #include <linux/videodev2.h>
++#include <media/v4l2-ctrls.h>
+ #include <media/v4l2-device.h>
+ #include <media/v4l2-fwnode.h>
+ #include <media/v4l2-image-sizes.h>
+ #include <media/v4l2-mediabus.h>
++
+ #define SENSOR_NAME "ov5647"
+ /*
+@@ -53,9 +55,16 @@
+ #define OV5647_REG_CHIPID_H           0x300A
+ #define OV5647_REG_CHIPID_L           0x300B
+ #define OV5640_REG_PAD_OUT            0x300D
++#define OV5647_REG_EXP_HI             0x3500
++#define OV5647_REG_EXP_MID            0x3501
++#define OV5647_REG_EXP_LO             0x3502
++#define OV5647_REG_AEC_AGC            0x3503
++#define OV5647_REG_GAIN_HI            0x350A
++#define OV5647_REG_GAIN_LO            0x350B
+ #define OV5647_REG_FRAME_OFF_NUMBER   0x4202
+ #define OV5647_REG_MIPI_CTRL00                0x4800
+ #define OV5647_REG_MIPI_CTRL14                0x4814
++#define OV5647_REG_AWB                        0x5001
+ #define REG_TERM 0xfffe
+ #define VAL_TERM 0xfe
+@@ -101,6 +110,7 @@ struct ov5647 {
+       struct clk                      *xclk;
+       struct gpio_desc                *pwdn;
+       unsigned int                    flags;
++      struct v4l2_ctrl_handler        ctrls;
+ };
+ static inline struct ov5647 *to_state(struct v4l2_subdev *sd)
+@@ -135,7 +145,6 @@ static struct regval_list ov5647_640x480
+       {0x3612, 0x59},
+       {0x3618, 0x00},
+       {0x5000, 0x06},
+-      {0x5001, 0x01},
+       {0x5002, 0x41},
+       {0x5003, 0x08},
+       {0x5a00, 0x08},
+@@ -372,6 +381,11 @@ static int ov5647_stream_on(struct v4l2_
+               return ret;
+       }
++      /* Apply customized values from user when stream starts */
++      ret =  __v4l2_ctrl_handler_setup(sd->ctrl_handler);
++      if (ret)
++              return ret;
++
+       if (ov5647->flags & V4L2_MBUS_CSI2_NONCONTINUOUS_CLOCK)
+               val |= MIPI_CTRL00_CLOCK_LANE_GATE |
+                      MIPI_CTRL00_LINE_SYNC_ENABLE;
+@@ -753,6 +767,120 @@ static int ov5647_parse_dt(struct device
+       return ret;
+ }
++static int ov5647_s_auto_white_balance(struct v4l2_subdev *sd, u32 val)
++{
++      /* non-zero turns on AWB */
++      return ov5647_write(sd, OV5647_REG_AWB, val ? 1 : 0);
++}
++
++static int ov5647_s_autogain(struct v4l2_subdev *sd, u32 val)
++{
++      int ret;
++      u8 reg;
++
++      /* non-zero turns on AGC by clearing bit 1 */
++      ret = ov5647_read(sd, OV5647_REG_AEC_AGC, &reg);
++      if (ret == 0)
++              ret = ov5647_write(sd, OV5647_REG_AEC_AGC,
++                                 val ? reg & ~2 : reg | 2);
++
++      return ret;
++}
++
++static int ov5647_s_exposure_auto(struct v4l2_subdev *sd, u32 val)
++{
++      int ret;
++      u8 reg;
++
++      /* Everything except V4L2_EXPOSURE_MANUAL turns on AEC by
++       * clearing bit 0
++       */
++      ret = ov5647_read(sd, OV5647_REG_AEC_AGC, &reg);
++      if (ret == 0)
++              ret = ov5647_write(sd, OV5647_REG_AEC_AGC,
++                                 val == V4L2_EXPOSURE_MANUAL ?
++                                 reg | 1 : reg & ~1);
++
++      return ret;
++}
++
++static int ov5647_s_analogue_gain(struct v4l2_subdev *sd, u32 val)
++{
++      int ret;
++
++      /* 10 bits of gain, 2 in the high register */
++      ret = ov5647_write(sd, OV5647_REG_GAIN_HI, (val >> 8) & 3);
++      if (ret == 0)
++              ret = ov5647_write(sd, OV5647_REG_GAIN_LO, val & 0xff);
++
++      return ret;
++}
++
++static int ov5647_s_exposure(struct v4l2_subdev *sd, u32 val)
++{
++      int ret;
++
++      /* Sensor has 20 bits, but the bottom 4 bits are fractions of a line
++       * which we leave as zero (and don't receive in "val").
++       */
++      ret = ov5647_write(sd, OV5647_REG_EXP_HI, (val >> 12) & 0xf);
++      if (ret == 0)
++              ov5647_write(sd, OV5647_REG_EXP_MID, (val >> 4) & 0xff);
++      if (ret == 0)
++              ov5647_write(sd, OV5647_REG_EXP_LO, (val & 0xf) << 4);
++
++      return ret;
++}
++
++static int ov5647_s_ctrl(struct v4l2_ctrl *ctrl)
++{
++      struct ov5647 *state = container_of(ctrl->handler,
++                                           struct ov5647, ctrls);
++      struct v4l2_subdev *sd = &state->sd;
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
++      int ret = 0;
++
++      /* v4l2_ctrl_lock() locks our own mutex */
++
++      /*
++       * If the device is not powered up by the host driver do
++       * not apply any controls to H/W at this time. Instead
++       * the controls will be restored right after power-up.
++       */
++      if (state->power_count == 0)
++              return 0;
++
++      switch (ctrl->id) {
++      case V4L2_CID_AUTO_WHITE_BALANCE:
++              ret = ov5647_s_auto_white_balance(sd, ctrl->val);
++              break;
++      case V4L2_CID_AUTOGAIN:
++              ret = ov5647_s_autogain(sd, ctrl->val);
++              break;
++      case V4L2_CID_EXPOSURE_AUTO:
++              ret = ov5647_s_exposure_auto(sd, ctrl->val);
++              break;
++      case V4L2_CID_ANALOGUE_GAIN:
++              ret = ov5647_s_analogue_gain(sd, ctrl->val);
++              break;
++      case V4L2_CID_EXPOSURE:
++              ret = ov5647_s_exposure(sd, ctrl->val);
++              break;
++      default:
++              dev_info(&client->dev,
++                       "ctrl(id:0x%x,val:0x%x) is not handled\n",
++                       ctrl->id, ctrl->val);
++              ret = -EINVAL;
++              break;
++      }
++
++      return ret;
++}
++
++static const struct v4l2_ctrl_ops ov5647_ctrl_ops = {
++      .s_ctrl = ov5647_s_ctrl,
++};
++
+ static int ov5647_probe(struct i2c_client *client)
+ {
+       struct device *dev = &client->dev;
+@@ -761,6 +889,7 @@ static int ov5647_probe(struct i2c_clien
+       struct v4l2_subdev *sd;
+       struct device_node *np = client->dev.of_node;
+       u32 xclk_freq;
++      struct v4l2_ctrl *ctrl;
+       sensor = devm_kzalloc(dev, sizeof(*sensor), GFP_KERNEL);
+       if (!sensor)
+@@ -793,6 +922,48 @@ static int ov5647_probe(struct i2c_clien
+       mutex_init(&sensor->lock);
++      /* Initialise controls. */
++      v4l2_ctrl_handler_init(&sensor->ctrls, 3);
++      v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
++                        V4L2_CID_AUTOGAIN,
++                        0,  /* min */
++                        1,  /* max */
++                        1,  /* step */
++                        1); /* default */
++      v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
++                        V4L2_CID_AUTO_WHITE_BALANCE,
++                        0,  /* min */
++                        1,  /* max */
++                        1,  /* step */
++                        1); /* default */
++      v4l2_ctrl_new_std_menu(&sensor->ctrls, &ov5647_ctrl_ops,
++                             V4L2_CID_EXPOSURE_AUTO,
++                             V4L2_EXPOSURE_MANUAL,  /* max */
++                             0,                     /* skip_mask */
++                             V4L2_EXPOSURE_AUTO);   /* default */
++      ctrl = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
++                               V4L2_CID_EXPOSURE,
++                               4,     /* min lines */
++                               65535, /* max lines (4+8+4 bits)*/
++                               1,     /* step */
++                               1000); /* default number of lines */
++      ctrl->flags |= V4L2_CTRL_FLAG_EXECUTE_ON_WRITE;
++      ctrl = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
++                               V4L2_CID_ANALOGUE_GAIN,
++                               16,   /* min, 16 = 1.0x */
++                               1023, /* max (10 bits) */
++                               1,    /* step */
++                               32);  /* default, 32 = 2.0x */
++      ctrl->flags |= V4L2_CTRL_FLAG_EXECUTE_ON_WRITE;
++
++      if (sensor->ctrls.error) {
++              ret = sensor->ctrls.error;
++              dev_err(&client->dev, "%s control init failed (%d)\n",
++                      __func__, ret);
++              goto error;
++      }
++      sensor->sd.ctrl_handler = &sensor->ctrls;
++
+       /* Set the default mode before we init the subdev */
+       sensor->mode = OV5647_DEFAULT_MODE;
+@@ -828,6 +999,7 @@ static int ov5647_probe(struct i2c_clien
+ error:
+       media_entity_cleanup(&sd->entity);
+ mutex_remove:
++      v4l2_ctrl_handler_free(&sensor->ctrls);
+       mutex_destroy(&sensor->lock);
+       return ret;
+ }
+@@ -839,6 +1011,7 @@ static int ov5647_remove(struct i2c_clie
+       v4l2_async_unregister_subdev(&ov5647->sd);
+       media_entity_cleanup(&ov5647->sd.entity);
++      v4l2_ctrl_handler_free(&ov5647->ctrls);
+       v4l2_device_unregister_subdev(sd);
+       mutex_destroy(&ov5647->lock);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0458-media-i2c-Add-driver-for-Sony-IMX219-sensor.patch b/target/linux/bcm27xx/patches-5.4/950-0458-media-i2c-Add-driver-for-Sony-IMX219-sensor.patch
deleted file mode 100644 (file)
index 4ca345f..0000000
+++ /dev/null
@@ -1,1372 +0,0 @@
-From 5cd8c4efeb46ce1ef370dd3012a7951ba430b58f Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Mon, 20 Jan 2020 05:15:58 -0300
-Subject: [PATCH] media: i2c: Add driver for Sony IMX219 sensor
-
-Commit 1283b3b8f82b9004fbb94398cade5c8e797a2c8d upstream.
-(Currently on linux-media/master, queued for 5.7)
-
-Adds a driver for the 8MPix Sony IMX219 CSI2 sensor.
-Whilst the sensor supports 2 or 4 CSI2 data lanes, this driver
-currently only supports 2 lanes.
-8MPix @ 15fps, 1080P @ 30fps (cropped FOV), and 1640x1232 (2x2 binned)
-@ 30fps are currently supported.
-
-[Sakari Ailus: make imx219_check_hwcfg static]
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
-Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- drivers/media/i2c/Kconfig  |   11 +
- drivers/media/i2c/Makefile |    1 +
- drivers/media/i2c/imx219.c | 1312 ++++++++++++++++++++++++++++++++++++
- 3 files changed, 1324 insertions(+)
- create mode 100644 drivers/media/i2c/imx219.c
-
---- a/drivers/media/i2c/Kconfig
-+++ b/drivers/media/i2c/Kconfig
-@@ -578,6 +578,17 @@ config VIDEO_IMX214
-         To compile this driver as a module, choose M here: the
-         module will be called imx214.
-+config VIDEO_IMX219
-+      tristate "Sony IMX219 sensor support"
-+      depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
-+      select V4L2_FWNODE
-+      help
-+        This is a Video4Linux2 sensor driver for the Sony
-+        IMX219 camera.
-+
-+        To compile this driver as a module, choose M here: the
-+        module will be called imx219.
-+
- config VIDEO_IMX258
-       tristate "Sony IMX258 sensor support"
-       depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
---- a/drivers/media/i2c/Makefile
-+++ b/drivers/media/i2c/Makefile
-@@ -111,6 +111,7 @@ obj-$(CONFIG_VIDEO_ML86V7667)      += ml86v76
- obj-$(CONFIG_VIDEO_OV2659)    += ov2659.o
- obj-$(CONFIG_VIDEO_TC358743)  += tc358743.o
- obj-$(CONFIG_VIDEO_IMX214)    += imx214.o
-+obj-$(CONFIG_VIDEO_IMX219)    += imx219.o
- obj-$(CONFIG_VIDEO_IMX258)    += imx258.o
- obj-$(CONFIG_VIDEO_IMX274)    += imx274.o
- obj-$(CONFIG_VIDEO_IMX319)    += imx319.o
---- /dev/null
-+++ b/drivers/media/i2c/imx219.c
-@@ -0,0 +1,1312 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * A V4L2 driver for Sony IMX219 cameras.
-+ * Copyright (C) 2019, Raspberry Pi (Trading) Ltd
-+ *
-+ * Based on Sony imx258 camera driver
-+ * Copyright (C) 2018 Intel Corporation
-+ *
-+ * DT / fwnode changes, and regulator / GPIO control taken from imx214 driver
-+ * Copyright 2018 Qtechnology A/S
-+ *
-+ * Flip handling taken from the Sony IMX319 driver.
-+ * Copyright (C) 2018 Intel Corporation
-+ *
-+ */
-+
-+#include <linux/clk.h>
-+#include <linux/clk-provider.h>
-+#include <linux/clkdev.h>
-+#include <linux/delay.h>
-+#include <linux/gpio/consumer.h>
-+#include <linux/i2c.h>
-+#include <linux/module.h>
-+#include <linux/pm_runtime.h>
-+#include <linux/regulator/consumer.h>
-+#include <media/v4l2-ctrls.h>
-+#include <media/v4l2-device.h>
-+#include <media/v4l2-event.h>
-+#include <media/v4l2-fwnode.h>
-+#include <media/v4l2-mediabus.h>
-+#include <asm/unaligned.h>
-+
-+#define IMX219_REG_VALUE_08BIT                1
-+#define IMX219_REG_VALUE_16BIT                2
-+
-+#define IMX219_REG_MODE_SELECT                0x0100
-+#define IMX219_MODE_STANDBY           0x00
-+#define IMX219_MODE_STREAMING         0x01
-+
-+/* Chip ID */
-+#define IMX219_REG_CHIP_ID            0x0000
-+#define IMX219_CHIP_ID                        0x0219
-+
-+/* External clock frequency is 24.0M */
-+#define IMX219_XCLK_FREQ              24000000
-+
-+/* Pixel rate is fixed at 182.4M for all the modes */
-+#define IMX219_PIXEL_RATE             182400000
-+
-+#define IMX219_DEFAULT_LINK_FREQ      456000000
-+
-+/* V_TIMING internal */
-+#define IMX219_REG_VTS                        0x0160
-+#define IMX219_VTS_15FPS              0x0dc6
-+#define IMX219_VTS_30FPS_1080P                0x06e3
-+#define IMX219_VTS_30FPS_BINNED               0x06e3
-+#define IMX219_VTS_MAX                        0xffff
-+
-+#define IMX219_VBLANK_MIN             4
-+
-+/*Frame Length Line*/
-+#define IMX219_FLL_MIN                        0x08a6
-+#define IMX219_FLL_MAX                        0xffff
-+#define IMX219_FLL_STEP                       1
-+#define IMX219_FLL_DEFAULT            0x0c98
-+
-+/* HBLANK control - read only */
-+#define IMX219_PPL_DEFAULT            3448
-+
-+/* Exposure control */
-+#define IMX219_REG_EXPOSURE           0x015a
-+#define IMX219_EXPOSURE_MIN           4
-+#define IMX219_EXPOSURE_STEP          1
-+#define IMX219_EXPOSURE_DEFAULT               0x640
-+#define IMX219_EXPOSURE_MAX           65535
-+
-+/* Analog gain control */
-+#define IMX219_REG_ANALOG_GAIN                0x0157
-+#define IMX219_ANA_GAIN_MIN           0
-+#define IMX219_ANA_GAIN_MAX           232
-+#define IMX219_ANA_GAIN_STEP          1
-+#define IMX219_ANA_GAIN_DEFAULT               0x0
-+
-+/* Digital gain control */
-+#define IMX219_REG_DIGITAL_GAIN               0x0158
-+#define IMX219_DGTL_GAIN_MIN          0x0100
-+#define IMX219_DGTL_GAIN_MAX          0x0fff
-+#define IMX219_DGTL_GAIN_DEFAULT      0x0100
-+#define IMX219_DGTL_GAIN_STEP         1
-+
-+#define IMX219_REG_ORIENTATION                0x0172
-+
-+/* Test Pattern Control */
-+#define IMX219_REG_TEST_PATTERN               0x0600
-+#define IMX219_TEST_PATTERN_DISABLE   0
-+#define IMX219_TEST_PATTERN_SOLID_COLOR       1
-+#define IMX219_TEST_PATTERN_COLOR_BARS        2
-+#define IMX219_TEST_PATTERN_GREY_COLOR        3
-+#define IMX219_TEST_PATTERN_PN9               4
-+
-+/* Test pattern colour components */
-+#define IMX219_REG_TESTP_RED          0x0602
-+#define IMX219_REG_TESTP_GREENR               0x0604
-+#define IMX219_REG_TESTP_BLUE         0x0606
-+#define IMX219_REG_TESTP_GREENB               0x0608
-+#define IMX219_TESTP_COLOUR_MIN               0
-+#define IMX219_TESTP_COLOUR_MAX               0x03ff
-+#define IMX219_TESTP_COLOUR_STEP      1
-+#define IMX219_TESTP_RED_DEFAULT      IMX219_TESTP_COLOUR_MAX
-+#define IMX219_TESTP_GREENR_DEFAULT   0
-+#define IMX219_TESTP_BLUE_DEFAULT     0
-+#define IMX219_TESTP_GREENB_DEFAULT   0
-+
-+struct imx219_reg {
-+      u16 address;
-+      u8 val;
-+};
-+
-+struct imx219_reg_list {
-+      unsigned int num_of_regs;
-+      const struct imx219_reg *regs;
-+};
-+
-+/* Mode : resolution and related config&values */
-+struct imx219_mode {
-+      /* Frame width */
-+      unsigned int width;
-+      /* Frame height */
-+      unsigned int height;
-+
-+      /* V-timing */
-+      unsigned int vts_def;
-+
-+      /* Default register values */
-+      struct imx219_reg_list reg_list;
-+};
-+
-+/*
-+ * Register sets lifted off the i2C interface from the Raspberry Pi firmware
-+ * driver.
-+ * 3280x2464 = mode 2, 1920x1080 = mode 1, and 1640x1232 = mode 4.
-+ */
-+static const struct imx219_reg mode_3280x2464_regs[] = {
-+      {0x0100, 0x00},
-+      {0x30eb, 0x0c},
-+      {0x30eb, 0x05},
-+      {0x300a, 0xff},
-+      {0x300b, 0xff},
-+      {0x30eb, 0x05},
-+      {0x30eb, 0x09},
-+      {0x0114, 0x01},
-+      {0x0128, 0x00},
-+      {0x012a, 0x18},
-+      {0x012b, 0x00},
-+      {0x0164, 0x00},
-+      {0x0165, 0x00},
-+      {0x0166, 0x0c},
-+      {0x0167, 0xcf},
-+      {0x0168, 0x00},
-+      {0x0169, 0x00},
-+      {0x016a, 0x09},
-+      {0x016b, 0x9f},
-+      {0x016c, 0x0c},
-+      {0x016d, 0xd0},
-+      {0x016e, 0x09},
-+      {0x016f, 0xa0},
-+      {0x0170, 0x01},
-+      {0x0171, 0x01},
-+      {0x0174, 0x00},
-+      {0x0175, 0x00},
-+      {0x018c, 0x0a},
-+      {0x018d, 0x0a},
-+      {0x0301, 0x05},
-+      {0x0303, 0x01},
-+      {0x0304, 0x03},
-+      {0x0305, 0x03},
-+      {0x0306, 0x00},
-+      {0x0307, 0x39},
-+      {0x0309, 0x0a},
-+      {0x030b, 0x01},
-+      {0x030c, 0x00},
-+      {0x030d, 0x72},
-+      {0x0624, 0x0c},
-+      {0x0625, 0xd0},
-+      {0x0626, 0x09},
-+      {0x0627, 0xa0},
-+      {0x455e, 0x00},
-+      {0x471e, 0x4b},
-+      {0x4767, 0x0f},
-+      {0x4750, 0x14},
-+      {0x4540, 0x00},
-+      {0x47b4, 0x14},
-+      {0x4713, 0x30},
-+      {0x478b, 0x10},
-+      {0x478f, 0x10},
-+      {0x4793, 0x10},
-+      {0x4797, 0x0e},
-+      {0x479b, 0x0e},
-+      {0x0162, 0x0d},
-+      {0x0163, 0x78},
-+};
-+
-+static const struct imx219_reg mode_1920_1080_regs[] = {
-+      {0x0100, 0x00},
-+      {0x30eb, 0x05},
-+      {0x30eb, 0x0c},
-+      {0x300a, 0xff},
-+      {0x300b, 0xff},
-+      {0x30eb, 0x05},
-+      {0x30eb, 0x09},
-+      {0x0114, 0x01},
-+      {0x0128, 0x00},
-+      {0x012a, 0x18},
-+      {0x012b, 0x00},
-+      {0x0162, 0x0d},
-+      {0x0163, 0x78},
-+      {0x0164, 0x02},
-+      {0x0165, 0xa8},
-+      {0x0166, 0x0a},
-+      {0x0167, 0x27},
-+      {0x0168, 0x02},
-+      {0x0169, 0xb4},
-+      {0x016a, 0x06},
-+      {0x016b, 0xeb},
-+      {0x016c, 0x07},
-+      {0x016d, 0x80},
-+      {0x016e, 0x04},
-+      {0x016f, 0x38},
-+      {0x0170, 0x01},
-+      {0x0171, 0x01},
-+      {0x0174, 0x00},
-+      {0x0175, 0x00},
-+      {0x018c, 0x0a},
-+      {0x018d, 0x0a},
-+      {0x0301, 0x05},
-+      {0x0303, 0x01},
-+      {0x0304, 0x03},
-+      {0x0305, 0x03},
-+      {0x0306, 0x00},
-+      {0x0307, 0x39},
-+      {0x0309, 0x0a},
-+      {0x030b, 0x01},
-+      {0x030c, 0x00},
-+      {0x030d, 0x72},
-+      {0x0624, 0x07},
-+      {0x0625, 0x80},
-+      {0x0626, 0x04},
-+      {0x0627, 0x38},
-+      {0x455e, 0x00},
-+      {0x471e, 0x4b},
-+      {0x4767, 0x0f},
-+      {0x4750, 0x14},
-+      {0x4540, 0x00},
-+      {0x47b4, 0x14},
-+      {0x4713, 0x30},
-+      {0x478b, 0x10},
-+      {0x478f, 0x10},
-+      {0x4793, 0x10},
-+      {0x4797, 0x0e},
-+      {0x479b, 0x0e},
-+      {0x0162, 0x0d},
-+      {0x0163, 0x78},
-+};
-+
-+static const struct imx219_reg mode_1640_1232_regs[] = {
-+      {0x0100, 0x00},
-+      {0x30eb, 0x0c},
-+      {0x30eb, 0x05},
-+      {0x300a, 0xff},
-+      {0x300b, 0xff},
-+      {0x30eb, 0x05},
-+      {0x30eb, 0x09},
-+      {0x0114, 0x01},
-+      {0x0128, 0x00},
-+      {0x012a, 0x18},
-+      {0x012b, 0x00},
-+      {0x0164, 0x00},
-+      {0x0165, 0x00},
-+      {0x0166, 0x0c},
-+      {0x0167, 0xcf},
-+      {0x0168, 0x00},
-+      {0x0169, 0x00},
-+      {0x016a, 0x09},
-+      {0x016b, 0x9f},
-+      {0x016c, 0x06},
-+      {0x016d, 0x68},
-+      {0x016e, 0x04},
-+      {0x016f, 0xd0},
-+      {0x0170, 0x01},
-+      {0x0171, 0x01},
-+      {0x0174, 0x01},
-+      {0x0175, 0x01},
-+      {0x018c, 0x0a},
-+      {0x018d, 0x0a},
-+      {0x0301, 0x05},
-+      {0x0303, 0x01},
-+      {0x0304, 0x03},
-+      {0x0305, 0x03},
-+      {0x0306, 0x00},
-+      {0x0307, 0x39},
-+      {0x0309, 0x0a},
-+      {0x030b, 0x01},
-+      {0x030c, 0x00},
-+      {0x030d, 0x72},
-+      {0x0624, 0x06},
-+      {0x0625, 0x68},
-+      {0x0626, 0x04},
-+      {0x0627, 0xd0},
-+      {0x455e, 0x00},
-+      {0x471e, 0x4b},
-+      {0x4767, 0x0f},
-+      {0x4750, 0x14},
-+      {0x4540, 0x00},
-+      {0x47b4, 0x14},
-+      {0x4713, 0x30},
-+      {0x478b, 0x10},
-+      {0x478f, 0x10},
-+      {0x4793, 0x10},
-+      {0x4797, 0x0e},
-+      {0x479b, 0x0e},
-+      {0x0162, 0x0d},
-+      {0x0163, 0x78},
-+};
-+
-+static const char * const imx219_test_pattern_menu[] = {
-+      "Disabled",
-+      "Color Bars",
-+      "Solid Color",
-+      "Grey Color Bars",
-+      "PN9"
-+};
-+
-+static const int imx219_test_pattern_val[] = {
-+      IMX219_TEST_PATTERN_DISABLE,
-+      IMX219_TEST_PATTERN_COLOR_BARS,
-+      IMX219_TEST_PATTERN_SOLID_COLOR,
-+      IMX219_TEST_PATTERN_GREY_COLOR,
-+      IMX219_TEST_PATTERN_PN9,
-+};
-+
-+/* regulator supplies */
-+static const char * const imx219_supply_name[] = {
-+      /* Supplies can be enabled in any order */
-+      "VANA",  /* Analog (2.8V) supply */
-+      "VDIG",  /* Digital Core (1.8V) supply */
-+      "VDDL",  /* IF (1.2V) supply */
-+};
-+
-+#define IMX219_NUM_SUPPLIES ARRAY_SIZE(imx219_supply_name)
-+
-+/*
-+ * Initialisation delay between XCLR low->high and the moment when the sensor
-+ * can start capture (i.e. can leave software stanby) must be not less than:
-+ *   t4 + max(t5, t6 + <time to initialize the sensor register over I2C>)
-+ * where
-+ *   t4 is fixed, and is max 200uS,
-+ *   t5 is fixed, and is 6000uS,
-+ *   t6 depends on the sensor external clock, and is max 32000 clock periods.
-+ * As per sensor datasheet, the external clock must be from 6MHz to 27MHz.
-+ * So for any acceptable external clock t6 is always within the range of
-+ * 1185 to 5333 uS, and is always less than t5.
-+ * For this reason this is always safe to wait (t4 + t5) = 6200 uS, then
-+ * initialize the sensor over I2C, and then exit the software standby.
-+ *
-+ * This start-up time can be optimized a bit more, if we start the writes
-+ * over I2C after (t4+t6), but before (t4+t5) expires. But then sensor
-+ * initialization over I2C may complete before (t4+t5) expires, and we must
-+ * ensure that capture is not started before (t4+t5).
-+ *
-+ * This delay doesn't account for the power supply startup time. If needed,
-+ * this should be taken care of via the regulator framework. E.g. in the
-+ * case of DT for regulator-fixed one should define the startup-delay-us
-+ * property.
-+ */
-+#define IMX219_XCLR_MIN_DELAY_US      6200
-+#define IMX219_XCLR_DELAY_RANGE_US    1000
-+
-+/* Mode configs */
-+static const struct imx219_mode supported_modes[] = {
-+      {
-+              /* 8MPix 15fps mode */
-+              .width = 3280,
-+              .height = 2464,
-+              .vts_def = IMX219_VTS_15FPS,
-+              .reg_list = {
-+                      .num_of_regs = ARRAY_SIZE(mode_3280x2464_regs),
-+                      .regs = mode_3280x2464_regs,
-+              },
-+      },
-+      {
-+              /* 1080P 30fps cropped */
-+              .width = 1920,
-+              .height = 1080,
-+              .vts_def = IMX219_VTS_30FPS_1080P,
-+              .reg_list = {
-+                      .num_of_regs = ARRAY_SIZE(mode_1920_1080_regs),
-+                      .regs = mode_1920_1080_regs,
-+              },
-+      },
-+      {
-+              /* 2x2 binned 30fps mode */
-+              .width = 1640,
-+              .height = 1232,
-+              .vts_def = IMX219_VTS_30FPS_BINNED,
-+              .reg_list = {
-+                      .num_of_regs = ARRAY_SIZE(mode_1640_1232_regs),
-+                      .regs = mode_1640_1232_regs,
-+              },
-+      },
-+};
-+
-+struct imx219 {
-+      struct v4l2_subdev sd;
-+      struct media_pad pad;
-+
-+      struct clk *xclk; /* system clock to IMX219 */
-+      u32 xclk_freq;
-+
-+      struct gpio_desc *reset_gpio;
-+      struct regulator_bulk_data supplies[IMX219_NUM_SUPPLIES];
-+
-+      struct v4l2_ctrl_handler ctrl_handler;
-+      /* V4L2 Controls */
-+      struct v4l2_ctrl *pixel_rate;
-+      struct v4l2_ctrl *exposure;
-+      struct v4l2_ctrl *vflip;
-+      struct v4l2_ctrl *hflip;
-+      struct v4l2_ctrl *vblank;
-+      struct v4l2_ctrl *hblank;
-+
-+      /* Current mode */
-+      const struct imx219_mode *mode;
-+
-+      /*
-+       * Mutex for serialized access:
-+       * Protect sensor module set pad format and start/stop streaming safely.
-+       */
-+      struct mutex mutex;
-+
-+      /* Streaming on/off */
-+      bool streaming;
-+};
-+
-+static inline struct imx219 *to_imx219(struct v4l2_subdev *_sd)
-+{
-+      return container_of(_sd, struct imx219, sd);
-+}
-+
-+/* Read registers up to 2 at a time */
-+static int imx219_read_reg(struct imx219 *imx219, u16 reg, u32 len, u32 *val)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
-+      struct i2c_msg msgs[2];
-+      u8 addr_buf[2] = { reg >> 8, reg & 0xff };
-+      u8 data_buf[4] = { 0, };
-+      int ret;
-+
-+      if (len > 4)
-+              return -EINVAL;
-+
-+      /* Write register address */
-+      msgs[0].addr = client->addr;
-+      msgs[0].flags = 0;
-+      msgs[0].len = ARRAY_SIZE(addr_buf);
-+      msgs[0].buf = addr_buf;
-+
-+      /* Read data from register */
-+      msgs[1].addr = client->addr;
-+      msgs[1].flags = I2C_M_RD;
-+      msgs[1].len = len;
-+      msgs[1].buf = &data_buf[4 - len];
-+
-+      ret = i2c_transfer(client->adapter, msgs, ARRAY_SIZE(msgs));
-+      if (ret != ARRAY_SIZE(msgs))
-+              return -EIO;
-+
-+      *val = get_unaligned_be32(data_buf);
-+
-+      return 0;
-+}
-+
-+/* Write registers up to 2 at a time */
-+static int imx219_write_reg(struct imx219 *imx219, u16 reg, u32 len, u32 val)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
-+      u8 buf[6];
-+
-+      if (len > 4)
-+              return -EINVAL;
-+
-+      put_unaligned_be16(reg, buf);
-+      put_unaligned_be32(val << (8 * (4 - len)), buf + 2);
-+      if (i2c_master_send(client, buf, len + 2) != len + 2)
-+              return -EIO;
-+
-+      return 0;
-+}
-+
-+/* Write a list of registers */
-+static int imx219_write_regs(struct imx219 *imx219,
-+                           const struct imx219_reg *regs, u32 len)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
-+      unsigned int i;
-+      int ret;
-+
-+      for (i = 0; i < len; i++) {
-+              ret = imx219_write_reg(imx219, regs[i].address, 1, regs[i].val);
-+              if (ret) {
-+                      dev_err_ratelimited(&client->dev,
-+                                          "Failed to write reg 0x%4.4x. error = %d\n",
-+                                          regs[i].address, ret);
-+
-+                      return ret;
-+              }
-+      }
-+
-+      return 0;
-+}
-+
-+/* Get bayer order based on flip setting. */
-+static u32 imx219_get_format_code(struct imx219 *imx219)
-+{
-+      /*
-+       * Only one bayer order is supported.
-+       * It depends on the flip settings.
-+       */
-+      static const u32 codes[2][2] = {
-+              { MEDIA_BUS_FMT_SRGGB10_1X10, MEDIA_BUS_FMT_SGRBG10_1X10, },
-+              { MEDIA_BUS_FMT_SGBRG10_1X10, MEDIA_BUS_FMT_SBGGR10_1X10, },
-+      };
-+
-+      lockdep_assert_held(&imx219->mutex);
-+      return codes[imx219->vflip->val][imx219->hflip->val];
-+}
-+
-+static int imx219_open(struct v4l2_subdev *sd, struct v4l2_subdev_fh *fh)
-+{
-+      struct imx219 *imx219 = to_imx219(sd);
-+      struct v4l2_mbus_framefmt *try_fmt =
-+              v4l2_subdev_get_try_format(sd, fh->pad, 0);
-+
-+      mutex_lock(&imx219->mutex);
-+
-+      /* Initialize try_fmt */
-+      try_fmt->width = supported_modes[0].width;
-+      try_fmt->height = supported_modes[0].height;
-+      try_fmt->code = imx219_get_format_code(imx219);
-+      try_fmt->field = V4L2_FIELD_NONE;
-+
-+      mutex_unlock(&imx219->mutex);
-+
-+      return 0;
-+}
-+
-+static int imx219_set_ctrl(struct v4l2_ctrl *ctrl)
-+{
-+      struct imx219 *imx219 =
-+              container_of(ctrl->handler, struct imx219, ctrl_handler);
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
-+      int ret;
-+
-+      if (ctrl->id == V4L2_CID_VBLANK) {
-+              int exposure_max, exposure_def;
-+
-+              /* Update max exposure while meeting expected vblanking */
-+              exposure_max = imx219->mode->height + ctrl->val - 4;
-+              exposure_def = (exposure_max < IMX219_EXPOSURE_DEFAULT) ?
-+                      exposure_max : IMX219_EXPOSURE_DEFAULT;
-+              __v4l2_ctrl_modify_range(imx219->exposure,
-+                                       imx219->exposure->minimum,
-+                                       exposure_max, imx219->exposure->step,
-+                                       exposure_def);
-+      }
-+
-+      /*
-+       * Applying V4L2 control value only happens
-+       * when power is up for streaming
-+       */
-+      if (pm_runtime_get_if_in_use(&client->dev) == 0)
-+              return 0;
-+
-+      switch (ctrl->id) {
-+      case V4L2_CID_ANALOGUE_GAIN:
-+              ret = imx219_write_reg(imx219, IMX219_REG_ANALOG_GAIN,
-+                                     IMX219_REG_VALUE_08BIT, ctrl->val);
-+              break;
-+      case V4L2_CID_EXPOSURE:
-+              ret = imx219_write_reg(imx219, IMX219_REG_EXPOSURE,
-+                                     IMX219_REG_VALUE_16BIT, ctrl->val);
-+              break;
-+      case V4L2_CID_DIGITAL_GAIN:
-+              ret = imx219_write_reg(imx219, IMX219_REG_DIGITAL_GAIN,
-+                                     IMX219_REG_VALUE_16BIT, ctrl->val);
-+              break;
-+      case V4L2_CID_TEST_PATTERN:
-+              ret = imx219_write_reg(imx219, IMX219_REG_TEST_PATTERN,
-+                                     IMX219_REG_VALUE_16BIT,
-+                                     imx219_test_pattern_val[ctrl->val]);
-+              break;
-+      case V4L2_CID_HFLIP:
-+      case V4L2_CID_VFLIP:
-+              ret = imx219_write_reg(imx219, IMX219_REG_ORIENTATION, 1,
-+                                     imx219->hflip->val |
-+                                     imx219->vflip->val << 1);
-+              break;
-+      case V4L2_CID_VBLANK:
-+              ret = imx219_write_reg(imx219, IMX219_REG_VTS,
-+                                     IMX219_REG_VALUE_16BIT,
-+                                     imx219->mode->height + ctrl->val);
-+              break;
-+      case V4L2_CID_TEST_PATTERN_RED:
-+              ret = imx219_write_reg(imx219, IMX219_REG_TESTP_RED,
-+                                     IMX219_REG_VALUE_16BIT, ctrl->val);
-+              break;
-+      case V4L2_CID_TEST_PATTERN_GREENR:
-+              ret = imx219_write_reg(imx219, IMX219_REG_TESTP_GREENR,
-+                                     IMX219_REG_VALUE_16BIT, ctrl->val);
-+              break;
-+      case V4L2_CID_TEST_PATTERN_BLUE:
-+              ret = imx219_write_reg(imx219, IMX219_REG_TESTP_BLUE,
-+                                     IMX219_REG_VALUE_16BIT, ctrl->val);
-+              break;
-+      case V4L2_CID_TEST_PATTERN_GREENB:
-+              ret = imx219_write_reg(imx219, IMX219_REG_TESTP_GREENB,
-+                                     IMX219_REG_VALUE_16BIT, ctrl->val);
-+              break;
-+      default:
-+              dev_info(&client->dev,
-+                       "ctrl(id:0x%x,val:0x%x) is not handled\n",
-+                       ctrl->id, ctrl->val);
-+              ret = -EINVAL;
-+              break;
-+      }
-+
-+      pm_runtime_put(&client->dev);
-+
-+      return ret;
-+}
-+
-+static const struct v4l2_ctrl_ops imx219_ctrl_ops = {
-+      .s_ctrl = imx219_set_ctrl,
-+};
-+
-+static int imx219_enum_mbus_code(struct v4l2_subdev *sd,
-+                               struct v4l2_subdev_pad_config *cfg,
-+                               struct v4l2_subdev_mbus_code_enum *code)
-+{
-+      struct imx219 *imx219 = to_imx219(sd);
-+
-+      /*
-+       * Only one bayer order is supported (though it depends on the flip
-+       * settings)
-+       */
-+      if (code->index > 0)
-+              return -EINVAL;
-+
-+      code->code = imx219_get_format_code(imx219);
-+
-+      return 0;
-+}
-+
-+static int imx219_enum_frame_size(struct v4l2_subdev *sd,
-+                                struct v4l2_subdev_pad_config *cfg,
-+                                struct v4l2_subdev_frame_size_enum *fse)
-+{
-+      struct imx219 *imx219 = to_imx219(sd);
-+
-+      if (fse->index >= ARRAY_SIZE(supported_modes))
-+              return -EINVAL;
-+
-+      if (fse->code != imx219_get_format_code(imx219))
-+              return -EINVAL;
-+
-+      fse->min_width = supported_modes[fse->index].width;
-+      fse->max_width = fse->min_width;
-+      fse->min_height = supported_modes[fse->index].height;
-+      fse->max_height = fse->min_height;
-+
-+      return 0;
-+}
-+
-+static void imx219_reset_colorspace(struct v4l2_mbus_framefmt *fmt)
-+{
-+      fmt->colorspace = V4L2_COLORSPACE_SRGB;
-+      fmt->ycbcr_enc = V4L2_MAP_YCBCR_ENC_DEFAULT(fmt->colorspace);
-+      fmt->quantization = V4L2_MAP_QUANTIZATION_DEFAULT(true,
-+                                                        fmt->colorspace,
-+                                                        fmt->ycbcr_enc);
-+      fmt->xfer_func = V4L2_MAP_XFER_FUNC_DEFAULT(fmt->colorspace);
-+}
-+
-+static void imx219_update_pad_format(struct imx219 *imx219,
-+                                   const struct imx219_mode *mode,
-+                                   struct v4l2_subdev_format *fmt)
-+{
-+      fmt->format.width = mode->width;
-+      fmt->format.height = mode->height;
-+      fmt->format.code = imx219_get_format_code(imx219);
-+      fmt->format.field = V4L2_FIELD_NONE;
-+
-+      imx219_reset_colorspace(&fmt->format);
-+}
-+
-+static int __imx219_get_pad_format(struct imx219 *imx219,
-+                                 struct v4l2_subdev_pad_config *cfg,
-+                                 struct v4l2_subdev_format *fmt)
-+{
-+      if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
-+              struct v4l2_mbus_framefmt *try_fmt =
-+                      v4l2_subdev_get_try_format(&imx219->sd, cfg, fmt->pad);
-+              /* update the code which could change due to vflip or hflip: */
-+              try_fmt->code = imx219_get_format_code(imx219);
-+              fmt->format = *try_fmt;
-+      } else {
-+              imx219_update_pad_format(imx219, imx219->mode, fmt);
-+      }
-+
-+      return 0;
-+}
-+
-+static int imx219_get_pad_format(struct v4l2_subdev *sd,
-+                               struct v4l2_subdev_pad_config *cfg,
-+                               struct v4l2_subdev_format *fmt)
-+{
-+      struct imx219 *imx219 = to_imx219(sd);
-+      int ret;
-+
-+      mutex_lock(&imx219->mutex);
-+      ret = __imx219_get_pad_format(imx219, cfg, fmt);
-+      mutex_unlock(&imx219->mutex);
-+
-+      return ret;
-+}
-+
-+static int imx219_set_pad_format(struct v4l2_subdev *sd,
-+                               struct v4l2_subdev_pad_config *cfg,
-+                               struct v4l2_subdev_format *fmt)
-+{
-+      struct imx219 *imx219 = to_imx219(sd);
-+      const struct imx219_mode *mode;
-+      struct v4l2_mbus_framefmt *framefmt;
-+      int exposure_max, exposure_def, hblank;
-+
-+      mutex_lock(&imx219->mutex);
-+
-+      /* Bayer order varies with flips */
-+      fmt->format.code = imx219_get_format_code(imx219);
-+
-+      mode = v4l2_find_nearest_size(supported_modes,
-+                                    ARRAY_SIZE(supported_modes),
-+                                    width, height,
-+                                    fmt->format.width, fmt->format.height);
-+      imx219_update_pad_format(imx219, mode, fmt);
-+      if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
-+              framefmt = v4l2_subdev_get_try_format(sd, cfg, fmt->pad);
-+              *framefmt = fmt->format;
-+      } else if (imx219->mode != mode) {
-+              imx219->mode = mode;
-+              /* Update limits and set FPS to default */
-+              __v4l2_ctrl_modify_range(imx219->vblank, IMX219_VBLANK_MIN,
-+                                       IMX219_VTS_MAX - mode->height, 1,
-+                                       mode->vts_def - mode->height);
-+              __v4l2_ctrl_s_ctrl(imx219->vblank,
-+                                 mode->vts_def - mode->height);
-+              /* Update max exposure while meeting expected vblanking */
-+              exposure_max = mode->vts_def - 4;
-+              exposure_def = (exposure_max < IMX219_EXPOSURE_DEFAULT) ?
-+                      exposure_max : IMX219_EXPOSURE_DEFAULT;
-+              __v4l2_ctrl_modify_range(imx219->exposure,
-+                                       imx219->exposure->minimum,
-+                                       exposure_max, imx219->exposure->step,
-+                                       exposure_def);
-+              /*
-+               * Currently PPL is fixed to IMX219_PPL_DEFAULT, so hblank
-+               * depends on mode->width only, and is not changeble in any
-+               * way other than changing the mode.
-+               */
-+              hblank = IMX219_PPL_DEFAULT - mode->width;
-+              __v4l2_ctrl_modify_range(imx219->hblank, hblank, hblank, 1,
-+                                       hblank);
-+      }
-+
-+      mutex_unlock(&imx219->mutex);
-+
-+      return 0;
-+}
-+
-+static int imx219_start_streaming(struct imx219 *imx219)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
-+      const struct imx219_reg_list *reg_list;
-+      int ret;
-+
-+      /* Apply default values of current mode */
-+      reg_list = &imx219->mode->reg_list;
-+      ret = imx219_write_regs(imx219, reg_list->regs, reg_list->num_of_regs);
-+      if (ret) {
-+              dev_err(&client->dev, "%s failed to set mode\n", __func__);
-+              return ret;
-+      }
-+
-+      /* Apply customized values from user */
-+      ret =  __v4l2_ctrl_handler_setup(imx219->sd.ctrl_handler);
-+      if (ret)
-+              return ret;
-+
-+      /* set stream on register */
-+      return imx219_write_reg(imx219, IMX219_REG_MODE_SELECT,
-+                              IMX219_REG_VALUE_08BIT, IMX219_MODE_STREAMING);
-+}
-+
-+static void imx219_stop_streaming(struct imx219 *imx219)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
-+      int ret;
-+
-+      /* set stream off register */
-+      ret = imx219_write_reg(imx219, IMX219_REG_MODE_SELECT,
-+                             IMX219_REG_VALUE_08BIT, IMX219_MODE_STANDBY);
-+      if (ret)
-+              dev_err(&client->dev, "%s failed to set stream\n", __func__);
-+}
-+
-+static int imx219_set_stream(struct v4l2_subdev *sd, int enable)
-+{
-+      struct imx219 *imx219 = to_imx219(sd);
-+      struct i2c_client *client = v4l2_get_subdevdata(sd);
-+      int ret = 0;
-+
-+      mutex_lock(&imx219->mutex);
-+      if (imx219->streaming == enable) {
-+              mutex_unlock(&imx219->mutex);
-+              return 0;
-+      }
-+
-+      if (enable) {
-+              ret = pm_runtime_get_sync(&client->dev);
-+              if (ret < 0) {
-+                      pm_runtime_put_noidle(&client->dev);
-+                      goto err_unlock;
-+              }
-+
-+              /*
-+               * Apply default & customized values
-+               * and then start streaming.
-+               */
-+              ret = imx219_start_streaming(imx219);
-+              if (ret)
-+                      goto err_rpm_put;
-+      } else {
-+              imx219_stop_streaming(imx219);
-+              pm_runtime_put(&client->dev);
-+      }
-+
-+      imx219->streaming = enable;
-+
-+      /* vflip and hflip cannot change during streaming */
-+      __v4l2_ctrl_grab(imx219->vflip, enable);
-+      __v4l2_ctrl_grab(imx219->hflip, enable);
-+
-+      mutex_unlock(&imx219->mutex);
-+
-+      return ret;
-+
-+err_rpm_put:
-+      pm_runtime_put(&client->dev);
-+err_unlock:
-+      mutex_unlock(&imx219->mutex);
-+
-+      return ret;
-+}
-+
-+/* Power/clock management functions */
-+static int imx219_power_on(struct device *dev)
-+{
-+      struct i2c_client *client = to_i2c_client(dev);
-+      struct v4l2_subdev *sd = i2c_get_clientdata(client);
-+      struct imx219 *imx219 = to_imx219(sd);
-+      int ret;
-+
-+      ret = regulator_bulk_enable(IMX219_NUM_SUPPLIES,
-+                                  imx219->supplies);
-+      if (ret) {
-+              dev_err(&client->dev, "%s: failed to enable regulators\n",
-+                      __func__);
-+              return ret;
-+      }
-+
-+      ret = clk_prepare_enable(imx219->xclk);
-+      if (ret) {
-+              dev_err(&client->dev, "%s: failed to enable clock\n",
-+                      __func__);
-+              goto reg_off;
-+      }
-+
-+      gpiod_set_value_cansleep(imx219->reset_gpio, 1);
-+      usleep_range(IMX219_XCLR_MIN_DELAY_US,
-+                   IMX219_XCLR_MIN_DELAY_US + IMX219_XCLR_DELAY_RANGE_US);
-+
-+      return 0;
-+
-+reg_off:
-+      regulator_bulk_disable(IMX219_NUM_SUPPLIES, imx219->supplies);
-+
-+      return ret;
-+}
-+
-+static int imx219_power_off(struct device *dev)
-+{
-+      struct i2c_client *client = to_i2c_client(dev);
-+      struct v4l2_subdev *sd = i2c_get_clientdata(client);
-+      struct imx219 *imx219 = to_imx219(sd);
-+
-+      gpiod_set_value_cansleep(imx219->reset_gpio, 0);
-+      regulator_bulk_disable(IMX219_NUM_SUPPLIES, imx219->supplies);
-+      clk_disable_unprepare(imx219->xclk);
-+
-+      return 0;
-+}
-+
-+static int __maybe_unused imx219_suspend(struct device *dev)
-+{
-+      struct i2c_client *client = to_i2c_client(dev);
-+      struct v4l2_subdev *sd = i2c_get_clientdata(client);
-+      struct imx219 *imx219 = to_imx219(sd);
-+
-+      if (imx219->streaming)
-+              imx219_stop_streaming(imx219);
-+
-+      return 0;
-+}
-+
-+static int __maybe_unused imx219_resume(struct device *dev)
-+{
-+      struct i2c_client *client = to_i2c_client(dev);
-+      struct v4l2_subdev *sd = i2c_get_clientdata(client);
-+      struct imx219 *imx219 = to_imx219(sd);
-+      int ret;
-+
-+      if (imx219->streaming) {
-+              ret = imx219_start_streaming(imx219);
-+              if (ret)
-+                      goto error;
-+      }
-+
-+      return 0;
-+
-+error:
-+      imx219_stop_streaming(imx219);
-+      imx219->streaming = 0;
-+
-+      return ret;
-+}
-+
-+static int imx219_get_regulators(struct imx219 *imx219)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
-+      unsigned int i;
-+
-+      for (i = 0; i < IMX219_NUM_SUPPLIES; i++)
-+              imx219->supplies[i].supply = imx219_supply_name[i];
-+
-+      return devm_regulator_bulk_get(&client->dev,
-+                                     IMX219_NUM_SUPPLIES,
-+                                     imx219->supplies);
-+}
-+
-+/* Verify chip ID */
-+static int imx219_identify_module(struct imx219 *imx219)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
-+      int ret;
-+      u32 val;
-+
-+      ret = imx219_read_reg(imx219, IMX219_REG_CHIP_ID,
-+                            IMX219_REG_VALUE_16BIT, &val);
-+      if (ret) {
-+              dev_err(&client->dev, "failed to read chip id %x\n",
-+                      IMX219_CHIP_ID);
-+              return ret;
-+      }
-+
-+      if (val != IMX219_CHIP_ID) {
-+              dev_err(&client->dev, "chip id mismatch: %x!=%x\n",
-+                      IMX219_CHIP_ID, val);
-+              return -EIO;
-+      }
-+
-+      return 0;
-+}
-+
-+static const struct v4l2_subdev_core_ops imx219_core_ops = {
-+      .subscribe_event = v4l2_ctrl_subdev_subscribe_event,
-+      .unsubscribe_event = v4l2_event_subdev_unsubscribe,
-+};
-+
-+static const struct v4l2_subdev_video_ops imx219_video_ops = {
-+      .s_stream = imx219_set_stream,
-+};
-+
-+static const struct v4l2_subdev_pad_ops imx219_pad_ops = {
-+      .enum_mbus_code = imx219_enum_mbus_code,
-+      .get_fmt = imx219_get_pad_format,
-+      .set_fmt = imx219_set_pad_format,
-+      .enum_frame_size = imx219_enum_frame_size,
-+};
-+
-+static const struct v4l2_subdev_ops imx219_subdev_ops = {
-+      .core = &imx219_core_ops,
-+      .video = &imx219_video_ops,
-+      .pad = &imx219_pad_ops,
-+};
-+
-+static const struct v4l2_subdev_internal_ops imx219_internal_ops = {
-+      .open = imx219_open,
-+};
-+
-+/* Initialize control handlers */
-+static int imx219_init_controls(struct imx219 *imx219)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
-+      struct v4l2_ctrl_handler *ctrl_hdlr;
-+      unsigned int height = imx219->mode->height;
-+      int exposure_max, exposure_def, hblank;
-+      int i, ret;
-+
-+      ctrl_hdlr = &imx219->ctrl_handler;
-+      ret = v4l2_ctrl_handler_init(ctrl_hdlr, 9);
-+      if (ret)
-+              return ret;
-+
-+      mutex_init(&imx219->mutex);
-+      ctrl_hdlr->lock = &imx219->mutex;
-+
-+      /* By default, PIXEL_RATE is read only */
-+      imx219->pixel_rate = v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
-+                                             V4L2_CID_PIXEL_RATE,
-+                                             IMX219_PIXEL_RATE,
-+                                             IMX219_PIXEL_RATE, 1,
-+                                             IMX219_PIXEL_RATE);
-+
-+      /* Initial vblank/hblank/exposure parameters based on current mode */
-+      imx219->vblank = v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
-+                                         V4L2_CID_VBLANK, IMX219_VBLANK_MIN,
-+                                         IMX219_VTS_MAX - height, 1,
-+                                         imx219->mode->vts_def - height);
-+      hblank = IMX219_PPL_DEFAULT - imx219->mode->width;
-+      imx219->hblank = v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
-+                                         V4L2_CID_HBLANK, hblank, hblank,
-+                                         1, hblank);
-+      if (imx219->hblank)
-+              imx219->hblank->flags |= V4L2_CTRL_FLAG_READ_ONLY;
-+      exposure_max = imx219->mode->vts_def - 4;
-+      exposure_def = (exposure_max < IMX219_EXPOSURE_DEFAULT) ?
-+              exposure_max : IMX219_EXPOSURE_DEFAULT;
-+      imx219->exposure = v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
-+                                           V4L2_CID_EXPOSURE,
-+                                           IMX219_EXPOSURE_MIN, exposure_max,
-+                                           IMX219_EXPOSURE_STEP,
-+                                           exposure_def);
-+
-+      v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops, V4L2_CID_ANALOGUE_GAIN,
-+                        IMX219_ANA_GAIN_MIN, IMX219_ANA_GAIN_MAX,
-+                        IMX219_ANA_GAIN_STEP, IMX219_ANA_GAIN_DEFAULT);
-+
-+      v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops, V4L2_CID_DIGITAL_GAIN,
-+                        IMX219_DGTL_GAIN_MIN, IMX219_DGTL_GAIN_MAX,
-+                        IMX219_DGTL_GAIN_STEP, IMX219_DGTL_GAIN_DEFAULT);
-+
-+      imx219->hflip = v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
-+                                        V4L2_CID_HFLIP, 0, 1, 1, 0);
-+      if (imx219->hflip)
-+              imx219->hflip->flags |= V4L2_CTRL_FLAG_MODIFY_LAYOUT;
-+
-+      imx219->vflip = v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
-+                                        V4L2_CID_VFLIP, 0, 1, 1, 0);
-+      if (imx219->vflip)
-+              imx219->vflip->flags |= V4L2_CTRL_FLAG_MODIFY_LAYOUT;
-+
-+      v4l2_ctrl_new_std_menu_items(ctrl_hdlr, &imx219_ctrl_ops,
-+                                   V4L2_CID_TEST_PATTERN,
-+                                   ARRAY_SIZE(imx219_test_pattern_menu) - 1,
-+                                   0, 0, imx219_test_pattern_menu);
-+      for (i = 0; i < 4; i++) {
-+              /*
-+               * The assumption is that
-+               * V4L2_CID_TEST_PATTERN_GREENR == V4L2_CID_TEST_PATTERN_RED + 1
-+               * V4L2_CID_TEST_PATTERN_BLUE   == V4L2_CID_TEST_PATTERN_RED + 2
-+               * V4L2_CID_TEST_PATTERN_GREENB == V4L2_CID_TEST_PATTERN_RED + 3
-+               */
-+              v4l2_ctrl_new_std(ctrl_hdlr, &imx219_ctrl_ops,
-+                                V4L2_CID_TEST_PATTERN_RED + i,
-+                                IMX219_TESTP_COLOUR_MIN,
-+                                IMX219_TESTP_COLOUR_MAX,
-+                                IMX219_TESTP_COLOUR_STEP,
-+                                IMX219_TESTP_COLOUR_MAX);
-+              /* The "Solid color" pattern is white by default */
-+      }
-+
-+      if (ctrl_hdlr->error) {
-+              ret = ctrl_hdlr->error;
-+              dev_err(&client->dev, "%s control init failed (%d)\n",
-+                      __func__, ret);
-+              goto error;
-+      }
-+
-+      imx219->sd.ctrl_handler = ctrl_hdlr;
-+
-+      return 0;
-+
-+error:
-+      v4l2_ctrl_handler_free(ctrl_hdlr);
-+      mutex_destroy(&imx219->mutex);
-+
-+      return ret;
-+}
-+
-+static void imx219_free_controls(struct imx219 *imx219)
-+{
-+      v4l2_ctrl_handler_free(imx219->sd.ctrl_handler);
-+      mutex_destroy(&imx219->mutex);
-+}
-+
-+static int imx219_check_hwcfg(struct device *dev)
-+{
-+      struct fwnode_handle *endpoint;
-+      struct v4l2_fwnode_endpoint ep_cfg = {
-+              .bus_type = V4L2_MBUS_CSI2_DPHY
-+      };
-+      int ret = -EINVAL;
-+
-+      endpoint = fwnode_graph_get_next_endpoint(dev_fwnode(dev), NULL);
-+      if (!endpoint) {
-+              dev_err(dev, "endpoint node not found\n");
-+              return -EINVAL;
-+      }
-+
-+      if (v4l2_fwnode_endpoint_alloc_parse(endpoint, &ep_cfg)) {
-+              dev_err(dev, "could not parse endpoint\n");
-+              goto error_out;
-+      }
-+
-+      /* Check the number of MIPI CSI2 data lanes */
-+      if (ep_cfg.bus.mipi_csi2.num_data_lanes != 2) {
-+              dev_err(dev, "only 2 data lanes are currently supported\n");
-+              goto error_out;
-+      }
-+
-+      /* Check the link frequency set in device tree */
-+      if (!ep_cfg.nr_of_link_frequencies) {
-+              dev_err(dev, "link-frequency property not found in DT\n");
-+              goto error_out;
-+      }
-+
-+      if (ep_cfg.nr_of_link_frequencies != 1 ||
-+          ep_cfg.link_frequencies[0] != IMX219_DEFAULT_LINK_FREQ) {
-+              dev_err(dev, "Link frequency not supported: %lld\n",
-+                      ep_cfg.link_frequencies[0]);
-+              goto error_out;
-+      }
-+
-+      ret = 0;
-+
-+error_out:
-+      v4l2_fwnode_endpoint_free(&ep_cfg);
-+      fwnode_handle_put(endpoint);
-+
-+      return ret;
-+}
-+
-+static int imx219_probe(struct i2c_client *client)
-+{
-+      struct device *dev = &client->dev;
-+      struct imx219 *imx219;
-+      int ret;
-+
-+      imx219 = devm_kzalloc(&client->dev, sizeof(*imx219), GFP_KERNEL);
-+      if (!imx219)
-+              return -ENOMEM;
-+
-+      v4l2_i2c_subdev_init(&imx219->sd, client, &imx219_subdev_ops);
-+
-+      /* Check the hardware configuration in device tree */
-+      if (imx219_check_hwcfg(dev))
-+              return -EINVAL;
-+
-+      /* Get system clock (xclk) */
-+      imx219->xclk = devm_clk_get(dev, NULL);
-+      if (IS_ERR(imx219->xclk)) {
-+              dev_err(dev, "failed to get xclk\n");
-+              return PTR_ERR(imx219->xclk);
-+      }
-+
-+      imx219->xclk_freq = clk_get_rate(imx219->xclk);
-+      if (imx219->xclk_freq != IMX219_XCLK_FREQ) {
-+              dev_err(dev, "xclk frequency not supported: %d Hz\n",
-+                      imx219->xclk_freq);
-+              return -EINVAL;
-+      }
-+
-+      ret = imx219_get_regulators(imx219);
-+      if (ret) {
-+              dev_err(dev, "failed to get regulators\n");
-+              return ret;
-+      }
-+
-+      /* Request optional enable pin */
-+      imx219->reset_gpio = devm_gpiod_get_optional(dev, "reset",
-+                                                   GPIOD_OUT_HIGH);
-+
-+      /*
-+       * The sensor must be powered for imx219_identify_module()
-+       * to be able to read the CHIP_ID register
-+       */
-+      ret = imx219_power_on(dev);
-+      if (ret)
-+              return ret;
-+
-+      ret = imx219_identify_module(imx219);
-+      if (ret)
-+              goto error_power_off;
-+
-+      /* Set default mode to max resolution */
-+      imx219->mode = &supported_modes[0];
-+
-+      ret = imx219_init_controls(imx219);
-+      if (ret)
-+              goto error_power_off;
-+
-+      /* Initialize subdev */
-+      imx219->sd.internal_ops = &imx219_internal_ops;
-+      imx219->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
-+      imx219->sd.entity.function = MEDIA_ENT_F_CAM_SENSOR;
-+
-+      /* Initialize source pad */
-+      imx219->pad.flags = MEDIA_PAD_FL_SOURCE;
-+
-+      ret = media_entity_pads_init(&imx219->sd.entity, 1, &imx219->pad);
-+      if (ret) {
-+              dev_err(dev, "failed to init entity pads: %d\n", ret);
-+              goto error_handler_free;
-+      }
-+
-+      ret = v4l2_async_register_subdev_sensor_common(&imx219->sd);
-+      if (ret < 0) {
-+              dev_err(dev, "failed to register sensor sub-device: %d\n", ret);
-+              goto error_media_entity;
-+      }
-+
-+      /* Enable runtime PM and turn off the device */
-+      pm_runtime_set_active(dev);
-+      pm_runtime_enable(dev);
-+      pm_runtime_idle(dev);
-+
-+      return 0;
-+
-+error_media_entity:
-+      media_entity_cleanup(&imx219->sd.entity);
-+
-+error_handler_free:
-+      imx219_free_controls(imx219);
-+
-+error_power_off:
-+      imx219_power_off(dev);
-+
-+      return ret;
-+}
-+
-+static int imx219_remove(struct i2c_client *client)
-+{
-+      struct v4l2_subdev *sd = i2c_get_clientdata(client);
-+      struct imx219 *imx219 = to_imx219(sd);
-+
-+      v4l2_async_unregister_subdev(sd);
-+      media_entity_cleanup(&sd->entity);
-+      imx219_free_controls(imx219);
-+
-+      pm_runtime_disable(&client->dev);
-+      if (!pm_runtime_status_suspended(&client->dev))
-+              imx219_power_off(&client->dev);
-+      pm_runtime_set_suspended(&client->dev);
-+
-+      return 0;
-+}
-+
-+static const struct of_device_id imx219_dt_ids[] = {
-+      { .compatible = "sony,imx219" },
-+      { /* sentinel */ }
-+};
-+MODULE_DEVICE_TABLE(of, imx219_dt_ids);
-+
-+static const struct dev_pm_ops imx219_pm_ops = {
-+      SET_SYSTEM_SLEEP_PM_OPS(imx219_suspend, imx219_resume)
-+      SET_RUNTIME_PM_OPS(imx219_power_off, imx219_power_on, NULL)
-+};
-+
-+static struct i2c_driver imx219_i2c_driver = {
-+      .driver = {
-+              .name = "imx219",
-+              .of_match_table = imx219_dt_ids,
-+              .pm = &imx219_pm_ops,
-+      },
-+      .probe_new = imx219_probe,
-+      .remove = imx219_remove,
-+};
-+
-+module_i2c_driver(imx219_i2c_driver);
-+
-+MODULE_AUTHOR("Dave Stevenson <dave.stevenson@raspberrypi.com");
-+MODULE_DESCRIPTION("Sony IMX219 sensor driver");
-+MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0458-media-ov5647-Add-extra-10-bit-sensor-modes.patch b/target/linux/bcm27xx/patches-5.4/950-0458-media-ov5647-Add-extra-10-bit-sensor-modes.patch
new file mode 100644 (file)
index 0000000..9e40b51
--- /dev/null
@@ -0,0 +1,549 @@
+From 28c0004a54ce9b2c5862b38408952583b07458f9 Mon Sep 17 00:00:00 2001
+From: David Plowman <david.plowman@raspberrypi.com>
+Date: Wed, 29 Jan 2020 15:31:28 +0000
+Subject: [PATCH] media: ov5647: Add extra 10-bit sensor modes.
+
+The 8-bit VGA mode remains, we add the following 10-bit modes:
+
+Mode 0: 2592x1944 full resolution.
+
+Mode 1: 1920x1080 full resolution, but centre-cropped.
+(This mode achieves 30fps, mode 0 does not.)
+
+Mode 2: 1296x972 full field-of-view 2x2 binned mode.
+
+Mode 3: VGA full field of view mode.
+
+Signed-off-by: David Plowman <david.plowman@raspberrypi.com>
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/i2c/ov5647.c | 463 ++++++++++++++++++++++++++++++++++++-
+ 1 file changed, 452 insertions(+), 11 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -111,6 +111,7 @@ struct ov5647 {
+       struct gpio_desc                *pwdn;
+       unsigned int                    flags;
+       struct v4l2_ctrl_handler        ctrls;
++      bool                            write_mode_regs;
+ };
+ static inline struct ov5647 *to_state(struct v4l2_subdev *sd)
+@@ -130,7 +131,7 @@ static struct regval_list sensor_oe_enab
+       {0x3002, 0xe4},
+ };
+-static struct regval_list ov5647_640x480[] = {
++static struct regval_list ov5647_640x480_8bit[] = {
+       {0x0100, 0x00},
+       {0x0103, 0x01},
+       {0x3034, 0x08},
+@@ -220,9 +221,378 @@ static struct regval_list ov5647_640x480
+       {0x0100, 0x01},
+ };
++static struct regval_list ov5647_2592x1944_10bit[] = {
++      {0x0100, 0x00},
++      {0x0103, 0x01},
++      {0x3034, 0x1a},
++      {0x3035, 0x21},
++      {0x3036, 0x69},
++      {0x303c, 0x11},
++      {0x3106, 0xf5},
++      {0x3821, 0x06},
++      {0x3820, 0x00},
++      {0x3827, 0xec},
++      {0x370c, 0x03},
++      {0x3612, 0x5b},
++      {0x3618, 0x04},
++      {0x5000, 0x06},
++      {0x5002, 0x41},
++      {0x5003, 0x08},
++      {0x5a00, 0x08},
++      {0x3000, 0x00},
++      {0x3001, 0x00},
++      {0x3002, 0x00},
++      {0x3016, 0x08},
++      {0x3017, 0xe0},
++      {0x3018, 0x44},
++      {0x301c, 0xf8},
++      {0x301d, 0xf0},
++      {0x3a18, 0x00},
++      {0x3a19, 0xf8},
++      {0x3c01, 0x80},
++      {0x3b07, 0x0c},
++      {0x380c, 0x0b},
++      {0x380d, 0x1c},
++      {0x380e, 0x07},
++      {0x380f, 0xb0},
++      {0x3814, 0x11},
++      {0x3815, 0x11},
++      {0x3708, 0x64},
++      {0x3709, 0x12},
++      {0x3808, 0x0a},
++      {0x3809, 0x20},
++      {0x380a, 0x07},
++      {0x380b, 0x98},
++      {0x3800, 0x00},
++      {0x3801, 0x00},
++      {0x3802, 0x00},
++      {0x3803, 0x00},
++      {0x3804, 0x0a},
++      {0x3805, 0x3f},
++      {0x3806, 0x07},
++      {0x3807, 0xa3},
++      {0x3811, 0x10},
++      {0x3813, 0x06},
++      {0x3630, 0x2e},
++      {0x3632, 0xe2},
++      {0x3633, 0x23},
++      {0x3634, 0x44},
++      {0x3636, 0x06},
++      {0x3620, 0x64},
++      {0x3621, 0xe0},
++      {0x3600, 0x37},
++      {0x3704, 0xa0},
++      {0x3703, 0x5a},
++      {0x3715, 0x78},
++      {0x3717, 0x01},
++      {0x3731, 0x02},
++      {0x370b, 0x60},
++      {0x3705, 0x1a},
++      {0x3f05, 0x02},
++      {0x3f06, 0x10},
++      {0x3f01, 0x0a},
++      {0x3a08, 0x01},
++      {0x3a09, 0x28},
++      {0x3a0a, 0x00},
++      {0x3a0b, 0xf6},
++      {0x3a0d, 0x08},
++      {0x3a0e, 0x06},
++      {0x3a0f, 0x58},
++      {0x3a10, 0x50},
++      {0x3a1b, 0x58},
++      {0x3a1e, 0x50},
++      {0x3a11, 0x60},
++      {0x3a1f, 0x28},
++      {0x4001, 0x02},
++      {0x4004, 0x04},
++      {0x4000, 0x09},
++      {0x4837, 0x19},
++      {0x4800, 0x24},
++      {0x3503, 0x03},
++      {0x0100, 0x01},
++};
++
++static struct regval_list ov5647_1080p30_10bit[] = {
++      {0x0100, 0x00},
++      {0x0103, 0x01},
++      {0x3034, 0x1a},
++      {0x3035, 0x21},
++      {0x3036, 0x62},
++      {0x303c, 0x11},
++      {0x3106, 0xf5},
++      {0x3821, 0x06},
++      {0x3820, 0x00},
++      {0x3827, 0xec},
++      {0x370c, 0x03},
++      {0x3612, 0x5b},
++      {0x3618, 0x04},
++      {0x5000, 0x06},
++      {0x5002, 0x41},
++      {0x5003, 0x08},
++      {0x5a00, 0x08},
++      {0x3000, 0x00},
++      {0x3001, 0x00},
++      {0x3002, 0x00},
++      {0x3016, 0x08},
++      {0x3017, 0xe0},
++      {0x3018, 0x44},
++      {0x301c, 0xf8},
++      {0x301d, 0xf0},
++      {0x3a18, 0x00},
++      {0x3a19, 0xf8},
++      {0x3c01, 0x80},
++      {0x3b07, 0x0c},
++      {0x380c, 0x09},
++      {0x380d, 0x70},
++      {0x380e, 0x04},
++      {0x380f, 0x50},
++      {0x3814, 0x11},
++      {0x3815, 0x11},
++      {0x3708, 0x64},
++      {0x3709, 0x12},
++      {0x3808, 0x07},
++      {0x3809, 0x80},
++      {0x380a, 0x04},
++      {0x380b, 0x38},
++      {0x3800, 0x01},
++      {0x3801, 0x5c},
++      {0x3802, 0x01},
++      {0x3803, 0xb2},
++      {0x3804, 0x08},
++      {0x3805, 0xe3},
++      {0x3806, 0x05},
++      {0x3807, 0xf1},
++      {0x3811, 0x04},
++      {0x3813, 0x02},
++      {0x3630, 0x2e},
++      {0x3632, 0xe2},
++      {0x3633, 0x23},
++      {0x3634, 0x44},
++      {0x3636, 0x06},
++      {0x3620, 0x64},
++      {0x3621, 0xe0},
++      {0x3600, 0x37},
++      {0x3704, 0xa0},
++      {0x3703, 0x5a},
++      {0x3715, 0x78},
++      {0x3717, 0x01},
++      {0x3731, 0x02},
++      {0x370b, 0x60},
++      {0x3705, 0x1a},
++      {0x3f05, 0x02},
++      {0x3f06, 0x10},
++      {0x3f01, 0x0a},
++      {0x3a08, 0x01},
++      {0x3a09, 0x4b},
++      {0x3a0a, 0x01},
++      {0x3a0b, 0x13},
++      {0x3a0d, 0x04},
++      {0x3a0e, 0x03},
++      {0x3a0f, 0x58},
++      {0x3a10, 0x50},
++      {0x3a1b, 0x58},
++      {0x3a1e, 0x50},
++      {0x3a11, 0x60},
++      {0x3a1f, 0x28},
++      {0x4001, 0x02},
++      {0x4004, 0x04},
++      {0x4000, 0x09},
++      {0x4837, 0x19},
++      {0x4800, 0x34},
++      {0x3503, 0x03},
++      {0x0100, 0x01},
++};
++
++static struct regval_list ov5647_2x2binned_10bit[] = {
++      {0x0100, 0x00},
++      {0x0103, 0x01},
++      {0x3034, 0x1A},
++      {0x3035, 0x21},
++      {0x3036, 0x62},
++      {0x303C, 0x11},
++      {0x3106, 0xF5},
++      {0x3827, 0xEC},
++      {0x370C, 0x03},
++      {0x3612, 0x59},
++      {0x3618, 0x00},
++      {0x5000, 0x06},
++      {0x5002, 0x41},
++      {0x5003, 0x08},
++      {0x5A00, 0x08},
++      {0x3000, 0x00},
++      {0x3001, 0x00},
++      {0x3002, 0x00},
++      {0x3016, 0x08},
++      {0x3017, 0xE0},
++      {0x3018, 0x44},
++      {0x301C, 0xF8},
++      {0x301D, 0xF0},
++      {0x3A18, 0x00},
++      {0x3A19, 0xF8},
++      {0x3C01, 0x80},
++      {0x3B07, 0x0C},
++      {0x3800, 0x00},
++      {0x3801, 0x00},
++      {0x3802, 0x00},
++      {0x3803, 0x00},
++      {0x3804, 0x0A},
++      {0x3805, 0x3F},
++      {0x3806, 0x07},
++      {0x3807, 0xA3},
++      {0x3808, 0x05},
++      {0x3809, 0x10},
++      {0x380A, 0x03},
++      {0x380B, 0xCC},
++      {0x380C, 0x07},
++      {0x380D, 0x68},
++      {0x3811, 0x0c},
++      {0x3813, 0x06},
++      {0x3814, 0x31},
++      {0x3815, 0x31},
++      {0x3630, 0x2E},
++      {0x3632, 0xE2},
++      {0x3633, 0x23},
++      {0x3634, 0x44},
++      {0x3636, 0x06},
++      {0x3620, 0x64},
++      {0x3621, 0xE0},
++      {0x3600, 0x37},
++      {0x3704, 0xA0},
++      {0x3703, 0x5A},
++      {0x3715, 0x78},
++      {0x3717, 0x01},
++      {0x3731, 0x02},
++      {0x370B, 0x60},
++      {0x3705, 0x1A},
++      {0x3F05, 0x02},
++      {0x3F06, 0x10},
++      {0x3F01, 0x0A},
++      {0x3A08, 0x01},
++      {0x3A09, 0x28},
++      {0x3A0A, 0x00},
++      {0x3A0B, 0xF6},
++      {0x3A0D, 0x08},
++      {0x3A0E, 0x06},
++      {0x3A0F, 0x58},
++      {0x3A10, 0x50},
++      {0x3A1B, 0x58},
++      {0x3A1E, 0x50},
++      {0x3A11, 0x60},
++      {0x3A1F, 0x28},
++      {0x4001, 0x02},
++      {0x4004, 0x04},
++      {0x4000, 0x09},
++      {0x4837, 0x16},
++      {0x4800, 0x24},
++      {0x3503, 0x03},
++      {0x3820, 0x41},
++      {0x3821, 0x07},
++      {0x380E, 0x05},
++      {0x380F, 0x9B},
++      {0x350A, 0x00},
++      {0x350B, 0x10},
++      {0x3500, 0x00},
++      {0x3501, 0x1A},
++      {0x3502, 0xF0},
++      {0x3212, 0xA0},
++      {0x0100, 0x01},
++};
++
++static struct regval_list ov5647_640x480_10bit[] = {
++      {0x0100, 0x00},
++      {0x0103, 0x01},
++      {0x3035, 0x11},
++      {0x3036, 0x46},
++      {0x303c, 0x11},
++      {0x3821, 0x07},
++      {0x3820, 0x41},
++      {0x370c, 0x03},
++      {0x3612, 0x59},
++      {0x3618, 0x00},
++      {0x5000, 0x06},
++      {0x5003, 0x08},
++      {0x5a00, 0x08},
++      {0x3000, 0xff},
++      {0x3001, 0xff},
++      {0x3002, 0xff},
++      {0x301d, 0xf0},
++      {0x3a18, 0x00},
++      {0x3a19, 0xf8},
++      {0x3c01, 0x80},
++      {0x3b07, 0x0c},
++      {0x380c, 0x07},
++      {0x380d, 0x3c},
++      {0x380e, 0x01},
++      {0x380f, 0xf8},
++      {0x3814, 0x35},
++      {0x3815, 0x35},
++      {0x3708, 0x64},
++      {0x3709, 0x52},
++      {0x3808, 0x02},
++      {0x3809, 0x80},
++      {0x380a, 0x01},
++      {0x380b, 0xe0},
++      {0x3800, 0x00},
++      {0x3801, 0x10},
++      {0x3802, 0x00},
++      {0x3803, 0x00},
++      {0x3804, 0x0a},
++      {0x3805, 0x2f},
++      {0x3806, 0x07},
++      {0x3807, 0x9f},
++      {0x3630, 0x2e},
++      {0x3632, 0xe2},
++      {0x3633, 0x23},
++      {0x3634, 0x44},
++      {0x3620, 0x64},
++      {0x3621, 0xe0},
++      {0x3600, 0x37},
++      {0x3704, 0xa0},
++      {0x3703, 0x5a},
++      {0x3715, 0x78},
++      {0x3717, 0x01},
++      {0x3731, 0x02},
++      {0x370b, 0x60},
++      {0x3705, 0x1a},
++      {0x3f05, 0x02},
++      {0x3f06, 0x10},
++      {0x3f01, 0x0a},
++      {0x3a08, 0x01},
++      {0x3a09, 0x2e},
++      {0x3a0a, 0x00},
++      {0x3a0b, 0xfb},
++      {0x3a0d, 0x02},
++      {0x3a0e, 0x01},
++      {0x3a0f, 0x58},
++      {0x3a10, 0x50},
++      {0x3a1b, 0x58},
++      {0x3a1e, 0x50},
++      {0x3a11, 0x60},
++      {0x3a1f, 0x28},
++      {0x4001, 0x02},
++      {0x4004, 0x02},
++      {0x4000, 0x09},
++      {0x3000, 0x00},
++      {0x3001, 0x00},
++      {0x3002, 0x00},
++      {0x3017, 0xe0},
++      {0x301c, 0xfc},
++      {0x3636, 0x06},
++      {0x3016, 0x08},
++      {0x3827, 0xec},
++      {0x3018, 0x44},
++      {0x3035, 0x21},
++      {0x3106, 0xf5},
++      {0x3034, 0x1a},
++      {0x301c, 0xf8},
++      {0x4800, 0x34},
++      {0x3503, 0x03},
++      {0x0100, 0x01},
++};
++
+ static struct ov5647_mode supported_modes_8bit[] = {
+       /*
+-       * Original 8-bit VGA mode
++       * MODE 0: Original 8-bit VGA mode.
+        * Uncentred crop (top left quarter) from 2x2 binned 1296x972 image.
+        */
+       {
+@@ -233,14 +603,70 @@ static struct ov5647_mode supported_mode
+                       .width = 640,
+                       .height = 480
+               },
+-              ov5647_640x480,
+-              ARRAY_SIZE(ov5647_640x480)
++              ov5647_640x480_8bit,
++              ARRAY_SIZE(ov5647_640x480_8bit)
+       },
+-      /* more modes below here... */
+ };
+ static struct ov5647_mode supported_modes_10bit[] = {
+-      /* no 10-bit modes yet */
++      /*
++       * MODE 0: 2592x1944 full resolution full FOV 10-bit mode.
++       */
++      {
++              {
++                      .code = MEDIA_BUS_FMT_SBGGR10_1X10,
++                      .colorspace = V4L2_COLORSPACE_SRGB,
++                      .field = V4L2_FIELD_NONE,
++                      .width = 2592,
++                      .height = 1944
++              },
++              ov5647_2592x1944_10bit,
++              ARRAY_SIZE(ov5647_2592x1944_10bit)
++      },
++      /*
++       * MODE 1: 1080p30 10-bit mode.
++       * Full resolution centre-cropped down to 1080p.
++       */
++      {
++              {
++                      .code = MEDIA_BUS_FMT_SBGGR10_1X10,
++                      .colorspace = V4L2_COLORSPACE_SRGB,
++                      .field = V4L2_FIELD_NONE,
++                      .width = 1920,
++                      .height = 1080
++              },
++              ov5647_1080p30_10bit,
++              ARRAY_SIZE(ov5647_1080p30_10bit)
++      },
++      /*
++       * MODE 2: 2x2 binned full FOV 10-bit mode.
++       */
++      {
++              {
++                      .code = MEDIA_BUS_FMT_SBGGR10_1X10,
++                      .colorspace = V4L2_COLORSPACE_SRGB,
++                      .field = V4L2_FIELD_NONE,
++                      .width = 1296,
++                      .height = 972
++              },
++              ov5647_2x2binned_10bit,
++              ARRAY_SIZE(ov5647_2x2binned_10bit)
++      },
++      /*
++       * MODE 3: 10-bit VGA full FOV mode 60fps.
++       * 2x2 binned and subsampled down to VGA.
++       */
++      {
++              {
++                      .code = MEDIA_BUS_FMT_SBGGR10_1X10,
++                      .colorspace = V4L2_COLORSPACE_SRGB,
++                      .field = V4L2_FIELD_NONE,
++                      .width = 640,
++                      .height = 480
++              },
++              ov5647_640x480_10bit,
++              ARRAY_SIZE(ov5647_640x480_10bit)
++      },
+ };
+ /* Use original 8-bit VGA mode as default. */
+@@ -343,11 +769,14 @@ static int __sensor_init(struct v4l2_sub
+       if (ret < 0)
+               return ret;
+-      ret = ov5647_write_array(sd, state->mode->reg_list,
+-                               state->mode->num_regs);
+-      if (ret < 0) {
+-              dev_err(&client->dev, "write sensor default regs error\n");
+-              return ret;
++      if (state->write_mode_regs) {
++              ret = ov5647_write_array(sd, state->mode->reg_list,
++                                       state->mode->num_regs);
++              if (ret < 0) {
++                      dev_err(&client->dev, "write sensor default regs error\n");
++                      return ret;
++              }
++              state->write_mode_regs = false;
+       }
+       ret = ov5647_set_virtual_channel(sd, 0);
+@@ -475,6 +904,9 @@ static int ov5647_sensor_power(struct v4
+                               "Camera not available, check Power\n");
+                       goto out;
+               }
++
++              /* Write out the register set over I2C on stream-on. */
++              ov5647->write_mode_regs = true;
+       } else if (!on && ov5647->power_count == 1) {
+               dev_dbg(&client->dev, "OV5647 power off\n");
+@@ -650,6 +1082,12 @@ static int ov5647_set_fmt(struct v4l2_su
+               framefmt = v4l2_subdev_get_try_format(sd, cfg, format->pad);
+               *framefmt = format->format;
+       } else {
++              /*
++               * If we have changed modes, write the I2C register list on
++               * a stream_on().
++               */
++              if (state->mode != mode)
++                      state->write_mode_regs = true;
+               state->mode = mode;
+       }
+@@ -967,6 +1405,9 @@ static int ov5647_probe(struct i2c_clien
+       /* Set the default mode before we init the subdev */
+       sensor->mode = OV5647_DEFAULT_MODE;
++      /* Write out the register set over I2C on stream-on. */
++      sensor->write_mode_regs = true;
++
+       sd = &sensor->sd;
+       v4l2_i2c_subdev_init(sd, client, &ov5647_subdev_ops);
+       sensor->sd.internal_ops = &ov5647_subdev_internal_ops;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0459-media-ov5647-change-defaults-to-better-match-raw-cam.patch b/target/linux/bcm27xx/patches-5.4/950-0459-media-ov5647-change-defaults-to-better-match-raw-cam.patch
new file mode 100644 (file)
index 0000000..58d23b7
--- /dev/null
@@ -0,0 +1,59 @@
+From 8bc19baeeca276374bed2d2ec95029d34fd93d7d Mon Sep 17 00:00:00 2001
+From: David Plowman <david.plowman@raspberrypi.com>
+Date: Wed, 29 Jan 2020 15:31:32 +0000
+Subject: [PATCH] media: ov5647: change defaults to better match raw
+ camera applications.
+
+Specifically:
+
+* AWB is now off by default.
+
+* AEC/AGC is also off by default.
+
+* The default mode is changed to the 10-bit 2x2 binned mode.
+
+AWB and AEC/AGC can be re-enabled using the usual V4L2 controls. The
+original 8-bit mode will be respected if an application requests the
+8-bit format.
+
+Signed-off-by: David Plowman <david.plowman@raspberrypi.com>
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/i2c/ov5647.c | 10 +++++-----
+ 1 file changed, 5 insertions(+), 5 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -669,8 +669,8 @@ static struct ov5647_mode supported_mode
+       },
+ };
+-/* Use original 8-bit VGA mode as default. */
+-#define OV5647_DEFAULT_MODE (&supported_modes_8bit[0])
++/* Use 2x2 binned 10-bit mode as default. */
++#define OV5647_DEFAULT_MODE (&supported_modes_10bit[2])
+ static int ov5647_write(struct v4l2_subdev *sd, u16 reg, u8 val)
+ {
+@@ -1367,18 +1367,18 @@ static int ov5647_probe(struct i2c_clien
+                         0,  /* min */
+                         1,  /* max */
+                         1,  /* step */
+-                        1); /* default */
++                        0); /* default */
+       v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
+                         V4L2_CID_AUTO_WHITE_BALANCE,
+                         0,  /* min */
+                         1,  /* max */
+                         1,  /* step */
+-                        1); /* default */
++                        0); /* default */
+       v4l2_ctrl_new_std_menu(&sensor->ctrls, &ov5647_ctrl_ops,
+                              V4L2_CID_EXPOSURE_AUTO,
+                              V4L2_EXPOSURE_MANUAL,  /* max */
+                              0,                     /* skip_mask */
+-                             V4L2_EXPOSURE_AUTO);   /* default */
++                             V4L2_EXPOSURE_MANUAL); /* default */
+       ctrl = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
+                                V4L2_CID_EXPOSURE,
+                                4,     /* min lines */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0459-overlays-imx219-Correct-link-frequency-to-match-the-.patch b/target/linux/bcm27xx/patches-5.4/950-0459-overlays-imx219-Correct-link-frequency-to-match-the-.patch
deleted file mode 100644 (file)
index e9eed21..0000000
+++ /dev/null
@@ -1,25 +0,0 @@
-From eae83133532e44adae2f632b2168119a4c7249a2 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 11 Mar 2020 12:07:57 +0000
-Subject: [PATCH] overlays: imx219: Correct link frequency to match the
- upstream driver
-
-The upstream driver is checking the link frequency parameter, and
-the overlay had the wrong value.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/imx219-overlay.dts | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/overlays/imx219-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/imx219-overlay.dts
-@@ -40,7 +40,7 @@
-                                               data-lanes = <1 2>;
-                                               clock-noncontinuous;
-                                               link-frequencies =
--                                                      /bits/ 64 <297000000>;
-+                                                      /bits/ 64 <456000000>;
-                                       };
-                               };
-                       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0460-Kbuild-Allow-.dtbo-overlays-to-be-built-adjust.patch b/target/linux/bcm27xx/patches-5.4/950-0460-Kbuild-Allow-.dtbo-overlays-to-be-built-adjust.patch
deleted file mode 100644 (file)
index b1cd7bf..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From 61113c5463166d734dc6560574f5fb1536bae795 Mon Sep 17 00:00:00 2001
-From: Nataliya Korovkina <malus.brandywine@gmail.com>
-Date: Thu, 12 Mar 2020 17:22:53 -0400
-Subject: [PATCH] Kbuild: Allow .dtbo overlays to be built, adjust.
-
-This is adjustment to commit
-d368ceaacdccd7732dc97d1d7987bdf7149d62e3 "kbuild: Allow .dtbo overlays to be built piecemeal"
-
-prepare3 target has gone from mainline tree in branch 5.4.y
-
-Signed-off-by: Nataliya Korovkina <malus.brandywine@gmail.com>
----
- Makefile | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/Makefile
-+++ b/Makefile
-@@ -1261,7 +1261,7 @@ ifneq ($(dtstree),)
- %.dtb: include/config/kernel.release scripts_dtc
-       $(Q)$(MAKE) $(build)=$(dtstree) $(dtstree)/$@
--%.dtbo: prepare3 scripts_dtc
-+%.dtbo: include/config/kernel.release scripts_dtc
-       $(Q)$(MAKE) $(build)=$(dtstree) $(dtstree)/$@
- PHONY += dtbs dtbs_install dtbs_check
diff --git a/target/linux/bcm27xx/patches-5.4/950-0460-drm-vc4-fkms-Change-crtc_state-structure-name-to-avo.patch b/target/linux/bcm27xx/patches-5.4/950-0460-drm-vc4-fkms-Change-crtc_state-structure-name-to-avo.patch
new file mode 100644 (file)
index 0000000..0b48018
--- /dev/null
@@ -0,0 +1,79 @@
+From c0b2ca6abdde60a111fd6d3257be78c7f44e16ff Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 26 Dec 2019 15:44:31 +0100
+Subject: [PATCH] drm/vc4: fkms: Change crtc_state structure name to
+ avoid conflict
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 18 +++++++++---------
+ 1 file changed, 9 insertions(+), 9 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -260,7 +260,7 @@ static inline struct vc4_crtc *to_vc4_cr
+       return container_of(crtc, struct vc4_crtc, base);
+ }
+-struct vc4_crtc_state {
++struct fkms_crtc_state {
+       struct drm_crtc_state base;
+       struct {
+@@ -271,10 +271,10 @@ struct vc4_crtc_state {
+       } margins;
+ };
+-static inline struct vc4_crtc_state *
+-to_vc4_crtc_state(struct drm_crtc_state *crtc_state)
++static inline struct fkms_crtc_state *
++to_fkms_crtc_state(struct drm_crtc_state *crtc_state)
+ {
+-      return (struct vc4_crtc_state *)crtc_state;
++      return (struct fkms_crtc_state *)crtc_state;
+ }
+ struct vc4_fkms_encoder {
+@@ -410,7 +410,7 @@ static void vc4_fkms_crtc_get_margins(st
+                                     unsigned int *left, unsigned int *right,
+                                     unsigned int *top, unsigned int *bottom)
+ {
+-      struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(state);
++      struct fkms_crtc_state *vc4_state = to_fkms_crtc_state(state);
+       struct drm_connector_state *conn_state;
+       struct drm_connector *conn;
+       int i;
+@@ -423,7 +423,7 @@ static void vc4_fkms_crtc_get_margins(st
+       /* We have to interate over all new connector states because
+        * vc4_fkms_crtc_get_margins() might be called before
+        * vc4_fkms_crtc_atomic_check() which means margins info in
+-       * vc4_crtc_state might be outdated.
++       * fkms_crtc_state might be outdated.
+        */
+       for_each_new_connector_in_state(state->state, conn, conn_state, i) {
+               if (conn_state->crtc != state->crtc)
+@@ -1068,7 +1068,7 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
+ static int vc4_crtc_atomic_check(struct drm_crtc *crtc,
+                                struct drm_crtc_state *state)
+ {
+-      struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(state);
++      struct fkms_crtc_state *vc4_state = to_fkms_crtc_state(state);
+       struct drm_connector *conn;
+       struct drm_connector_state *conn_state;
+       int i;
+@@ -1178,13 +1178,13 @@ static int vc4_page_flip(struct drm_crtc
+ static struct drm_crtc_state *
+ vc4_crtc_duplicate_state(struct drm_crtc *crtc)
+ {
+-      struct vc4_crtc_state *vc4_state, *old_vc4_state;
++      struct fkms_crtc_state *vc4_state, *old_vc4_state;
+       vc4_state = kzalloc(sizeof(*vc4_state), GFP_KERNEL);
+       if (!vc4_state)
+               return NULL;
+-      old_vc4_state = to_vc4_crtc_state(crtc->state);
++      old_vc4_state = to_fkms_crtc_state(crtc->state);
+       vc4_state->margins = old_vc4_state->margins;
+       __drm_atomic_helper_crtc_duplicate_state(crtc, &vc4_state->base);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0461-drm-fourcc-Add-packed-10bit-YUV-4-2-0-format.patch b/target/linux/bcm27xx/patches-5.4/950-0461-drm-fourcc-Add-packed-10bit-YUV-4-2-0-format.patch
new file mode 100644 (file)
index 0000000..ac4fe16
--- /dev/null
@@ -0,0 +1,55 @@
+From 0d392a430d7dc84d8654972e9dbfa4d13009d3e8 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 24 Jan 2020 14:22:06 +0000
+Subject: [PATCH] drm/fourcc: Add packed 10bit YUV 4:2:0 format
+
+Adds a format that is 3 10bit YUV 4:2:0 samples packed into
+a 32bit work (with 2 spare bits).
+
+Supported on Broadcom BCM2711 chips.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/drm_fourcc.c  |  3 +++
+ include/uapi/drm/drm_fourcc.h | 11 +++++++++++
+ 2 files changed, 14 insertions(+)
+
+--- a/drivers/gpu/drm/drm_fourcc.c
++++ b/drivers/gpu/drm/drm_fourcc.c
+@@ -274,6 +274,9 @@ const struct drm_format_info *__drm_form
+               { .format = DRM_FORMAT_YUV420_10BIT,    .depth = 0,
+                 .num_planes = 1, .cpp = { 0, 0, 0 }, .hsub = 2, .vsub = 2,
+                 .is_yuv = true },
++              { .format = DRM_FORMAT_P030,            .depth = 0,  .num_planes = 2,
++                .char_per_block = { 4, 4, 0 }, .block_w = { 3, 0, 0 }, .block_h = { 1, 0, 0 },
++                .hsub = 2, .vsub = 2, .is_yuv = true},
+       };
+       unsigned int i;
+--- a/include/uapi/drm/drm_fourcc.h
++++ b/include/uapi/drm/drm_fourcc.h
+@@ -266,6 +266,13 @@ extern "C" {
+ #define DRM_FORMAT_P016               fourcc_code('P', '0', '1', '6') /* 2x2 subsampled Cr:Cb plane 16 bits per channel */
+ /*
++ * 2 plane YCbCr MSB aligned, 3 pixels packed into 4 bytes.
++ * index 0 = Y plane, [31:0] x:Y2:Y1:Y0 2:10:10:10 little endian
++ * index 1 = Cr:Cb plane, [63:0] x:Cr2:Cb2:Cr1:x:Cb1:Cr0:Cb0 [2:10:10:10:2:10:10:10] little endian
++ */
++#define DRM_FORMAT_P030               fourcc_code('P', '0', '3', '0') /* 2x2 subsampled Cr:Cb plane 10 bits per channel packed */
++
++/*
+  * 3 plane YCbCr
+  * index 0: Y plane, [7:0] Y
+  * index 1: Cb plane, [7:0] Cb
+@@ -593,6 +600,10 @@ extern "C" {
+  * and UV.  Some SAND-using hardware stores UV in a separate tiled
+  * image from Y to reduce the column height, which is not supported
+  * with these modifiers.
++ *
++ * The DRM_FORMAT_MOD_BROADCOM_SAND128_COL_HEIGHT modifier is also
++ * supported for DRM_FORMAT_P030 where the columns remain as 128 bytes
++ * wide, but as this is a 10 bpp format that translates to 96 pixels.
+  */
+ #define DRM_FORMAT_MOD_BROADCOM_SAND32_COL_HEIGHT(v) \
diff --git a/target/linux/bcm27xx/patches-5.4/950-0461-media-ov5647-Fix-return-codes-from-ov5647_write-ov56.patch b/target/linux/bcm27xx/patches-5.4/950-0461-media-ov5647-Fix-return-codes-from-ov5647_write-ov56.patch
deleted file mode 100644 (file)
index c7e10cb..0000000
+++ /dev/null
@@ -1,74 +0,0 @@
-From 23f717168dc55f69ad517d3ab5f412d04a5afc0e Mon Sep 17 00:00:00 2001
-From: David Plowman <david.plowman@raspberrypi.org>
-Date: Wed, 15 Jan 2020 13:40:38 +0000
-Subject: [PATCH] media: ov5647: Fix return codes from
- ov5647_write/ov5647_read functions.
-
-Previously they were returning positive non-zero codes for success,
-which were getting passed up the call stack. Since release 4.19,
-do_dentry_open (fs/open.c) has been catching these and flagging an
-error. (So this driver has been broken since that date.)
-
-Fixes: 3c2472a [media] media: i2c: Add support for OV5647 sensor
-Signed-off-by: David Plowman <david.plowman@raspberrypi.org>
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- drivers/media/i2c/ov5647.c | 30 +++++++++++++++++++++++++++---
- 1 file changed, 27 insertions(+), 3 deletions(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -214,9 +214,18 @@ static int ov5647_write(struct v4l2_subd
-       struct i2c_client *client = v4l2_get_subdevdata(sd);
-       ret = i2c_master_send(client, data, 3);
--      if (ret < 0)
-+      /*
-+       * Writing the wrong number of bytes also needs to be flagged as an
-+       * error. Success needs to produce a 0 return code.
-+       */
-+      if (ret == 3) {
-+              ret = 0;
-+      } else {
-               dev_dbg(&client->dev, "%s: i2c write error, reg: %x\n",
-                               __func__, reg);
-+              if (ret >= 0)
-+                      ret = -EINVAL;
-+      }
-       return ret;
- }
-@@ -228,16 +237,31 @@ static int ov5647_read(struct v4l2_subde
-       struct i2c_client *client = v4l2_get_subdevdata(sd);
-       ret = i2c_master_send(client, data_w, 2);
--      if (ret < 0) {
-+      /*
-+       * A negative return code, or sending the wrong number of bytes, both
-+       * count as an error.
-+       */
-+      if (ret != 2) {
-               dev_dbg(&client->dev, "%s: i2c write error, reg: %x\n",
-                       __func__, reg);
-+              if (ret >= 0)
-+                      ret = -EINVAL;
-               return ret;
-       }
-       ret = i2c_master_recv(client, val, 1);
--      if (ret < 0)
-+      /*
-+       * The only return value indicating success is 1. Anything else, even
-+       * a non-negative value, indicates something went wrong.
-+       */
-+      if (ret == 1) {
-+              ret = 0;
-+      } else {
-               dev_dbg(&client->dev, "%s: i2c read error, reg: %x\n",
-                               __func__, reg);
-+              if (ret >= 0)
-+                      ret = -EINVAL;
-+      }
-       return ret;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0462-drm-vc4-Add-DRM_FORMAT_P030-support-to-firmware-kms.patch b/target/linux/bcm27xx/patches-5.4/950-0462-drm-vc4-Add-DRM_FORMAT_P030-support-to-firmware-kms.patch
new file mode 100644 (file)
index 0000000..f6264ff
--- /dev/null
@@ -0,0 +1,71 @@
+From 531d3d5c89825bade52f4257d264bbb06775a6fa Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 24 Jan 2020 14:24:33 +0000
+Subject: [PATCH] drm/vc4: Add DRM_FORMAT_P030 support to firmware-kms
+
+Adds support for this format which is 3 10bit samples packed into
+4 bytes, as used by the HEVC codec block.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 21 ++++++++++++++++++++-
+ drivers/gpu/drm/vc4/vc_image_types.h   |  4 ++++
+ 2 files changed, 24 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -216,6 +216,10 @@ static const struct vc_image_format {
+               .vc_image = VC_IMAGE_YUV420SP,
+               .is_vu = 1,
+       },
++      {
++              .drm = DRM_FORMAT_P030,
++              .vc_image = VC_IMAGE_YUV10COL,
++      },
+ };
+ static const struct vc_image_format *vc4_get_vc_image_fmt(u32 drm_format)
+@@ -622,7 +626,15 @@ static int vc4_plane_to_mb(struct drm_pl
+               }
+               break;
+       case DRM_FORMAT_MOD_BROADCOM_SAND128:
+-              mb->plane.vc_image_type = VC_IMAGE_YUV_UV;
++              switch (mb->plane.vc_image_type) {
++              case VC_IMAGE_YUV420SP:
++                      mb->plane.vc_image_type = VC_IMAGE_YUV_UV;
++                      break;
++              /* VC_IMAGE_YUV10COL could be included in here, but it is only
++               * valid as a SAND128 format, so the table at the top will have
++               * already set the correct format.
++               */
++              }
+               /* Note that the column pitch is passed across in lines, not
+                * bytes.
+                */
+@@ -707,6 +719,13 @@ static bool vc4_fkms_format_mod_supporte
+               case DRM_FORMAT_MOD_BROADCOM_SAND128:
+                       return true;
+               default:
++                      return false;
++              }
++      case DRM_FORMAT_P030:
++              switch (fourcc_mod_broadcom_mod(modifier)) {
++              case DRM_FORMAT_MOD_BROADCOM_SAND128:
++                      return true;
++              default:
+                       return false;
+               }
+       case DRM_FORMAT_NV21:
+--- a/drivers/gpu/drm/vc4/vc_image_types.h
++++ b/drivers/gpu/drm/vc4/vc_image_types.h
+@@ -139,6 +139,10 @@ enum {
+       VC_IMAGE_YUV_UV_16,
+       /* YUV4:2:0 with U,V in side-by-side format */
+       VC_IMAGE_YUV420_S,
++      /* 10-bit YUV 420 column image format */
++      VC_IMAGE_YUV10COL,
++      /* 32-bpp, 10-bit R/G/B, 2-bit Alpha */
++      VC_IMAGE_RGBA1010102,
+       VC_IMAGE_MAX,     /* bounds for error checking */
+       VC_IMAGE_FORCE_ENUM_16BIT = 0xffff,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0462-media-ov5647-Add-basic-support-for-multiple-sensor-m.patch b/target/linux/bcm27xx/patches-5.4/950-0462-media-ov5647-Add-basic-support-for-multiple-sensor-m.patch
deleted file mode 100644 (file)
index 5846e96..0000000
+++ /dev/null
@@ -1,407 +0,0 @@
-From 9e584d9de3387588bf455d3c45ec6a092bfa4266 Mon Sep 17 00:00:00 2001
-From: David Plowman <david.plowman@raspberrypi.com>
-Date: Wed, 29 Jan 2020 15:30:53 +0000
-Subject: [PATCH] media: ov5647: Add basic support for multiple sensor
- modes.
-
-Specifically:
-
-Added a structure ov5647_mode and a list of supported_modes (though no
-actual new modes as yet). The state object points to the "current mode".
-
-ov5647_enum_mbus_code, ov5647_enum_frame_size, ov5647_set_fmt and
-ov5647_get_fmt all needed upgrading to cope with multiple modes.
-
-__sensor_init (which writes all the registers) is now called by
-ov5647_stream_on (once the mode is known) rather than by
-ov5647_sensor_power.
-
-Signed-off-by: David Plowman <david.plowman@raspberrypi.com>
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- drivers/media/i2c/ov5647.c | 268 ++++++++++++++++++++++++++++---------
- 1 file changed, 202 insertions(+), 66 deletions(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -86,13 +86,17 @@ struct regval_list {
-       u8 data;
- };
-+struct ov5647_mode {
-+      struct v4l2_mbus_framefmt       format;
-+      struct regval_list              *reg_list;
-+      unsigned int                    num_regs;
-+};
-+
- struct ov5647 {
-       struct v4l2_subdev              sd;
-       struct media_pad                pad;
-       struct mutex                    lock;
--      struct v4l2_mbus_framefmt       format;
--      unsigned int                    width;
--      unsigned int                    height;
-+      const struct ov5647_mode        *mode;
-       int                             power_count;
-       struct clk                      *xclk;
-       struct gpio_desc                *pwdn;
-@@ -207,6 +211,32 @@ static struct regval_list ov5647_640x480
-       {0x0100, 0x01},
- };
-+static struct ov5647_mode supported_modes_8bit[] = {
-+      /*
-+       * Original 8-bit VGA mode
-+       * Uncentred crop (top left quarter) from 2x2 binned 1296x972 image.
-+       */
-+      {
-+              {
-+                      .code = MEDIA_BUS_FMT_SBGGR8_1X8,
-+                      .colorspace = V4L2_COLORSPACE_SRGB,
-+                      .field = V4L2_FIELD_NONE,
-+                      .width = 640,
-+                      .height = 480
-+              },
-+              ov5647_640x480,
-+              ARRAY_SIZE(ov5647_640x480)
-+      },
-+      /* more modes below here... */
-+};
-+
-+static struct ov5647_mode supported_modes_10bit[] = {
-+      /* no 10-bit modes yet */
-+};
-+
-+/* Use original 8-bit VGA mode as default. */
-+#define OV5647_DEFAULT_MODE (&supported_modes_8bit[0])
-+
- static int ov5647_write(struct v4l2_subdev *sd, u16 reg, u8 val)
- {
-       int ret;
-@@ -293,12 +323,55 @@ static int ov5647_set_virtual_channel(st
-       return ov5647_write(sd, OV5647_REG_MIPI_CTRL14, channel_id | (channel << 6));
- }
-+static int __sensor_init(struct v4l2_subdev *sd)
-+{
-+      int ret;
-+      u8 resetval, rdval;
-+      struct i2c_client *client = v4l2_get_subdevdata(sd);
-+      struct ov5647 *state = to_state(sd);
-+
-+      ret = ov5647_read(sd, OV5647_SW_STANDBY, &rdval);
-+      if (ret < 0)
-+              return ret;
-+
-+      ret = ov5647_write_array(sd, state->mode->reg_list,
-+                               state->mode->num_regs);
-+      if (ret < 0) {
-+              dev_err(&client->dev, "write sensor default regs error\n");
-+              return ret;
-+      }
-+
-+      ret = ov5647_set_virtual_channel(sd, 0);
-+      if (ret < 0)
-+              return ret;
-+
-+      ret = ov5647_read(sd, OV5647_SW_STANDBY, &resetval);
-+      if (ret < 0)
-+              return ret;
-+
-+      if (!(resetval & 0x01)) {
-+              dev_err(&client->dev, "Device was in SW standby");
-+              ret = ov5647_write(sd, OV5647_SW_STANDBY, 0x01);
-+              if (ret < 0)
-+                      return ret;
-+      }
-+
-+      return 0;
-+}
-+
- static int ov5647_stream_on(struct v4l2_subdev *sd)
- {
-+      struct i2c_client *client = v4l2_get_subdevdata(sd);
-       struct ov5647 *ov5647 = to_state(sd);
-       u8 val = MIPI_CTRL00_BUS_IDLE;
-       int ret;
-+      ret = __sensor_init(sd);
-+      if (ret < 0) {
-+              dev_err(&client->dev, "sensor_init failed\n");
-+              return ret;
-+      }
-+
-       if (ov5647->flags & V4L2_MBUS_CSI2_NONCONTINUOUS_CLOCK)
-               val |= MIPI_CTRL00_CLOCK_LANE_GATE |
-                      MIPI_CTRL00_LINE_SYNC_ENABLE;
-@@ -347,44 +420,6 @@ static int set_sw_standby(struct v4l2_su
-       return ov5647_write(sd, OV5647_SW_STANDBY, rdval);
- }
--static int __sensor_init(struct v4l2_subdev *sd)
--{
--      int ret;
--      u8 resetval, rdval;
--      struct i2c_client *client = v4l2_get_subdevdata(sd);
--
--      ret = ov5647_read(sd, OV5647_SW_STANDBY, &rdval);
--      if (ret < 0)
--              return ret;
--
--      ret = ov5647_write_array(sd, ov5647_640x480,
--                                      ARRAY_SIZE(ov5647_640x480));
--      if (ret < 0) {
--              dev_err(&client->dev, "write sensor default regs error\n");
--              return ret;
--      }
--
--      ret = ov5647_set_virtual_channel(sd, 0);
--      if (ret < 0)
--              return ret;
--
--      ret = ov5647_read(sd, OV5647_SW_STANDBY, &resetval);
--      if (ret < 0)
--              return ret;
--
--      if (!(resetval & 0x01)) {
--              dev_err(&client->dev, "Device was in SW standby");
--              ret = ov5647_write(sd, OV5647_SW_STANDBY, 0x01);
--              if (ret < 0)
--                      return ret;
--      }
--
--      /*
--       * stream off to make the clock lane into LP-11 state.
--       */
--      return ov5647_stream_off(sd);
--}
--
- static int ov5647_sensor_power(struct v4l2_subdev *sd, int on)
- {
-       int ret = 0;
-@@ -408,7 +443,7 @@ static int ov5647_sensor_power(struct v4
-               }
-               ret = ov5647_write_array(sd, sensor_oe_enable_regs,
--                              ARRAY_SIZE(sensor_oe_enable_regs));
-+                                       ARRAY_SIZE(sensor_oe_enable_regs));
-               if (ret < 0) {
-                       clk_disable_unprepare(ov5647->xclk);
-                       dev_err(&client->dev,
-@@ -416,7 +451,10 @@ static int ov5647_sensor_power(struct v4
-                       goto out;
-               }
--              ret = __sensor_init(sd);
-+              /*
-+               * Ensure streaming off to make clock lane go into LP-11 state.
-+               */
-+              ret = ov5647_stream_off(sd);
-               if (ret < 0) {
-                       clk_disable_unprepare(ov5647->xclk);
-                       dev_err(&client->dev,
-@@ -427,7 +465,7 @@ static int ov5647_sensor_power(struct v4
-               dev_dbg(&client->dev, "OV5647 power off\n");
-               ret = ov5647_write_array(sd, sensor_oe_disable_regs,
--                              ARRAY_SIZE(sensor_oe_disable_regs));
-+                                       ARRAY_SIZE(sensor_oe_disable_regs));
-               if (ret < 0)
-                       dev_dbg(&client->dev, "disable oe failed\n");
-@@ -489,10 +527,19 @@ static const struct v4l2_subdev_core_ops
- static int ov5647_s_stream(struct v4l2_subdev *sd, int enable)
- {
-+      struct ov5647 *state = to_state(sd);
-+      int ret = 0;
-+
-+      mutex_lock(&state->lock);
-+
-       if (enable)
--              return ov5647_stream_on(sd);
-+              ret = ov5647_stream_on(sd);
-       else
--              return ov5647_stream_off(sd);
-+              ret = ov5647_stream_off(sd);
-+
-+      mutex_unlock(&state->lock);
-+
-+      return ret;
- }
- static const struct v4l2_subdev_video_ops ov5647_subdev_video_ops = {
-@@ -503,38 +550,127 @@ static int ov5647_enum_mbus_code(struct
-                               struct v4l2_subdev_pad_config *cfg,
-                               struct v4l2_subdev_mbus_code_enum *code)
- {
--      if (code->index > 0)
-+      if (code->index == 0 && ARRAY_SIZE(supported_modes_8bit))
-+              code->code = MEDIA_BUS_FMT_SBGGR8_1X8;
-+      else if (code->index == 0 && ARRAY_SIZE(supported_modes_8bit) == 0 &&
-+               ARRAY_SIZE(supported_modes_10bit))
-+              code->code = MEDIA_BUS_FMT_SBGGR10_1X10;
-+      else if (code->index == 1 && ARRAY_SIZE(supported_modes_8bit) &&
-+               ARRAY_SIZE(supported_modes_10bit))
-+              code->code = MEDIA_BUS_FMT_SBGGR10_1X10;
-+      else
-               return -EINVAL;
--      code->code = MEDIA_BUS_FMT_SBGGR8_1X8;
-+      return 0;
-+}
-+
-+static int ov5647_enum_frame_size(struct v4l2_subdev *sd,
-+                                struct v4l2_subdev_pad_config *cfg,
-+                                struct v4l2_subdev_frame_size_enum *fse)
-+{
-+      struct ov5647_mode *mode = NULL;
-+
-+      if (fse->code == MEDIA_BUS_FMT_SBGGR8_1X8) {
-+              if (fse->index >= ARRAY_SIZE(supported_modes_8bit))
-+                      return -EINVAL;
-+              mode = &supported_modes_8bit[fse->index];
-+      } else if (fse->code == MEDIA_BUS_FMT_SBGGR10_1X10) {
-+              if (fse->index >= ARRAY_SIZE(supported_modes_10bit))
-+                      return -EINVAL;
-+              mode = &supported_modes_10bit[fse->index];
-+      } else {
-+              return -EINVAL;
-+      }
-+
-+      fse->min_width = mode->format.width;
-+      fse->max_width = fse->min_width;
-+      fse->min_height = mode->format.height;
-+      fse->max_height = fse->min_height;
-+
-+      return 0;
-+}
-+
-+static int ov5647_set_fmt(struct v4l2_subdev *sd,
-+                        struct v4l2_subdev_pad_config *cfg,
-+                        struct v4l2_subdev_format *format)
-+{
-+      struct v4l2_mbus_framefmt *fmt = &format->format;
-+      struct ov5647 *state = to_state(sd);
-+      struct v4l2_mbus_framefmt *framefmt;
-+      const struct ov5647_mode *mode_8bit, *mode_10bit, *mode = NULL;
-+
-+      if (format->pad != 0)
-+              return -EINVAL;
-+
-+      mutex_lock(&state->lock);
-+
-+      /*
-+       * Try to respect any given pixel format, otherwise try for a 10-bit
-+       * mode.
-+       */
-+      mode_8bit = v4l2_find_nearest_size(supported_modes_8bit,
-+                                         ARRAY_SIZE(supported_modes_8bit),
-+                                         format.width, format.height,
-+                                         format->format.width,
-+                                         format->format.height);
-+      mode_10bit = v4l2_find_nearest_size(supported_modes_10bit,
-+                                          ARRAY_SIZE(supported_modes_10bit),
-+                                          format.width, format.height,
-+                                          format->format.width,
-+                                          format->format.height);
-+      if (format->format.code == MEDIA_BUS_FMT_SBGGR8_1X8 && mode_8bit)
-+              mode = mode_8bit;
-+      else if (format->format.code == MEDIA_BUS_FMT_SBGGR10_1X10 &&
-+               mode_10bit)
-+              mode = mode_10bit;
-+      else if (mode_10bit)
-+              mode = mode_10bit;
-+      else
-+              mode = mode_8bit;
-+
-+      if (!mode)
-+              return -EINVAL;
-+
-+      *fmt = mode->format;
-+      if (format->which == V4L2_SUBDEV_FORMAT_TRY) {
-+              framefmt = v4l2_subdev_get_try_format(sd, cfg, format->pad);
-+              *framefmt = format->format;
-+      } else {
-+              state->mode = mode;
-+      }
-+
-+      mutex_unlock(&state->lock);
-       return 0;
- }
--static int ov5647_set_get_fmt(struct v4l2_subdev *sd,
--                            struct v4l2_subdev_pad_config *cfg,
--                            struct v4l2_subdev_format *format)
-+static int ov5647_get_fmt(struct v4l2_subdev *sd,
-+                        struct v4l2_subdev_pad_config *cfg,
-+                        struct v4l2_subdev_format *format)
- {
-       struct v4l2_mbus_framefmt *fmt = &format->format;
-+      struct ov5647 *state = to_state(sd);
-       if (format->pad != 0)
-               return -EINVAL;
--      /* Only one format is supported, so return that */
--      memset(fmt, 0, sizeof(*fmt));
--      fmt->code = MEDIA_BUS_FMT_SBGGR8_1X8;
--      fmt->colorspace = V4L2_COLORSPACE_SRGB;
--      fmt->field = V4L2_FIELD_NONE;
--      fmt->width = 640;
--      fmt->height = 480;
-+      mutex_lock(&state->lock);
-+
-+      if (format->which == V4L2_SUBDEV_FORMAT_TRY)
-+              *fmt = *v4l2_subdev_get_try_format(sd, cfg, format->pad);
-+      else
-+              *fmt = state->mode->format;
-+
-+      mutex_unlock(&state->lock);
-       return 0;
- }
- static const struct v4l2_subdev_pad_ops ov5647_subdev_pad_ops = {
-       .enum_mbus_code = ov5647_enum_mbus_code,
--      .set_fmt =        ov5647_set_get_fmt,
--      .get_fmt =        ov5647_set_get_fmt,
-+      .set_fmt =        ov5647_set_fmt,
-+      .get_fmt =        ov5647_get_fmt,
-+      .enum_frame_size = ov5647_enum_frame_size,
- };
- static const struct v4l2_subdev_ops ov5647_subdev_ops = {
-@@ -580,18 +716,15 @@ static int ov5647_open(struct v4l2_subde
-                               v4l2_subdev_get_try_format(sd, fh->pad, 0);
-       struct v4l2_rect *crop =
-                               v4l2_subdev_get_try_crop(sd, fh->pad, 0);
-+      struct ov5647 *state = to_state(sd);
-       crop->left = OV5647_COLUMN_START_DEF;
-       crop->top = OV5647_ROW_START_DEF;
-       crop->width = OV5647_WINDOW_WIDTH_DEF;
-       crop->height = OV5647_WINDOW_HEIGHT_DEF;
--      format->code = MEDIA_BUS_FMT_SBGGR8_1X8;
--
--      format->width = OV5647_WINDOW_WIDTH_DEF;
--      format->height = OV5647_WINDOW_HEIGHT_DEF;
--      format->field = V4L2_FIELD_NONE;
--      format->colorspace = V4L2_COLORSPACE_SRGB;
-+      /* Set the default format to the same as the sensor. */
-+      *format = state->mode->format;
-       return 0;
- }
-@@ -660,6 +793,9 @@ static int ov5647_probe(struct i2c_clien
-       mutex_init(&sensor->lock);
-+      /* Set the default mode before we init the subdev */
-+      sensor->mode = OV5647_DEFAULT_MODE;
-+
-       sd = &sensor->sd;
-       v4l2_i2c_subdev_init(sd, client, &ov5647_subdev_ops);
-       sensor->sd.internal_ops = &ov5647_subdev_internal_ops;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0463-gpio-ir-overlay-add-parameter-to-configure-signal-po.patch b/target/linux/bcm27xx/patches-5.4/950-0463-gpio-ir-overlay-add-parameter-to-configure-signal-po.patch
new file mode 100644 (file)
index 0000000..cdd14f7
--- /dev/null
@@ -0,0 +1,45 @@
+From e253d03936265dc4ab8ae9ae89d2a885e80a45a6 Mon Sep 17 00:00:00 2001
+From: Matthias Reichl <hias@horus.com>
+Date: Fri, 6 Mar 2020 11:08:10 +0100
+Subject: [PATCH] gpio-ir-overlay: add parameter to configure signal
+ polarity (#3490)
+
+Standard IR receivers use inverted / active-low signalling
+and the gpio-ir overlay configures the GPIO appropriately
+as GPIO_ACTIVE_LOW (1).
+
+In order to support (rather rare) non-inverted / active-high
+signalling the GPIO needs to be configured as GPIO_ACTIVE_HIGH (0).
+
+Add an "invert" parameter to override this like in the gpio-ir-tx
+overlay.
+
+Signed-off-by: Matthias Reichl <hias@horus.com>
+---
+ arch/arm/boot/dts/overlays/README              | 4 ++++
+ arch/arm/boot/dts/overlays/gpio-ir-overlay.dts | 1 +
+ 2 files changed, 5 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -754,6 +754,10 @@ Params: gpio_pin                Input pi
+         gpio_pull               Desired pull-up/down state (off, down, up)
+                                 Default is "up".
++        invert                  "1" = invert the input (active-low signalling).
++                                "0" = non-inverted input (active-high
++                                signalling). Default is "1".
++
+         rc-map-name             Default rc keymap (can also be changed by
+                                 ir-keytable), defaults to "rc-rc6-mce"
+--- a/arch/arm/boot/dts/overlays/gpio-ir-overlay.dts
++++ b/arch/arm/boot/dts/overlays/gpio-ir-overlay.dts
+@@ -42,6 +42,7 @@
+                                 <&gpio_ir_pins>,"brcm,pins:0",
+                                 <&gpio_ir_pins>,"reg:0";
+                 gpio_pull = <&gpio_ir_pins>,"brcm,pull:0";              // pull-up/down state
++                invert = <&gpio_ir>,"gpios:8";                          // 0 = active high input
+                 rc-map-name = <&gpio_ir>,"linux,rc-map-name";           // default rc map
+         };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0463-media-ov5647-Add-V4L2-controls-for-analogue-gain-exp.patch b/target/linux/bcm27xx/patches-5.4/950-0463-media-ov5647-Add-V4L2-controls-for-analogue-gain-exp.patch
deleted file mode 100644 (file)
index 907bab5..0000000
+++ /dev/null
@@ -1,277 +0,0 @@
-From d9d333b717f220439868edd533994f2709b3a95f Mon Sep 17 00:00:00 2001
-From: David Plowman <david.plowman@raspberrypi.com>
-Date: Wed, 29 Jan 2020 15:31:23 +0000
-Subject: [PATCH] media: ov5647: Add V4L2 controls for analogue gain,
- exposure and AWB
-
-Added basic v4l2_ctrl_handler infrastructure (there was none
-previously).
-
-Added controls to let AWB/AEC/AGC run in the sensor's auto mode or
-manually. Also controls to set exposure (in lines) and analogue gain
-(as a register code) from user code.
-
-Also delete registers (just the one) from the VGA mode register set
-that are now controlled by the new V4L2 controls.
-
-Signed-off-by: David Plowman <david.plowman@raspberrypi.com>
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- drivers/media/i2c/ov5647.c | 175 ++++++++++++++++++++++++++++++++++++-
- 1 file changed, 174 insertions(+), 1 deletion(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -29,11 +29,13 @@
- #include <linux/of_graph.h>
- #include <linux/slab.h>
- #include <linux/videodev2.h>
-+#include <media/v4l2-ctrls.h>
- #include <media/v4l2-device.h>
- #include <media/v4l2-fwnode.h>
- #include <media/v4l2-image-sizes.h>
- #include <media/v4l2-mediabus.h>
-+
- #define SENSOR_NAME "ov5647"
- /*
-@@ -53,9 +55,16 @@
- #define OV5647_REG_CHIPID_H           0x300A
- #define OV5647_REG_CHIPID_L           0x300B
- #define OV5640_REG_PAD_OUT            0x300D
-+#define OV5647_REG_EXP_HI             0x3500
-+#define OV5647_REG_EXP_MID            0x3501
-+#define OV5647_REG_EXP_LO             0x3502
-+#define OV5647_REG_AEC_AGC            0x3503
-+#define OV5647_REG_GAIN_HI            0x350A
-+#define OV5647_REG_GAIN_LO            0x350B
- #define OV5647_REG_FRAME_OFF_NUMBER   0x4202
- #define OV5647_REG_MIPI_CTRL00                0x4800
- #define OV5647_REG_MIPI_CTRL14                0x4814
-+#define OV5647_REG_AWB                        0x5001
- #define REG_TERM 0xfffe
- #define VAL_TERM 0xfe
-@@ -101,6 +110,7 @@ struct ov5647 {
-       struct clk                      *xclk;
-       struct gpio_desc                *pwdn;
-       unsigned int                    flags;
-+      struct v4l2_ctrl_handler        ctrls;
- };
- static inline struct ov5647 *to_state(struct v4l2_subdev *sd)
-@@ -135,7 +145,6 @@ static struct regval_list ov5647_640x480
-       {0x3612, 0x59},
-       {0x3618, 0x00},
-       {0x5000, 0x06},
--      {0x5001, 0x01},
-       {0x5002, 0x41},
-       {0x5003, 0x08},
-       {0x5a00, 0x08},
-@@ -372,6 +381,11 @@ static int ov5647_stream_on(struct v4l2_
-               return ret;
-       }
-+      /* Apply customized values from user when stream starts */
-+      ret =  __v4l2_ctrl_handler_setup(sd->ctrl_handler);
-+      if (ret)
-+              return ret;
-+
-       if (ov5647->flags & V4L2_MBUS_CSI2_NONCONTINUOUS_CLOCK)
-               val |= MIPI_CTRL00_CLOCK_LANE_GATE |
-                      MIPI_CTRL00_LINE_SYNC_ENABLE;
-@@ -753,6 +767,120 @@ static int ov5647_parse_dt(struct device
-       return ret;
- }
-+static int ov5647_s_auto_white_balance(struct v4l2_subdev *sd, u32 val)
-+{
-+      /* non-zero turns on AWB */
-+      return ov5647_write(sd, OV5647_REG_AWB, val ? 1 : 0);
-+}
-+
-+static int ov5647_s_autogain(struct v4l2_subdev *sd, u32 val)
-+{
-+      int ret;
-+      u8 reg;
-+
-+      /* non-zero turns on AGC by clearing bit 1 */
-+      ret = ov5647_read(sd, OV5647_REG_AEC_AGC, &reg);
-+      if (ret == 0)
-+              ret = ov5647_write(sd, OV5647_REG_AEC_AGC,
-+                                 val ? reg & ~2 : reg | 2);
-+
-+      return ret;
-+}
-+
-+static int ov5647_s_exposure_auto(struct v4l2_subdev *sd, u32 val)
-+{
-+      int ret;
-+      u8 reg;
-+
-+      /* Everything except V4L2_EXPOSURE_MANUAL turns on AEC by
-+       * clearing bit 0
-+       */
-+      ret = ov5647_read(sd, OV5647_REG_AEC_AGC, &reg);
-+      if (ret == 0)
-+              ret = ov5647_write(sd, OV5647_REG_AEC_AGC,
-+                                 val == V4L2_EXPOSURE_MANUAL ?
-+                                 reg | 1 : reg & ~1);
-+
-+      return ret;
-+}
-+
-+static int ov5647_s_analogue_gain(struct v4l2_subdev *sd, u32 val)
-+{
-+      int ret;
-+
-+      /* 10 bits of gain, 2 in the high register */
-+      ret = ov5647_write(sd, OV5647_REG_GAIN_HI, (val >> 8) & 3);
-+      if (ret == 0)
-+              ret = ov5647_write(sd, OV5647_REG_GAIN_LO, val & 0xff);
-+
-+      return ret;
-+}
-+
-+static int ov5647_s_exposure(struct v4l2_subdev *sd, u32 val)
-+{
-+      int ret;
-+
-+      /* Sensor has 20 bits, but the bottom 4 bits are fractions of a line
-+       * which we leave as zero (and don't receive in "val").
-+       */
-+      ret = ov5647_write(sd, OV5647_REG_EXP_HI, (val >> 12) & 0xf);
-+      if (ret == 0)
-+              ov5647_write(sd, OV5647_REG_EXP_MID, (val >> 4) & 0xff);
-+      if (ret == 0)
-+              ov5647_write(sd, OV5647_REG_EXP_LO, (val & 0xf) << 4);
-+
-+      return ret;
-+}
-+
-+static int ov5647_s_ctrl(struct v4l2_ctrl *ctrl)
-+{
-+      struct ov5647 *state = container_of(ctrl->handler,
-+                                           struct ov5647, ctrls);
-+      struct v4l2_subdev *sd = &state->sd;
-+      struct i2c_client *client = v4l2_get_subdevdata(sd);
-+      int ret = 0;
-+
-+      /* v4l2_ctrl_lock() locks our own mutex */
-+
-+      /*
-+       * If the device is not powered up by the host driver do
-+       * not apply any controls to H/W at this time. Instead
-+       * the controls will be restored right after power-up.
-+       */
-+      if (state->power_count == 0)
-+              return 0;
-+
-+      switch (ctrl->id) {
-+      case V4L2_CID_AUTO_WHITE_BALANCE:
-+              ret = ov5647_s_auto_white_balance(sd, ctrl->val);
-+              break;
-+      case V4L2_CID_AUTOGAIN:
-+              ret = ov5647_s_autogain(sd, ctrl->val);
-+              break;
-+      case V4L2_CID_EXPOSURE_AUTO:
-+              ret = ov5647_s_exposure_auto(sd, ctrl->val);
-+              break;
-+      case V4L2_CID_ANALOGUE_GAIN:
-+              ret = ov5647_s_analogue_gain(sd, ctrl->val);
-+              break;
-+      case V4L2_CID_EXPOSURE:
-+              ret = ov5647_s_exposure(sd, ctrl->val);
-+              break;
-+      default:
-+              dev_info(&client->dev,
-+                       "ctrl(id:0x%x,val:0x%x) is not handled\n",
-+                       ctrl->id, ctrl->val);
-+              ret = -EINVAL;
-+              break;
-+      }
-+
-+      return ret;
-+}
-+
-+static const struct v4l2_ctrl_ops ov5647_ctrl_ops = {
-+      .s_ctrl = ov5647_s_ctrl,
-+};
-+
- static int ov5647_probe(struct i2c_client *client)
- {
-       struct device *dev = &client->dev;
-@@ -761,6 +889,7 @@ static int ov5647_probe(struct i2c_clien
-       struct v4l2_subdev *sd;
-       struct device_node *np = client->dev.of_node;
-       u32 xclk_freq;
-+      struct v4l2_ctrl *ctrl;
-       sensor = devm_kzalloc(dev, sizeof(*sensor), GFP_KERNEL);
-       if (!sensor)
-@@ -793,6 +922,48 @@ static int ov5647_probe(struct i2c_clien
-       mutex_init(&sensor->lock);
-+      /* Initialise controls. */
-+      v4l2_ctrl_handler_init(&sensor->ctrls, 3);
-+      v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-+                        V4L2_CID_AUTOGAIN,
-+                        0,  /* min */
-+                        1,  /* max */
-+                        1,  /* step */
-+                        1); /* default */
-+      v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-+                        V4L2_CID_AUTO_WHITE_BALANCE,
-+                        0,  /* min */
-+                        1,  /* max */
-+                        1,  /* step */
-+                        1); /* default */
-+      v4l2_ctrl_new_std_menu(&sensor->ctrls, &ov5647_ctrl_ops,
-+                             V4L2_CID_EXPOSURE_AUTO,
-+                             V4L2_EXPOSURE_MANUAL,  /* max */
-+                             0,                     /* skip_mask */
-+                             V4L2_EXPOSURE_AUTO);   /* default */
-+      ctrl = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-+                               V4L2_CID_EXPOSURE,
-+                               4,     /* min lines */
-+                               65535, /* max lines (4+8+4 bits)*/
-+                               1,     /* step */
-+                               1000); /* default number of lines */
-+      ctrl->flags |= V4L2_CTRL_FLAG_EXECUTE_ON_WRITE;
-+      ctrl = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-+                               V4L2_CID_ANALOGUE_GAIN,
-+                               16,   /* min, 16 = 1.0x */
-+                               1023, /* max (10 bits) */
-+                               1,    /* step */
-+                               32);  /* default, 32 = 2.0x */
-+      ctrl->flags |= V4L2_CTRL_FLAG_EXECUTE_ON_WRITE;
-+
-+      if (sensor->ctrls.error) {
-+              ret = sensor->ctrls.error;
-+              dev_err(&client->dev, "%s control init failed (%d)\n",
-+                      __func__, ret);
-+              goto error;
-+      }
-+      sensor->sd.ctrl_handler = &sensor->ctrls;
-+
-       /* Set the default mode before we init the subdev */
-       sensor->mode = OV5647_DEFAULT_MODE;
-@@ -828,6 +999,7 @@ static int ov5647_probe(struct i2c_clien
- error:
-       media_entity_cleanup(&sd->entity);
- mutex_remove:
-+      v4l2_ctrl_handler_free(&sensor->ctrls);
-       mutex_destroy(&sensor->lock);
-       return ret;
- }
-@@ -839,6 +1011,7 @@ static int ov5647_remove(struct i2c_clie
-       v4l2_async_unregister_subdev(&ov5647->sd);
-       media_entity_cleanup(&ov5647->sd.entity);
-+      v4l2_ctrl_handler_free(&ov5647->ctrls);
-       v4l2_device_unregister_subdev(sd);
-       mutex_destroy(&ov5647->lock);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0464-Add-support-for-merus-amp-soundcard-and-ma120x0p-cod.patch b/target/linux/bcm27xx/patches-5.4/950-0464-Add-support-for-merus-amp-soundcard-and-ma120x0p-cod.patch
new file mode 100644 (file)
index 0000000..8e66bcc
--- /dev/null
@@ -0,0 +1,1589 @@
+From 76e0edf9676388c58bb5f0d7dda8eb8029926c6d Mon Sep 17 00:00:00 2001
+From: AMuszkat <ariel.muszkat@gmail.com>
+Date: Mon, 24 Feb 2020 22:56:59 +0100
+Subject: [PATCH] Add support for merus-amp soundcard and ma120x0p
+ codec
+
+correct checkpatch warnings and errors
+
+Signed-off-by: AMuszkat <ariel.muszkat@gmail.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |    1 +
+ arch/arm/boot/dts/overlays/README             |    6 +
+ .../boot/dts/overlays/merus-amp-overlay.dts   |   60 +
+ sound/soc/bcm/rpi-simple-soundcard.c          |   28 +
+ sound/soc/codecs/Kconfig                      |    8 +
+ sound/soc/codecs/Makefile                     |    2 +
+ sound/soc/codecs/ma120x0p.c                   | 1384 +++++++++++++++++
+ 7 files changed, 1489 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/merus-amp-overlay.dts
+ create mode 100644 sound/soc/codecs/ma120x0p.c
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -103,6 +103,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       mcp3202.dtbo \
+       mcp342x.dtbo \
+       media-center.dtbo \
++      merus-amp.dtbo \
+       midi-uart0.dtbo \
+       midi-uart1.dtbo \
+       miniuart-bt.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1662,6 +1662,12 @@ Params: speed                   Display
+                                 (default "off")
++Name:   merus-amp
++Info:   Configures the merus-amp audio card
++Load:   dtoverlay=merus-amp
++Params: <None>
++
++
+ Name:   midi-uart0
+ Info:   Configures UART0 (ttyAMA0) so that a requested 38.4kbaud actually gets
+         31.25kbaud, the frequency required for MIDI
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/merus-amp-overlay.dts
+@@ -0,0 +1,60 @@
++// SPDX-License-Identifier: GPL-2.0-only
++// Definitions for Infineon Merus-Amp
++/dts-v1/;
++/plugin/;
++#include <dt-bindings/pinctrl/bcm2835.h>
++#include <dt-bindings/gpio/gpio.h>
++
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&i2s>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@1 {
++              target = <&gpio>;
++              __overlay__ {
++                      merus_amp_pins: merus_amp_pins {
++                              brcm,pins = <23>;
++                              brcm,function = <0>; /* in */
++                              brcm,pull = <2>; /* up */
++                      };
++              };
++      };
++
++      fragment@2 {
++              target = <&i2c1>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      merus_amp: ma120x0p@20 {
++                              #sound-dai-cells = <0>;
++                              compatible = "ma,ma120x0p";
++                              reg = <0x20>;
++                              status = "okay";
++                              pinctrl-names = "default";
++                              pinctrl-0 = <&merus_amp_pins>;
++                              enable_gp-gpios = <&gpio 14 GPIO_ACTIVE_HIGH>;
++                              mute_gp-gpios = <&gpio 15 GPIO_ACTIVE_HIGH>;
++                              booster_gp-gpios = <&gpio 17 GPIO_ACTIVE_HIGH>;
++                              error_gp-gpios = <&gpio 23 GPIO_ACTIVE_HIGH>;
++                      };
++              };
++      };
++
++      fragment@3 {
++              target = <&sound>;
++              __overlay__ {
++                      compatible = "merus,merus-amp";
++                      i2s-controller = <&i2s>;
++                      status = "okay";
++              };
++      };
++};
+--- a/sound/soc/bcm/rpi-simple-soundcard.c
++++ b/sound/soc/bcm/rpi-simple-soundcard.c
+@@ -16,6 +16,10 @@
+  * adau1977-adc.c
+  * by Andrey Grodzovsky <andrey2805@gmail.com>
+  *
++ * merus-amp.c
++ * by Ariel Muszkat <ariel.muszkat@gmail.com>
++ *            Jorgen Kragh Jakobsen <jorgen.kraghjakobsen@infineon.com>
++ *
+  * This program is free software; you can redistribute it and/or
+  * modify it under the terms of the GNU General Public License
+  * version 2 as published by the Free Software Foundation.
+@@ -229,6 +233,28 @@ static struct snd_rpi_simple_drvdata drv
+       .fixed_bclk_ratio = 64,
+ };
++SND_SOC_DAILINK_DEFS(merus_amp,
++      DAILINK_COMP_ARRAY(COMP_EMPTY()),
++      DAILINK_COMP_ARRAY(COMP_CODEC("ma120x0p-amp", "ma120x0p.1-0020")),
++      DAILINK_COMP_ARRAY(COMP_EMPTY()));
++
++static struct snd_soc_dai_link snd_merus_amp_dai[] = {
++      {
++              .name           = "MerusAmp",
++              .stream_name    = "Merus Audio Amp",
++              .dai_fmt        = SND_SOC_DAIFMT_I2S |
++                                      SND_SOC_DAIFMT_NB_NF |
++                                      SND_SOC_DAIFMT_CBS_CFS,
++              SND_SOC_DAILINK_REG(merus_amp),
++      },
++};
++
++static struct snd_rpi_simple_drvdata drvdata_merus_amp = {
++      .card_name        = "snd_rpi_merus_amp",
++      .dai              = snd_merus_amp_dai,
++      .fixed_bclk_ratio = 64,
++};
++
+ static const struct of_device_id snd_rpi_simple_of_match[] = {
+       { .compatible = "adi,adau1977-adc",
+               .data = (void *) &drvdata_adau1977 },
+@@ -241,6 +267,8 @@ static const struct of_device_id snd_rpi
+       { .compatible = "hifiberry,hifiberry-dac",
+               .data = (void *) &drvdata_hifiberry_dac },
+       { .compatible = "rpi,rpi-dac", &drvdata_rpi_dac},
++      { .compatible = "merus,merus-amp",
++              .data = (void *) &drvdata_merus_amp },
+       {},
+ };
+--- a/sound/soc/codecs/Kconfig
++++ b/sound/soc/codecs/Kconfig
+@@ -103,6 +103,7 @@ config SND_SOC_ALL_CODECS
+       select SND_SOC_LM4857 if I2C
+       select SND_SOC_LM49453 if I2C
+       select SND_SOC_LOCHNAGAR_SC if MFD_LOCHNAGAR
++      select SND_SOC_MA120X0P if I2C
+       select SND_SOC_MAX98088 if I2C
+       select SND_SOC_MAX98090 if I2C
+       select SND_SOC_MAX98095 if I2C
+@@ -732,6 +733,13 @@ config SND_SOC_LOCHNAGAR_SC
+         This driver support the sound card functionality of the Cirrus
+         Logic Lochnagar audio development board.
++config SND_SOC_MA120X0P
++      tristate "Infineon Merus(TM) MA120X0P Multilevel Class-D Audio amplifiers"
++      depends on I2C
++      help
++              Enable support for Infineon MA120X0P Multilevel Class-D audio power
++              amplifiers.
++
+ config SND_SOC_MADERA
+       tristate
+       default y if SND_SOC_CS47L15=y
+--- a/sound/soc/codecs/Makefile
++++ b/sound/soc/codecs/Makefile
+@@ -99,6 +99,7 @@ snd-soc-l3-objs := l3.o
+ snd-soc-lm4857-objs := lm4857.o
+ snd-soc-lm49453-objs := lm49453.o
+ snd-soc-lochnagar-sc-objs := lochnagar-sc.o
++snd-soc-ma120x0p-objs := ma120x0p.o
+ snd-soc-madera-objs := madera.o
+ snd-soc-max9759-objs := max9759.o
+ snd-soc-max9768-objs := max9768.o
+@@ -386,6 +387,7 @@ obj-$(CONFIG_SND_SOC_L3)   += snd-soc-l3.o
+ obj-$(CONFIG_SND_SOC_LM4857)  += snd-soc-lm4857.o
+ obj-$(CONFIG_SND_SOC_LM49453)   += snd-soc-lm49453.o
+ obj-$(CONFIG_SND_SOC_LOCHNAGAR_SC)    += snd-soc-lochnagar-sc.o
++obj-$(CONFIG_SND_SOC_MA120X0P)   += snd-soc-ma120x0p.o
+ obj-$(CONFIG_SND_SOC_MADERA)  += snd-soc-madera.o
+ obj-$(CONFIG_SND_SOC_MAX9759) += snd-soc-max9759.o
+ obj-$(CONFIG_SND_SOC_MAX9768) += snd-soc-max9768.o
+--- /dev/null
++++ b/sound/soc/codecs/ma120x0p.c
+@@ -0,0 +1,1384 @@
++// SPDX-License-Identifier: GPL-2.0-or-later
++/*
++ * ASoC Driver for Infineon Merus(TM) ma120x0p multi-level class-D amplifier
++ *
++ * Authors:   Ariel Muszkat <ariel.muszkat@gmail.com>
++ * Jorgen Kragh Jakobsen <jorgen.kraghjakobsen@infineon.com>
++ *
++ * Copyright (C) 2019 Infineon Technologies AG
++ *
++ */
++#include <linux/module.h>
++#include <linux/moduleparam.h>
++#include <linux/init.h>
++#include <linux/delay.h>
++#include <linux/pm_runtime.h>
++#include <linux/i2c.h>
++#include <linux/of_device.h>
++#include <linux/spi/spi.h>
++#include <linux/regmap.h>
++#include <linux/regulator/consumer.h>
++#include <linux/slab.h>
++#include <linux/gpio/consumer.h>
++#include <linux/gpio.h>
++#include <sound/core.h>
++#include <sound/pcm.h>
++#include <sound/pcm_params.h>
++#include <sound/soc.h>
++#include <sound/soc-dapm.h>
++#include <sound/initval.h>
++#include <sound/tlv.h>
++#include <linux/interrupt.h>
++
++#include <linux/kernel.h>
++#include <linux/string.h>
++#include <linux/fs.h>
++#include <linux/uaccess.h>
++
++#ifndef _MA120X0P_
++#define _MA120X0P_
++//------------------------------------------------------------------manualPM---
++// Select Manual PowerMode control
++#define ma_manualpm__a 0
++#define ma_manualpm__len 1
++#define ma_manualpm__mask 0x40
++#define ma_manualpm__shift 0x06
++#define ma_manualpm__reset 0x00
++//--------------------------------------------------------------------pm_man---
++// manual selected power mode
++#define ma_pm_man__a 0
++#define ma_pm_man__len 2
++#define ma_pm_man__mask 0x30
++#define ma_pm_man__shift 0x04
++#define ma_pm_man__reset 0x03
++//------------------------------------------ ----------------------mthr_1to2---
++// mod. index threshold value for pm1=>pm2 change.
++#define ma_mthr_1to2__a 1
++#define ma_mthr_1to2__len 8
++#define ma_mthr_1to2__mask 0xff
++#define ma_mthr_1to2__shift 0x00
++#define ma_mthr_1to2__reset 0x3c
++//-----------------------------------------------------------------mthr_2to1---
++// mod. index threshold value for pm2=>pm1 change.
++#define ma_mthr_2to1__a 2
++#define ma_mthr_2to1__len 8
++#define ma_mthr_2to1__mask 0xff
++#define ma_mthr_2to1__shift 0x00
++#define ma_mthr_2to1__reset 0x32
++//-----------------------------------------------------------------mthr_2to3---
++// mod. index threshold value for pm2=>pm3 change.
++#define ma_mthr_2to3__a 3
++#define ma_mthr_2to3__len 8
++#define ma_mthr_2to3__mask 0xff
++#define ma_mthr_2to3__shift 0x00
++#define ma_mthr_2to3__reset 0x5a
++//-----------------------------------------------------------------mthr_3to2---
++// mod. index threshold value for pm3=>pm2 change.
++#define ma_mthr_3to2__a 4
++#define ma_mthr_3to2__len 8
++#define ma_mthr_3to2__mask 0xff
++#define ma_mthr_3to2__shift 0x00
++#define ma_mthr_3to2__reset 0x50
++//-------------------------------------------------------------pwmclkdiv_nom---
++// pwm default clock divider value
++#define ma_pwmclkdiv_nom__a 8
++#define ma_pwmclkdiv_nom__len 8
++#define ma_pwmclkdiv_nom__mask 0xff
++#define ma_pwmclkdiv_nom__shift 0x00
++#define ma_pwmclkdiv_nom__reset 0x26
++//--------- ----------------------------------------------------ocp_latch_en---
++// high to use permanently latching level-2 ocp
++#define ma_ocp_latch_en__a 10
++#define ma_ocp_latch_en__len 1
++#define ma_ocp_latch_en__mask 0x02
++#define ma_ocp_latch_en__shift 0x01
++#define ma_ocp_latch_en__reset 0x00
++//---------------------------------------------------------------lf_clamp_en---
++// high (default) to enable lf int2+3 clamping on clip
++#define ma_lf_clamp_en__a 10
++#define ma_lf_clamp_en__len 1
++#define ma_lf_clamp_en__mask 0x80
++#define ma_lf_clamp_en__shift 0x07
++#define ma_lf_clamp_en__reset 0x00
++//-------------------------------------------------------pmcfg_btl_b.modtype---
++//
++#define ma_pmcfg_btl_b__modtype__a 18
++#define ma_pmcfg_btl_b__modtype__len 2
++#define ma_pmcfg_btl_b__modtype__mask 0x18
++#define ma_pmcfg_btl_b__modtype__shift 0x03
++#define ma_pmcfg_btl_b__modtype__reset 0x02
++//-------------------------------------------------------pmcfg_btl_b.freqdiv---
++#define ma_pmcfg_btl_b__freqdiv__a 18
++#define ma_pmcfg_btl_b__freqdiv__len 2
++#define ma_pmcfg_btl_b__freqdiv__mask 0x06
++#define ma_pmcfg_btl_b__freqdiv__shift 0x01
++#define ma_pmcfg_btl_b__freqdiv__reset 0x01
++//----------------------------------------------------pmcfg_btl_b.lf_gain_ol---
++//
++#define ma_pmcfg_btl_b__lf_gain_ol__a 18
++#define ma_pmcfg_btl_b__lf_gain_ol__len 1
++#define ma_pmcfg_btl_b__lf_gain_ol__mask 0x01
++#define ma_pmcfg_btl_b__lf_gain_ol__shift 0x00
++#define ma_pmcfg_btl_b__lf_gain_ol__reset 0x01
++//-------------------------------------------------------pmcfg_btl_c.freqdiv---
++//
++#define ma_pmcfg_btl_c__freqdiv__a 19
++#define ma_pmcfg_btl_c__freqdiv__len 2
++#define ma_pmcfg_btl_c__freqdiv__mask 0x06
++#define ma_pmcfg_btl_c__freqdiv__shift 0x01
++#define ma_pmcfg_btl_c__freqdiv__reset 0x01
++//-------------------------------------------------------pmcfg_btl_c.modtype---
++//
++#define ma_pmcfg_btl_c__modtype__a 19
++#define ma_pmcfg_btl_c__modtype__len 2
++#define ma_pmcfg_btl_c__modtype__mask 0x18
++#define ma_pmcfg_btl_c__modtype__shift 0x03
++#define ma_pmcfg_btl_c__modtype__reset 0x01
++//----------------------------------------------------pmcfg_btl_c.lf_gain_ol---
++//
++#define ma_pmcfg_btl_c__lf_gain_ol__a 19
++#define ma_pmcfg_btl_c__lf_gain_ol__len 1
++#define ma_pmcfg_btl_c__lf_gain_ol__mask 0x01
++#define ma_pmcfg_btl_c__lf_gain_ol__shift 0x00
++#define ma_pmcfg_btl_c__lf_gain_ol__reset 0x00
++//-------------------------------------------------------pmcfg_btl_d.modtype---
++//
++#define ma_pmcfg_btl_d__modtype__a 20
++#define ma_pmcfg_btl_d__modtype__len 2
++#define ma_pmcfg_btl_d__modtype__mask 0x18
++#define ma_pmcfg_btl_d__modtype__shift 0x03
++#define ma_pmcfg_btl_d__modtype__reset 0x02
++//-------------------------------------------------------pmcfg_btl_d.freqdiv---
++//
++#define ma_pmcfg_btl_d__freqdiv__a 20
++#define ma_pmcfg_btl_d__freqdiv__len 2
++#define ma_pmcfg_btl_d__freqdiv__mask 0x06
++#define ma_pmcfg_btl_d__freqdiv__shift 0x01
++#define ma_pmcfg_btl_d__freqdiv__reset 0x02
++//----------------------------------------------------pmcfg_btl_d.lf_gain_ol---
++//
++#define ma_pmcfg_btl_d__lf_gain_ol__a 20
++#define ma_pmcfg_btl_d__lf_gain_ol__len 1
++#define ma_pmcfg_btl_d__lf_gain_ol__mask 0x01
++#define ma_pmcfg_btl_d__lf_gain_ol__shift 0x00
++#define ma_pmcfg_btl_d__lf_gain_ol__reset 0x00
++//------------ -------------------------------------------pmcfg_se_a.modtype---
++//
++#define ma_pmcfg_se_a__modtype__a 21
++#define ma_pmcfg_se_a__modtype__len 2
++#define ma_pmcfg_se_a__modtype__mask 0x18
++#define ma_pmcfg_se_a__modtype__shift 0x03
++#define ma_pmcfg_se_a__modtype__reset 0x01
++//--------------------------------------------------------pmcfg_se_a.freqdiv---
++//
++#define ma_pmcfg_se_a__freqdiv__a 21
++#define ma_pmcfg_se_a__freqdiv__len 2
++#define ma_pmcfg_se_a__freqdiv__mask 0x06
++#define ma_pmcfg_se_a__freqdiv__shift 0x01
++#define ma_pmcfg_se_a__freqdiv__reset 0x00
++//-----------------------------------------------------pmcfg_se_a.lf_gain_ol---
++//
++#define ma_pmcfg_se_a__lf_gain_ol__a 21
++#define ma_pmcfg_se_a__lf_gain_ol__len 1
++#define ma_pmcfg_se_a__lf_gain_ol__mask 0x01
++#define ma_pmcfg_se_a__lf_gain_ol__shift 0x00
++#define ma_pmcfg_se_a__lf_gain_ol__reset 0x01
++//-----------------------------------------------------pmcfg_se_b.lf_gain_ol---
++//
++#define ma_pmcfg_se_b__lf_gain_ol__a 22
++#define ma_pmcfg_se_b__lf_gain_ol__len 1
++#define ma_pmcfg_se_b__lf_gain_ol__mask 0x01
++#define ma_pmcfg_se_b__lf_gain_ol__shift 0x00
++#define ma_pmcfg_se_b__lf_gain_ol__reset 0x00
++//--------------------------------------------------------pmcfg_se_b.freqdiv---
++//
++#define ma_pmcfg_se_b__freqdiv__a 22
++#define ma_pmcfg_se_b__freqdiv__len 2
++#define ma_pmcfg_se_b__freqdiv__mask 0x06
++#define ma_pmcfg_se_b__freqdiv__shift 0x01
++#define ma_pmcfg_se_b__freqdiv__reset 0x01
++//--------------------------------------------------------pmcfg_se_b.modtype---
++//
++#define ma_pmcfg_se_b__modtype__a 22
++#define ma_pmcfg_se_b__modtype__len 2
++#define ma_pmcfg_se_b__modtype__mask 0x18
++#define ma_pmcfg_se_b__modtype__shift 0x03
++#define ma_pmcfg_se_b__modtype__reset 0x01
++//----------------------------------------------------------balwaitcount_pm1---
++// pm1 balancing period.
++#define ma_balwaitcount_pm1__a 23
++#define ma_balwaitcount_pm1__len 8
++#define ma_balwaitcount_pm1__mask 0xff
++#define ma_balwaitcount_pm1__shift 0x00
++#define ma_balwaitcount_pm1__reset 0x14
++//----------------------------------------------------------balwaitcount_pm2---
++// pm2 balancing period.
++#define ma_balwaitcount_pm2__a 24
++#define ma_balwaitcount_pm2__len 8
++#define ma_balwaitcount_pm2__mask 0xff
++#define ma_balwaitcount_pm2__shift 0x00
++#define ma_balwaitcount_pm2__reset 0x14
++//----------------------------------------------------------balwaitcount_pm3---
++// pm3 balancing period.
++#define ma_balwaitcount_pm3__a 25
++#define ma_balwaitcount_pm3__len 8
++#define ma_balwaitcount_pm3__mask 0xff
++#define ma_balwaitcount_pm3__shift 0x00
++#define ma_balwaitcount_pm3__reset 0x1a
++//-------------------------------------------------------------usespread_pm1---
++// pm1 pwm spread-spectrum mode on/off.
++#define ma_usespread_pm1__a 26
++#define ma_usespread_pm1__len 1
++#define ma_usespread_pm1__mask 0x40
++#define ma_usespread_pm1__shift 0x06
++#define ma_usespread_pm1__reset 0x00
++//---------------------------------------------------------------dtsteps_pm1---
++// pm1 dead time setting [10ns steps].
++#define ma_dtsteps_pm1__a 26
++#define ma_dtsteps_pm1__len 3
++#define ma_dtsteps_pm1__mask 0x38
++#define ma_dtsteps_pm1__shift 0x03
++#define ma_dtsteps_pm1__reset 0x04
++//---------------------------------------------------------------baltype_pm1---
++// pm1 balancing sensor scheme.
++#define ma_baltype_pm1__a 26
++#define ma_baltype_pm1__len 3
++#define ma_baltype_pm1__mask 0x07
++#define ma_baltype_pm1__shift 0x00
++#define ma_baltype_pm1__reset 0x00
++//-------------------------------------------------------------usespread_pm2---
++// pm2 pwm spread-spectrum mode on/off.
++#define ma_usespread_pm2__a 27
++#define ma_usespread_pm2__len 1
++#define ma_usespread_pm2__mask 0x40
++#define ma_usespread_pm2__shift 0x06
++#define ma_usespread_pm2__reset 0x00
++//---------------------------------------------------------------dtsteps_pm2---
++// pm2 dead time setting [10ns steps].
++#define ma_dtsteps_pm2__a 27
++#define ma_dtsteps_pm2__len 3
++#define ma_dtsteps_pm2__mask 0x38
++#define ma_dtsteps_pm2__shift 0x03
++#define ma_dtsteps_pm2__reset 0x03
++//---------------------------------------------------------------baltype_pm2---
++// pm2 balancing sensor scheme.
++#define ma_baltype_pm2__a 27
++#define ma_baltype_pm2__len 3
++#define ma_baltype_pm2__mask 0x07
++#define ma_baltype_pm2__shift 0x00
++#define ma_baltype_pm2__reset 0x01
++//-------------------------------------------------------------usespread_pm3---
++// pm3 pwm spread-spectrum mode on/off.
++#define ma_usespread_pm3__a 28
++#define ma_usespread_pm3__len 1
++#define ma_usespread_pm3__mask 0x40
++#define ma_usespread_pm3__shift 0x06
++#define ma_usespread_pm3__reset 0x00
++//---------------------------------------------------------------dtsteps_pm3---
++// pm3 dead time setting [10ns steps].
++#define ma_dtsteps_pm3__a 28
++#define ma_dtsteps_pm3__len 3
++#define ma_dtsteps_pm3__mask 0x38
++#define ma_dtsteps_pm3__shift 0x03
++#define ma_dtsteps_pm3__reset 0x01
++//---------------------------------------------------------------baltype_pm3---
++// pm3 balancing sensor scheme.
++#define ma_baltype_pm3__a 28
++#define ma_baltype_pm3__len 3
++#define ma_baltype_pm3__mask 0x07
++#define ma_baltype_pm3__shift 0x00
++#define ma_baltype_pm3__reset 0x03
++//-----------------------------------------------------------------pmprofile---
++// pm profile select. valid presets: 0-1-2-3-4. 5=> custom profile.
++#define ma_pmprofile__a 29
++#define ma_pmprofile__len 3
++#define ma_pmprofile__mask 0x07
++#define ma_pmprofile__shift 0x00
++#define ma_pmprofile__reset 0x00
++//-------------------------------------------------------------------pm3_man---
++// custom profile pm3 contents. 0=>a,  1=>b,  2=>c,  3=>d
++#define ma_pm3_man__a 30
++#define ma_pm3_man__len 2
++#define ma_pm3_man__mask 0x30
++#define ma_pm3_man__shift 0x04
++#define ma_pm3_man__reset 0x02
++//-------------------------------------------------------------------pm2_man---
++// custom profile pm2 contents. 0=>a,  1=>b,  2=>c,  3=>d
++#define ma_pm2_man__a 30
++#define ma_pm2_man__len 2
++#define ma_pm2_man__mask 0x0c
++#define ma_pm2_man__shift 0x02
++#define ma_pm2_man__reset 0x03
++//-------------------------------------------------------------------pm1_man---
++// custom profile pm1 contents. 0=>a,  1=>b,  2=>c,  3=>d
++#define ma_pm1_man__a 30
++#define ma_pm1_man__len 2
++#define ma_pm1_man__mask 0x03
++#define ma_pm1_man__shift 0x00
++#define ma_pm1_man__reset 0x03
++//-----------------------------------------------------------ocp_latch_clear---
++// low-high clears current ocp latched condition.
++#define ma_ocp_latch_clear__a 32
++#define ma_ocp_latch_clear__len 1
++#define ma_ocp_latch_clear__mask 0x80
++#define ma_ocp_latch_clear__shift 0x07
++#define ma_ocp_latch_clear__reset 0x00
++//-------------------------------------------------------------audio_in_mode---
++// audio input mode; 0-1-2-3-4-5
++#define ma_audio_in_mode__a 37
++#define ma_audio_in_mode__len 3
++#define ma_audio_in_mode__mask 0xe0
++#define ma_audio_in_mode__shift 0x05
++#define ma_audio_in_mode__reset 0x00
++//-----------------------------------------------------------------eh_dcshdn---
++// high to enable dc protection
++#define ma_eh_dcshdn__a 38
++#define ma_eh_dcshdn__len 1
++#define ma_eh_dcshdn__mask 0x04
++#define ma_eh_dcshdn__shift 0x02
++#define ma_eh_dcshdn__reset 0x01
++//---------------------------------------------------------audio_in_mode_ext---
++// if set,  audio_in_mode is controlled from audio_in_mode register. if not set
++//audio_in_mode is set from fuse bank setting
++#define ma_audio_in_mode_ext__a 39
++#define ma_audio_in_mode_ext__len 1
++#define ma_audio_in_mode_ext__mask 0x20
++#define ma_audio_in_mode_ext__shift 0x05
++#define ma_audio_in_mode_ext__reset 0x00
++//------------------------------------------------------------------eh_clear---
++// flip to clear error registers
++#define ma_eh_clear__a 45
++#define ma_eh_clear__len 1
++#define ma_eh_clear__mask 0x04
++#define ma_eh_clear__shift 0x02
++#define ma_eh_clear__reset 0x00
++//----------------------------------------------------------thermal_compr_en---
++// enable otw-contr.  input compression?
++#define ma_thermal_compr_en__a 45
++#define ma_thermal_compr_en__len 1
++#define ma_thermal_compr_en__mask 0x20
++#define ma_thermal_compr_en__shift 0x05
++#define ma_thermal_compr_en__reset 0x01
++//---------------------------------------------------------------system_mute---
++// 1 = mute system,  0 = normal operation
++#define ma_system_mute__a 45
++#define ma_system_mute__len 1
++#define ma_system_mute__mask 0x40
++#define ma_system_mute__shift 0x06
++#define ma_system_mute__reset 0x00
++//------------------------------------------------------thermal_compr_max_db---
++// audio limiter max thermal reduction
++#define ma_thermal_compr_max_db__a 46
++#define ma_thermal_compr_max_db__len 3
++#define ma_thermal_compr_max_db__mask 0x07
++#define ma_thermal_compr_max_db__shift 0x00
++#define ma_thermal_compr_max_db__reset 0x04
++//---------------------------------------------------------audio_proc_enable---
++// enable audio proc,  bypass if not enabled
++#define ma_audio_proc_enable__a 53
++#define ma_audio_proc_enable__len 1
++#define ma_audio_proc_enable__mask 0x08
++#define ma_audio_proc_enable__shift 0x03
++#define ma_audio_proc_enable__reset 0x00
++//--------------------------------------------------------audio_proc_release---
++// 00:slow,  01:normal,  10:fast
++#define ma_audio_proc_release__a 53
++#define ma_audio_proc_release__len 2
++#define ma_audio_proc_release__mask 0x30
++#define ma_audio_proc_release__shift 0x04
++#define ma_audio_proc_release__reset 0x00
++//---------------------------------------------------------audio_proc_attack---
++// 00:slow,  01:normal,  10:fast
++#define ma_audio_proc_attack__a 53
++#define ma_audio_proc_attack__len 2
++#define ma_audio_proc_attack__mask 0xc0
++#define ma_audio_proc_attack__shift 0x06
++#define ma_audio_proc_attack__reset 0x00
++//----------------------------------------------------------------i2s_format---
++// i2s basic data format,  000 = std. i2s,  001 = left justified (default)
++#define ma_i2s_format__a 53
++#define ma_i2s_format__len 3
++#define ma_i2s_format__mask 0x07
++#define ma_i2s_format__shift 0x00
++#define ma_i2s_format__reset 0x01
++//--------------------------------------------------audio_proc_limiterenable---
++// 1: enable limiter,  0: disable limiter
++#define ma_audio_proc_limiterenable__a 54
++#define ma_audio_proc_limiterenable__len 1
++#define ma_audio_proc_limiterenable__mask 0x40
++#define ma_audio_proc_limiterenable__shift 0x06
++#define ma_audio_proc_limiterenable__reset 0x00
++//-----------------------------------------------------------audio_proc_mute---
++// 1: mute,  0: unmute
++#define ma_audio_proc_mute__a 54
++#define ma_audio_proc_mute__len 1
++#define ma_audio_proc_mute__mask 0x80
++#define ma_audio_proc_mute__shift 0x07
++#define ma_audio_proc_mute__reset 0x00
++//---------------------------------------------------------------i2s_sck_pol---
++// i2s sck polarity cfg. 0 = rising edge data change
++#define ma_i2s_sck_pol__a 54
++#define ma_i2s_sck_pol__len 1
++#define ma_i2s_sck_pol__mask 0x01
++#define ma_i2s_sck_pol__shift 0x00
++#define ma_i2s_sck_pol__reset 0x01
++//-------------------------------------------------------------i2s_framesize---
++// i2s word length. 00 = 32bit,  01 = 24bit
++#define ma_i2s_framesize__a 54
++#define ma_i2s_framesize__len 2
++#define ma_i2s_framesize__mask 0x18
++#define ma_i2s_framesize__shift 0x03
++#define ma_i2s_framesize__reset 0x00
++//----------------------------------------------------------------i2s_ws_pol---
++// i2s ws polarity. 0 = low first
++#define ma_i2s_ws_pol__a 54
++#define ma_i2s_ws_pol__len 1
++#define ma_i2s_ws_pol__mask 0x02
++#define ma_i2s_ws_pol__shift 0x01
++#define ma_i2s_ws_pol__reset 0x00
++//-----------------------------------------------------------------i2s_order---
++// i2s word bit order. 0 = msb first
++#define ma_i2s_order__a 54
++#define ma_i2s_order__len 1
++#define ma_i2s_order__mask 0x04
++#define ma_i2s_order__shift 0x02
++#define ma_i2s_order__reset 0x00
++//------------------------------------------------------------i2s_rightfirst---
++// i2s l/r word order; 0 = left first
++#define ma_i2s_rightfirst__a 54
++#define ma_i2s_rightfirst__len 1
++#define ma_i2s_rightfirst__mask 0x20
++#define ma_i2s_rightfirst__shift 0x05
++#define ma_i2s_rightfirst__reset 0x00
++//-------------------------------------------------------------vol_db_master---
++// master volume db
++#define ma_vol_db_master__a 64
++#define ma_vol_db_master__len 8
++#define ma_vol_db_master__mask 0xff
++#define ma_vol_db_master__shift 0x00
++#define ma_vol_db_master__reset 0x18
++//------------------------------------------------------------vol_lsb_master---
++// master volume lsb 1/4 steps
++#define ma_vol_lsb_master__a 65
++#define ma_vol_lsb_master__len 2
++#define ma_vol_lsb_master__mask 0x03
++#define ma_vol_lsb_master__shift 0x00
++#define ma_vol_lsb_master__reset 0x00
++//----------------------------------------------------------------vol_db_ch0---
++// volume channel 0
++#define ma_vol_db_ch0__a 66
++#define ma_vol_db_ch0__len 8
++#define ma_vol_db_ch0__mask 0xff
++#define ma_vol_db_ch0__shift 0x00
++#define ma_vol_db_ch0__reset 0x18
++//----------------------------------------------------------------vol_db_ch1---
++// volume channel 1
++#define ma_vol_db_ch1__a 67
++#define ma_vol_db_ch1__len 8
++#define ma_vol_db_ch1__mask 0xff
++#define ma_vol_db_ch1__shift 0x00
++#define ma_vol_db_ch1__reset 0x18
++//----------------------------------------------------------------vol_db_ch2---
++// volume channel 2
++#define ma_vol_db_ch2__a 68
++#define ma_vol_db_ch2__len 8
++#define ma_vol_db_ch2__mask 0xff
++#define ma_vol_db_ch2__shift 0x00
++#define ma_vol_db_ch2__reset 0x18
++//----------------------------------------------------------------vol_db_ch3---
++// volume channel 3
++#define ma_vol_db_ch3__a 69
++#define ma_vol_db_ch3__len 8
++#define ma_vol_db_ch3__mask 0xff
++#define ma_vol_db_ch3__shift 0x00
++#define ma_vol_db_ch3__reset 0x18
++//---------------------------------------------------------------vol_lsb_ch0---
++// volume channel 1 - 1/4 steps
++#define ma_vol_lsb_ch0__a 70
++#define ma_vol_lsb_ch0__len 2
++#define ma_vol_lsb_ch0__mask 0x03
++#define ma_vol_lsb_ch0__shift 0x00
++#define ma_vol_lsb_ch0__reset 0x00
++//---------------------------------------------------------------vol_lsb_ch1---
++// volume channel 3 - 1/4 steps
++#define ma_vol_lsb_ch1__a 70
++#define ma_vol_lsb_ch1__len 2
++#define ma_vol_lsb_ch1__mask 0x0c
++#define ma_vol_lsb_ch1__shift 0x02
++#define ma_vol_lsb_ch1__reset 0x00
++//---------------------------------------------------------------vol_lsb_ch2---
++// volume channel 2 - 1/4 steps
++#define ma_vol_lsb_ch2__a 70
++#define ma_vol_lsb_ch2__len 2
++#define ma_vol_lsb_ch2__mask 0x30
++#define ma_vol_lsb_ch2__shift 0x04
++#define ma_vol_lsb_ch2__reset 0x00
++//---------------------------------------------------------------vol_lsb_ch3---
++// volume channel 3 - 1/4 steps
++#define ma_vol_lsb_ch3__a 70
++#define ma_vol_lsb_ch3__len 2
++#define ma_vol_lsb_ch3__mask 0xc0
++#define ma_vol_lsb_ch3__shift 0x06
++#define ma_vol_lsb_ch3__reset 0x00
++//----------------------------------------------------------------thr_db_ch0---
++// thr_db channel 0
++#define ma_thr_db_ch0__a 71
++#define ma_thr_db_ch0__len 8
++#define ma_thr_db_ch0__mask 0xff
++#define ma_thr_db_ch0__shift 0x00
++#define ma_thr_db_ch0__reset 0x18
++//----------------------------------------------------------------thr_db_ch1---
++// thr db ch1
++#define ma_thr_db_ch1__a 72
++#define ma_thr_db_ch1__len 8
++#define ma_thr_db_ch1__mask 0xff
++#define ma_thr_db_ch1__shift 0x00
++#define ma_thr_db_ch1__reset 0x18
++//----------------------------------------------------------------thr_db_ch2---
++// thr db ch2
++#define ma_thr_db_ch2__a 73
++#define ma_thr_db_ch2__len 8
++#define ma_thr_db_ch2__mask 0xff
++#define ma_thr_db_ch2__shift 0x00
++#define ma_thr_db_ch2__reset 0x18
++//----------------------------------------------------------------thr_db_ch3---
++// threshold db ch3
++#define ma_thr_db_ch3__a 74
++#define ma_thr_db_ch3__len 8
++#define ma_thr_db_ch3__mask 0xff
++#define ma_thr_db_ch3__shift 0x00
++#define ma_thr_db_ch3__reset 0x18
++//---------------------------------------------------------------thr_lsb_ch0---
++// thr lsb ch0
++#define ma_thr_lsb_ch0__a 75
++#define ma_thr_lsb_ch0__len 2
++#define ma_thr_lsb_ch0__mask 0x03
++#define ma_thr_lsb_ch0__shift 0x00
++#define ma_thr_lsb_ch0__reset 0x00
++//---------------------------------------------------------------thr_lsb_ch1---
++// thr lsb ch1
++#define ma_thr_lsb_ch1__a 75
++#define ma_thr_lsb_ch1__len 2
++#define ma_thr_lsb_ch1__mask 0x0c
++#define ma_thr_lsb_ch1__shift 0x02
++#define ma_thr_lsb_ch1__reset 0x00
++//---------------------------------------------------------------thr_lsb_ch2---
++// thr lsb ch2 1/4 db step
++#define ma_thr_lsb_ch2__a 75
++#define ma_thr_lsb_ch2__len 2
++#define ma_thr_lsb_ch2__mask 0x30
++#define ma_thr_lsb_ch2__shift 0x04
++#define ma_thr_lsb_ch2__reset 0x00
++//---------------------------------------------------------------thr_lsb_ch3---
++// threshold lsb ch3
++#define ma_thr_lsb_ch3__a 75
++#define ma_thr_lsb_ch3__len 2
++#define ma_thr_lsb_ch3__mask 0xc0
++#define ma_thr_lsb_ch3__shift 0x06
++#define ma_thr_lsb_ch3__reset 0x00
++//-----------------------------------------------------------dcu_mon0.pm_mon---
++// power mode monitor channel 0
++#define ma_dcu_mon0__pm_mon__a 96
++#define ma_dcu_mon0__pm_mon__len 2
++#define ma_dcu_mon0__pm_mon__mask 0x03
++#define ma_dcu_mon0__pm_mon__shift 0x00
++#define ma_dcu_mon0__pm_mon__reset 0x00
++//-----------------------------------------------------dcu_mon0.freqmode_mon---
++// frequence mode monitor channel 0
++#define ma_dcu_mon0__freqmode_mon__a 96
++#define ma_dcu_mon0__freqmode_mon__len 3
++#define ma_dcu_mon0__freqmode_mon__mask 0x70
++#define ma_dcu_mon0__freqmode_mon__shift 0x04
++#define ma_dcu_mon0__freqmode_mon__reset 0x00
++//-------------------------------------------------------dcu_mon0.pps_passed---
++// dcu0 pps completion indicator
++#define ma_dcu_mon0__pps_passed__a 96
++#define ma_dcu_mon0__pps_passed__len 1
++#define ma_dcu_mon0__pps_passed__mask 0x80
++#define ma_dcu_mon0__pps_passed__shift 0x07
++#define ma_dcu_mon0__pps_passed__reset 0x00
++//----------------------------------------------------------dcu_mon0.ocp_mon---
++// ocp monitor channel 0
++#define ma_dcu_mon0__ocp_mon__a 97
++#define ma_dcu_mon0__ocp_mon__len 1
++#define ma_dcu_mon0__ocp_mon__mask 0x01
++#define ma_dcu_mon0__ocp_mon__shift 0x00
++#define ma_dcu_mon0__ocp_mon__reset 0x00
++//--------------------------------------------------------dcu_mon0.vcfly1_ok---
++// cfly1 protection monitor channel 0.
++#define ma_dcu_mon0__vcfly1_ok__a 97
++#define ma_dcu_mon0__vcfly1_ok__len 1
++#define ma_dcu_mon0__vcfly1_ok__mask 0x02
++#define ma_dcu_mon0__vcfly1_ok__shift 0x01
++#define ma_dcu_mon0__vcfly1_ok__reset 0x00
++//--------------------------------------------------------dcu_mon0.vcfly2_ok---
++// cfly2 protection monitor channel 0.
++#define ma_dcu_mon0__vcfly2_ok__a 97
++#define ma_dcu_mon0__vcfly2_ok__len 1
++#define ma_dcu_mon0__vcfly2_ok__mask 0x04
++#define ma_dcu_mon0__vcfly2_ok__shift 0x02
++#define ma_dcu_mon0__vcfly2_ok__reset 0x00
++//----------------------------------------------------------dcu_mon0.pvdd_ok---
++// dcu0 pvdd monitor
++#define ma_dcu_mon0__pvdd_ok__a 97
++#define ma_dcu_mon0__pvdd_ok__len 1
++#define ma_dcu_mon0__pvdd_ok__mask 0x08
++#define ma_dcu_mon0__pvdd_ok__shift 0x03
++#define ma_dcu_mon0__pvdd_ok__reset 0x00
++//-----------------------------------------------------------dcu_mon0.vdd_ok---
++// dcu0 vdd monitor
++#define ma_dcu_mon0__vdd_ok__a 97
++#define ma_dcu_mon0__vdd_ok__len 1
++#define ma_dcu_mon0__vdd_ok__mask 0x10
++#define ma_dcu_mon0__vdd_ok__shift 0x04
++#define ma_dcu_mon0__vdd_ok__reset 0x00
++//-------------------------------------------------------------dcu_mon0.mute---
++// dcu0 mute monitor
++#define ma_dcu_mon0__mute__a 97
++#define ma_dcu_mon0__mute__len 1
++#define ma_dcu_mon0__mute__mask 0x20
++#define ma_dcu_mon0__mute__shift 0x05
++#define ma_dcu_mon0__mute__reset 0x00
++//------------------------------------------------------------dcu_mon0.m_mon---
++// m sense monitor channel 0
++#define ma_dcu_mon0__m_mon__a 98
++#define ma_dcu_mon0__m_mon__len 8
++#define ma_dcu_mon0__m_mon__mask 0xff
++#define ma_dcu_mon0__m_mon__shift 0x00
++#define ma_dcu_mon0__m_mon__reset 0x00
++//-----------------------------------------------------------dcu_mon1.pm_mon---
++// power mode monitor channel 1
++#define ma_dcu_mon1__pm_mon__a 100
++#define ma_dcu_mon1__pm_mon__len 2
++#define ma_dcu_mon1__pm_mon__mask 0x03
++#define ma_dcu_mon1__pm_mon__shift 0x00
++#define ma_dcu_mon1__pm_mon__reset 0x00
++//-----------------------------------------------------dcu_mon1.freqmode_mon---
++// frequence mode monitor channel 1
++#define ma_dcu_mon1__freqmode_mon__a 100
++#define ma_dcu_mon1__freqmode_mon__len 3
++#define ma_dcu_mon1__freqmode_mon__mask 0x70
++#define ma_dcu_mon1__freqmode_mon__shift 0x04
++#define ma_dcu_mon1__freqmode_mon__reset 0x00
++//-------------------------------------------------------dcu_mon1.pps_passed---
++// dcu1 pps completion indicator
++#define ma_dcu_mon1__pps_passed__a 100
++#define ma_dcu_mon1__pps_passed__len 1
++#define ma_dcu_mon1__pps_passed__mask 0x80
++#define ma_dcu_mon1__pps_passed__shift 0x07
++#define ma_dcu_mon1__pps_passed__reset 0x00
++//----------------------------------------------------------dcu_mon1.ocp_mon---
++// ocp monitor channel 1
++#define ma_dcu_mon1__ocp_mon__a 101
++#define ma_dcu_mon1__ocp_mon__len 1
++#define ma_dcu_mon1__ocp_mon__mask 0x01
++#define ma_dcu_mon1__ocp_mon__shift 0x00
++#define ma_dcu_mon1__ocp_mon__reset 0x00
++//--------------------------------------------------------dcu_mon1.vcfly1_ok---
++// cfly1 protcetion monitor channel 1
++#define ma_dcu_mon1__vcfly1_ok__a 101
++#define ma_dcu_mon1__vcfly1_ok__len 1
++#define ma_dcu_mon1__vcfly1_ok__mask 0x02
++#define ma_dcu_mon1__vcfly1_ok__shift 0x01
++#define ma_dcu_mon1__vcfly1_ok__reset 0x00
++//--------------------------------------------------------dcu_mon1.vcfly2_ok---
++// cfly2 protection monitor channel 1
++#define ma_dcu_mon1__vcfly2_ok__a 101
++#define ma_dcu_mon1__vcfly2_ok__len 1
++#define ma_dcu_mon1__vcfly2_ok__mask 0x04
++#define ma_dcu_mon1__vcfly2_ok__shift 0x02
++#define ma_dcu_mon1__vcfly2_ok__reset 0x00
++//----------------------------------------------------------dcu_mon1.pvdd_ok---
++// dcu1 pvdd monitor
++#define ma_dcu_mon1__pvdd_ok__a 101
++#define ma_dcu_mon1__pvdd_ok__len 1
++#define ma_dcu_mon1__pvdd_ok__mask 0x08
++#define ma_dcu_mon1__pvdd_ok__shift 0x03
++#define ma_dcu_mon1__pvdd_ok__reset 0x00
++//-----------------------------------------------------------dcu_mon1.vdd_ok---
++// dcu1 vdd monitor
++#define ma_dcu_mon1__vdd_ok__a 101
++#define ma_dcu_mon1__vdd_ok__len 1
++#define ma_dcu_mon1__vdd_ok__mask 0x10
++#define ma_dcu_mon1__vdd_ok__shift 0x04
++#define ma_dcu_mon1__vdd_ok__reset 0x00
++//-------------------------------------------------------------dcu_mon1.mute---
++// dcu1 mute monitor
++#define ma_dcu_mon1__mute__a 101
++#define ma_dcu_mon1__mute__len 1
++#define ma_dcu_mon1__mute__mask 0x20
++#define ma_dcu_mon1__mute__shift 0x05
++#define ma_dcu_mon1__mute__reset 0x00
++//------------------------------------------------------------dcu_mon1.m_mon---
++// m sense monitor channel 1
++#define ma_dcu_mon1__m_mon__a 102
++#define ma_dcu_mon1__m_mon__len 8
++#define ma_dcu_mon1__m_mon__mask 0xff
++#define ma_dcu_mon1__m_mon__shift 0x00
++#define ma_dcu_mon1__m_mon__reset 0x00
++//--------------------------------------------------------dcu_mon0.sw_enable---
++// dcu0 switch enable monitor
++#define ma_dcu_mon0__sw_enable__a 104
++#define ma_dcu_mon0__sw_enable__len 1
++#define ma_dcu_mon0__sw_enable__mask 0x40
++#define ma_dcu_mon0__sw_enable__shift 0x06
++#define ma_dcu_mon0__sw_enable__reset 0x00
++//--------------------------------------------------------dcu_mon1.sw_enable---
++// dcu1 switch enable monitor
++#define ma_dcu_mon1__sw_enable__a 104
++#define ma_dcu_mon1__sw_enable__len 1
++#define ma_dcu_mon1__sw_enable__mask 0x80
++#define ma_dcu_mon1__sw_enable__shift 0x07
++#define ma_dcu_mon1__sw_enable__reset 0x00
++//------------------------------------------------------------hvboot0_ok_mon---
++// hvboot0_ok for test/debug
++#define ma_hvboot0_ok_mon__a 105
++#define ma_hvboot0_ok_mon__len 1
++#define ma_hvboot0_ok_mon__mask 0x40
++#define ma_hvboot0_ok_mon__shift 0x06
++#define ma_hvboot0_ok_mon__reset 0x00
++//------------------------------------------------------------hvboot1_ok_mon---
++// hvboot1_ok for test/debug
++#define ma_hvboot1_ok_mon__a 105
++#define ma_hvboot1_ok_mon__len 1
++#define ma_hvboot1_ok_mon__mask 0x80
++#define ma_hvboot1_ok_mon__shift 0x07
++#define ma_hvboot1_ok_mon__reset 0x00
++//-----------------------------------------------------------------error_acc---
++// accumulated errors,  at and after triggering
++#define ma_error_acc__a 109
++#define ma_error_acc__len 8
++#define ma_error_acc__mask 0xff
++#define ma_error_acc__shift 0x00
++#define ma_error_acc__reset 0x00
++//-------------------------------------------------------------i2s_data_rate---
++// detected i2s data rate: 00/01/10 = x1/x2/x4
++#define ma_i2s_data_rate__a 116
++#define ma_i2s_data_rate__len 2
++#define ma_i2s_data_rate__mask 0x03
++#define ma_i2s_data_rate__shift 0x00
++#define ma_i2s_data_rate__reset 0x00
++//---------------------------------------------------------audio_in_mode_mon---
++// audio input mode monitor
++#define ma_audio_in_mode_mon__a 116
++#define ma_audio_in_mode_mon__len 3
++#define ma_audio_in_mode_mon__mask 0x1c
++#define ma_audio_in_mode_mon__shift 0x02
++#define ma_audio_in_mode_mon__reset 0x00
++//------------------------------------------------------------------msel_mon---
++// msel[2:0] monitor register
++#define ma_msel_mon__a 117
++#define ma_msel_mon__len 3
++#define ma_msel_mon__mask 0x07
++#define ma_msel_mon__shift 0x00
++#define ma_msel_mon__reset 0x00
++//---------------------------------------------------------------------error---
++// current error flag monitor reg - for app. ctrl.
++#define ma_error__a 124
++#define ma_error__len 8
++#define ma_error__mask 0xff
++#define ma_error__shift 0x00
++#define ma_error__reset 0x00
++//----------------------------------------------------audio_proc_limiter_mon---
++// b7-b4: channel 3-0 limiter active
++#define ma_audio_proc_limiter_mon__a 126
++#define ma_audio_proc_limiter_mon__len 4
++#define ma_audio_proc_limiter_mon__mask 0xf0
++#define ma_audio_proc_limiter_mon__shift 0x04
++#define ma_audio_proc_limiter_mon__reset 0x00
++//-------------------------------------------------------audio_proc_clip_mon---
++// b3-b0: channel 3-0 clipping monitor
++#define ma_audio_proc_clip_mon__a 126
++#define ma_audio_proc_clip_mon__len 4
++#define ma_audio_proc_clip_mon__mask 0x0f
++#define ma_audio_proc_clip_mon__shift 0x00
++#define ma_audio_proc_clip_mon__reset 0x00
++#endif
++
++#define SOC_ENUM_ERR(xname, xenum)\
++{     .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname),\
++      .access = SNDRV_CTL_ELEM_ACCESS_READ,\
++      .info = snd_soc_info_enum_double,\
++      .get = snd_soc_get_enum_double, .put = snd_soc_put_enum_double,\
++      .private_value = (unsigned long)&(xenum) }
++
++static struct i2c_client *i2c;
++
++struct ma120x0p_priv {
++      struct regmap *regmap;
++      int mclk_div;
++      struct snd_soc_component *component;
++      struct gpio_desc *enable_gpio;
++      struct gpio_desc *mute_gpio;
++      struct gpio_desc *booster_gpio;
++      struct gpio_desc *error_gpio;
++};
++
++static struct ma120x0p_priv *priv_data;
++
++//Used to share the IRQ number within this file
++static unsigned int irqNumber;
++
++// Function prototype for the custom IRQ handler function
++static irqreturn_t ma120x0p_irq_handler(int irq, void *data);
++
++//Alsa Controls
++static const char * const limenable_text[] = {"Bypassed", "Enabled"};
++static const char * const limatack_text[] = {"Slow", "Normal", "Fast"};
++static const char * const limrelease_text[] = {"Slow", "Normal", "Fast"};
++
++static const char * const err_flycap_text[] = {"Ok", "Error"};
++static const char * const err_overcurr_text[] = {"Ok", "Error"};
++static const char * const err_pllerr_text[] = {"Ok", "Error"};
++static const char * const err_pvddunder_text[] = {"Ok", "Error"};
++static const char * const err_overtempw_text[] = {"Ok", "Error"};
++static const char * const err_overtempe_text[] = {"Ok", "Error"};
++static const char * const err_pinlowimp_text[] = {"Ok", "Error"};
++static const char * const err_dcprot_text[] = {"Ok", "Error"};
++
++static const char * const pwr_mode_prof_text[] = {"PMF0", "PMF1", "PMF2",
++"PMF3", "PMF4"};
++
++static const struct soc_enum lim_enable_ctrl =
++      SOC_ENUM_SINGLE(ma_audio_proc_limiterenable__a,
++              ma_audio_proc_limiterenable__shift,
++              ma_audio_proc_limiterenable__len + 1,
++              limenable_text);
++static const struct soc_enum limatack_ctrl =
++      SOC_ENUM_SINGLE(ma_audio_proc_attack__a,
++              ma_audio_proc_attack__shift,
++              ma_audio_proc_attack__len + 1,
++              limatack_text);
++static const struct soc_enum limrelease_ctrl =
++      SOC_ENUM_SINGLE(ma_audio_proc_release__a,
++              ma_audio_proc_release__shift,
++              ma_audio_proc_release__len + 1,
++              limrelease_text);
++static const struct soc_enum err_flycap_ctrl =
++      SOC_ENUM_SINGLE(ma_error__a, 0, 3, err_flycap_text);
++static const struct soc_enum err_overcurr_ctrl =
++      SOC_ENUM_SINGLE(ma_error__a, 1, 3, err_overcurr_text);
++static const struct soc_enum err_pllerr_ctrl =
++      SOC_ENUM_SINGLE(ma_error__a, 2, 3, err_pllerr_text);
++static const struct soc_enum err_pvddunder_ctrl =
++      SOC_ENUM_SINGLE(ma_error__a, 3, 3, err_pvddunder_text);
++static const struct soc_enum err_overtempw_ctrl =
++      SOC_ENUM_SINGLE(ma_error__a, 4, 3, err_overtempw_text);
++static const struct soc_enum err_overtempe_ctrl =
++      SOC_ENUM_SINGLE(ma_error__a, 5, 3, err_overtempe_text);
++static const struct soc_enum err_pinlowimp_ctrl =
++      SOC_ENUM_SINGLE(ma_error__a, 6, 3, err_pinlowimp_text);
++static const struct soc_enum err_dcprot_ctrl =
++      SOC_ENUM_SINGLE(ma_error__a, 7, 3, err_dcprot_text);
++static const struct soc_enum pwr_mode_prof_ctrl =
++      SOC_ENUM_SINGLE(ma_pmprofile__a, ma_pmprofile__shift, 5,
++              pwr_mode_prof_text);
++
++static const char * const pwr_mode_texts[] = {
++              "Dynamic power mode",
++              "Power mode 1",
++              "Power mode 2",
++              "Power mode 3",
++      };
++
++static const int pwr_mode_values[] = {
++              0x10,
++              0x50,
++              0x60,
++              0x70,
++      };
++
++static const SOC_VALUE_ENUM_SINGLE_DECL(pwr_mode_ctrl,
++      ma_pm_man__a, 0, 0x70,
++      pwr_mode_texts,
++      pwr_mode_values);
++
++static const DECLARE_TLV_DB_SCALE(ma120x0p_vol_tlv, -5000, 100,  0);
++static const DECLARE_TLV_DB_SCALE(ma120x0p_lim_tlv, -5000, 100,  0);
++static const DECLARE_TLV_DB_SCALE(ma120x0p_lr_tlv, -5000, 100,  0);
++
++static const struct snd_kcontrol_new ma120x0p_snd_controls[] = {
++      //Master Volume
++      SOC_SINGLE_RANGE_TLV("A.Mstr Vol Volume",
++              ma_vol_db_master__a, 0, 0x18, 0x4a, 1, ma120x0p_vol_tlv),
++
++      //L-R Volume ch0
++      SOC_SINGLE_RANGE_TLV("B.L Vol Volume",
++              ma_vol_db_ch0__a, 0, 0x18, 0x4a, 1, ma120x0p_lr_tlv),
++      SOC_SINGLE_RANGE_TLV("C.R Vol Volume",
++              ma_vol_db_ch1__a, 0, 0x18, 0x4a, 1, ma120x0p_lr_tlv),
++
++      //L-R Limiter Threshold ch0-ch1
++      SOC_DOUBLE_R_RANGE_TLV("D.Lim thresh Volume",
++              ma_thr_db_ch0__a, ma_thr_db_ch1__a, 0, 0x0e, 0x4a, 1,
++              ma120x0p_lim_tlv),
++
++      //Enum Switches/Selectors
++      //SOC_ENUM("E.AudioProc Mute", audioproc_mute_ctrl),
++      SOC_ENUM("F.Limiter Enable", lim_enable_ctrl),
++      SOC_ENUM("G.Limiter Attck", limatack_ctrl),
++      SOC_ENUM("H.Limiter Rls", limrelease_ctrl),
++
++      //Enum Error Monitor (read-only)
++      SOC_ENUM_ERR("I.Err flycap", err_flycap_ctrl),
++      SOC_ENUM_ERR("J.Err overcurr", err_overcurr_ctrl),
++      SOC_ENUM_ERR("K.Err pllerr", err_pllerr_ctrl),
++      SOC_ENUM_ERR("L.Err pvddunder", err_pvddunder_ctrl),
++      SOC_ENUM_ERR("M.Err overtempw", err_overtempw_ctrl),
++      SOC_ENUM_ERR("N.Err overtempe", err_overtempe_ctrl),
++      SOC_ENUM_ERR("O.Err pinlowimp", err_pinlowimp_ctrl),
++      SOC_ENUM_ERR("P.Err dcprot", err_dcprot_ctrl),
++
++      //Power modes profiles
++      SOC_ENUM("Q.PM Prof", pwr_mode_prof_ctrl),
++
++      // Power mode selection (Dynamic,1,2,3)
++      SOC_ENUM("R.Power Mode", pwr_mode_ctrl),
++};
++
++//Machine Driver
++static int ma120x0p_hw_params(struct snd_pcm_substream *substream,
++      struct snd_pcm_hw_params *params, struct snd_soc_dai *dai)
++{
++      u16 blen = 0x00;
++
++      struct snd_soc_component *component = dai->component;
++
++      priv_data->component = component;
++
++      switch (params_format(params)) {
++      case SNDRV_PCM_FORMAT_S16_LE:
++              blen = 0x10;
++              break;
++      case SNDRV_PCM_FORMAT_S24_LE:
++              blen = 0x00;
++              break;
++      case SNDRV_PCM_FORMAT_S32_LE:
++              blen = 0x00;
++              break;
++      default:
++              dev_err(dai->dev, "Unsupported word length: %u\n",
++              params_format(params));
++              return -EINVAL;
++      }
++
++      // set word length
++      snd_soc_component_update_bits(component, ma_i2s_framesize__a,
++              ma_i2s_framesize__mask, blen);
++
++      return 0;
++}
++
++static int ma120x0p_mute_stream(struct snd_soc_dai *dai, int mute, int stream)
++{
++      int val = 0;
++
++      struct ma120x0p_priv *ma120x0p;
++
++      struct snd_soc_component *component = dai->component;
++
++      ma120x0p = snd_soc_component_get_drvdata(component);
++
++      if (mute)
++              val = 0;
++      else
++              val = 1;
++
++      gpiod_set_value_cansleep(priv_data->mute_gpio, val);
++
++      return 0;
++}
++
++static const struct snd_soc_dai_ops ma120x0p_dai_ops = {
++      .hw_params              =       ma120x0p_hw_params,
++      .mute_stream    =       ma120x0p_mute_stream,
++};
++
++static struct snd_soc_dai_driver ma120x0p_dai = {
++      .name           = "ma120x0p-amp",
++      .playback       =       {
++              .stream_name    = "Playback",
++              .channels_min   = 2,
++              .channels_max   = 2,
++              .rates = SNDRV_PCM_RATE_CONTINUOUS,
++              .rate_min = 44100,
++              .rate_max = 48000,
++              .formats = SNDRV_PCM_FMTBIT_S24_LE | SNDRV_PCM_FMTBIT_S32_LE
++      },
++      .ops        = &ma120x0p_dai_ops,
++};
++
++//Codec Driver
++static int ma120x0p_clear_err(struct snd_soc_component *component)
++{
++      int ret = 0;
++
++      struct ma120x0p_priv *ma120x0p;
++
++      ma120x0p = snd_soc_component_get_drvdata(component);
++
++      ret = snd_soc_component_update_bits(component,
++              ma_eh_clear__a, ma_eh_clear__mask, 0x00);
++      if (ret < 0)
++              return ret;
++
++      ret = snd_soc_component_update_bits(component,
++              ma_eh_clear__a, ma_eh_clear__mask, 0x04);
++      if (ret < 0)
++              return ret;
++
++      ret = snd_soc_component_update_bits(component,
++              ma_eh_clear__a, ma_eh_clear__mask, 0x00);
++      if (ret < 0)
++              return ret;
++
++      return 0;
++}
++
++static void ma120x0p_remove(struct snd_soc_component *component)
++{
++      struct ma120x0p_priv *ma120x0p;
++
++      ma120x0p = snd_soc_component_get_drvdata(component);
++}
++
++static int ma120x0p_probe(struct snd_soc_component *component)
++{
++      struct ma120x0p_priv *ma120x0p;
++
++      int ret = 0;
++
++      i2c = container_of(component->dev, struct i2c_client, dev);
++
++      ma120x0p = snd_soc_component_get_drvdata(component);
++
++      //Reset error
++      ma120x0p_clear_err(component);
++      if (ret < 0)
++              return ret;
++
++      // set serial audio format I2S and enable audio processor
++      ret = snd_soc_component_write(component, ma_i2s_format__a, 0x08);
++      if (ret < 0)
++              return ret;
++
++      // Enable audio limiter
++      ret = snd_soc_component_update_bits(component,
++              ma_audio_proc_limiterenable__a,
++              ma_audio_proc_limiterenable__mask, 0x40);
++      if (ret < 0)
++              return ret;
++
++      // Set lim attack to fast
++      ret = snd_soc_component_update_bits(component,
++              ma_audio_proc_attack__a, ma_audio_proc_attack__mask, 0x80);
++      if (ret < 0)
++              return ret;
++
++      // Set lim attack to low
++      ret = snd_soc_component_update_bits(component,
++              ma_audio_proc_release__a, ma_audio_proc_release__mask, 0x00);
++      if (ret < 0)
++              return ret;
++
++      // set volume to 0dB
++      ret = snd_soc_component_write(component, ma_vol_db_master__a, 0x18);
++      if (ret < 0)
++              return ret;
++
++      // set ch0 lim thresh to -15dB
++      ret = snd_soc_component_write(component, ma_thr_db_ch0__a, 0x27);
++      if (ret < 0)
++              return ret;
++
++      // set ch1 lim thresh to -15dB
++      ret = snd_soc_component_write(component, ma_thr_db_ch1__a, 0x27);
++      if (ret < 0)
++              return ret;
++
++      //Check for errors
++      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x00, 0);
++      if (ret < 0)
++              return ret;
++      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x01, 0);
++      if (ret < 0)
++              return ret;
++      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x02, 0);
++      if (ret < 0)
++              return ret;
++      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x08, 0);
++      if (ret < 0)
++              return ret;
++      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x10, 0);
++      if (ret < 0)
++              return ret;
++      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x20, 0);
++      if (ret < 0)
++              return ret;
++      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x40, 0);
++      if (ret < 0)
++              return ret;
++      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x80, 0);
++      if (ret < 0)
++              return ret;
++
++      return 0;
++}
++
++static int ma120x0p_set_bias_level(struct snd_soc_component *component,
++      enum snd_soc_bias_level level)
++{
++      int ret = 0;
++
++      struct ma120x0p_priv *ma120x0p;
++
++      ma120x0p = snd_soc_component_get_drvdata(component);
++
++      switch (level) {
++      case SND_SOC_BIAS_ON:
++              break;
++
++      case SND_SOC_BIAS_PREPARE:
++              break;
++
++      case SND_SOC_BIAS_STANDBY:
++              ret = gpiod_get_value_cansleep(priv_data->enable_gpio);
++              if (ret != 0) {
++                      dev_err(component->dev, "Device ma120x0p disabled in STANDBY BIAS: %d\n",
++                      ret);
++                      return ret;
++              }
++              break;
++
++      case SND_SOC_BIAS_OFF:
++              break;
++      }
++
++      return 0;
++}
++
++static const struct snd_soc_dapm_widget ma120x0p_dapm_widgets[] = {
++      SND_SOC_DAPM_OUTPUT("OUT_A"),
++      SND_SOC_DAPM_OUTPUT("OUT_B"),
++};
++
++static const struct snd_soc_dapm_route ma120x0p_dapm_routes[] = {
++      { "OUT_B",  NULL, "Playback" },
++      { "OUT_A",  NULL, "Playback" },
++};
++
++static const struct snd_soc_component_driver ma120x0p_component_driver = {
++      .probe = ma120x0p_probe,
++      .remove = ma120x0p_remove,
++      .set_bias_level = ma120x0p_set_bias_level,
++      .dapm_widgets           = ma120x0p_dapm_widgets,
++      .num_dapm_widgets       = ARRAY_SIZE(ma120x0p_dapm_widgets),
++      .dapm_routes            = ma120x0p_dapm_routes,
++      .num_dapm_routes        = ARRAY_SIZE(ma120x0p_dapm_routes),
++      .controls = ma120x0p_snd_controls,
++      .num_controls = ARRAY_SIZE(ma120x0p_snd_controls),
++      .use_pmdown_time        = 1,
++      .endianness             = 1,
++      .non_legacy_dai_naming  = 1,
++};
++
++//I2C Driver
++static const struct reg_default ma120x0p_reg_defaults[] = {
++      {       0x01,   0x3c    },
++};
++
++static bool ma120x0p_reg_volatile(struct device *dev, unsigned int reg)
++{
++      switch (reg) {
++      case ma_error__a:
++                      return true;
++      default:
++                      return false;
++      }
++}
++
++static const struct of_device_id ma120x0p_of_match[] = {
++      { .compatible = "ma,ma120x0p", },
++      { }
++};
++
++MODULE_DEVICE_TABLE(of, ma120x0p_of_match);
++
++static struct regmap_config ma120x0p_regmap_config = {
++      .reg_bits = 8,
++      .val_bits = 8,
++
++      .max_register = 255,
++      .volatile_reg = ma120x0p_reg_volatile,
++
++      .cache_type = REGCACHE_RBTREE,
++      .reg_defaults = ma120x0p_reg_defaults,
++      .num_reg_defaults = ARRAY_SIZE(ma120x0p_reg_defaults),
++};
++
++static int ma120x0p_i2c_probe(struct i2c_client *i2c,
++      const struct i2c_device_id *id)
++{
++      int ret;
++
++      priv_data = devm_kzalloc(&i2c->dev, sizeof(*priv_data), GFP_KERNEL);
++      if (!priv_data)
++              return -ENOMEM;
++      i2c_set_clientdata(i2c, priv_data);
++
++      priv_data->regmap = devm_regmap_init_i2c(i2c, &ma120x0p_regmap_config);
++      if (IS_ERR(priv_data->regmap)) {
++              ret = PTR_ERR(priv_data->regmap);
++              return ret;
++      }
++
++      //Startup sequence
++
++      //Make sure the device is muted
++      priv_data->mute_gpio = devm_gpiod_get(&i2c->dev, "mute_gp",
++              GPIOD_OUT_LOW);
++      if (IS_ERR(priv_data->mute_gpio)) {
++              ret = PTR_ERR(priv_data->mute_gpio);
++              dev_err(&i2c->dev, "Failed to get mute gpio line: %d\n", ret);
++              return ret;
++      }
++      msleep(50);
++
++// MA120xx0P devices are usually powered by an integrated boost converter.
++// An option GPIO control line is provided to enable the booster properly and
++// in sync with the enable and mute GPIO lines.
++      priv_data->booster_gpio = devm_gpiod_get_optional(&i2c->dev,
++              "booster_gp", GPIOD_OUT_LOW);
++      if (IS_ERR(priv_data->booster_gpio)) {
++              ret = PTR_ERR(priv_data->booster_gpio);
++              dev_err(&i2c->dev,
++              "Failed to get booster enable gpio line: %d\n", ret);
++              return ret;
++      }
++      msleep(50);
++
++      //Enable booster and wait 200ms until stable PVDD
++      gpiod_set_value_cansleep(priv_data->booster_gpio, 1);
++      msleep(200);
++
++      //Enable ma120x0pp
++      priv_data->enable_gpio = devm_gpiod_get(&i2c->dev,
++              "enable_gp", GPIOD_OUT_LOW);
++      if (IS_ERR(priv_data->enable_gpio)) {
++              ret = PTR_ERR(priv_data->enable_gpio);
++              dev_err(&i2c->dev,
++              "Failed to get ma120x0p enable gpio line: %d\n", ret);
++              return ret;
++      }
++      msleep(50);
++
++      //Optional use of ma120x0pp error line as an interrupt trigger to
++      //platform GPIO.
++      //Get error input gpio ma120x0p
++      priv_data->error_gpio = devm_gpiod_get_optional(&i2c->dev,
++               "error_gp", GPIOD_IN);
++      if (IS_ERR(priv_data->error_gpio)) {
++              ret = PTR_ERR(priv_data->error_gpio);
++              dev_err(&i2c->dev,
++                      "Failed to get ma120x0p error gpio line: %d\n", ret);
++              return ret;
++      }
++
++      if (priv_data->error_gpio != NULL) {
++              irqNumber = gpiod_to_irq(priv_data->error_gpio);
++
++              ret = devm_request_threaded_irq(&i2c->dev,
++                       irqNumber, ma120x0p_irq_handler,
++                       NULL, IRQF_TRIGGER_FALLING,
++                       "ma120x0p", priv_data);
++              if (ret != 0)
++                      dev_warn(&i2c->dev, "Failed to request IRQ: %d\n",
++                              ret);
++      }
++
++      ret = devm_snd_soc_register_component(&i2c->dev,
++              &ma120x0p_component_driver, &ma120x0p_dai, 1);
++
++      return ret;
++}
++
++static irqreturn_t ma120x0p_irq_handler(int irq, void *data)
++{
++      gpiod_set_value_cansleep(priv_data->mute_gpio, 0);
++      gpiod_set_value_cansleep(priv_data->enable_gpio, 1);
++      return IRQ_HANDLED;
++}
++
++static int ma120x0p_i2c_remove(struct i2c_client *i2c)
++{
++      snd_soc_unregister_component(&i2c->dev);
++      i2c_set_clientdata(i2c, NULL);
++
++      gpiod_set_value_cansleep(priv_data->mute_gpio, 0);
++      msleep(30);
++      gpiod_set_value_cansleep(priv_data->enable_gpio, 1);
++      msleep(200);
++      gpiod_set_value_cansleep(priv_data->booster_gpio, 0);
++      msleep(200);
++
++      kfree(priv_data);
++
++      return 0;
++}
++
++static void ma120x0p_i2c_shutdown(struct i2c_client *i2c)
++{
++      snd_soc_unregister_component(&i2c->dev);
++      i2c_set_clientdata(i2c, NULL);
++
++      gpiod_set_value_cansleep(priv_data->mute_gpio, 0);
++      msleep(30);
++      gpiod_set_value_cansleep(priv_data->enable_gpio, 1);
++      msleep(200);
++      gpiod_set_value_cansleep(priv_data->booster_gpio, 0);
++      msleep(200);
++
++      kfree(priv_data);
++}
++
++static const struct i2c_device_id ma120x0p_i2c_id[] = {
++      { "ma120x0p", 0 },
++      { }
++};
++
++MODULE_DEVICE_TABLE(i2c, ma120x0p_i2c_id);
++
++static struct i2c_driver ma120x0p_i2c_driver = {
++      .driver = {
++              .name = "ma120x0p",
++              .owner = THIS_MODULE,
++              .of_match_table = ma120x0p_of_match,
++      },
++      .probe = ma120x0p_i2c_probe,
++      .remove = ma120x0p_i2c_remove,
++      .shutdown = ma120x0p_i2c_shutdown,
++      .id_table = ma120x0p_i2c_id
++};
++
++static int __init ma120x0p_modinit(void)
++{
++      int ret = 0;
++
++      ret = i2c_add_driver(&ma120x0p_i2c_driver);
++      if (ret != 0) {
++              pr_err("Failed to register MA120X0P I2C driver: %d\n", ret);
++              return ret;
++      }
++      return ret;
++}
++module_init(ma120x0p_modinit);
++
++static void __exit ma120x0p_exit(void)
++{
++      i2c_del_driver(&ma120x0p_i2c_driver);
++}
++module_exit(ma120x0p_exit);
++
++MODULE_AUTHOR("Ariel Muszkat ariel.muszkat@gmail.com>");
++MODULE_DESCRIPTION("ASoC driver for ma120x0p");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0464-media-ov5647-Add-extra-10-bit-sensor-modes.patch b/target/linux/bcm27xx/patches-5.4/950-0464-media-ov5647-Add-extra-10-bit-sensor-modes.patch
deleted file mode 100644 (file)
index 9e40b51..0000000
+++ /dev/null
@@ -1,549 +0,0 @@
-From 28c0004a54ce9b2c5862b38408952583b07458f9 Mon Sep 17 00:00:00 2001
-From: David Plowman <david.plowman@raspberrypi.com>
-Date: Wed, 29 Jan 2020 15:31:28 +0000
-Subject: [PATCH] media: ov5647: Add extra 10-bit sensor modes.
-
-The 8-bit VGA mode remains, we add the following 10-bit modes:
-
-Mode 0: 2592x1944 full resolution.
-
-Mode 1: 1920x1080 full resolution, but centre-cropped.
-(This mode achieves 30fps, mode 0 does not.)
-
-Mode 2: 1296x972 full field-of-view 2x2 binned mode.
-
-Mode 3: VGA full field of view mode.
-
-Signed-off-by: David Plowman <david.plowman@raspberrypi.com>
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- drivers/media/i2c/ov5647.c | 463 ++++++++++++++++++++++++++++++++++++-
- 1 file changed, 452 insertions(+), 11 deletions(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -111,6 +111,7 @@ struct ov5647 {
-       struct gpio_desc                *pwdn;
-       unsigned int                    flags;
-       struct v4l2_ctrl_handler        ctrls;
-+      bool                            write_mode_regs;
- };
- static inline struct ov5647 *to_state(struct v4l2_subdev *sd)
-@@ -130,7 +131,7 @@ static struct regval_list sensor_oe_enab
-       {0x3002, 0xe4},
- };
--static struct regval_list ov5647_640x480[] = {
-+static struct regval_list ov5647_640x480_8bit[] = {
-       {0x0100, 0x00},
-       {0x0103, 0x01},
-       {0x3034, 0x08},
-@@ -220,9 +221,378 @@ static struct regval_list ov5647_640x480
-       {0x0100, 0x01},
- };
-+static struct regval_list ov5647_2592x1944_10bit[] = {
-+      {0x0100, 0x00},
-+      {0x0103, 0x01},
-+      {0x3034, 0x1a},
-+      {0x3035, 0x21},
-+      {0x3036, 0x69},
-+      {0x303c, 0x11},
-+      {0x3106, 0xf5},
-+      {0x3821, 0x06},
-+      {0x3820, 0x00},
-+      {0x3827, 0xec},
-+      {0x370c, 0x03},
-+      {0x3612, 0x5b},
-+      {0x3618, 0x04},
-+      {0x5000, 0x06},
-+      {0x5002, 0x41},
-+      {0x5003, 0x08},
-+      {0x5a00, 0x08},
-+      {0x3000, 0x00},
-+      {0x3001, 0x00},
-+      {0x3002, 0x00},
-+      {0x3016, 0x08},
-+      {0x3017, 0xe0},
-+      {0x3018, 0x44},
-+      {0x301c, 0xf8},
-+      {0x301d, 0xf0},
-+      {0x3a18, 0x00},
-+      {0x3a19, 0xf8},
-+      {0x3c01, 0x80},
-+      {0x3b07, 0x0c},
-+      {0x380c, 0x0b},
-+      {0x380d, 0x1c},
-+      {0x380e, 0x07},
-+      {0x380f, 0xb0},
-+      {0x3814, 0x11},
-+      {0x3815, 0x11},
-+      {0x3708, 0x64},
-+      {0x3709, 0x12},
-+      {0x3808, 0x0a},
-+      {0x3809, 0x20},
-+      {0x380a, 0x07},
-+      {0x380b, 0x98},
-+      {0x3800, 0x00},
-+      {0x3801, 0x00},
-+      {0x3802, 0x00},
-+      {0x3803, 0x00},
-+      {0x3804, 0x0a},
-+      {0x3805, 0x3f},
-+      {0x3806, 0x07},
-+      {0x3807, 0xa3},
-+      {0x3811, 0x10},
-+      {0x3813, 0x06},
-+      {0x3630, 0x2e},
-+      {0x3632, 0xe2},
-+      {0x3633, 0x23},
-+      {0x3634, 0x44},
-+      {0x3636, 0x06},
-+      {0x3620, 0x64},
-+      {0x3621, 0xe0},
-+      {0x3600, 0x37},
-+      {0x3704, 0xa0},
-+      {0x3703, 0x5a},
-+      {0x3715, 0x78},
-+      {0x3717, 0x01},
-+      {0x3731, 0x02},
-+      {0x370b, 0x60},
-+      {0x3705, 0x1a},
-+      {0x3f05, 0x02},
-+      {0x3f06, 0x10},
-+      {0x3f01, 0x0a},
-+      {0x3a08, 0x01},
-+      {0x3a09, 0x28},
-+      {0x3a0a, 0x00},
-+      {0x3a0b, 0xf6},
-+      {0x3a0d, 0x08},
-+      {0x3a0e, 0x06},
-+      {0x3a0f, 0x58},
-+      {0x3a10, 0x50},
-+      {0x3a1b, 0x58},
-+      {0x3a1e, 0x50},
-+      {0x3a11, 0x60},
-+      {0x3a1f, 0x28},
-+      {0x4001, 0x02},
-+      {0x4004, 0x04},
-+      {0x4000, 0x09},
-+      {0x4837, 0x19},
-+      {0x4800, 0x24},
-+      {0x3503, 0x03},
-+      {0x0100, 0x01},
-+};
-+
-+static struct regval_list ov5647_1080p30_10bit[] = {
-+      {0x0100, 0x00},
-+      {0x0103, 0x01},
-+      {0x3034, 0x1a},
-+      {0x3035, 0x21},
-+      {0x3036, 0x62},
-+      {0x303c, 0x11},
-+      {0x3106, 0xf5},
-+      {0x3821, 0x06},
-+      {0x3820, 0x00},
-+      {0x3827, 0xec},
-+      {0x370c, 0x03},
-+      {0x3612, 0x5b},
-+      {0x3618, 0x04},
-+      {0x5000, 0x06},
-+      {0x5002, 0x41},
-+      {0x5003, 0x08},
-+      {0x5a00, 0x08},
-+      {0x3000, 0x00},
-+      {0x3001, 0x00},
-+      {0x3002, 0x00},
-+      {0x3016, 0x08},
-+      {0x3017, 0xe0},
-+      {0x3018, 0x44},
-+      {0x301c, 0xf8},
-+      {0x301d, 0xf0},
-+      {0x3a18, 0x00},
-+      {0x3a19, 0xf8},
-+      {0x3c01, 0x80},
-+      {0x3b07, 0x0c},
-+      {0x380c, 0x09},
-+      {0x380d, 0x70},
-+      {0x380e, 0x04},
-+      {0x380f, 0x50},
-+      {0x3814, 0x11},
-+      {0x3815, 0x11},
-+      {0x3708, 0x64},
-+      {0x3709, 0x12},
-+      {0x3808, 0x07},
-+      {0x3809, 0x80},
-+      {0x380a, 0x04},
-+      {0x380b, 0x38},
-+      {0x3800, 0x01},
-+      {0x3801, 0x5c},
-+      {0x3802, 0x01},
-+      {0x3803, 0xb2},
-+      {0x3804, 0x08},
-+      {0x3805, 0xe3},
-+      {0x3806, 0x05},
-+      {0x3807, 0xf1},
-+      {0x3811, 0x04},
-+      {0x3813, 0x02},
-+      {0x3630, 0x2e},
-+      {0x3632, 0xe2},
-+      {0x3633, 0x23},
-+      {0x3634, 0x44},
-+      {0x3636, 0x06},
-+      {0x3620, 0x64},
-+      {0x3621, 0xe0},
-+      {0x3600, 0x37},
-+      {0x3704, 0xa0},
-+      {0x3703, 0x5a},
-+      {0x3715, 0x78},
-+      {0x3717, 0x01},
-+      {0x3731, 0x02},
-+      {0x370b, 0x60},
-+      {0x3705, 0x1a},
-+      {0x3f05, 0x02},
-+      {0x3f06, 0x10},
-+      {0x3f01, 0x0a},
-+      {0x3a08, 0x01},
-+      {0x3a09, 0x4b},
-+      {0x3a0a, 0x01},
-+      {0x3a0b, 0x13},
-+      {0x3a0d, 0x04},
-+      {0x3a0e, 0x03},
-+      {0x3a0f, 0x58},
-+      {0x3a10, 0x50},
-+      {0x3a1b, 0x58},
-+      {0x3a1e, 0x50},
-+      {0x3a11, 0x60},
-+      {0x3a1f, 0x28},
-+      {0x4001, 0x02},
-+      {0x4004, 0x04},
-+      {0x4000, 0x09},
-+      {0x4837, 0x19},
-+      {0x4800, 0x34},
-+      {0x3503, 0x03},
-+      {0x0100, 0x01},
-+};
-+
-+static struct regval_list ov5647_2x2binned_10bit[] = {
-+      {0x0100, 0x00},
-+      {0x0103, 0x01},
-+      {0x3034, 0x1A},
-+      {0x3035, 0x21},
-+      {0x3036, 0x62},
-+      {0x303C, 0x11},
-+      {0x3106, 0xF5},
-+      {0x3827, 0xEC},
-+      {0x370C, 0x03},
-+      {0x3612, 0x59},
-+      {0x3618, 0x00},
-+      {0x5000, 0x06},
-+      {0x5002, 0x41},
-+      {0x5003, 0x08},
-+      {0x5A00, 0x08},
-+      {0x3000, 0x00},
-+      {0x3001, 0x00},
-+      {0x3002, 0x00},
-+      {0x3016, 0x08},
-+      {0x3017, 0xE0},
-+      {0x3018, 0x44},
-+      {0x301C, 0xF8},
-+      {0x301D, 0xF0},
-+      {0x3A18, 0x00},
-+      {0x3A19, 0xF8},
-+      {0x3C01, 0x80},
-+      {0x3B07, 0x0C},
-+      {0x3800, 0x00},
-+      {0x3801, 0x00},
-+      {0x3802, 0x00},
-+      {0x3803, 0x00},
-+      {0x3804, 0x0A},
-+      {0x3805, 0x3F},
-+      {0x3806, 0x07},
-+      {0x3807, 0xA3},
-+      {0x3808, 0x05},
-+      {0x3809, 0x10},
-+      {0x380A, 0x03},
-+      {0x380B, 0xCC},
-+      {0x380C, 0x07},
-+      {0x380D, 0x68},
-+      {0x3811, 0x0c},
-+      {0x3813, 0x06},
-+      {0x3814, 0x31},
-+      {0x3815, 0x31},
-+      {0x3630, 0x2E},
-+      {0x3632, 0xE2},
-+      {0x3633, 0x23},
-+      {0x3634, 0x44},
-+      {0x3636, 0x06},
-+      {0x3620, 0x64},
-+      {0x3621, 0xE0},
-+      {0x3600, 0x37},
-+      {0x3704, 0xA0},
-+      {0x3703, 0x5A},
-+      {0x3715, 0x78},
-+      {0x3717, 0x01},
-+      {0x3731, 0x02},
-+      {0x370B, 0x60},
-+      {0x3705, 0x1A},
-+      {0x3F05, 0x02},
-+      {0x3F06, 0x10},
-+      {0x3F01, 0x0A},
-+      {0x3A08, 0x01},
-+      {0x3A09, 0x28},
-+      {0x3A0A, 0x00},
-+      {0x3A0B, 0xF6},
-+      {0x3A0D, 0x08},
-+      {0x3A0E, 0x06},
-+      {0x3A0F, 0x58},
-+      {0x3A10, 0x50},
-+      {0x3A1B, 0x58},
-+      {0x3A1E, 0x50},
-+      {0x3A11, 0x60},
-+      {0x3A1F, 0x28},
-+      {0x4001, 0x02},
-+      {0x4004, 0x04},
-+      {0x4000, 0x09},
-+      {0x4837, 0x16},
-+      {0x4800, 0x24},
-+      {0x3503, 0x03},
-+      {0x3820, 0x41},
-+      {0x3821, 0x07},
-+      {0x380E, 0x05},
-+      {0x380F, 0x9B},
-+      {0x350A, 0x00},
-+      {0x350B, 0x10},
-+      {0x3500, 0x00},
-+      {0x3501, 0x1A},
-+      {0x3502, 0xF0},
-+      {0x3212, 0xA0},
-+      {0x0100, 0x01},
-+};
-+
-+static struct regval_list ov5647_640x480_10bit[] = {
-+      {0x0100, 0x00},
-+      {0x0103, 0x01},
-+      {0x3035, 0x11},
-+      {0x3036, 0x46},
-+      {0x303c, 0x11},
-+      {0x3821, 0x07},
-+      {0x3820, 0x41},
-+      {0x370c, 0x03},
-+      {0x3612, 0x59},
-+      {0x3618, 0x00},
-+      {0x5000, 0x06},
-+      {0x5003, 0x08},
-+      {0x5a00, 0x08},
-+      {0x3000, 0xff},
-+      {0x3001, 0xff},
-+      {0x3002, 0xff},
-+      {0x301d, 0xf0},
-+      {0x3a18, 0x00},
-+      {0x3a19, 0xf8},
-+      {0x3c01, 0x80},
-+      {0x3b07, 0x0c},
-+      {0x380c, 0x07},
-+      {0x380d, 0x3c},
-+      {0x380e, 0x01},
-+      {0x380f, 0xf8},
-+      {0x3814, 0x35},
-+      {0x3815, 0x35},
-+      {0x3708, 0x64},
-+      {0x3709, 0x52},
-+      {0x3808, 0x02},
-+      {0x3809, 0x80},
-+      {0x380a, 0x01},
-+      {0x380b, 0xe0},
-+      {0x3800, 0x00},
-+      {0x3801, 0x10},
-+      {0x3802, 0x00},
-+      {0x3803, 0x00},
-+      {0x3804, 0x0a},
-+      {0x3805, 0x2f},
-+      {0x3806, 0x07},
-+      {0x3807, 0x9f},
-+      {0x3630, 0x2e},
-+      {0x3632, 0xe2},
-+      {0x3633, 0x23},
-+      {0x3634, 0x44},
-+      {0x3620, 0x64},
-+      {0x3621, 0xe0},
-+      {0x3600, 0x37},
-+      {0x3704, 0xa0},
-+      {0x3703, 0x5a},
-+      {0x3715, 0x78},
-+      {0x3717, 0x01},
-+      {0x3731, 0x02},
-+      {0x370b, 0x60},
-+      {0x3705, 0x1a},
-+      {0x3f05, 0x02},
-+      {0x3f06, 0x10},
-+      {0x3f01, 0x0a},
-+      {0x3a08, 0x01},
-+      {0x3a09, 0x2e},
-+      {0x3a0a, 0x00},
-+      {0x3a0b, 0xfb},
-+      {0x3a0d, 0x02},
-+      {0x3a0e, 0x01},
-+      {0x3a0f, 0x58},
-+      {0x3a10, 0x50},
-+      {0x3a1b, 0x58},
-+      {0x3a1e, 0x50},
-+      {0x3a11, 0x60},
-+      {0x3a1f, 0x28},
-+      {0x4001, 0x02},
-+      {0x4004, 0x02},
-+      {0x4000, 0x09},
-+      {0x3000, 0x00},
-+      {0x3001, 0x00},
-+      {0x3002, 0x00},
-+      {0x3017, 0xe0},
-+      {0x301c, 0xfc},
-+      {0x3636, 0x06},
-+      {0x3016, 0x08},
-+      {0x3827, 0xec},
-+      {0x3018, 0x44},
-+      {0x3035, 0x21},
-+      {0x3106, 0xf5},
-+      {0x3034, 0x1a},
-+      {0x301c, 0xf8},
-+      {0x4800, 0x34},
-+      {0x3503, 0x03},
-+      {0x0100, 0x01},
-+};
-+
- static struct ov5647_mode supported_modes_8bit[] = {
-       /*
--       * Original 8-bit VGA mode
-+       * MODE 0: Original 8-bit VGA mode.
-        * Uncentred crop (top left quarter) from 2x2 binned 1296x972 image.
-        */
-       {
-@@ -233,14 +603,70 @@ static struct ov5647_mode supported_mode
-                       .width = 640,
-                       .height = 480
-               },
--              ov5647_640x480,
--              ARRAY_SIZE(ov5647_640x480)
-+              ov5647_640x480_8bit,
-+              ARRAY_SIZE(ov5647_640x480_8bit)
-       },
--      /* more modes below here... */
- };
- static struct ov5647_mode supported_modes_10bit[] = {
--      /* no 10-bit modes yet */
-+      /*
-+       * MODE 0: 2592x1944 full resolution full FOV 10-bit mode.
-+       */
-+      {
-+              {
-+                      .code = MEDIA_BUS_FMT_SBGGR10_1X10,
-+                      .colorspace = V4L2_COLORSPACE_SRGB,
-+                      .field = V4L2_FIELD_NONE,
-+                      .width = 2592,
-+                      .height = 1944
-+              },
-+              ov5647_2592x1944_10bit,
-+              ARRAY_SIZE(ov5647_2592x1944_10bit)
-+      },
-+      /*
-+       * MODE 1: 1080p30 10-bit mode.
-+       * Full resolution centre-cropped down to 1080p.
-+       */
-+      {
-+              {
-+                      .code = MEDIA_BUS_FMT_SBGGR10_1X10,
-+                      .colorspace = V4L2_COLORSPACE_SRGB,
-+                      .field = V4L2_FIELD_NONE,
-+                      .width = 1920,
-+                      .height = 1080
-+              },
-+              ov5647_1080p30_10bit,
-+              ARRAY_SIZE(ov5647_1080p30_10bit)
-+      },
-+      /*
-+       * MODE 2: 2x2 binned full FOV 10-bit mode.
-+       */
-+      {
-+              {
-+                      .code = MEDIA_BUS_FMT_SBGGR10_1X10,
-+                      .colorspace = V4L2_COLORSPACE_SRGB,
-+                      .field = V4L2_FIELD_NONE,
-+                      .width = 1296,
-+                      .height = 972
-+              },
-+              ov5647_2x2binned_10bit,
-+              ARRAY_SIZE(ov5647_2x2binned_10bit)
-+      },
-+      /*
-+       * MODE 3: 10-bit VGA full FOV mode 60fps.
-+       * 2x2 binned and subsampled down to VGA.
-+       */
-+      {
-+              {
-+                      .code = MEDIA_BUS_FMT_SBGGR10_1X10,
-+                      .colorspace = V4L2_COLORSPACE_SRGB,
-+                      .field = V4L2_FIELD_NONE,
-+                      .width = 640,
-+                      .height = 480
-+              },
-+              ov5647_640x480_10bit,
-+              ARRAY_SIZE(ov5647_640x480_10bit)
-+      },
- };
- /* Use original 8-bit VGA mode as default. */
-@@ -343,11 +769,14 @@ static int __sensor_init(struct v4l2_sub
-       if (ret < 0)
-               return ret;
--      ret = ov5647_write_array(sd, state->mode->reg_list,
--                               state->mode->num_regs);
--      if (ret < 0) {
--              dev_err(&client->dev, "write sensor default regs error\n");
--              return ret;
-+      if (state->write_mode_regs) {
-+              ret = ov5647_write_array(sd, state->mode->reg_list,
-+                                       state->mode->num_regs);
-+              if (ret < 0) {
-+                      dev_err(&client->dev, "write sensor default regs error\n");
-+                      return ret;
-+              }
-+              state->write_mode_regs = false;
-       }
-       ret = ov5647_set_virtual_channel(sd, 0);
-@@ -475,6 +904,9 @@ static int ov5647_sensor_power(struct v4
-                               "Camera not available, check Power\n");
-                       goto out;
-               }
-+
-+              /* Write out the register set over I2C on stream-on. */
-+              ov5647->write_mode_regs = true;
-       } else if (!on && ov5647->power_count == 1) {
-               dev_dbg(&client->dev, "OV5647 power off\n");
-@@ -650,6 +1082,12 @@ static int ov5647_set_fmt(struct v4l2_su
-               framefmt = v4l2_subdev_get_try_format(sd, cfg, format->pad);
-               *framefmt = format->format;
-       } else {
-+              /*
-+               * If we have changed modes, write the I2C register list on
-+               * a stream_on().
-+               */
-+              if (state->mode != mode)
-+                      state->write_mode_regs = true;
-               state->mode = mode;
-       }
-@@ -967,6 +1405,9 @@ static int ov5647_probe(struct i2c_clien
-       /* Set the default mode before we init the subdev */
-       sensor->mode = OV5647_DEFAULT_MODE;
-+      /* Write out the register set over I2C on stream-on. */
-+      sensor->write_mode_regs = true;
-+
-       sd = &sensor->sd;
-       v4l2_i2c_subdev_init(sd, client, &ov5647_subdev_ops);
-       sensor->sd.internal_ops = &ov5647_subdev_internal_ops;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0465-ARM-dts-bcm2711-Add-32-bit-PMU-compatibility.patch b/target/linux/bcm27xx/patches-5.4/950-0465-ARM-dts-bcm2711-Add-32-bit-PMU-compatibility.patch
new file mode 100644 (file)
index 0000000..f549e73
--- /dev/null
@@ -0,0 +1,27 @@
+From e25d9a93812847b4ddc9e883d0cd45b32f8e2f76 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 17 Mar 2020 16:39:07 +0000
+Subject: [PATCH] ARM: dts: bcm2711: Add 32-bit PMU compatibility
+
+The "arm" architecture has no support for the cortex-a72 as such, but
+the performance and measurement unit from the cortex-a15 seems to be
+compatible.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -12,6 +12,10 @@
+               sd_poll_once = <&emmc2>, "non-removable?";
+       };
++      arm-pmu {
++              compatible = "arm,cortex-a72-pmu", "arm,cortex-a15-pmu";
++      };
++
+       v3dbus {
+               compatible = "simple-bus";
+               #address-cells = <1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0465-media-ov5647-change-defaults-to-better-match-raw-cam.patch b/target/linux/bcm27xx/patches-5.4/950-0465-media-ov5647-change-defaults-to-better-match-raw-cam.patch
deleted file mode 100644 (file)
index 58d23b7..0000000
+++ /dev/null
@@ -1,59 +0,0 @@
-From 8bc19baeeca276374bed2d2ec95029d34fd93d7d Mon Sep 17 00:00:00 2001
-From: David Plowman <david.plowman@raspberrypi.com>
-Date: Wed, 29 Jan 2020 15:31:32 +0000
-Subject: [PATCH] media: ov5647: change defaults to better match raw
- camera applications.
-
-Specifically:
-
-* AWB is now off by default.
-
-* AEC/AGC is also off by default.
-
-* The default mode is changed to the 10-bit 2x2 binned mode.
-
-AWB and AEC/AGC can be re-enabled using the usual V4L2 controls. The
-original 8-bit mode will be respected if an application requests the
-8-bit format.
-
-Signed-off-by: David Plowman <david.plowman@raspberrypi.com>
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- drivers/media/i2c/ov5647.c | 10 +++++-----
- 1 file changed, 5 insertions(+), 5 deletions(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -669,8 +669,8 @@ static struct ov5647_mode supported_mode
-       },
- };
--/* Use original 8-bit VGA mode as default. */
--#define OV5647_DEFAULT_MODE (&supported_modes_8bit[0])
-+/* Use 2x2 binned 10-bit mode as default. */
-+#define OV5647_DEFAULT_MODE (&supported_modes_10bit[2])
- static int ov5647_write(struct v4l2_subdev *sd, u16 reg, u8 val)
- {
-@@ -1367,18 +1367,18 @@ static int ov5647_probe(struct i2c_clien
-                         0,  /* min */
-                         1,  /* max */
-                         1,  /* step */
--                        1); /* default */
-+                        0); /* default */
-       v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-                         V4L2_CID_AUTO_WHITE_BALANCE,
-                         0,  /* min */
-                         1,  /* max */
-                         1,  /* step */
--                        1); /* default */
-+                        0); /* default */
-       v4l2_ctrl_new_std_menu(&sensor->ctrls, &ov5647_ctrl_ops,
-                              V4L2_CID_EXPOSURE_AUTO,
-                              V4L2_EXPOSURE_MANUAL,  /* max */
-                              0,                     /* skip_mask */
--                             V4L2_EXPOSURE_AUTO);   /* default */
-+                             V4L2_EXPOSURE_MANUAL); /* default */
-       ctrl = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-                                V4L2_CID_EXPOSURE,
-                                4,     /* min lines */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0466-ARM-dts-bcm271x-Use-a53-pmu-drop-RPI364.patch b/target/linux/bcm27xx/patches-5.4/950-0466-ARM-dts-bcm271x-Use-a53-pmu-drop-RPI364.patch
new file mode 100644 (file)
index 0000000..9504bb3
--- /dev/null
@@ -0,0 +1,67 @@
+From 70b0d5d07426e1b9c34ddd6ab4ee99b8c2fb81a6 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 19 Mar 2020 10:04:46 +0000
+Subject: [PATCH] ARM: dts: bcm271x: Use a53 pmu, drop RPI364
+
+The upstream bcm2837.dtsi uses cortex-a53-pmu, so we can do the same
+but with a fallback to the cortex-a7-pmu which is supported by the
+32-bit kernel.
+
+Now that we're using the natural fallback mechanism of compatible
+strings, the RPI364 macro no longer serves any purpose - remove it.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2710.dtsi                        | 6 +-----
+ arch/arm64/boot/dts/broadcom/bcm2710-rpi-2-b.dts      | 2 --
+ arch/arm64/boot/dts/broadcom/bcm2710-rpi-3-b-plus.dts | 2 --
+ arch/arm64/boot/dts/broadcom/bcm2710-rpi-3-b.dts      | 2 --
+ arch/arm64/boot/dts/broadcom/bcm2710-rpi-cm3.dts      | 2 --
+ arch/arm64/boot/dts/broadcom/bcm2711-rpi-4-b.dts      | 2 --
+ 6 files changed, 1 insertion(+), 15 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2710.dtsi
++++ b/arch/arm/boot/dts/bcm2710.dtsi
+@@ -5,11 +5,7 @@
+       compatible = "brcm,bcm2837", "brcm,bcm2836";
+       arm-pmu {
+-#ifdef RPI364
+-              compatible = "arm,armv8-pmuv3", "arm,cortex-a7-pmu";
+-#else
+-              compatible = "arm,cortex-a7-pmu";
+-#endif
++              compatible = "arm,cortex-a53-pmu", "arm,cortex-a7-pmu";
+       };
+       soc {
+--- a/arch/arm64/boot/dts/broadcom/bcm2710-rpi-2-b.dts
++++ b/arch/arm64/boot/dts/broadcom/bcm2710-rpi-2-b.dts
+@@ -1,3 +1 @@
+-#define RPI364
+-
+ #include "../../../../arm/boot/dts/bcm2710-rpi-2-b.dts"
+--- a/arch/arm64/boot/dts/broadcom/bcm2710-rpi-3-b-plus.dts
++++ b/arch/arm64/boot/dts/broadcom/bcm2710-rpi-3-b-plus.dts
+@@ -1,3 +1 @@
+-#define RPI364
+-
+ #include "../../../../arm/boot/dts/bcm2710-rpi-3-b-plus.dts"
+--- a/arch/arm64/boot/dts/broadcom/bcm2710-rpi-3-b.dts
++++ b/arch/arm64/boot/dts/broadcom/bcm2710-rpi-3-b.dts
+@@ -1,3 +1 @@
+-#define RPI364
+-
+ #include "../../../../arm/boot/dts/bcm2710-rpi-3-b.dts"
+--- a/arch/arm64/boot/dts/broadcom/bcm2710-rpi-cm3.dts
++++ b/arch/arm64/boot/dts/broadcom/bcm2710-rpi-cm3.dts
+@@ -1,3 +1 @@
+-#define RPI364
+-
+ #include "../../../../arm/boot/dts/bcm2710-rpi-cm3.dts"
+--- a/arch/arm64/boot/dts/broadcom/bcm2711-rpi-4-b.dts
++++ b/arch/arm64/boot/dts/broadcom/bcm2711-rpi-4-b.dts
+@@ -1,3 +1 @@
+-#define RPI364
+-
+ #include "../../../../arm/boot/dts/bcm2711-rpi-4-b.dts"
diff --git a/target/linux/bcm27xx/patches-5.4/950-0466-drm-vc4-fkms-Change-crtc_state-structure-name-to-avo.patch b/target/linux/bcm27xx/patches-5.4/950-0466-drm-vc4-fkms-Change-crtc_state-structure-name-to-avo.patch
deleted file mode 100644 (file)
index 0b48018..0000000
+++ /dev/null
@@ -1,79 +0,0 @@
-From c0b2ca6abdde60a111fd6d3257be78c7f44e16ff Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 26 Dec 2019 15:44:31 +0100
-Subject: [PATCH] drm/vc4: fkms: Change crtc_state structure name to
- avoid conflict
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 18 +++++++++---------
- 1 file changed, 9 insertions(+), 9 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -260,7 +260,7 @@ static inline struct vc4_crtc *to_vc4_cr
-       return container_of(crtc, struct vc4_crtc, base);
- }
--struct vc4_crtc_state {
-+struct fkms_crtc_state {
-       struct drm_crtc_state base;
-       struct {
-@@ -271,10 +271,10 @@ struct vc4_crtc_state {
-       } margins;
- };
--static inline struct vc4_crtc_state *
--to_vc4_crtc_state(struct drm_crtc_state *crtc_state)
-+static inline struct fkms_crtc_state *
-+to_fkms_crtc_state(struct drm_crtc_state *crtc_state)
- {
--      return (struct vc4_crtc_state *)crtc_state;
-+      return (struct fkms_crtc_state *)crtc_state;
- }
- struct vc4_fkms_encoder {
-@@ -410,7 +410,7 @@ static void vc4_fkms_crtc_get_margins(st
-                                     unsigned int *left, unsigned int *right,
-                                     unsigned int *top, unsigned int *bottom)
- {
--      struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(state);
-+      struct fkms_crtc_state *vc4_state = to_fkms_crtc_state(state);
-       struct drm_connector_state *conn_state;
-       struct drm_connector *conn;
-       int i;
-@@ -423,7 +423,7 @@ static void vc4_fkms_crtc_get_margins(st
-       /* We have to interate over all new connector states because
-        * vc4_fkms_crtc_get_margins() might be called before
-        * vc4_fkms_crtc_atomic_check() which means margins info in
--       * vc4_crtc_state might be outdated.
-+       * fkms_crtc_state might be outdated.
-        */
-       for_each_new_connector_in_state(state->state, conn, conn_state, i) {
-               if (conn_state->crtc != state->crtc)
-@@ -1068,7 +1068,7 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
- static int vc4_crtc_atomic_check(struct drm_crtc *crtc,
-                                struct drm_crtc_state *state)
- {
--      struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(state);
-+      struct fkms_crtc_state *vc4_state = to_fkms_crtc_state(state);
-       struct drm_connector *conn;
-       struct drm_connector_state *conn_state;
-       int i;
-@@ -1178,13 +1178,13 @@ static int vc4_page_flip(struct drm_crtc
- static struct drm_crtc_state *
- vc4_crtc_duplicate_state(struct drm_crtc *crtc)
- {
--      struct vc4_crtc_state *vc4_state, *old_vc4_state;
-+      struct fkms_crtc_state *vc4_state, *old_vc4_state;
-       vc4_state = kzalloc(sizeof(*vc4_state), GFP_KERNEL);
-       if (!vc4_state)
-               return NULL;
--      old_vc4_state = to_vc4_crtc_state(crtc->state);
-+      old_vc4_state = to_fkms_crtc_state(crtc->state);
-       vc4_state->margins = old_vc4_state->margins;
-       __drm_atomic_helper_crtc_duplicate_state(crtc, &vc4_state->base);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0467-drm-fourcc-Add-packed-10bit-YUV-4-2-0-format.patch b/target/linux/bcm27xx/patches-5.4/950-0467-drm-fourcc-Add-packed-10bit-YUV-4-2-0-format.patch
deleted file mode 100644 (file)
index ac4fe16..0000000
+++ /dev/null
@@ -1,55 +0,0 @@
-From 0d392a430d7dc84d8654972e9dbfa4d13009d3e8 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Fri, 24 Jan 2020 14:22:06 +0000
-Subject: [PATCH] drm/fourcc: Add packed 10bit YUV 4:2:0 format
-
-Adds a format that is 3 10bit YUV 4:2:0 samples packed into
-a 32bit work (with 2 spare bits).
-
-Supported on Broadcom BCM2711 chips.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/drm_fourcc.c  |  3 +++
- include/uapi/drm/drm_fourcc.h | 11 +++++++++++
- 2 files changed, 14 insertions(+)
-
---- a/drivers/gpu/drm/drm_fourcc.c
-+++ b/drivers/gpu/drm/drm_fourcc.c
-@@ -274,6 +274,9 @@ const struct drm_format_info *__drm_form
-               { .format = DRM_FORMAT_YUV420_10BIT,    .depth = 0,
-                 .num_planes = 1, .cpp = { 0, 0, 0 }, .hsub = 2, .vsub = 2,
-                 .is_yuv = true },
-+              { .format = DRM_FORMAT_P030,            .depth = 0,  .num_planes = 2,
-+                .char_per_block = { 4, 4, 0 }, .block_w = { 3, 0, 0 }, .block_h = { 1, 0, 0 },
-+                .hsub = 2, .vsub = 2, .is_yuv = true},
-       };
-       unsigned int i;
---- a/include/uapi/drm/drm_fourcc.h
-+++ b/include/uapi/drm/drm_fourcc.h
-@@ -266,6 +266,13 @@ extern "C" {
- #define DRM_FORMAT_P016               fourcc_code('P', '0', '1', '6') /* 2x2 subsampled Cr:Cb plane 16 bits per channel */
- /*
-+ * 2 plane YCbCr MSB aligned, 3 pixels packed into 4 bytes.
-+ * index 0 = Y plane, [31:0] x:Y2:Y1:Y0 2:10:10:10 little endian
-+ * index 1 = Cr:Cb plane, [63:0] x:Cr2:Cb2:Cr1:x:Cb1:Cr0:Cb0 [2:10:10:10:2:10:10:10] little endian
-+ */
-+#define DRM_FORMAT_P030               fourcc_code('P', '0', '3', '0') /* 2x2 subsampled Cr:Cb plane 10 bits per channel packed */
-+
-+/*
-  * 3 plane YCbCr
-  * index 0: Y plane, [7:0] Y
-  * index 1: Cb plane, [7:0] Cb
-@@ -593,6 +600,10 @@ extern "C" {
-  * and UV.  Some SAND-using hardware stores UV in a separate tiled
-  * image from Y to reduce the column height, which is not supported
-  * with these modifiers.
-+ *
-+ * The DRM_FORMAT_MOD_BROADCOM_SAND128_COL_HEIGHT modifier is also
-+ * supported for DRM_FORMAT_P030 where the columns remain as 128 bytes
-+ * wide, but as this is a 10 bpp format that translates to 96 pixels.
-  */
- #define DRM_FORMAT_MOD_BROADCOM_SAND32_COL_HEIGHT(v) \
diff --git a/target/linux/bcm27xx/patches-5.4/950-0467-net-bcmgenet-Clear-ID_MODE_DIS-in-EXT_RGMII_OOB_CTRL.patch b/target/linux/bcm27xx/patches-5.4/950-0467-net-bcmgenet-Clear-ID_MODE_DIS-in-EXT_RGMII_OOB_CTRL.patch
new file mode 100644 (file)
index 0000000..9d80904
--- /dev/null
@@ -0,0 +1,32 @@
+From cff8c5c2a95a4afd65bfa3198258d03bc790cddb Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Tue, 25 Feb 2020 14:11:59 +0100
+Subject: [PATCH] net: bcmgenet: Clear ID_MODE_DIS in
+ EXT_RGMII_OOB_CTRL when not needed
+
+commit 402482a6a78e5c61d8a2ec6311fc5b4aca392cd6 upstream.
+
+Outdated Raspberry Pi 4 firmware might configure the external PHY as
+rgmii although the kernel currently sets it as rgmii-rxid. This makes
+connections unreliable as ID_MODE_DIS is left enabled. To avoid this,
+explicitly clear that bit whenever we don't need it.
+
+Fixes: da38802211cc ("net: bcmgenet: Add RGMII_RXID support")
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Acked-by: Florian Fainelli <f.fainelli@gmail.com>
+Signed-off-by: David S. Miller <davem@davemloft.net>
+Signed-off-by: Matthias Reichl <hias@horus.com>
+---
+ drivers/net/ethernet/broadcom/genet/bcmmii.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/net/ethernet/broadcom/genet/bcmmii.c
++++ b/drivers/net/ethernet/broadcom/genet/bcmmii.c
+@@ -292,6 +292,7 @@ int bcmgenet_mii_config(struct net_devic
+        */
+       if (priv->ext_phy) {
+               reg = bcmgenet_ext_readl(priv, EXT_RGMII_OOB_CTRL);
++              reg &= ~ID_MODE_DIS;
+               reg |= id_mode_dis;
+               if (GENET_IS_V1(priv) || GENET_IS_V2(priv) || GENET_IS_V3(priv))
+                       reg |= RGMII_MODE_EN_V123;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0468-drm-modes-parse_cmdline-Fix-possible-reference-past-.patch b/target/linux/bcm27xx/patches-5.4/950-0468-drm-modes-parse_cmdline-Fix-possible-reference-past-.patch
new file mode 100644 (file)
index 0000000..9b514c3
--- /dev/null
@@ -0,0 +1,141 @@
+From fade8b3cf37785297b4f8a9bbd13ab107208af5a Mon Sep 17 00:00:00 2001
+From: Hans de Goede <hdegoede@redhat.com>
+Date: Mon, 18 Nov 2019 16:51:22 +0100
+Subject: [PATCH] drm/modes: parse_cmdline: Fix possible reference past
+ end of string
+
+Commit 8582e244e5fe72d2e9ace186fa8f3ed3bb4122e1 upstream.
+
+Before this commit, if the last option of a video=... option is for
+example "rotate" without a "=<value>" after it then delim will point to
+the terminating 0 of the string, and value which is sets to <delim + 1>
+will point one position past the end of the string.
+
+This commit fixes this by enforcing that the contents of delim equals '='
+as it should be for options which take a value, this check is done in a
+new drm_mode_parse_cmdline_int helper function which factors out the
+common integer parsing code for all the options which take an int.
+
+Acked-by: Maxime Ripard <mripard@kernel.org>
+Signed-off-by: Hans de Goede <hdegoede@redhat.com>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-1-hdegoede@redhat.com
+---
+ drivers/gpu/drm/drm_modes.c | 68 ++++++++++++++++---------------------
+ 1 file changed, 30 insertions(+), 38 deletions(-)
+
+--- a/drivers/gpu/drm/drm_modes.c
++++ b/drivers/gpu/drm/drm_modes.c
+@@ -1568,11 +1568,34 @@ static int drm_mode_parse_cmdline_res_mo
+       return 0;
+ }
++static int drm_mode_parse_cmdline_int(const char *delim, unsigned int *int_ret)
++{
++      const char *value;
++      char *endp;
++
++      /*
++       * delim must point to the '=', otherwise it is a syntax error and
++       * if delim points to the terminating zero, then delim + 1 wil point
++       * past the end of the string.
++       */
++      if (*delim != '=')
++              return -EINVAL;
++
++      value = delim + 1;
++      *int_ret = simple_strtol(value, &endp, 10);
++
++      /* Make sure we have parsed something */
++      if (endp == value)
++              return -EINVAL;
++
++      return 0;
++}
++
+ static int drm_mode_parse_cmdline_options(char *str, size_t len,
+                                         const struct drm_connector *connector,
+                                         struct drm_cmdline_mode *mode)
+ {
+-      unsigned int rotation = 0;
++      unsigned int deg, margin, rotation = 0;
+       char *sep = str;
+       while ((sep = strchr(sep, ','))) {
+@@ -1588,13 +1611,7 @@ static int drm_mode_parse_cmdline_option
+               }
+               if (!strncmp(option, "rotate", delim - option)) {
+-                      const char *value = delim + 1;
+-                      unsigned int deg;
+-
+-                      deg = simple_strtol(value, &sep, 10);
+-
+-                      /* Make sure we have parsed something */
+-                      if (sep == value)
++                      if (drm_mode_parse_cmdline_int(delim, &deg))
+                               return -EINVAL;
+                       switch (deg) {
+@@ -1619,57 +1636,32 @@ static int drm_mode_parse_cmdline_option
+                       }
+               } else if (!strncmp(option, "reflect_x", delim - option)) {
+                       rotation |= DRM_MODE_REFLECT_X;
+-                      sep = delim;
+               } else if (!strncmp(option, "reflect_y", delim - option)) {
+                       rotation |= DRM_MODE_REFLECT_Y;
+-                      sep = delim;
+               } else if (!strncmp(option, "margin_right", delim - option)) {
+-                      const char *value = delim + 1;
+-                      unsigned int margin;
+-
+-                      margin = simple_strtol(value, &sep, 10);
+-
+-                      /* Make sure we have parsed something */
+-                      if (sep == value)
++                      if (drm_mode_parse_cmdline_int(delim, &margin))
+                               return -EINVAL;
+                       mode->tv_margins.right = margin;
+               } else if (!strncmp(option, "margin_left", delim - option)) {
+-                      const char *value = delim + 1;
+-                      unsigned int margin;
+-
+-                      margin = simple_strtol(value, &sep, 10);
+-
+-                      /* Make sure we have parsed something */
+-                      if (sep == value)
++                      if (drm_mode_parse_cmdline_int(delim, &margin))
+                               return -EINVAL;
+                       mode->tv_margins.left = margin;
+               } else if (!strncmp(option, "margin_top", delim - option)) {
+-                      const char *value = delim + 1;
+-                      unsigned int margin;
+-
+-                      margin = simple_strtol(value, &sep, 10);
+-
+-                      /* Make sure we have parsed something */
+-                      if (sep == value)
++                      if (drm_mode_parse_cmdline_int(delim, &margin))
+                               return -EINVAL;
+                       mode->tv_margins.top = margin;
+               } else if (!strncmp(option, "margin_bottom", delim - option)) {
+-                      const char *value = delim + 1;
+-                      unsigned int margin;
+-
+-                      margin = simple_strtol(value, &sep, 10);
+-
+-                      /* Make sure we have parsed something */
+-                      if (sep == value)
++                      if (drm_mode_parse_cmdline_int(delim, &margin))
+                               return -EINVAL;
+                       mode->tv_margins.bottom = margin;
+               } else {
+                       return -EINVAL;
+               }
++              sep = delim;
+       }
+       if (!(rotation & DRM_MODE_ROTATE_MASK))
diff --git a/target/linux/bcm27xx/patches-5.4/950-0468-drm-vc4-Add-DRM_FORMAT_P030-support-to-firmware-kms.patch b/target/linux/bcm27xx/patches-5.4/950-0468-drm-vc4-Add-DRM_FORMAT_P030-support-to-firmware-kms.patch
deleted file mode 100644 (file)
index f6264ff..0000000
+++ /dev/null
@@ -1,71 +0,0 @@
-From 531d3d5c89825bade52f4257d264bbb06775a6fa Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Fri, 24 Jan 2020 14:24:33 +0000
-Subject: [PATCH] drm/vc4: Add DRM_FORMAT_P030 support to firmware-kms
-
-Adds support for this format which is 3 10bit samples packed into
-4 bytes, as used by the HEVC codec block.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 21 ++++++++++++++++++++-
- drivers/gpu/drm/vc4/vc_image_types.h   |  4 ++++
- 2 files changed, 24 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -216,6 +216,10 @@ static const struct vc_image_format {
-               .vc_image = VC_IMAGE_YUV420SP,
-               .is_vu = 1,
-       },
-+      {
-+              .drm = DRM_FORMAT_P030,
-+              .vc_image = VC_IMAGE_YUV10COL,
-+      },
- };
- static const struct vc_image_format *vc4_get_vc_image_fmt(u32 drm_format)
-@@ -622,7 +626,15 @@ static int vc4_plane_to_mb(struct drm_pl
-               }
-               break;
-       case DRM_FORMAT_MOD_BROADCOM_SAND128:
--              mb->plane.vc_image_type = VC_IMAGE_YUV_UV;
-+              switch (mb->plane.vc_image_type) {
-+              case VC_IMAGE_YUV420SP:
-+                      mb->plane.vc_image_type = VC_IMAGE_YUV_UV;
-+                      break;
-+              /* VC_IMAGE_YUV10COL could be included in here, but it is only
-+               * valid as a SAND128 format, so the table at the top will have
-+               * already set the correct format.
-+               */
-+              }
-               /* Note that the column pitch is passed across in lines, not
-                * bytes.
-                */
-@@ -707,6 +719,13 @@ static bool vc4_fkms_format_mod_supporte
-               case DRM_FORMAT_MOD_BROADCOM_SAND128:
-                       return true;
-               default:
-+                      return false;
-+              }
-+      case DRM_FORMAT_P030:
-+              switch (fourcc_mod_broadcom_mod(modifier)) {
-+              case DRM_FORMAT_MOD_BROADCOM_SAND128:
-+                      return true;
-+              default:
-                       return false;
-               }
-       case DRM_FORMAT_NV21:
---- a/drivers/gpu/drm/vc4/vc_image_types.h
-+++ b/drivers/gpu/drm/vc4/vc_image_types.h
-@@ -139,6 +139,10 @@ enum {
-       VC_IMAGE_YUV_UV_16,
-       /* YUV4:2:0 with U,V in side-by-side format */
-       VC_IMAGE_YUV420_S,
-+      /* 10-bit YUV 420 column image format */
-+      VC_IMAGE_YUV10COL,
-+      /* 32-bpp, 10-bit R/G/B, 2-bit Alpha */
-+      VC_IMAGE_RGBA1010102,
-       VC_IMAGE_MAX,     /* bounds for error checking */
-       VC_IMAGE_FORCE_ENUM_16BIT = 0xffff,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0469-drm-modes-parse_cmdline-Make-various-char-pointers-c.patch b/target/linux/bcm27xx/patches-5.4/950-0469-drm-modes-parse_cmdline-Make-various-char-pointers-c.patch
new file mode 100644 (file)
index 0000000..6abe7be
--- /dev/null
@@ -0,0 +1,50 @@
+From 250363a413cd08e723789e1b8821608ff5eebfe6 Mon Sep 17 00:00:00 2001
+From: Hans de Goede <hdegoede@redhat.com>
+Date: Mon, 18 Nov 2019 16:51:23 +0100
+Subject: [PATCH] drm/modes: parse_cmdline: Make various char pointers
+ const
+
+Commit 83e14ea3a64f00897cc31974d3ae4e27e5a7405b upstream.
+
+We are not supposed to modify the passed in string, make char pointers
+used in drm_mode_parse_cmdline_options() const char * where possible.
+
+Acked-by: Maxime Ripard <mripard@kernel.org>
+Signed-off-by: Hans de Goede <hdegoede@redhat.com>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-2-hdegoede@redhat.com
+---
+ drivers/gpu/drm/drm_modes.c | 10 +++++-----
+ 1 file changed, 5 insertions(+), 5 deletions(-)
+
+--- a/drivers/gpu/drm/drm_modes.c
++++ b/drivers/gpu/drm/drm_modes.c
+@@ -1591,15 +1591,15 @@ static int drm_mode_parse_cmdline_int(co
+       return 0;
+ }
+-static int drm_mode_parse_cmdline_options(char *str, size_t len,
++static int drm_mode_parse_cmdline_options(const char *str, size_t len,
+                                         const struct drm_connector *connector,
+                                         struct drm_cmdline_mode *mode)
+ {
+       unsigned int deg, margin, rotation = 0;
+-      char *sep = str;
++      const char *sep = str;
+       while ((sep = strchr(sep, ','))) {
+-              char *delim, *option;
++              const char *delim, *option;
+               option = sep + 1;
+               delim = strchr(option, '=');
+@@ -1725,8 +1725,8 @@ bool drm_mode_parse_command_line_for_con
+       bool named_mode = false, parse_extras = false;
+       unsigned int bpp_off = 0, refresh_off = 0, options_off = 0;
+       unsigned int mode_end = 0;
+-      char *bpp_ptr = NULL, *refresh_ptr = NULL, *extra_ptr = NULL;
+-      char *options_ptr = NULL;
++      const char *bpp_ptr = NULL, *refresh_ptr = NULL, *extra_ptr = NULL;
++      const char *options_ptr = NULL;
+       char *bpp_end_ptr = NULL, *refresh_end_ptr = NULL;
+       int ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0469-gpio-ir-overlay-add-parameter-to-configure-signal-po.patch b/target/linux/bcm27xx/patches-5.4/950-0469-gpio-ir-overlay-add-parameter-to-configure-signal-po.patch
deleted file mode 100644 (file)
index cdd14f7..0000000
+++ /dev/null
@@ -1,45 +0,0 @@
-From e253d03936265dc4ab8ae9ae89d2a885e80a45a6 Mon Sep 17 00:00:00 2001
-From: Matthias Reichl <hias@horus.com>
-Date: Fri, 6 Mar 2020 11:08:10 +0100
-Subject: [PATCH] gpio-ir-overlay: add parameter to configure signal
- polarity (#3490)
-
-Standard IR receivers use inverted / active-low signalling
-and the gpio-ir overlay configures the GPIO appropriately
-as GPIO_ACTIVE_LOW (1).
-
-In order to support (rather rare) non-inverted / active-high
-signalling the GPIO needs to be configured as GPIO_ACTIVE_HIGH (0).
-
-Add an "invert" parameter to override this like in the gpio-ir-tx
-overlay.
-
-Signed-off-by: Matthias Reichl <hias@horus.com>
----
- arch/arm/boot/dts/overlays/README              | 4 ++++
- arch/arm/boot/dts/overlays/gpio-ir-overlay.dts | 1 +
- 2 files changed, 5 insertions(+)
-
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -754,6 +754,10 @@ Params: gpio_pin                Input pi
-         gpio_pull               Desired pull-up/down state (off, down, up)
-                                 Default is "up".
-+        invert                  "1" = invert the input (active-low signalling).
-+                                "0" = non-inverted input (active-high
-+                                signalling). Default is "1".
-+
-         rc-map-name             Default rc keymap (can also be changed by
-                                 ir-keytable), defaults to "rc-rc6-mce"
---- a/arch/arm/boot/dts/overlays/gpio-ir-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/gpio-ir-overlay.dts
-@@ -42,6 +42,7 @@
-                                 <&gpio_ir_pins>,"brcm,pins:0",
-                                 <&gpio_ir_pins>,"reg:0";
-                 gpio_pull = <&gpio_ir_pins>,"brcm,pull:0";              // pull-up/down state
-+                invert = <&gpio_ir>,"gpios:8";                          // 0 = active high input
-                 rc-map-name = <&gpio_ir>,"linux,rc-map-name";           // default rc map
-         };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0470-Add-support-for-merus-amp-soundcard-and-ma120x0p-cod.patch b/target/linux/bcm27xx/patches-5.4/950-0470-Add-support-for-merus-amp-soundcard-and-ma120x0p-cod.patch
deleted file mode 100644 (file)
index 8e66bcc..0000000
+++ /dev/null
@@ -1,1589 +0,0 @@
-From 76e0edf9676388c58bb5f0d7dda8eb8029926c6d Mon Sep 17 00:00:00 2001
-From: AMuszkat <ariel.muszkat@gmail.com>
-Date: Mon, 24 Feb 2020 22:56:59 +0100
-Subject: [PATCH] Add support for merus-amp soundcard and ma120x0p
- codec
-
-correct checkpatch warnings and errors
-
-Signed-off-by: AMuszkat <ariel.muszkat@gmail.com>
----
- arch/arm/boot/dts/overlays/Makefile           |    1 +
- arch/arm/boot/dts/overlays/README             |    6 +
- .../boot/dts/overlays/merus-amp-overlay.dts   |   60 +
- sound/soc/bcm/rpi-simple-soundcard.c          |   28 +
- sound/soc/codecs/Kconfig                      |    8 +
- sound/soc/codecs/Makefile                     |    2 +
- sound/soc/codecs/ma120x0p.c                   | 1384 +++++++++++++++++
- 7 files changed, 1489 insertions(+)
- create mode 100644 arch/arm/boot/dts/overlays/merus-amp-overlay.dts
- create mode 100644 sound/soc/codecs/ma120x0p.c
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -103,6 +103,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       mcp3202.dtbo \
-       mcp342x.dtbo \
-       media-center.dtbo \
-+      merus-amp.dtbo \
-       midi-uart0.dtbo \
-       midi-uart1.dtbo \
-       miniuart-bt.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -1662,6 +1662,12 @@ Params: speed                   Display
-                                 (default "off")
-+Name:   merus-amp
-+Info:   Configures the merus-amp audio card
-+Load:   dtoverlay=merus-amp
-+Params: <None>
-+
-+
- Name:   midi-uart0
- Info:   Configures UART0 (ttyAMA0) so that a requested 38.4kbaud actually gets
-         31.25kbaud, the frequency required for MIDI
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/merus-amp-overlay.dts
-@@ -0,0 +1,60 @@
-+// SPDX-License-Identifier: GPL-2.0-only
-+// Definitions for Infineon Merus-Amp
-+/dts-v1/;
-+/plugin/;
-+#include <dt-bindings/pinctrl/bcm2835.h>
-+#include <dt-bindings/gpio/gpio.h>
-+
-+
-+/ {
-+      compatible = "brcm,bcm2835";
-+
-+      fragment@0 {
-+              target = <&i2s>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@1 {
-+              target = <&gpio>;
-+              __overlay__ {
-+                      merus_amp_pins: merus_amp_pins {
-+                              brcm,pins = <23>;
-+                              brcm,function = <0>; /* in */
-+                              brcm,pull = <2>; /* up */
-+                      };
-+              };
-+      };
-+
-+      fragment@2 {
-+              target = <&i2c1>;
-+              __overlay__ {
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "okay";
-+
-+                      merus_amp: ma120x0p@20 {
-+                              #sound-dai-cells = <0>;
-+                              compatible = "ma,ma120x0p";
-+                              reg = <0x20>;
-+                              status = "okay";
-+                              pinctrl-names = "default";
-+                              pinctrl-0 = <&merus_amp_pins>;
-+                              enable_gp-gpios = <&gpio 14 GPIO_ACTIVE_HIGH>;
-+                              mute_gp-gpios = <&gpio 15 GPIO_ACTIVE_HIGH>;
-+                              booster_gp-gpios = <&gpio 17 GPIO_ACTIVE_HIGH>;
-+                              error_gp-gpios = <&gpio 23 GPIO_ACTIVE_HIGH>;
-+                      };
-+              };
-+      };
-+
-+      fragment@3 {
-+              target = <&sound>;
-+              __overlay__ {
-+                      compatible = "merus,merus-amp";
-+                      i2s-controller = <&i2s>;
-+                      status = "okay";
-+              };
-+      };
-+};
---- a/sound/soc/bcm/rpi-simple-soundcard.c
-+++ b/sound/soc/bcm/rpi-simple-soundcard.c
-@@ -16,6 +16,10 @@
-  * adau1977-adc.c
-  * by Andrey Grodzovsky <andrey2805@gmail.com>
-  *
-+ * merus-amp.c
-+ * by Ariel Muszkat <ariel.muszkat@gmail.com>
-+ *            Jorgen Kragh Jakobsen <jorgen.kraghjakobsen@infineon.com>
-+ *
-  * This program is free software; you can redistribute it and/or
-  * modify it under the terms of the GNU General Public License
-  * version 2 as published by the Free Software Foundation.
-@@ -229,6 +233,28 @@ static struct snd_rpi_simple_drvdata drv
-       .fixed_bclk_ratio = 64,
- };
-+SND_SOC_DAILINK_DEFS(merus_amp,
-+      DAILINK_COMP_ARRAY(COMP_EMPTY()),
-+      DAILINK_COMP_ARRAY(COMP_CODEC("ma120x0p-amp", "ma120x0p.1-0020")),
-+      DAILINK_COMP_ARRAY(COMP_EMPTY()));
-+
-+static struct snd_soc_dai_link snd_merus_amp_dai[] = {
-+      {
-+              .name           = "MerusAmp",
-+              .stream_name    = "Merus Audio Amp",
-+              .dai_fmt        = SND_SOC_DAIFMT_I2S |
-+                                      SND_SOC_DAIFMT_NB_NF |
-+                                      SND_SOC_DAIFMT_CBS_CFS,
-+              SND_SOC_DAILINK_REG(merus_amp),
-+      },
-+};
-+
-+static struct snd_rpi_simple_drvdata drvdata_merus_amp = {
-+      .card_name        = "snd_rpi_merus_amp",
-+      .dai              = snd_merus_amp_dai,
-+      .fixed_bclk_ratio = 64,
-+};
-+
- static const struct of_device_id snd_rpi_simple_of_match[] = {
-       { .compatible = "adi,adau1977-adc",
-               .data = (void *) &drvdata_adau1977 },
-@@ -241,6 +267,8 @@ static const struct of_device_id snd_rpi
-       { .compatible = "hifiberry,hifiberry-dac",
-               .data = (void *) &drvdata_hifiberry_dac },
-       { .compatible = "rpi,rpi-dac", &drvdata_rpi_dac},
-+      { .compatible = "merus,merus-amp",
-+              .data = (void *) &drvdata_merus_amp },
-       {},
- };
---- a/sound/soc/codecs/Kconfig
-+++ b/sound/soc/codecs/Kconfig
-@@ -103,6 +103,7 @@ config SND_SOC_ALL_CODECS
-       select SND_SOC_LM4857 if I2C
-       select SND_SOC_LM49453 if I2C
-       select SND_SOC_LOCHNAGAR_SC if MFD_LOCHNAGAR
-+      select SND_SOC_MA120X0P if I2C
-       select SND_SOC_MAX98088 if I2C
-       select SND_SOC_MAX98090 if I2C
-       select SND_SOC_MAX98095 if I2C
-@@ -732,6 +733,13 @@ config SND_SOC_LOCHNAGAR_SC
-         This driver support the sound card functionality of the Cirrus
-         Logic Lochnagar audio development board.
-+config SND_SOC_MA120X0P
-+      tristate "Infineon Merus(TM) MA120X0P Multilevel Class-D Audio amplifiers"
-+      depends on I2C
-+      help
-+              Enable support for Infineon MA120X0P Multilevel Class-D audio power
-+              amplifiers.
-+
- config SND_SOC_MADERA
-       tristate
-       default y if SND_SOC_CS47L15=y
---- a/sound/soc/codecs/Makefile
-+++ b/sound/soc/codecs/Makefile
-@@ -99,6 +99,7 @@ snd-soc-l3-objs := l3.o
- snd-soc-lm4857-objs := lm4857.o
- snd-soc-lm49453-objs := lm49453.o
- snd-soc-lochnagar-sc-objs := lochnagar-sc.o
-+snd-soc-ma120x0p-objs := ma120x0p.o
- snd-soc-madera-objs := madera.o
- snd-soc-max9759-objs := max9759.o
- snd-soc-max9768-objs := max9768.o
-@@ -386,6 +387,7 @@ obj-$(CONFIG_SND_SOC_L3)   += snd-soc-l3.o
- obj-$(CONFIG_SND_SOC_LM4857)  += snd-soc-lm4857.o
- obj-$(CONFIG_SND_SOC_LM49453)   += snd-soc-lm49453.o
- obj-$(CONFIG_SND_SOC_LOCHNAGAR_SC)    += snd-soc-lochnagar-sc.o
-+obj-$(CONFIG_SND_SOC_MA120X0P)   += snd-soc-ma120x0p.o
- obj-$(CONFIG_SND_SOC_MADERA)  += snd-soc-madera.o
- obj-$(CONFIG_SND_SOC_MAX9759) += snd-soc-max9759.o
- obj-$(CONFIG_SND_SOC_MAX9768) += snd-soc-max9768.o
---- /dev/null
-+++ b/sound/soc/codecs/ma120x0p.c
-@@ -0,0 +1,1384 @@
-+// SPDX-License-Identifier: GPL-2.0-or-later
-+/*
-+ * ASoC Driver for Infineon Merus(TM) ma120x0p multi-level class-D amplifier
-+ *
-+ * Authors:   Ariel Muszkat <ariel.muszkat@gmail.com>
-+ * Jorgen Kragh Jakobsen <jorgen.kraghjakobsen@infineon.com>
-+ *
-+ * Copyright (C) 2019 Infineon Technologies AG
-+ *
-+ */
-+#include <linux/module.h>
-+#include <linux/moduleparam.h>
-+#include <linux/init.h>
-+#include <linux/delay.h>
-+#include <linux/pm_runtime.h>
-+#include <linux/i2c.h>
-+#include <linux/of_device.h>
-+#include <linux/spi/spi.h>
-+#include <linux/regmap.h>
-+#include <linux/regulator/consumer.h>
-+#include <linux/slab.h>
-+#include <linux/gpio/consumer.h>
-+#include <linux/gpio.h>
-+#include <sound/core.h>
-+#include <sound/pcm.h>
-+#include <sound/pcm_params.h>
-+#include <sound/soc.h>
-+#include <sound/soc-dapm.h>
-+#include <sound/initval.h>
-+#include <sound/tlv.h>
-+#include <linux/interrupt.h>
-+
-+#include <linux/kernel.h>
-+#include <linux/string.h>
-+#include <linux/fs.h>
-+#include <linux/uaccess.h>
-+
-+#ifndef _MA120X0P_
-+#define _MA120X0P_
-+//------------------------------------------------------------------manualPM---
-+// Select Manual PowerMode control
-+#define ma_manualpm__a 0
-+#define ma_manualpm__len 1
-+#define ma_manualpm__mask 0x40
-+#define ma_manualpm__shift 0x06
-+#define ma_manualpm__reset 0x00
-+//--------------------------------------------------------------------pm_man---
-+// manual selected power mode
-+#define ma_pm_man__a 0
-+#define ma_pm_man__len 2
-+#define ma_pm_man__mask 0x30
-+#define ma_pm_man__shift 0x04
-+#define ma_pm_man__reset 0x03
-+//------------------------------------------ ----------------------mthr_1to2---
-+// mod. index threshold value for pm1=>pm2 change.
-+#define ma_mthr_1to2__a 1
-+#define ma_mthr_1to2__len 8
-+#define ma_mthr_1to2__mask 0xff
-+#define ma_mthr_1to2__shift 0x00
-+#define ma_mthr_1to2__reset 0x3c
-+//-----------------------------------------------------------------mthr_2to1---
-+// mod. index threshold value for pm2=>pm1 change.
-+#define ma_mthr_2to1__a 2
-+#define ma_mthr_2to1__len 8
-+#define ma_mthr_2to1__mask 0xff
-+#define ma_mthr_2to1__shift 0x00
-+#define ma_mthr_2to1__reset 0x32
-+//-----------------------------------------------------------------mthr_2to3---
-+// mod. index threshold value for pm2=>pm3 change.
-+#define ma_mthr_2to3__a 3
-+#define ma_mthr_2to3__len 8
-+#define ma_mthr_2to3__mask 0xff
-+#define ma_mthr_2to3__shift 0x00
-+#define ma_mthr_2to3__reset 0x5a
-+//-----------------------------------------------------------------mthr_3to2---
-+// mod. index threshold value for pm3=>pm2 change.
-+#define ma_mthr_3to2__a 4
-+#define ma_mthr_3to2__len 8
-+#define ma_mthr_3to2__mask 0xff
-+#define ma_mthr_3to2__shift 0x00
-+#define ma_mthr_3to2__reset 0x50
-+//-------------------------------------------------------------pwmclkdiv_nom---
-+// pwm default clock divider value
-+#define ma_pwmclkdiv_nom__a 8
-+#define ma_pwmclkdiv_nom__len 8
-+#define ma_pwmclkdiv_nom__mask 0xff
-+#define ma_pwmclkdiv_nom__shift 0x00
-+#define ma_pwmclkdiv_nom__reset 0x26
-+//--------- ----------------------------------------------------ocp_latch_en---
-+// high to use permanently latching level-2 ocp
-+#define ma_ocp_latch_en__a 10
-+#define ma_ocp_latch_en__len 1
-+#define ma_ocp_latch_en__mask 0x02
-+#define ma_ocp_latch_en__shift 0x01
-+#define ma_ocp_latch_en__reset 0x00
-+//---------------------------------------------------------------lf_clamp_en---
-+// high (default) to enable lf int2+3 clamping on clip
-+#define ma_lf_clamp_en__a 10
-+#define ma_lf_clamp_en__len 1
-+#define ma_lf_clamp_en__mask 0x80
-+#define ma_lf_clamp_en__shift 0x07
-+#define ma_lf_clamp_en__reset 0x00
-+//-------------------------------------------------------pmcfg_btl_b.modtype---
-+//
-+#define ma_pmcfg_btl_b__modtype__a 18
-+#define ma_pmcfg_btl_b__modtype__len 2
-+#define ma_pmcfg_btl_b__modtype__mask 0x18
-+#define ma_pmcfg_btl_b__modtype__shift 0x03
-+#define ma_pmcfg_btl_b__modtype__reset 0x02
-+//-------------------------------------------------------pmcfg_btl_b.freqdiv---
-+#define ma_pmcfg_btl_b__freqdiv__a 18
-+#define ma_pmcfg_btl_b__freqdiv__len 2
-+#define ma_pmcfg_btl_b__freqdiv__mask 0x06
-+#define ma_pmcfg_btl_b__freqdiv__shift 0x01
-+#define ma_pmcfg_btl_b__freqdiv__reset 0x01
-+//----------------------------------------------------pmcfg_btl_b.lf_gain_ol---
-+//
-+#define ma_pmcfg_btl_b__lf_gain_ol__a 18
-+#define ma_pmcfg_btl_b__lf_gain_ol__len 1
-+#define ma_pmcfg_btl_b__lf_gain_ol__mask 0x01
-+#define ma_pmcfg_btl_b__lf_gain_ol__shift 0x00
-+#define ma_pmcfg_btl_b__lf_gain_ol__reset 0x01
-+//-------------------------------------------------------pmcfg_btl_c.freqdiv---
-+//
-+#define ma_pmcfg_btl_c__freqdiv__a 19
-+#define ma_pmcfg_btl_c__freqdiv__len 2
-+#define ma_pmcfg_btl_c__freqdiv__mask 0x06
-+#define ma_pmcfg_btl_c__freqdiv__shift 0x01
-+#define ma_pmcfg_btl_c__freqdiv__reset 0x01
-+//-------------------------------------------------------pmcfg_btl_c.modtype---
-+//
-+#define ma_pmcfg_btl_c__modtype__a 19
-+#define ma_pmcfg_btl_c__modtype__len 2
-+#define ma_pmcfg_btl_c__modtype__mask 0x18
-+#define ma_pmcfg_btl_c__modtype__shift 0x03
-+#define ma_pmcfg_btl_c__modtype__reset 0x01
-+//----------------------------------------------------pmcfg_btl_c.lf_gain_ol---
-+//
-+#define ma_pmcfg_btl_c__lf_gain_ol__a 19
-+#define ma_pmcfg_btl_c__lf_gain_ol__len 1
-+#define ma_pmcfg_btl_c__lf_gain_ol__mask 0x01
-+#define ma_pmcfg_btl_c__lf_gain_ol__shift 0x00
-+#define ma_pmcfg_btl_c__lf_gain_ol__reset 0x00
-+//-------------------------------------------------------pmcfg_btl_d.modtype---
-+//
-+#define ma_pmcfg_btl_d__modtype__a 20
-+#define ma_pmcfg_btl_d__modtype__len 2
-+#define ma_pmcfg_btl_d__modtype__mask 0x18
-+#define ma_pmcfg_btl_d__modtype__shift 0x03
-+#define ma_pmcfg_btl_d__modtype__reset 0x02
-+//-------------------------------------------------------pmcfg_btl_d.freqdiv---
-+//
-+#define ma_pmcfg_btl_d__freqdiv__a 20
-+#define ma_pmcfg_btl_d__freqdiv__len 2
-+#define ma_pmcfg_btl_d__freqdiv__mask 0x06
-+#define ma_pmcfg_btl_d__freqdiv__shift 0x01
-+#define ma_pmcfg_btl_d__freqdiv__reset 0x02
-+//----------------------------------------------------pmcfg_btl_d.lf_gain_ol---
-+//
-+#define ma_pmcfg_btl_d__lf_gain_ol__a 20
-+#define ma_pmcfg_btl_d__lf_gain_ol__len 1
-+#define ma_pmcfg_btl_d__lf_gain_ol__mask 0x01
-+#define ma_pmcfg_btl_d__lf_gain_ol__shift 0x00
-+#define ma_pmcfg_btl_d__lf_gain_ol__reset 0x00
-+//------------ -------------------------------------------pmcfg_se_a.modtype---
-+//
-+#define ma_pmcfg_se_a__modtype__a 21
-+#define ma_pmcfg_se_a__modtype__len 2
-+#define ma_pmcfg_se_a__modtype__mask 0x18
-+#define ma_pmcfg_se_a__modtype__shift 0x03
-+#define ma_pmcfg_se_a__modtype__reset 0x01
-+//--------------------------------------------------------pmcfg_se_a.freqdiv---
-+//
-+#define ma_pmcfg_se_a__freqdiv__a 21
-+#define ma_pmcfg_se_a__freqdiv__len 2
-+#define ma_pmcfg_se_a__freqdiv__mask 0x06
-+#define ma_pmcfg_se_a__freqdiv__shift 0x01
-+#define ma_pmcfg_se_a__freqdiv__reset 0x00
-+//-----------------------------------------------------pmcfg_se_a.lf_gain_ol---
-+//
-+#define ma_pmcfg_se_a__lf_gain_ol__a 21
-+#define ma_pmcfg_se_a__lf_gain_ol__len 1
-+#define ma_pmcfg_se_a__lf_gain_ol__mask 0x01
-+#define ma_pmcfg_se_a__lf_gain_ol__shift 0x00
-+#define ma_pmcfg_se_a__lf_gain_ol__reset 0x01
-+//-----------------------------------------------------pmcfg_se_b.lf_gain_ol---
-+//
-+#define ma_pmcfg_se_b__lf_gain_ol__a 22
-+#define ma_pmcfg_se_b__lf_gain_ol__len 1
-+#define ma_pmcfg_se_b__lf_gain_ol__mask 0x01
-+#define ma_pmcfg_se_b__lf_gain_ol__shift 0x00
-+#define ma_pmcfg_se_b__lf_gain_ol__reset 0x00
-+//--------------------------------------------------------pmcfg_se_b.freqdiv---
-+//
-+#define ma_pmcfg_se_b__freqdiv__a 22
-+#define ma_pmcfg_se_b__freqdiv__len 2
-+#define ma_pmcfg_se_b__freqdiv__mask 0x06
-+#define ma_pmcfg_se_b__freqdiv__shift 0x01
-+#define ma_pmcfg_se_b__freqdiv__reset 0x01
-+//--------------------------------------------------------pmcfg_se_b.modtype---
-+//
-+#define ma_pmcfg_se_b__modtype__a 22
-+#define ma_pmcfg_se_b__modtype__len 2
-+#define ma_pmcfg_se_b__modtype__mask 0x18
-+#define ma_pmcfg_se_b__modtype__shift 0x03
-+#define ma_pmcfg_se_b__modtype__reset 0x01
-+//----------------------------------------------------------balwaitcount_pm1---
-+// pm1 balancing period.
-+#define ma_balwaitcount_pm1__a 23
-+#define ma_balwaitcount_pm1__len 8
-+#define ma_balwaitcount_pm1__mask 0xff
-+#define ma_balwaitcount_pm1__shift 0x00
-+#define ma_balwaitcount_pm1__reset 0x14
-+//----------------------------------------------------------balwaitcount_pm2---
-+// pm2 balancing period.
-+#define ma_balwaitcount_pm2__a 24
-+#define ma_balwaitcount_pm2__len 8
-+#define ma_balwaitcount_pm2__mask 0xff
-+#define ma_balwaitcount_pm2__shift 0x00
-+#define ma_balwaitcount_pm2__reset 0x14
-+//----------------------------------------------------------balwaitcount_pm3---
-+// pm3 balancing period.
-+#define ma_balwaitcount_pm3__a 25
-+#define ma_balwaitcount_pm3__len 8
-+#define ma_balwaitcount_pm3__mask 0xff
-+#define ma_balwaitcount_pm3__shift 0x00
-+#define ma_balwaitcount_pm3__reset 0x1a
-+//-------------------------------------------------------------usespread_pm1---
-+// pm1 pwm spread-spectrum mode on/off.
-+#define ma_usespread_pm1__a 26
-+#define ma_usespread_pm1__len 1
-+#define ma_usespread_pm1__mask 0x40
-+#define ma_usespread_pm1__shift 0x06
-+#define ma_usespread_pm1__reset 0x00
-+//---------------------------------------------------------------dtsteps_pm1---
-+// pm1 dead time setting [10ns steps].
-+#define ma_dtsteps_pm1__a 26
-+#define ma_dtsteps_pm1__len 3
-+#define ma_dtsteps_pm1__mask 0x38
-+#define ma_dtsteps_pm1__shift 0x03
-+#define ma_dtsteps_pm1__reset 0x04
-+//---------------------------------------------------------------baltype_pm1---
-+// pm1 balancing sensor scheme.
-+#define ma_baltype_pm1__a 26
-+#define ma_baltype_pm1__len 3
-+#define ma_baltype_pm1__mask 0x07
-+#define ma_baltype_pm1__shift 0x00
-+#define ma_baltype_pm1__reset 0x00
-+//-------------------------------------------------------------usespread_pm2---
-+// pm2 pwm spread-spectrum mode on/off.
-+#define ma_usespread_pm2__a 27
-+#define ma_usespread_pm2__len 1
-+#define ma_usespread_pm2__mask 0x40
-+#define ma_usespread_pm2__shift 0x06
-+#define ma_usespread_pm2__reset 0x00
-+//---------------------------------------------------------------dtsteps_pm2---
-+// pm2 dead time setting [10ns steps].
-+#define ma_dtsteps_pm2__a 27
-+#define ma_dtsteps_pm2__len 3
-+#define ma_dtsteps_pm2__mask 0x38
-+#define ma_dtsteps_pm2__shift 0x03
-+#define ma_dtsteps_pm2__reset 0x03
-+//---------------------------------------------------------------baltype_pm2---
-+// pm2 balancing sensor scheme.
-+#define ma_baltype_pm2__a 27
-+#define ma_baltype_pm2__len 3
-+#define ma_baltype_pm2__mask 0x07
-+#define ma_baltype_pm2__shift 0x00
-+#define ma_baltype_pm2__reset 0x01
-+//-------------------------------------------------------------usespread_pm3---
-+// pm3 pwm spread-spectrum mode on/off.
-+#define ma_usespread_pm3__a 28
-+#define ma_usespread_pm3__len 1
-+#define ma_usespread_pm3__mask 0x40
-+#define ma_usespread_pm3__shift 0x06
-+#define ma_usespread_pm3__reset 0x00
-+//---------------------------------------------------------------dtsteps_pm3---
-+// pm3 dead time setting [10ns steps].
-+#define ma_dtsteps_pm3__a 28
-+#define ma_dtsteps_pm3__len 3
-+#define ma_dtsteps_pm3__mask 0x38
-+#define ma_dtsteps_pm3__shift 0x03
-+#define ma_dtsteps_pm3__reset 0x01
-+//---------------------------------------------------------------baltype_pm3---
-+// pm3 balancing sensor scheme.
-+#define ma_baltype_pm3__a 28
-+#define ma_baltype_pm3__len 3
-+#define ma_baltype_pm3__mask 0x07
-+#define ma_baltype_pm3__shift 0x00
-+#define ma_baltype_pm3__reset 0x03
-+//-----------------------------------------------------------------pmprofile---
-+// pm profile select. valid presets: 0-1-2-3-4. 5=> custom profile.
-+#define ma_pmprofile__a 29
-+#define ma_pmprofile__len 3
-+#define ma_pmprofile__mask 0x07
-+#define ma_pmprofile__shift 0x00
-+#define ma_pmprofile__reset 0x00
-+//-------------------------------------------------------------------pm3_man---
-+// custom profile pm3 contents. 0=>a,  1=>b,  2=>c,  3=>d
-+#define ma_pm3_man__a 30
-+#define ma_pm3_man__len 2
-+#define ma_pm3_man__mask 0x30
-+#define ma_pm3_man__shift 0x04
-+#define ma_pm3_man__reset 0x02
-+//-------------------------------------------------------------------pm2_man---
-+// custom profile pm2 contents. 0=>a,  1=>b,  2=>c,  3=>d
-+#define ma_pm2_man__a 30
-+#define ma_pm2_man__len 2
-+#define ma_pm2_man__mask 0x0c
-+#define ma_pm2_man__shift 0x02
-+#define ma_pm2_man__reset 0x03
-+//-------------------------------------------------------------------pm1_man---
-+// custom profile pm1 contents. 0=>a,  1=>b,  2=>c,  3=>d
-+#define ma_pm1_man__a 30
-+#define ma_pm1_man__len 2
-+#define ma_pm1_man__mask 0x03
-+#define ma_pm1_man__shift 0x00
-+#define ma_pm1_man__reset 0x03
-+//-----------------------------------------------------------ocp_latch_clear---
-+// low-high clears current ocp latched condition.
-+#define ma_ocp_latch_clear__a 32
-+#define ma_ocp_latch_clear__len 1
-+#define ma_ocp_latch_clear__mask 0x80
-+#define ma_ocp_latch_clear__shift 0x07
-+#define ma_ocp_latch_clear__reset 0x00
-+//-------------------------------------------------------------audio_in_mode---
-+// audio input mode; 0-1-2-3-4-5
-+#define ma_audio_in_mode__a 37
-+#define ma_audio_in_mode__len 3
-+#define ma_audio_in_mode__mask 0xe0
-+#define ma_audio_in_mode__shift 0x05
-+#define ma_audio_in_mode__reset 0x00
-+//-----------------------------------------------------------------eh_dcshdn---
-+// high to enable dc protection
-+#define ma_eh_dcshdn__a 38
-+#define ma_eh_dcshdn__len 1
-+#define ma_eh_dcshdn__mask 0x04
-+#define ma_eh_dcshdn__shift 0x02
-+#define ma_eh_dcshdn__reset 0x01
-+//---------------------------------------------------------audio_in_mode_ext---
-+// if set,  audio_in_mode is controlled from audio_in_mode register. if not set
-+//audio_in_mode is set from fuse bank setting
-+#define ma_audio_in_mode_ext__a 39
-+#define ma_audio_in_mode_ext__len 1
-+#define ma_audio_in_mode_ext__mask 0x20
-+#define ma_audio_in_mode_ext__shift 0x05
-+#define ma_audio_in_mode_ext__reset 0x00
-+//------------------------------------------------------------------eh_clear---
-+// flip to clear error registers
-+#define ma_eh_clear__a 45
-+#define ma_eh_clear__len 1
-+#define ma_eh_clear__mask 0x04
-+#define ma_eh_clear__shift 0x02
-+#define ma_eh_clear__reset 0x00
-+//----------------------------------------------------------thermal_compr_en---
-+// enable otw-contr.  input compression?
-+#define ma_thermal_compr_en__a 45
-+#define ma_thermal_compr_en__len 1
-+#define ma_thermal_compr_en__mask 0x20
-+#define ma_thermal_compr_en__shift 0x05
-+#define ma_thermal_compr_en__reset 0x01
-+//---------------------------------------------------------------system_mute---
-+// 1 = mute system,  0 = normal operation
-+#define ma_system_mute__a 45
-+#define ma_system_mute__len 1
-+#define ma_system_mute__mask 0x40
-+#define ma_system_mute__shift 0x06
-+#define ma_system_mute__reset 0x00
-+//------------------------------------------------------thermal_compr_max_db---
-+// audio limiter max thermal reduction
-+#define ma_thermal_compr_max_db__a 46
-+#define ma_thermal_compr_max_db__len 3
-+#define ma_thermal_compr_max_db__mask 0x07
-+#define ma_thermal_compr_max_db__shift 0x00
-+#define ma_thermal_compr_max_db__reset 0x04
-+//---------------------------------------------------------audio_proc_enable---
-+// enable audio proc,  bypass if not enabled
-+#define ma_audio_proc_enable__a 53
-+#define ma_audio_proc_enable__len 1
-+#define ma_audio_proc_enable__mask 0x08
-+#define ma_audio_proc_enable__shift 0x03
-+#define ma_audio_proc_enable__reset 0x00
-+//--------------------------------------------------------audio_proc_release---
-+// 00:slow,  01:normal,  10:fast
-+#define ma_audio_proc_release__a 53
-+#define ma_audio_proc_release__len 2
-+#define ma_audio_proc_release__mask 0x30
-+#define ma_audio_proc_release__shift 0x04
-+#define ma_audio_proc_release__reset 0x00
-+//---------------------------------------------------------audio_proc_attack---
-+// 00:slow,  01:normal,  10:fast
-+#define ma_audio_proc_attack__a 53
-+#define ma_audio_proc_attack__len 2
-+#define ma_audio_proc_attack__mask 0xc0
-+#define ma_audio_proc_attack__shift 0x06
-+#define ma_audio_proc_attack__reset 0x00
-+//----------------------------------------------------------------i2s_format---
-+// i2s basic data format,  000 = std. i2s,  001 = left justified (default)
-+#define ma_i2s_format__a 53
-+#define ma_i2s_format__len 3
-+#define ma_i2s_format__mask 0x07
-+#define ma_i2s_format__shift 0x00
-+#define ma_i2s_format__reset 0x01
-+//--------------------------------------------------audio_proc_limiterenable---
-+// 1: enable limiter,  0: disable limiter
-+#define ma_audio_proc_limiterenable__a 54
-+#define ma_audio_proc_limiterenable__len 1
-+#define ma_audio_proc_limiterenable__mask 0x40
-+#define ma_audio_proc_limiterenable__shift 0x06
-+#define ma_audio_proc_limiterenable__reset 0x00
-+//-----------------------------------------------------------audio_proc_mute---
-+// 1: mute,  0: unmute
-+#define ma_audio_proc_mute__a 54
-+#define ma_audio_proc_mute__len 1
-+#define ma_audio_proc_mute__mask 0x80
-+#define ma_audio_proc_mute__shift 0x07
-+#define ma_audio_proc_mute__reset 0x00
-+//---------------------------------------------------------------i2s_sck_pol---
-+// i2s sck polarity cfg. 0 = rising edge data change
-+#define ma_i2s_sck_pol__a 54
-+#define ma_i2s_sck_pol__len 1
-+#define ma_i2s_sck_pol__mask 0x01
-+#define ma_i2s_sck_pol__shift 0x00
-+#define ma_i2s_sck_pol__reset 0x01
-+//-------------------------------------------------------------i2s_framesize---
-+// i2s word length. 00 = 32bit,  01 = 24bit
-+#define ma_i2s_framesize__a 54
-+#define ma_i2s_framesize__len 2
-+#define ma_i2s_framesize__mask 0x18
-+#define ma_i2s_framesize__shift 0x03
-+#define ma_i2s_framesize__reset 0x00
-+//----------------------------------------------------------------i2s_ws_pol---
-+// i2s ws polarity. 0 = low first
-+#define ma_i2s_ws_pol__a 54
-+#define ma_i2s_ws_pol__len 1
-+#define ma_i2s_ws_pol__mask 0x02
-+#define ma_i2s_ws_pol__shift 0x01
-+#define ma_i2s_ws_pol__reset 0x00
-+//-----------------------------------------------------------------i2s_order---
-+// i2s word bit order. 0 = msb first
-+#define ma_i2s_order__a 54
-+#define ma_i2s_order__len 1
-+#define ma_i2s_order__mask 0x04
-+#define ma_i2s_order__shift 0x02
-+#define ma_i2s_order__reset 0x00
-+//------------------------------------------------------------i2s_rightfirst---
-+// i2s l/r word order; 0 = left first
-+#define ma_i2s_rightfirst__a 54
-+#define ma_i2s_rightfirst__len 1
-+#define ma_i2s_rightfirst__mask 0x20
-+#define ma_i2s_rightfirst__shift 0x05
-+#define ma_i2s_rightfirst__reset 0x00
-+//-------------------------------------------------------------vol_db_master---
-+// master volume db
-+#define ma_vol_db_master__a 64
-+#define ma_vol_db_master__len 8
-+#define ma_vol_db_master__mask 0xff
-+#define ma_vol_db_master__shift 0x00
-+#define ma_vol_db_master__reset 0x18
-+//------------------------------------------------------------vol_lsb_master---
-+// master volume lsb 1/4 steps
-+#define ma_vol_lsb_master__a 65
-+#define ma_vol_lsb_master__len 2
-+#define ma_vol_lsb_master__mask 0x03
-+#define ma_vol_lsb_master__shift 0x00
-+#define ma_vol_lsb_master__reset 0x00
-+//----------------------------------------------------------------vol_db_ch0---
-+// volume channel 0
-+#define ma_vol_db_ch0__a 66
-+#define ma_vol_db_ch0__len 8
-+#define ma_vol_db_ch0__mask 0xff
-+#define ma_vol_db_ch0__shift 0x00
-+#define ma_vol_db_ch0__reset 0x18
-+//----------------------------------------------------------------vol_db_ch1---
-+// volume channel 1
-+#define ma_vol_db_ch1__a 67
-+#define ma_vol_db_ch1__len 8
-+#define ma_vol_db_ch1__mask 0xff
-+#define ma_vol_db_ch1__shift 0x00
-+#define ma_vol_db_ch1__reset 0x18
-+//----------------------------------------------------------------vol_db_ch2---
-+// volume channel 2
-+#define ma_vol_db_ch2__a 68
-+#define ma_vol_db_ch2__len 8
-+#define ma_vol_db_ch2__mask 0xff
-+#define ma_vol_db_ch2__shift 0x00
-+#define ma_vol_db_ch2__reset 0x18
-+//----------------------------------------------------------------vol_db_ch3---
-+// volume channel 3
-+#define ma_vol_db_ch3__a 69
-+#define ma_vol_db_ch3__len 8
-+#define ma_vol_db_ch3__mask 0xff
-+#define ma_vol_db_ch3__shift 0x00
-+#define ma_vol_db_ch3__reset 0x18
-+//---------------------------------------------------------------vol_lsb_ch0---
-+// volume channel 1 - 1/4 steps
-+#define ma_vol_lsb_ch0__a 70
-+#define ma_vol_lsb_ch0__len 2
-+#define ma_vol_lsb_ch0__mask 0x03
-+#define ma_vol_lsb_ch0__shift 0x00
-+#define ma_vol_lsb_ch0__reset 0x00
-+//---------------------------------------------------------------vol_lsb_ch1---
-+// volume channel 3 - 1/4 steps
-+#define ma_vol_lsb_ch1__a 70
-+#define ma_vol_lsb_ch1__len 2
-+#define ma_vol_lsb_ch1__mask 0x0c
-+#define ma_vol_lsb_ch1__shift 0x02
-+#define ma_vol_lsb_ch1__reset 0x00
-+//---------------------------------------------------------------vol_lsb_ch2---
-+// volume channel 2 - 1/4 steps
-+#define ma_vol_lsb_ch2__a 70
-+#define ma_vol_lsb_ch2__len 2
-+#define ma_vol_lsb_ch2__mask 0x30
-+#define ma_vol_lsb_ch2__shift 0x04
-+#define ma_vol_lsb_ch2__reset 0x00
-+//---------------------------------------------------------------vol_lsb_ch3---
-+// volume channel 3 - 1/4 steps
-+#define ma_vol_lsb_ch3__a 70
-+#define ma_vol_lsb_ch3__len 2
-+#define ma_vol_lsb_ch3__mask 0xc0
-+#define ma_vol_lsb_ch3__shift 0x06
-+#define ma_vol_lsb_ch3__reset 0x00
-+//----------------------------------------------------------------thr_db_ch0---
-+// thr_db channel 0
-+#define ma_thr_db_ch0__a 71
-+#define ma_thr_db_ch0__len 8
-+#define ma_thr_db_ch0__mask 0xff
-+#define ma_thr_db_ch0__shift 0x00
-+#define ma_thr_db_ch0__reset 0x18
-+//----------------------------------------------------------------thr_db_ch1---
-+// thr db ch1
-+#define ma_thr_db_ch1__a 72
-+#define ma_thr_db_ch1__len 8
-+#define ma_thr_db_ch1__mask 0xff
-+#define ma_thr_db_ch1__shift 0x00
-+#define ma_thr_db_ch1__reset 0x18
-+//----------------------------------------------------------------thr_db_ch2---
-+// thr db ch2
-+#define ma_thr_db_ch2__a 73
-+#define ma_thr_db_ch2__len 8
-+#define ma_thr_db_ch2__mask 0xff
-+#define ma_thr_db_ch2__shift 0x00
-+#define ma_thr_db_ch2__reset 0x18
-+//----------------------------------------------------------------thr_db_ch3---
-+// threshold db ch3
-+#define ma_thr_db_ch3__a 74
-+#define ma_thr_db_ch3__len 8
-+#define ma_thr_db_ch3__mask 0xff
-+#define ma_thr_db_ch3__shift 0x00
-+#define ma_thr_db_ch3__reset 0x18
-+//---------------------------------------------------------------thr_lsb_ch0---
-+// thr lsb ch0
-+#define ma_thr_lsb_ch0__a 75
-+#define ma_thr_lsb_ch0__len 2
-+#define ma_thr_lsb_ch0__mask 0x03
-+#define ma_thr_lsb_ch0__shift 0x00
-+#define ma_thr_lsb_ch0__reset 0x00
-+//---------------------------------------------------------------thr_lsb_ch1---
-+// thr lsb ch1
-+#define ma_thr_lsb_ch1__a 75
-+#define ma_thr_lsb_ch1__len 2
-+#define ma_thr_lsb_ch1__mask 0x0c
-+#define ma_thr_lsb_ch1__shift 0x02
-+#define ma_thr_lsb_ch1__reset 0x00
-+//---------------------------------------------------------------thr_lsb_ch2---
-+// thr lsb ch2 1/4 db step
-+#define ma_thr_lsb_ch2__a 75
-+#define ma_thr_lsb_ch2__len 2
-+#define ma_thr_lsb_ch2__mask 0x30
-+#define ma_thr_lsb_ch2__shift 0x04
-+#define ma_thr_lsb_ch2__reset 0x00
-+//---------------------------------------------------------------thr_lsb_ch3---
-+// threshold lsb ch3
-+#define ma_thr_lsb_ch3__a 75
-+#define ma_thr_lsb_ch3__len 2
-+#define ma_thr_lsb_ch3__mask 0xc0
-+#define ma_thr_lsb_ch3__shift 0x06
-+#define ma_thr_lsb_ch3__reset 0x00
-+//-----------------------------------------------------------dcu_mon0.pm_mon---
-+// power mode monitor channel 0
-+#define ma_dcu_mon0__pm_mon__a 96
-+#define ma_dcu_mon0__pm_mon__len 2
-+#define ma_dcu_mon0__pm_mon__mask 0x03
-+#define ma_dcu_mon0__pm_mon__shift 0x00
-+#define ma_dcu_mon0__pm_mon__reset 0x00
-+//-----------------------------------------------------dcu_mon0.freqmode_mon---
-+// frequence mode monitor channel 0
-+#define ma_dcu_mon0__freqmode_mon__a 96
-+#define ma_dcu_mon0__freqmode_mon__len 3
-+#define ma_dcu_mon0__freqmode_mon__mask 0x70
-+#define ma_dcu_mon0__freqmode_mon__shift 0x04
-+#define ma_dcu_mon0__freqmode_mon__reset 0x00
-+//-------------------------------------------------------dcu_mon0.pps_passed---
-+// dcu0 pps completion indicator
-+#define ma_dcu_mon0__pps_passed__a 96
-+#define ma_dcu_mon0__pps_passed__len 1
-+#define ma_dcu_mon0__pps_passed__mask 0x80
-+#define ma_dcu_mon0__pps_passed__shift 0x07
-+#define ma_dcu_mon0__pps_passed__reset 0x00
-+//----------------------------------------------------------dcu_mon0.ocp_mon---
-+// ocp monitor channel 0
-+#define ma_dcu_mon0__ocp_mon__a 97
-+#define ma_dcu_mon0__ocp_mon__len 1
-+#define ma_dcu_mon0__ocp_mon__mask 0x01
-+#define ma_dcu_mon0__ocp_mon__shift 0x00
-+#define ma_dcu_mon0__ocp_mon__reset 0x00
-+//--------------------------------------------------------dcu_mon0.vcfly1_ok---
-+// cfly1 protection monitor channel 0.
-+#define ma_dcu_mon0__vcfly1_ok__a 97
-+#define ma_dcu_mon0__vcfly1_ok__len 1
-+#define ma_dcu_mon0__vcfly1_ok__mask 0x02
-+#define ma_dcu_mon0__vcfly1_ok__shift 0x01
-+#define ma_dcu_mon0__vcfly1_ok__reset 0x00
-+//--------------------------------------------------------dcu_mon0.vcfly2_ok---
-+// cfly2 protection monitor channel 0.
-+#define ma_dcu_mon0__vcfly2_ok__a 97
-+#define ma_dcu_mon0__vcfly2_ok__len 1
-+#define ma_dcu_mon0__vcfly2_ok__mask 0x04
-+#define ma_dcu_mon0__vcfly2_ok__shift 0x02
-+#define ma_dcu_mon0__vcfly2_ok__reset 0x00
-+//----------------------------------------------------------dcu_mon0.pvdd_ok---
-+// dcu0 pvdd monitor
-+#define ma_dcu_mon0__pvdd_ok__a 97
-+#define ma_dcu_mon0__pvdd_ok__len 1
-+#define ma_dcu_mon0__pvdd_ok__mask 0x08
-+#define ma_dcu_mon0__pvdd_ok__shift 0x03
-+#define ma_dcu_mon0__pvdd_ok__reset 0x00
-+//-----------------------------------------------------------dcu_mon0.vdd_ok---
-+// dcu0 vdd monitor
-+#define ma_dcu_mon0__vdd_ok__a 97
-+#define ma_dcu_mon0__vdd_ok__len 1
-+#define ma_dcu_mon0__vdd_ok__mask 0x10
-+#define ma_dcu_mon0__vdd_ok__shift 0x04
-+#define ma_dcu_mon0__vdd_ok__reset 0x00
-+//-------------------------------------------------------------dcu_mon0.mute---
-+// dcu0 mute monitor
-+#define ma_dcu_mon0__mute__a 97
-+#define ma_dcu_mon0__mute__len 1
-+#define ma_dcu_mon0__mute__mask 0x20
-+#define ma_dcu_mon0__mute__shift 0x05
-+#define ma_dcu_mon0__mute__reset 0x00
-+//------------------------------------------------------------dcu_mon0.m_mon---
-+// m sense monitor channel 0
-+#define ma_dcu_mon0__m_mon__a 98
-+#define ma_dcu_mon0__m_mon__len 8
-+#define ma_dcu_mon0__m_mon__mask 0xff
-+#define ma_dcu_mon0__m_mon__shift 0x00
-+#define ma_dcu_mon0__m_mon__reset 0x00
-+//-----------------------------------------------------------dcu_mon1.pm_mon---
-+// power mode monitor channel 1
-+#define ma_dcu_mon1__pm_mon__a 100
-+#define ma_dcu_mon1__pm_mon__len 2
-+#define ma_dcu_mon1__pm_mon__mask 0x03
-+#define ma_dcu_mon1__pm_mon__shift 0x00
-+#define ma_dcu_mon1__pm_mon__reset 0x00
-+//-----------------------------------------------------dcu_mon1.freqmode_mon---
-+// frequence mode monitor channel 1
-+#define ma_dcu_mon1__freqmode_mon__a 100
-+#define ma_dcu_mon1__freqmode_mon__len 3
-+#define ma_dcu_mon1__freqmode_mon__mask 0x70
-+#define ma_dcu_mon1__freqmode_mon__shift 0x04
-+#define ma_dcu_mon1__freqmode_mon__reset 0x00
-+//-------------------------------------------------------dcu_mon1.pps_passed---
-+// dcu1 pps completion indicator
-+#define ma_dcu_mon1__pps_passed__a 100
-+#define ma_dcu_mon1__pps_passed__len 1
-+#define ma_dcu_mon1__pps_passed__mask 0x80
-+#define ma_dcu_mon1__pps_passed__shift 0x07
-+#define ma_dcu_mon1__pps_passed__reset 0x00
-+//----------------------------------------------------------dcu_mon1.ocp_mon---
-+// ocp monitor channel 1
-+#define ma_dcu_mon1__ocp_mon__a 101
-+#define ma_dcu_mon1__ocp_mon__len 1
-+#define ma_dcu_mon1__ocp_mon__mask 0x01
-+#define ma_dcu_mon1__ocp_mon__shift 0x00
-+#define ma_dcu_mon1__ocp_mon__reset 0x00
-+//--------------------------------------------------------dcu_mon1.vcfly1_ok---
-+// cfly1 protcetion monitor channel 1
-+#define ma_dcu_mon1__vcfly1_ok__a 101
-+#define ma_dcu_mon1__vcfly1_ok__len 1
-+#define ma_dcu_mon1__vcfly1_ok__mask 0x02
-+#define ma_dcu_mon1__vcfly1_ok__shift 0x01
-+#define ma_dcu_mon1__vcfly1_ok__reset 0x00
-+//--------------------------------------------------------dcu_mon1.vcfly2_ok---
-+// cfly2 protection monitor channel 1
-+#define ma_dcu_mon1__vcfly2_ok__a 101
-+#define ma_dcu_mon1__vcfly2_ok__len 1
-+#define ma_dcu_mon1__vcfly2_ok__mask 0x04
-+#define ma_dcu_mon1__vcfly2_ok__shift 0x02
-+#define ma_dcu_mon1__vcfly2_ok__reset 0x00
-+//----------------------------------------------------------dcu_mon1.pvdd_ok---
-+// dcu1 pvdd monitor
-+#define ma_dcu_mon1__pvdd_ok__a 101
-+#define ma_dcu_mon1__pvdd_ok__len 1
-+#define ma_dcu_mon1__pvdd_ok__mask 0x08
-+#define ma_dcu_mon1__pvdd_ok__shift 0x03
-+#define ma_dcu_mon1__pvdd_ok__reset 0x00
-+//-----------------------------------------------------------dcu_mon1.vdd_ok---
-+// dcu1 vdd monitor
-+#define ma_dcu_mon1__vdd_ok__a 101
-+#define ma_dcu_mon1__vdd_ok__len 1
-+#define ma_dcu_mon1__vdd_ok__mask 0x10
-+#define ma_dcu_mon1__vdd_ok__shift 0x04
-+#define ma_dcu_mon1__vdd_ok__reset 0x00
-+//-------------------------------------------------------------dcu_mon1.mute---
-+// dcu1 mute monitor
-+#define ma_dcu_mon1__mute__a 101
-+#define ma_dcu_mon1__mute__len 1
-+#define ma_dcu_mon1__mute__mask 0x20
-+#define ma_dcu_mon1__mute__shift 0x05
-+#define ma_dcu_mon1__mute__reset 0x00
-+//------------------------------------------------------------dcu_mon1.m_mon---
-+// m sense monitor channel 1
-+#define ma_dcu_mon1__m_mon__a 102
-+#define ma_dcu_mon1__m_mon__len 8
-+#define ma_dcu_mon1__m_mon__mask 0xff
-+#define ma_dcu_mon1__m_mon__shift 0x00
-+#define ma_dcu_mon1__m_mon__reset 0x00
-+//--------------------------------------------------------dcu_mon0.sw_enable---
-+// dcu0 switch enable monitor
-+#define ma_dcu_mon0__sw_enable__a 104
-+#define ma_dcu_mon0__sw_enable__len 1
-+#define ma_dcu_mon0__sw_enable__mask 0x40
-+#define ma_dcu_mon0__sw_enable__shift 0x06
-+#define ma_dcu_mon0__sw_enable__reset 0x00
-+//--------------------------------------------------------dcu_mon1.sw_enable---
-+// dcu1 switch enable monitor
-+#define ma_dcu_mon1__sw_enable__a 104
-+#define ma_dcu_mon1__sw_enable__len 1
-+#define ma_dcu_mon1__sw_enable__mask 0x80
-+#define ma_dcu_mon1__sw_enable__shift 0x07
-+#define ma_dcu_mon1__sw_enable__reset 0x00
-+//------------------------------------------------------------hvboot0_ok_mon---
-+// hvboot0_ok for test/debug
-+#define ma_hvboot0_ok_mon__a 105
-+#define ma_hvboot0_ok_mon__len 1
-+#define ma_hvboot0_ok_mon__mask 0x40
-+#define ma_hvboot0_ok_mon__shift 0x06
-+#define ma_hvboot0_ok_mon__reset 0x00
-+//------------------------------------------------------------hvboot1_ok_mon---
-+// hvboot1_ok for test/debug
-+#define ma_hvboot1_ok_mon__a 105
-+#define ma_hvboot1_ok_mon__len 1
-+#define ma_hvboot1_ok_mon__mask 0x80
-+#define ma_hvboot1_ok_mon__shift 0x07
-+#define ma_hvboot1_ok_mon__reset 0x00
-+//-----------------------------------------------------------------error_acc---
-+// accumulated errors,  at and after triggering
-+#define ma_error_acc__a 109
-+#define ma_error_acc__len 8
-+#define ma_error_acc__mask 0xff
-+#define ma_error_acc__shift 0x00
-+#define ma_error_acc__reset 0x00
-+//-------------------------------------------------------------i2s_data_rate---
-+// detected i2s data rate: 00/01/10 = x1/x2/x4
-+#define ma_i2s_data_rate__a 116
-+#define ma_i2s_data_rate__len 2
-+#define ma_i2s_data_rate__mask 0x03
-+#define ma_i2s_data_rate__shift 0x00
-+#define ma_i2s_data_rate__reset 0x00
-+//---------------------------------------------------------audio_in_mode_mon---
-+// audio input mode monitor
-+#define ma_audio_in_mode_mon__a 116
-+#define ma_audio_in_mode_mon__len 3
-+#define ma_audio_in_mode_mon__mask 0x1c
-+#define ma_audio_in_mode_mon__shift 0x02
-+#define ma_audio_in_mode_mon__reset 0x00
-+//------------------------------------------------------------------msel_mon---
-+// msel[2:0] monitor register
-+#define ma_msel_mon__a 117
-+#define ma_msel_mon__len 3
-+#define ma_msel_mon__mask 0x07
-+#define ma_msel_mon__shift 0x00
-+#define ma_msel_mon__reset 0x00
-+//---------------------------------------------------------------------error---
-+// current error flag monitor reg - for app. ctrl.
-+#define ma_error__a 124
-+#define ma_error__len 8
-+#define ma_error__mask 0xff
-+#define ma_error__shift 0x00
-+#define ma_error__reset 0x00
-+//----------------------------------------------------audio_proc_limiter_mon---
-+// b7-b4: channel 3-0 limiter active
-+#define ma_audio_proc_limiter_mon__a 126
-+#define ma_audio_proc_limiter_mon__len 4
-+#define ma_audio_proc_limiter_mon__mask 0xf0
-+#define ma_audio_proc_limiter_mon__shift 0x04
-+#define ma_audio_proc_limiter_mon__reset 0x00
-+//-------------------------------------------------------audio_proc_clip_mon---
-+// b3-b0: channel 3-0 clipping monitor
-+#define ma_audio_proc_clip_mon__a 126
-+#define ma_audio_proc_clip_mon__len 4
-+#define ma_audio_proc_clip_mon__mask 0x0f
-+#define ma_audio_proc_clip_mon__shift 0x00
-+#define ma_audio_proc_clip_mon__reset 0x00
-+#endif
-+
-+#define SOC_ENUM_ERR(xname, xenum)\
-+{     .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname),\
-+      .access = SNDRV_CTL_ELEM_ACCESS_READ,\
-+      .info = snd_soc_info_enum_double,\
-+      .get = snd_soc_get_enum_double, .put = snd_soc_put_enum_double,\
-+      .private_value = (unsigned long)&(xenum) }
-+
-+static struct i2c_client *i2c;
-+
-+struct ma120x0p_priv {
-+      struct regmap *regmap;
-+      int mclk_div;
-+      struct snd_soc_component *component;
-+      struct gpio_desc *enable_gpio;
-+      struct gpio_desc *mute_gpio;
-+      struct gpio_desc *booster_gpio;
-+      struct gpio_desc *error_gpio;
-+};
-+
-+static struct ma120x0p_priv *priv_data;
-+
-+//Used to share the IRQ number within this file
-+static unsigned int irqNumber;
-+
-+// Function prototype for the custom IRQ handler function
-+static irqreturn_t ma120x0p_irq_handler(int irq, void *data);
-+
-+//Alsa Controls
-+static const char * const limenable_text[] = {"Bypassed", "Enabled"};
-+static const char * const limatack_text[] = {"Slow", "Normal", "Fast"};
-+static const char * const limrelease_text[] = {"Slow", "Normal", "Fast"};
-+
-+static const char * const err_flycap_text[] = {"Ok", "Error"};
-+static const char * const err_overcurr_text[] = {"Ok", "Error"};
-+static const char * const err_pllerr_text[] = {"Ok", "Error"};
-+static const char * const err_pvddunder_text[] = {"Ok", "Error"};
-+static const char * const err_overtempw_text[] = {"Ok", "Error"};
-+static const char * const err_overtempe_text[] = {"Ok", "Error"};
-+static const char * const err_pinlowimp_text[] = {"Ok", "Error"};
-+static const char * const err_dcprot_text[] = {"Ok", "Error"};
-+
-+static const char * const pwr_mode_prof_text[] = {"PMF0", "PMF1", "PMF2",
-+"PMF3", "PMF4"};
-+
-+static const struct soc_enum lim_enable_ctrl =
-+      SOC_ENUM_SINGLE(ma_audio_proc_limiterenable__a,
-+              ma_audio_proc_limiterenable__shift,
-+              ma_audio_proc_limiterenable__len + 1,
-+              limenable_text);
-+static const struct soc_enum limatack_ctrl =
-+      SOC_ENUM_SINGLE(ma_audio_proc_attack__a,
-+              ma_audio_proc_attack__shift,
-+              ma_audio_proc_attack__len + 1,
-+              limatack_text);
-+static const struct soc_enum limrelease_ctrl =
-+      SOC_ENUM_SINGLE(ma_audio_proc_release__a,
-+              ma_audio_proc_release__shift,
-+              ma_audio_proc_release__len + 1,
-+              limrelease_text);
-+static const struct soc_enum err_flycap_ctrl =
-+      SOC_ENUM_SINGLE(ma_error__a, 0, 3, err_flycap_text);
-+static const struct soc_enum err_overcurr_ctrl =
-+      SOC_ENUM_SINGLE(ma_error__a, 1, 3, err_overcurr_text);
-+static const struct soc_enum err_pllerr_ctrl =
-+      SOC_ENUM_SINGLE(ma_error__a, 2, 3, err_pllerr_text);
-+static const struct soc_enum err_pvddunder_ctrl =
-+      SOC_ENUM_SINGLE(ma_error__a, 3, 3, err_pvddunder_text);
-+static const struct soc_enum err_overtempw_ctrl =
-+      SOC_ENUM_SINGLE(ma_error__a, 4, 3, err_overtempw_text);
-+static const struct soc_enum err_overtempe_ctrl =
-+      SOC_ENUM_SINGLE(ma_error__a, 5, 3, err_overtempe_text);
-+static const struct soc_enum err_pinlowimp_ctrl =
-+      SOC_ENUM_SINGLE(ma_error__a, 6, 3, err_pinlowimp_text);
-+static const struct soc_enum err_dcprot_ctrl =
-+      SOC_ENUM_SINGLE(ma_error__a, 7, 3, err_dcprot_text);
-+static const struct soc_enum pwr_mode_prof_ctrl =
-+      SOC_ENUM_SINGLE(ma_pmprofile__a, ma_pmprofile__shift, 5,
-+              pwr_mode_prof_text);
-+
-+static const char * const pwr_mode_texts[] = {
-+              "Dynamic power mode",
-+              "Power mode 1",
-+              "Power mode 2",
-+              "Power mode 3",
-+      };
-+
-+static const int pwr_mode_values[] = {
-+              0x10,
-+              0x50,
-+              0x60,
-+              0x70,
-+      };
-+
-+static const SOC_VALUE_ENUM_SINGLE_DECL(pwr_mode_ctrl,
-+      ma_pm_man__a, 0, 0x70,
-+      pwr_mode_texts,
-+      pwr_mode_values);
-+
-+static const DECLARE_TLV_DB_SCALE(ma120x0p_vol_tlv, -5000, 100,  0);
-+static const DECLARE_TLV_DB_SCALE(ma120x0p_lim_tlv, -5000, 100,  0);
-+static const DECLARE_TLV_DB_SCALE(ma120x0p_lr_tlv, -5000, 100,  0);
-+
-+static const struct snd_kcontrol_new ma120x0p_snd_controls[] = {
-+      //Master Volume
-+      SOC_SINGLE_RANGE_TLV("A.Mstr Vol Volume",
-+              ma_vol_db_master__a, 0, 0x18, 0x4a, 1, ma120x0p_vol_tlv),
-+
-+      //L-R Volume ch0
-+      SOC_SINGLE_RANGE_TLV("B.L Vol Volume",
-+              ma_vol_db_ch0__a, 0, 0x18, 0x4a, 1, ma120x0p_lr_tlv),
-+      SOC_SINGLE_RANGE_TLV("C.R Vol Volume",
-+              ma_vol_db_ch1__a, 0, 0x18, 0x4a, 1, ma120x0p_lr_tlv),
-+
-+      //L-R Limiter Threshold ch0-ch1
-+      SOC_DOUBLE_R_RANGE_TLV("D.Lim thresh Volume",
-+              ma_thr_db_ch0__a, ma_thr_db_ch1__a, 0, 0x0e, 0x4a, 1,
-+              ma120x0p_lim_tlv),
-+
-+      //Enum Switches/Selectors
-+      //SOC_ENUM("E.AudioProc Mute", audioproc_mute_ctrl),
-+      SOC_ENUM("F.Limiter Enable", lim_enable_ctrl),
-+      SOC_ENUM("G.Limiter Attck", limatack_ctrl),
-+      SOC_ENUM("H.Limiter Rls", limrelease_ctrl),
-+
-+      //Enum Error Monitor (read-only)
-+      SOC_ENUM_ERR("I.Err flycap", err_flycap_ctrl),
-+      SOC_ENUM_ERR("J.Err overcurr", err_overcurr_ctrl),
-+      SOC_ENUM_ERR("K.Err pllerr", err_pllerr_ctrl),
-+      SOC_ENUM_ERR("L.Err pvddunder", err_pvddunder_ctrl),
-+      SOC_ENUM_ERR("M.Err overtempw", err_overtempw_ctrl),
-+      SOC_ENUM_ERR("N.Err overtempe", err_overtempe_ctrl),
-+      SOC_ENUM_ERR("O.Err pinlowimp", err_pinlowimp_ctrl),
-+      SOC_ENUM_ERR("P.Err dcprot", err_dcprot_ctrl),
-+
-+      //Power modes profiles
-+      SOC_ENUM("Q.PM Prof", pwr_mode_prof_ctrl),
-+
-+      // Power mode selection (Dynamic,1,2,3)
-+      SOC_ENUM("R.Power Mode", pwr_mode_ctrl),
-+};
-+
-+//Machine Driver
-+static int ma120x0p_hw_params(struct snd_pcm_substream *substream,
-+      struct snd_pcm_hw_params *params, struct snd_soc_dai *dai)
-+{
-+      u16 blen = 0x00;
-+
-+      struct snd_soc_component *component = dai->component;
-+
-+      priv_data->component = component;
-+
-+      switch (params_format(params)) {
-+      case SNDRV_PCM_FORMAT_S16_LE:
-+              blen = 0x10;
-+              break;
-+      case SNDRV_PCM_FORMAT_S24_LE:
-+              blen = 0x00;
-+              break;
-+      case SNDRV_PCM_FORMAT_S32_LE:
-+              blen = 0x00;
-+              break;
-+      default:
-+              dev_err(dai->dev, "Unsupported word length: %u\n",
-+              params_format(params));
-+              return -EINVAL;
-+      }
-+
-+      // set word length
-+      snd_soc_component_update_bits(component, ma_i2s_framesize__a,
-+              ma_i2s_framesize__mask, blen);
-+
-+      return 0;
-+}
-+
-+static int ma120x0p_mute_stream(struct snd_soc_dai *dai, int mute, int stream)
-+{
-+      int val = 0;
-+
-+      struct ma120x0p_priv *ma120x0p;
-+
-+      struct snd_soc_component *component = dai->component;
-+
-+      ma120x0p = snd_soc_component_get_drvdata(component);
-+
-+      if (mute)
-+              val = 0;
-+      else
-+              val = 1;
-+
-+      gpiod_set_value_cansleep(priv_data->mute_gpio, val);
-+
-+      return 0;
-+}
-+
-+static const struct snd_soc_dai_ops ma120x0p_dai_ops = {
-+      .hw_params              =       ma120x0p_hw_params,
-+      .mute_stream    =       ma120x0p_mute_stream,
-+};
-+
-+static struct snd_soc_dai_driver ma120x0p_dai = {
-+      .name           = "ma120x0p-amp",
-+      .playback       =       {
-+              .stream_name    = "Playback",
-+              .channels_min   = 2,
-+              .channels_max   = 2,
-+              .rates = SNDRV_PCM_RATE_CONTINUOUS,
-+              .rate_min = 44100,
-+              .rate_max = 48000,
-+              .formats = SNDRV_PCM_FMTBIT_S24_LE | SNDRV_PCM_FMTBIT_S32_LE
-+      },
-+      .ops        = &ma120x0p_dai_ops,
-+};
-+
-+//Codec Driver
-+static int ma120x0p_clear_err(struct snd_soc_component *component)
-+{
-+      int ret = 0;
-+
-+      struct ma120x0p_priv *ma120x0p;
-+
-+      ma120x0p = snd_soc_component_get_drvdata(component);
-+
-+      ret = snd_soc_component_update_bits(component,
-+              ma_eh_clear__a, ma_eh_clear__mask, 0x00);
-+      if (ret < 0)
-+              return ret;
-+
-+      ret = snd_soc_component_update_bits(component,
-+              ma_eh_clear__a, ma_eh_clear__mask, 0x04);
-+      if (ret < 0)
-+              return ret;
-+
-+      ret = snd_soc_component_update_bits(component,
-+              ma_eh_clear__a, ma_eh_clear__mask, 0x00);
-+      if (ret < 0)
-+              return ret;
-+
-+      return 0;
-+}
-+
-+static void ma120x0p_remove(struct snd_soc_component *component)
-+{
-+      struct ma120x0p_priv *ma120x0p;
-+
-+      ma120x0p = snd_soc_component_get_drvdata(component);
-+}
-+
-+static int ma120x0p_probe(struct snd_soc_component *component)
-+{
-+      struct ma120x0p_priv *ma120x0p;
-+
-+      int ret = 0;
-+
-+      i2c = container_of(component->dev, struct i2c_client, dev);
-+
-+      ma120x0p = snd_soc_component_get_drvdata(component);
-+
-+      //Reset error
-+      ma120x0p_clear_err(component);
-+      if (ret < 0)
-+              return ret;
-+
-+      // set serial audio format I2S and enable audio processor
-+      ret = snd_soc_component_write(component, ma_i2s_format__a, 0x08);
-+      if (ret < 0)
-+              return ret;
-+
-+      // Enable audio limiter
-+      ret = snd_soc_component_update_bits(component,
-+              ma_audio_proc_limiterenable__a,
-+              ma_audio_proc_limiterenable__mask, 0x40);
-+      if (ret < 0)
-+              return ret;
-+
-+      // Set lim attack to fast
-+      ret = snd_soc_component_update_bits(component,
-+              ma_audio_proc_attack__a, ma_audio_proc_attack__mask, 0x80);
-+      if (ret < 0)
-+              return ret;
-+
-+      // Set lim attack to low
-+      ret = snd_soc_component_update_bits(component,
-+              ma_audio_proc_release__a, ma_audio_proc_release__mask, 0x00);
-+      if (ret < 0)
-+              return ret;
-+
-+      // set volume to 0dB
-+      ret = snd_soc_component_write(component, ma_vol_db_master__a, 0x18);
-+      if (ret < 0)
-+              return ret;
-+
-+      // set ch0 lim thresh to -15dB
-+      ret = snd_soc_component_write(component, ma_thr_db_ch0__a, 0x27);
-+      if (ret < 0)
-+              return ret;
-+
-+      // set ch1 lim thresh to -15dB
-+      ret = snd_soc_component_write(component, ma_thr_db_ch1__a, 0x27);
-+      if (ret < 0)
-+              return ret;
-+
-+      //Check for errors
-+      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x00, 0);
-+      if (ret < 0)
-+              return ret;
-+      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x01, 0);
-+      if (ret < 0)
-+              return ret;
-+      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x02, 0);
-+      if (ret < 0)
-+              return ret;
-+      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x08, 0);
-+      if (ret < 0)
-+              return ret;
-+      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x10, 0);
-+      if (ret < 0)
-+              return ret;
-+      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x20, 0);
-+      if (ret < 0)
-+              return ret;
-+      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x40, 0);
-+      if (ret < 0)
-+              return ret;
-+      ret = snd_soc_component_test_bits(component, ma_error_acc__a, 0x80, 0);
-+      if (ret < 0)
-+              return ret;
-+
-+      return 0;
-+}
-+
-+static int ma120x0p_set_bias_level(struct snd_soc_component *component,
-+      enum snd_soc_bias_level level)
-+{
-+      int ret = 0;
-+
-+      struct ma120x0p_priv *ma120x0p;
-+
-+      ma120x0p = snd_soc_component_get_drvdata(component);
-+
-+      switch (level) {
-+      case SND_SOC_BIAS_ON:
-+              break;
-+
-+      case SND_SOC_BIAS_PREPARE:
-+              break;
-+
-+      case SND_SOC_BIAS_STANDBY:
-+              ret = gpiod_get_value_cansleep(priv_data->enable_gpio);
-+              if (ret != 0) {
-+                      dev_err(component->dev, "Device ma120x0p disabled in STANDBY BIAS: %d\n",
-+                      ret);
-+                      return ret;
-+              }
-+              break;
-+
-+      case SND_SOC_BIAS_OFF:
-+              break;
-+      }
-+
-+      return 0;
-+}
-+
-+static const struct snd_soc_dapm_widget ma120x0p_dapm_widgets[] = {
-+      SND_SOC_DAPM_OUTPUT("OUT_A"),
-+      SND_SOC_DAPM_OUTPUT("OUT_B"),
-+};
-+
-+static const struct snd_soc_dapm_route ma120x0p_dapm_routes[] = {
-+      { "OUT_B",  NULL, "Playback" },
-+      { "OUT_A",  NULL, "Playback" },
-+};
-+
-+static const struct snd_soc_component_driver ma120x0p_component_driver = {
-+      .probe = ma120x0p_probe,
-+      .remove = ma120x0p_remove,
-+      .set_bias_level = ma120x0p_set_bias_level,
-+      .dapm_widgets           = ma120x0p_dapm_widgets,
-+      .num_dapm_widgets       = ARRAY_SIZE(ma120x0p_dapm_widgets),
-+      .dapm_routes            = ma120x0p_dapm_routes,
-+      .num_dapm_routes        = ARRAY_SIZE(ma120x0p_dapm_routes),
-+      .controls = ma120x0p_snd_controls,
-+      .num_controls = ARRAY_SIZE(ma120x0p_snd_controls),
-+      .use_pmdown_time        = 1,
-+      .endianness             = 1,
-+      .non_legacy_dai_naming  = 1,
-+};
-+
-+//I2C Driver
-+static const struct reg_default ma120x0p_reg_defaults[] = {
-+      {       0x01,   0x3c    },
-+};
-+
-+static bool ma120x0p_reg_volatile(struct device *dev, unsigned int reg)
-+{
-+      switch (reg) {
-+      case ma_error__a:
-+                      return true;
-+      default:
-+                      return false;
-+      }
-+}
-+
-+static const struct of_device_id ma120x0p_of_match[] = {
-+      { .compatible = "ma,ma120x0p", },
-+      { }
-+};
-+
-+MODULE_DEVICE_TABLE(of, ma120x0p_of_match);
-+
-+static struct regmap_config ma120x0p_regmap_config = {
-+      .reg_bits = 8,
-+      .val_bits = 8,
-+
-+      .max_register = 255,
-+      .volatile_reg = ma120x0p_reg_volatile,
-+
-+      .cache_type = REGCACHE_RBTREE,
-+      .reg_defaults = ma120x0p_reg_defaults,
-+      .num_reg_defaults = ARRAY_SIZE(ma120x0p_reg_defaults),
-+};
-+
-+static int ma120x0p_i2c_probe(struct i2c_client *i2c,
-+      const struct i2c_device_id *id)
-+{
-+      int ret;
-+
-+      priv_data = devm_kzalloc(&i2c->dev, sizeof(*priv_data), GFP_KERNEL);
-+      if (!priv_data)
-+              return -ENOMEM;
-+      i2c_set_clientdata(i2c, priv_data);
-+
-+      priv_data->regmap = devm_regmap_init_i2c(i2c, &ma120x0p_regmap_config);
-+      if (IS_ERR(priv_data->regmap)) {
-+              ret = PTR_ERR(priv_data->regmap);
-+              return ret;
-+      }
-+
-+      //Startup sequence
-+
-+      //Make sure the device is muted
-+      priv_data->mute_gpio = devm_gpiod_get(&i2c->dev, "mute_gp",
-+              GPIOD_OUT_LOW);
-+      if (IS_ERR(priv_data->mute_gpio)) {
-+              ret = PTR_ERR(priv_data->mute_gpio);
-+              dev_err(&i2c->dev, "Failed to get mute gpio line: %d\n", ret);
-+              return ret;
-+      }
-+      msleep(50);
-+
-+// MA120xx0P devices are usually powered by an integrated boost converter.
-+// An option GPIO control line is provided to enable the booster properly and
-+// in sync with the enable and mute GPIO lines.
-+      priv_data->booster_gpio = devm_gpiod_get_optional(&i2c->dev,
-+              "booster_gp", GPIOD_OUT_LOW);
-+      if (IS_ERR(priv_data->booster_gpio)) {
-+              ret = PTR_ERR(priv_data->booster_gpio);
-+              dev_err(&i2c->dev,
-+              "Failed to get booster enable gpio line: %d\n", ret);
-+              return ret;
-+      }
-+      msleep(50);
-+
-+      //Enable booster and wait 200ms until stable PVDD
-+      gpiod_set_value_cansleep(priv_data->booster_gpio, 1);
-+      msleep(200);
-+
-+      //Enable ma120x0pp
-+      priv_data->enable_gpio = devm_gpiod_get(&i2c->dev,
-+              "enable_gp", GPIOD_OUT_LOW);
-+      if (IS_ERR(priv_data->enable_gpio)) {
-+              ret = PTR_ERR(priv_data->enable_gpio);
-+              dev_err(&i2c->dev,
-+              "Failed to get ma120x0p enable gpio line: %d\n", ret);
-+              return ret;
-+      }
-+      msleep(50);
-+
-+      //Optional use of ma120x0pp error line as an interrupt trigger to
-+      //platform GPIO.
-+      //Get error input gpio ma120x0p
-+      priv_data->error_gpio = devm_gpiod_get_optional(&i2c->dev,
-+               "error_gp", GPIOD_IN);
-+      if (IS_ERR(priv_data->error_gpio)) {
-+              ret = PTR_ERR(priv_data->error_gpio);
-+              dev_err(&i2c->dev,
-+                      "Failed to get ma120x0p error gpio line: %d\n", ret);
-+              return ret;
-+      }
-+
-+      if (priv_data->error_gpio != NULL) {
-+              irqNumber = gpiod_to_irq(priv_data->error_gpio);
-+
-+              ret = devm_request_threaded_irq(&i2c->dev,
-+                       irqNumber, ma120x0p_irq_handler,
-+                       NULL, IRQF_TRIGGER_FALLING,
-+                       "ma120x0p", priv_data);
-+              if (ret != 0)
-+                      dev_warn(&i2c->dev, "Failed to request IRQ: %d\n",
-+                              ret);
-+      }
-+
-+      ret = devm_snd_soc_register_component(&i2c->dev,
-+              &ma120x0p_component_driver, &ma120x0p_dai, 1);
-+
-+      return ret;
-+}
-+
-+static irqreturn_t ma120x0p_irq_handler(int irq, void *data)
-+{
-+      gpiod_set_value_cansleep(priv_data->mute_gpio, 0);
-+      gpiod_set_value_cansleep(priv_data->enable_gpio, 1);
-+      return IRQ_HANDLED;
-+}
-+
-+static int ma120x0p_i2c_remove(struct i2c_client *i2c)
-+{
-+      snd_soc_unregister_component(&i2c->dev);
-+      i2c_set_clientdata(i2c, NULL);
-+
-+      gpiod_set_value_cansleep(priv_data->mute_gpio, 0);
-+      msleep(30);
-+      gpiod_set_value_cansleep(priv_data->enable_gpio, 1);
-+      msleep(200);
-+      gpiod_set_value_cansleep(priv_data->booster_gpio, 0);
-+      msleep(200);
-+
-+      kfree(priv_data);
-+
-+      return 0;
-+}
-+
-+static void ma120x0p_i2c_shutdown(struct i2c_client *i2c)
-+{
-+      snd_soc_unregister_component(&i2c->dev);
-+      i2c_set_clientdata(i2c, NULL);
-+
-+      gpiod_set_value_cansleep(priv_data->mute_gpio, 0);
-+      msleep(30);
-+      gpiod_set_value_cansleep(priv_data->enable_gpio, 1);
-+      msleep(200);
-+      gpiod_set_value_cansleep(priv_data->booster_gpio, 0);
-+      msleep(200);
-+
-+      kfree(priv_data);
-+}
-+
-+static const struct i2c_device_id ma120x0p_i2c_id[] = {
-+      { "ma120x0p", 0 },
-+      { }
-+};
-+
-+MODULE_DEVICE_TABLE(i2c, ma120x0p_i2c_id);
-+
-+static struct i2c_driver ma120x0p_i2c_driver = {
-+      .driver = {
-+              .name = "ma120x0p",
-+              .owner = THIS_MODULE,
-+              .of_match_table = ma120x0p_of_match,
-+      },
-+      .probe = ma120x0p_i2c_probe,
-+      .remove = ma120x0p_i2c_remove,
-+      .shutdown = ma120x0p_i2c_shutdown,
-+      .id_table = ma120x0p_i2c_id
-+};
-+
-+static int __init ma120x0p_modinit(void)
-+{
-+      int ret = 0;
-+
-+      ret = i2c_add_driver(&ma120x0p_i2c_driver);
-+      if (ret != 0) {
-+              pr_err("Failed to register MA120X0P I2C driver: %d\n", ret);
-+              return ret;
-+      }
-+      return ret;
-+}
-+module_init(ma120x0p_modinit);
-+
-+static void __exit ma120x0p_exit(void)
-+{
-+      i2c_del_driver(&ma120x0p_i2c_driver);
-+}
-+module_exit(ma120x0p_exit);
-+
-+MODULE_AUTHOR("Ariel Muszkat ariel.muszkat@gmail.com>");
-+MODULE_DESCRIPTION("ASoC driver for ma120x0p");
-+MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0470-drm-modes-parse_cmdline-Stop-parsing-extras-after-bp.patch b/target/linux/bcm27xx/patches-5.4/950-0470-drm-modes-parse_cmdline-Stop-parsing-extras-after-bp.patch
new file mode 100644 (file)
index 0000000..1d356eb
--- /dev/null
@@ -0,0 +1,95 @@
+From 0e7c5e80d8d310a881d723a426762e8822d5bf35 Mon Sep 17 00:00:00 2001
+From: Hans de Goede <hdegoede@redhat.com>
+Date: Mon, 18 Nov 2019 16:51:24 +0100
+Subject: [PATCH] drm/modes: parse_cmdline: Stop parsing extras after
+ bpp / refresh at ', '
+
+Commit c2ed3e941901810ad3d55ce1935fa22c5007fee4 upstream.
+
+Before this commit it was impossible to add an extra mode argument after
+a bpp or refresh specifier, combined with an option, e.g.
+video=HDMI-1:720x480-24e,rotate=180 would not work, either the "e" to
+force enable would need to be dropped or the ",rotate=180", otherwise
+the mode_option would not be accepted.
+
+This commit fixes this by fixing the length calculation if extras_ptr
+is set to stop the extra parsing at the start of the options (stop at the
+',' options_ptr points to).
+
+Acked-by: Maxime Ripard <mripard@kernel.org>
+Signed-off-by: Hans de Goede <hdegoede@redhat.com>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-3-hdegoede@redhat.com
+---
+ drivers/gpu/drm/drm_modes.c                   | 10 ++++---
+ .../gpu/drm/selftests/drm_cmdline_selftests.h |  1 +
+ .../drm/selftests/test-drm_cmdline_parser.c   | 26 +++++++++++++++++++
+ 3 files changed, 33 insertions(+), 4 deletions(-)
+
+--- a/drivers/gpu/drm/drm_modes.c
++++ b/drivers/gpu/drm/drm_modes.c
+@@ -1728,7 +1728,7 @@ bool drm_mode_parse_command_line_for_con
+       const char *bpp_ptr = NULL, *refresh_ptr = NULL, *extra_ptr = NULL;
+       const char *options_ptr = NULL;
+       char *bpp_end_ptr = NULL, *refresh_end_ptr = NULL;
+-      int ret;
++      int i, len, ret;
+ #ifdef CONFIG_FB
+       if (!mode_option)
+@@ -1848,9 +1848,11 @@ bool drm_mode_parse_command_line_for_con
+       else if (refresh_ptr)
+               extra_ptr = refresh_end_ptr;
+-      if (extra_ptr &&
+-          extra_ptr != options_ptr) {
+-              int len = strlen(name) - (extra_ptr - name);
++      if (extra_ptr) {
++              if (options_ptr)
++                      len = options_ptr - extra_ptr;
++              else
++                      len = strlen(extra_ptr);
+               ret = drm_mode_parse_cmdline_extra(extra_ptr, len, false,
+                                                  connector, mode);
+--- a/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
++++ b/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
+@@ -61,3 +61,4 @@ cmdline_test(drm_cmdline_test_vmirror)
+ cmdline_test(drm_cmdline_test_margin_options)
+ cmdline_test(drm_cmdline_test_multiple_options)
+ cmdline_test(drm_cmdline_test_invalid_option)
++cmdline_test(drm_cmdline_test_bpp_extra_and_option)
+--- a/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
++++ b/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
+@@ -1003,6 +1003,32 @@ static int drm_cmdline_test_invalid_opti
+       return 0;
+ }
++static int drm_cmdline_test_bpp_extra_and_option(void *ignored)
++{
++      struct drm_cmdline_mode mode = { };
++
++      FAIL_ON(!drm_mode_parse_command_line_for_connector("720x480-24e,rotate=180",
++                                                         &no_connector,
++                                                         &mode));
++      FAIL_ON(!mode.specified);
++      FAIL_ON(mode.xres != 720);
++      FAIL_ON(mode.yres != 480);
++      FAIL_ON(mode.rotation_reflection != DRM_MODE_ROTATE_180);
++
++      FAIL_ON(mode.refresh_specified);
++
++      FAIL_ON(!mode.bpp_specified);
++      FAIL_ON(mode.bpp != 24);
++
++      FAIL_ON(mode.rb);
++      FAIL_ON(mode.cvt);
++      FAIL_ON(mode.interlace);
++      FAIL_ON(mode.margins);
++      FAIL_ON(mode.force != DRM_FORCE_ON);
++
++      return 0;
++}
++
+ #include "drm_selftest.c"
+ static int __init test_drm_cmdline_init(void)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0471-ARM-dts-bcm2711-Add-32-bit-PMU-compatibility.patch b/target/linux/bcm27xx/patches-5.4/950-0471-ARM-dts-bcm2711-Add-32-bit-PMU-compatibility.patch
deleted file mode 100644 (file)
index f549e73..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From e25d9a93812847b4ddc9e883d0cd45b32f8e2f76 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Tue, 17 Mar 2020 16:39:07 +0000
-Subject: [PATCH] ARM: dts: bcm2711: Add 32-bit PMU compatibility
-
-The "arm" architecture has no support for the cortex-a72 as such, but
-the performance and measurement unit from the cortex-a15 seems to be
-compatible.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711-rpi.dtsi | 4 ++++
- 1 file changed, 4 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -12,6 +12,10 @@
-               sd_poll_once = <&emmc2>, "non-removable?";
-       };
-+      arm-pmu {
-+              compatible = "arm,cortex-a72-pmu", "arm,cortex-a15-pmu";
-+      };
-+
-       v3dbus {
-               compatible = "simple-bus";
-               #address-cells = <1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0471-drm-modes-parse_cmdline-Accept-extras-directly-after.patch b/target/linux/bcm27xx/patches-5.4/950-0471-drm-modes-parse_cmdline-Accept-extras-directly-after.patch
new file mode 100644 (file)
index 0000000..8d9a92e
--- /dev/null
@@ -0,0 +1,77 @@
+From bc4d8c5519c74b9bdf4d35369ba76bac01be8ca2 Mon Sep 17 00:00:00 2001
+From: Hans de Goede <hdegoede@redhat.com>
+Date: Mon, 18 Nov 2019 16:51:25 +0100
+Subject: [PATCH] drm/modes: parse_cmdline: Accept extras directly
+ after mode combined with options
+
+Commit cfb0881b8f621b656a9e23b31944a5db94cf5842 upstream.
+
+Before this commit it was impossible to combine an extra mode argument
+specified directly after the resolution with an option, e.g.
+video=HDMI-1:720x480e,rotate=180 would not work, either the "e" to force
+enable would need to be dropped or the ",rotate=180", otherwise the
+mode_option would not be accepted.
+
+This commit fixes this by setting parse_extras to true in this case, so
+that drm_mode_parse_cmdline_res_mode() parses the extra arguments directly
+after the resolution.
+
+Acked-by: Maxime Ripard <mripard@kernel.org>
+Signed-off-by: Hans de Goede <hdegoede@redhat.com>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-4-hdegoede@redhat.com
+---
+ drivers/gpu/drm/drm_modes.c                   |  1 +
+ .../gpu/drm/selftests/drm_cmdline_selftests.h |  1 +
+ .../drm/selftests/test-drm_cmdline_parser.c   | 24 +++++++++++++++++++
+ 3 files changed, 26 insertions(+)
+
+--- a/drivers/gpu/drm/drm_modes.c
++++ b/drivers/gpu/drm/drm_modes.c
+@@ -1801,6 +1801,7 @@ bool drm_mode_parse_command_line_for_con
+               mode_end = refresh_off;
+       } else if (options_ptr) {
+               mode_end = options_off;
++              parse_extras = true;
+       } else {
+               mode_end = strlen(name);
+               parse_extras = true;
+--- a/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
++++ b/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
+@@ -62,3 +62,4 @@ cmdline_test(drm_cmdline_test_margin_opt
+ cmdline_test(drm_cmdline_test_multiple_options)
+ cmdline_test(drm_cmdline_test_invalid_option)
+ cmdline_test(drm_cmdline_test_bpp_extra_and_option)
++cmdline_test(drm_cmdline_test_extra_and_option)
+--- a/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
++++ b/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
+@@ -1029,6 +1029,30 @@ static int drm_cmdline_test_bpp_extra_an
+       return 0;
+ }
++static int drm_cmdline_test_extra_and_option(void *ignored)
++{
++      struct drm_cmdline_mode mode = { };
++
++      FAIL_ON(!drm_mode_parse_command_line_for_connector("720x480e,rotate=180",
++                                                         &no_connector,
++                                                         &mode));
++      FAIL_ON(!mode.specified);
++      FAIL_ON(mode.xres != 720);
++      FAIL_ON(mode.yres != 480);
++      FAIL_ON(mode.rotation_reflection != DRM_MODE_ROTATE_180);
++
++      FAIL_ON(mode.refresh_specified);
++      FAIL_ON(mode.bpp_specified);
++
++      FAIL_ON(mode.rb);
++      FAIL_ON(mode.cvt);
++      FAIL_ON(mode.interlace);
++      FAIL_ON(mode.margins);
++      FAIL_ON(mode.force != DRM_FORCE_ON);
++
++      return 0;
++}
++
+ #include "drm_selftest.c"
+ static int __init test_drm_cmdline_init(void)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0472-ARM-dts-bcm271x-Use-a53-pmu-drop-RPI364.patch b/target/linux/bcm27xx/patches-5.4/950-0472-ARM-dts-bcm271x-Use-a53-pmu-drop-RPI364.patch
deleted file mode 100644 (file)
index 9504bb3..0000000
+++ /dev/null
@@ -1,67 +0,0 @@
-From 70b0d5d07426e1b9c34ddd6ab4ee99b8c2fb81a6 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Thu, 19 Mar 2020 10:04:46 +0000
-Subject: [PATCH] ARM: dts: bcm271x: Use a53 pmu, drop RPI364
-
-The upstream bcm2837.dtsi uses cortex-a53-pmu, so we can do the same
-but with a fallback to the cortex-a7-pmu which is supported by the
-32-bit kernel.
-
-Now that we're using the natural fallback mechanism of compatible
-strings, the RPI364 macro no longer serves any purpose - remove it.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2710.dtsi                        | 6 +-----
- arch/arm64/boot/dts/broadcom/bcm2710-rpi-2-b.dts      | 2 --
- arch/arm64/boot/dts/broadcom/bcm2710-rpi-3-b-plus.dts | 2 --
- arch/arm64/boot/dts/broadcom/bcm2710-rpi-3-b.dts      | 2 --
- arch/arm64/boot/dts/broadcom/bcm2710-rpi-cm3.dts      | 2 --
- arch/arm64/boot/dts/broadcom/bcm2711-rpi-4-b.dts      | 2 --
- 6 files changed, 1 insertion(+), 15 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2710.dtsi
-+++ b/arch/arm/boot/dts/bcm2710.dtsi
-@@ -5,11 +5,7 @@
-       compatible = "brcm,bcm2837", "brcm,bcm2836";
-       arm-pmu {
--#ifdef RPI364
--              compatible = "arm,armv8-pmuv3", "arm,cortex-a7-pmu";
--#else
--              compatible = "arm,cortex-a7-pmu";
--#endif
-+              compatible = "arm,cortex-a53-pmu", "arm,cortex-a7-pmu";
-       };
-       soc {
---- a/arch/arm64/boot/dts/broadcom/bcm2710-rpi-2-b.dts
-+++ b/arch/arm64/boot/dts/broadcom/bcm2710-rpi-2-b.dts
-@@ -1,3 +1 @@
--#define RPI364
--
- #include "../../../../arm/boot/dts/bcm2710-rpi-2-b.dts"
---- a/arch/arm64/boot/dts/broadcom/bcm2710-rpi-3-b-plus.dts
-+++ b/arch/arm64/boot/dts/broadcom/bcm2710-rpi-3-b-plus.dts
-@@ -1,3 +1 @@
--#define RPI364
--
- #include "../../../../arm/boot/dts/bcm2710-rpi-3-b-plus.dts"
---- a/arch/arm64/boot/dts/broadcom/bcm2710-rpi-3-b.dts
-+++ b/arch/arm64/boot/dts/broadcom/bcm2710-rpi-3-b.dts
-@@ -1,3 +1 @@
--#define RPI364
--
- #include "../../../../arm/boot/dts/bcm2710-rpi-3-b.dts"
---- a/arch/arm64/boot/dts/broadcom/bcm2710-rpi-cm3.dts
-+++ b/arch/arm64/boot/dts/broadcom/bcm2710-rpi-cm3.dts
-@@ -1,3 +1 @@
--#define RPI364
--
- #include "../../../../arm/boot/dts/bcm2710-rpi-cm3.dts"
---- a/arch/arm64/boot/dts/broadcom/bcm2711-rpi-4-b.dts
-+++ b/arch/arm64/boot/dts/broadcom/bcm2711-rpi-4-b.dts
-@@ -1,3 +1 @@
--#define RPI364
--
- #include "../../../../arm/boot/dts/bcm2711-rpi-4-b.dts"
diff --git a/target/linux/bcm27xx/patches-5.4/950-0472-drm-modes-parse_cmdline-Rework-drm_mode_parse_cmdlin.patch b/target/linux/bcm27xx/patches-5.4/950-0472-drm-modes-parse_cmdline-Rework-drm_mode_parse_cmdlin.patch
new file mode 100644 (file)
index 0000000..1716ebd
--- /dev/null
@@ -0,0 +1,76 @@
+From 5b6257773b43e7a7b28f86359d2e9ebe15346b78 Mon Sep 17 00:00:00 2001
+From: Hans de Goede <hdegoede@redhat.com>
+Date: Mon, 18 Nov 2019 16:51:26 +0100
+Subject: [PATCH] drm/modes: parse_cmdline: Rework
+ drm_mode_parse_cmdline_options()
+
+Commit 739b200c2edcaaa7a86f37b0c11db57956433dfb upstream.
+
+Refactor drm_mode_parse_cmdline_options() so that it takes a pointer
+to the first option, rather then a pointer to the ',' before the first
+option.
+
+This is a preparation patch for allowing parsing of stand-alone options
+without a mode before them, e.g.: video=HDMI-1:margin_right=14,...
+
+Acked-by: Maxime Ripard <mripard@kernel.org>
+Signed-off-by: Hans de Goede <hdegoede@redhat.com>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-5-hdegoede@redhat.com
+---
+ drivers/gpu/drm/drm_modes.c | 21 +++++++++------------
+ 1 file changed, 9 insertions(+), 12 deletions(-)
+
+--- a/drivers/gpu/drm/drm_modes.c
++++ b/drivers/gpu/drm/drm_modes.c
+@@ -1591,23 +1591,21 @@ static int drm_mode_parse_cmdline_int(co
+       return 0;
+ }
+-static int drm_mode_parse_cmdline_options(const char *str, size_t len,
++static int drm_mode_parse_cmdline_options(const char *str,
+                                         const struct drm_connector *connector,
+                                         struct drm_cmdline_mode *mode)
+ {
+       unsigned int deg, margin, rotation = 0;
+-      const char *sep = str;
++      const char *delim, *option, *sep;
+-      while ((sep = strchr(sep, ','))) {
+-              const char *delim, *option;
+-
+-              option = sep + 1;
++      option = str;
++      do {
+               delim = strchr(option, '=');
+               if (!delim) {
+                       delim = strchr(option, ',');
+                       if (!delim)
+-                              delim = str + len;
++                              delim = option + strlen(option);
+               }
+               if (!strncmp(option, "rotate", delim - option)) {
+@@ -1661,8 +1659,9 @@ static int drm_mode_parse_cmdline_option
+               } else {
+                       return -EINVAL;
+               }
+-              sep = delim;
+-      }
++              sep = strchr(delim, ',');
++              option = sep + 1;
++      } while (sep);
+       if (!(rotation & DRM_MODE_ROTATE_MASK))
+               rotation |= DRM_MODE_ROTATE_0;
+@@ -1862,9 +1861,7 @@ bool drm_mode_parse_command_line_for_con
+       }
+       if (options_ptr) {
+-              int len = strlen(name) - (options_ptr - name);
+-
+-              ret = drm_mode_parse_cmdline_options(options_ptr, len,
++              ret = drm_mode_parse_cmdline_options(options_ptr + 1,
+                                                    connector, mode);
+               if (ret)
+                       return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0473-drm-modes-parse_cmdline-Add-freestanding-argument-to.patch b/target/linux/bcm27xx/patches-5.4/950-0473-drm-modes-parse_cmdline-Add-freestanding-argument-to.patch
new file mode 100644 (file)
index 0000000..6ed952b
--- /dev/null
@@ -0,0 +1,49 @@
+From d3c76025a7de614fade5ffcaa8c1d88d8d64213e Mon Sep 17 00:00:00 2001
+From: Hans de Goede <hdegoede@redhat.com>
+Date: Mon, 18 Nov 2019 16:51:27 +0100
+Subject: [PATCH] drm/modes: parse_cmdline: Add freestanding argument
+ to drm_mode_parse_cmdline_options()
+
+Commit 99e2716e053734b70434502867be24d20a3e2d84 upstream.
+
+Add a freestanding function argument to drm_mode_parse_cmdline_options()
+similar to how drm_mode_parse_cmdline_extra() already has this.
+
+This is a preparation patch for allowing parsing of stand-alone options
+without a mode before them, e.g.: video=HDMI-1:margin_right=14,...
+
+Acked-by: Maxime Ripard <mripard@kernel.org>
+Signed-off-by: Hans de Goede <hdegoede@redhat.com>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-6-hdegoede@redhat.com
+---
+ drivers/gpu/drm/drm_modes.c | 5 +++++
+ 1 file changed, 5 insertions(+)
+
+--- a/drivers/gpu/drm/drm_modes.c
++++ b/drivers/gpu/drm/drm_modes.c
+@@ -1592,6 +1592,7 @@ static int drm_mode_parse_cmdline_int(co
+ }
+ static int drm_mode_parse_cmdline_options(const char *str,
++                                        bool freestanding,
+                                         const struct drm_connector *connector,
+                                         struct drm_cmdline_mode *mode)
+ {
+@@ -1670,6 +1671,9 @@ static int drm_mode_parse_cmdline_option
+       if (!is_power_of_2(rotation & DRM_MODE_ROTATE_MASK))
+               return -EINVAL;
++      if (rotation && freestanding)
++              return -EINVAL;
++
+       mode->rotation_reflection = rotation;
+       return 0;
+@@ -1862,6 +1866,7 @@ bool drm_mode_parse_command_line_for_con
+       if (options_ptr) {
+               ret = drm_mode_parse_cmdline_options(options_ptr + 1,
++                                                   false,
+                                                    connector, mode);
+               if (ret)
+                       return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0473-net-bcmgenet-Clear-ID_MODE_DIS-in-EXT_RGMII_OOB_CTRL.patch b/target/linux/bcm27xx/patches-5.4/950-0473-net-bcmgenet-Clear-ID_MODE_DIS-in-EXT_RGMII_OOB_CTRL.patch
deleted file mode 100644 (file)
index 9d80904..0000000
+++ /dev/null
@@ -1,32 +0,0 @@
-From cff8c5c2a95a4afd65bfa3198258d03bc790cddb Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Tue, 25 Feb 2020 14:11:59 +0100
-Subject: [PATCH] net: bcmgenet: Clear ID_MODE_DIS in
- EXT_RGMII_OOB_CTRL when not needed
-
-commit 402482a6a78e5c61d8a2ec6311fc5b4aca392cd6 upstream.
-
-Outdated Raspberry Pi 4 firmware might configure the external PHY as
-rgmii although the kernel currently sets it as rgmii-rxid. This makes
-connections unreliable as ID_MODE_DIS is left enabled. To avoid this,
-explicitly clear that bit whenever we don't need it.
-
-Fixes: da38802211cc ("net: bcmgenet: Add RGMII_RXID support")
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Acked-by: Florian Fainelli <f.fainelli@gmail.com>
-Signed-off-by: David S. Miller <davem@davemloft.net>
-Signed-off-by: Matthias Reichl <hias@horus.com>
----
- drivers/net/ethernet/broadcom/genet/bcmmii.c | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/drivers/net/ethernet/broadcom/genet/bcmmii.c
-+++ b/drivers/net/ethernet/broadcom/genet/bcmmii.c
-@@ -292,6 +292,7 @@ int bcmgenet_mii_config(struct net_devic
-        */
-       if (priv->ext_phy) {
-               reg = bcmgenet_ext_readl(priv, EXT_RGMII_OOB_CTRL);
-+              reg &= ~ID_MODE_DIS;
-               reg |= id_mode_dis;
-               if (GENET_IS_V1(priv) || GENET_IS_V2(priv) || GENET_IS_V3(priv))
-                       reg |= RGMII_MODE_EN_V123;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0474-drm-modes-parse_cmdline-Fix-possible-reference-past-.patch b/target/linux/bcm27xx/patches-5.4/950-0474-drm-modes-parse_cmdline-Fix-possible-reference-past-.patch
deleted file mode 100644 (file)
index 9b514c3..0000000
+++ /dev/null
@@ -1,141 +0,0 @@
-From fade8b3cf37785297b4f8a9bbd13ab107208af5a Mon Sep 17 00:00:00 2001
-From: Hans de Goede <hdegoede@redhat.com>
-Date: Mon, 18 Nov 2019 16:51:22 +0100
-Subject: [PATCH] drm/modes: parse_cmdline: Fix possible reference past
- end of string
-
-Commit 8582e244e5fe72d2e9ace186fa8f3ed3bb4122e1 upstream.
-
-Before this commit, if the last option of a video=... option is for
-example "rotate" without a "=<value>" after it then delim will point to
-the terminating 0 of the string, and value which is sets to <delim + 1>
-will point one position past the end of the string.
-
-This commit fixes this by enforcing that the contents of delim equals '='
-as it should be for options which take a value, this check is done in a
-new drm_mode_parse_cmdline_int helper function which factors out the
-common integer parsing code for all the options which take an int.
-
-Acked-by: Maxime Ripard <mripard@kernel.org>
-Signed-off-by: Hans de Goede <hdegoede@redhat.com>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-1-hdegoede@redhat.com
----
- drivers/gpu/drm/drm_modes.c | 68 ++++++++++++++++---------------------
- 1 file changed, 30 insertions(+), 38 deletions(-)
-
---- a/drivers/gpu/drm/drm_modes.c
-+++ b/drivers/gpu/drm/drm_modes.c
-@@ -1568,11 +1568,34 @@ static int drm_mode_parse_cmdline_res_mo
-       return 0;
- }
-+static int drm_mode_parse_cmdline_int(const char *delim, unsigned int *int_ret)
-+{
-+      const char *value;
-+      char *endp;
-+
-+      /*
-+       * delim must point to the '=', otherwise it is a syntax error and
-+       * if delim points to the terminating zero, then delim + 1 wil point
-+       * past the end of the string.
-+       */
-+      if (*delim != '=')
-+              return -EINVAL;
-+
-+      value = delim + 1;
-+      *int_ret = simple_strtol(value, &endp, 10);
-+
-+      /* Make sure we have parsed something */
-+      if (endp == value)
-+              return -EINVAL;
-+
-+      return 0;
-+}
-+
- static int drm_mode_parse_cmdline_options(char *str, size_t len,
-                                         const struct drm_connector *connector,
-                                         struct drm_cmdline_mode *mode)
- {
--      unsigned int rotation = 0;
-+      unsigned int deg, margin, rotation = 0;
-       char *sep = str;
-       while ((sep = strchr(sep, ','))) {
-@@ -1588,13 +1611,7 @@ static int drm_mode_parse_cmdline_option
-               }
-               if (!strncmp(option, "rotate", delim - option)) {
--                      const char *value = delim + 1;
--                      unsigned int deg;
--
--                      deg = simple_strtol(value, &sep, 10);
--
--                      /* Make sure we have parsed something */
--                      if (sep == value)
-+                      if (drm_mode_parse_cmdline_int(delim, &deg))
-                               return -EINVAL;
-                       switch (deg) {
-@@ -1619,57 +1636,32 @@ static int drm_mode_parse_cmdline_option
-                       }
-               } else if (!strncmp(option, "reflect_x", delim - option)) {
-                       rotation |= DRM_MODE_REFLECT_X;
--                      sep = delim;
-               } else if (!strncmp(option, "reflect_y", delim - option)) {
-                       rotation |= DRM_MODE_REFLECT_Y;
--                      sep = delim;
-               } else if (!strncmp(option, "margin_right", delim - option)) {
--                      const char *value = delim + 1;
--                      unsigned int margin;
--
--                      margin = simple_strtol(value, &sep, 10);
--
--                      /* Make sure we have parsed something */
--                      if (sep == value)
-+                      if (drm_mode_parse_cmdline_int(delim, &margin))
-                               return -EINVAL;
-                       mode->tv_margins.right = margin;
-               } else if (!strncmp(option, "margin_left", delim - option)) {
--                      const char *value = delim + 1;
--                      unsigned int margin;
--
--                      margin = simple_strtol(value, &sep, 10);
--
--                      /* Make sure we have parsed something */
--                      if (sep == value)
-+                      if (drm_mode_parse_cmdline_int(delim, &margin))
-                               return -EINVAL;
-                       mode->tv_margins.left = margin;
-               } else if (!strncmp(option, "margin_top", delim - option)) {
--                      const char *value = delim + 1;
--                      unsigned int margin;
--
--                      margin = simple_strtol(value, &sep, 10);
--
--                      /* Make sure we have parsed something */
--                      if (sep == value)
-+                      if (drm_mode_parse_cmdline_int(delim, &margin))
-                               return -EINVAL;
-                       mode->tv_margins.top = margin;
-               } else if (!strncmp(option, "margin_bottom", delim - option)) {
--                      const char *value = delim + 1;
--                      unsigned int margin;
--
--                      margin = simple_strtol(value, &sep, 10);
--
--                      /* Make sure we have parsed something */
--                      if (sep == value)
-+                      if (drm_mode_parse_cmdline_int(delim, &margin))
-                               return -EINVAL;
-                       mode->tv_margins.bottom = margin;
-               } else {
-                       return -EINVAL;
-               }
-+              sep = delim;
-       }
-       if (!(rotation & DRM_MODE_ROTATE_MASK))
diff --git a/target/linux/bcm27xx/patches-5.4/950-0474-drm-modes-parse_cmdline-Set-bpp-refresh_specified-af.patch b/target/linux/bcm27xx/patches-5.4/950-0474-drm-modes-parse_cmdline-Set-bpp-refresh_specified-af.patch
new file mode 100644 (file)
index 0000000..ac973e1
--- /dev/null
@@ -0,0 +1,64 @@
+From 5b7efd2fa0c75164373d6faf28fec4b89065d39c Mon Sep 17 00:00:00 2001
+From: Hans de Goede <hdegoede@redhat.com>
+Date: Mon, 18 Nov 2019 16:51:28 +0100
+Subject: [PATCH] drm/modes: parse_cmdline: Set bpp/refresh_specified
+ after successful parsing
+
+Commit 6a2d163756545aa3180d7851d5f8322b865e72be upstream.
+
+drm_connector_get_cmdline_mode() calls
+drm_mode_parse_command_line_for_connector() with &connector->cmdline_mode
+as mode argument, so anything which we store in the mode arguments gets
+kept even if we return false.
+
+Avoid storing a possibly false-postive bpp/refresh_specified setting
+in connector->cmdline_mode by moving the setting of these to after
+successful parsing of the bpp/refresh parts of the video= argument.
+
+Acked-by: Maxime Ripard <mripard@kernel.org>
+Signed-off-by: Hans de Goede <hdegoede@redhat.com>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-7-hdegoede@redhat.com
+---
+ drivers/gpu/drm/drm_modes.c | 9 +++++----
+ 1 file changed, 5 insertions(+), 4 deletions(-)
+
+--- a/drivers/gpu/drm/drm_modes.c
++++ b/drivers/gpu/drm/drm_modes.c
+@@ -1778,10 +1778,8 @@ bool drm_mode_parse_command_line_for_con
+       /* Try to locate the bpp and refresh specifiers, if any */
+       bpp_ptr = strchr(name, '-');
+-      if (bpp_ptr) {
++      if (bpp_ptr)
+               bpp_off = bpp_ptr - name;
+-              mode->bpp_specified = true;
+-      }
+       refresh_ptr = strchr(name, '@');
+       if (refresh_ptr) {
+@@ -1789,7 +1787,6 @@ bool drm_mode_parse_command_line_for_con
+                       return false;
+               refresh_off = refresh_ptr - name;
+-              mode->refresh_specified = true;
+       }
+       /* Locate the start of named options */
+@@ -1832,6 +1829,8 @@ bool drm_mode_parse_command_line_for_con
+               ret = drm_mode_parse_cmdline_bpp(bpp_ptr, &bpp_end_ptr, mode);
+               if (ret)
+                       return false;
++
++              mode->bpp_specified = true;
+       }
+       if (refresh_ptr) {
+@@ -1839,6 +1838,8 @@ bool drm_mode_parse_command_line_for_con
+                                                    &refresh_end_ptr, mode);
+               if (ret)
+                       return false;
++
++              mode->refresh_specified = true;
+       }
+       /*
diff --git a/target/linux/bcm27xx/patches-5.4/950-0475-drm-modes-parse_cmdline-Allow-specifying-stand-alone.patch b/target/linux/bcm27xx/patches-5.4/950-0475-drm-modes-parse_cmdline-Allow-specifying-stand-alone.patch
new file mode 100644 (file)
index 0000000..746f35f
--- /dev/null
@@ -0,0 +1,247 @@
+From b3212eba63b541206e12c8dc31fc0d99d916b210 Mon Sep 17 00:00:00 2001
+From: Hans de Goede <hdegoede@redhat.com>
+Date: Mon, 18 Nov 2019 16:51:29 +0100
+Subject: [PATCH] drm/modes: parse_cmdline: Allow specifying
+ stand-alone options
+
+Commit 7b1cce760afe38b40f0989cdf10b2190dccf9815 upstream.
+
+Some options which can be specified on the commandline, such as
+margin_right=..., margin_left=..., etc. are applied not only to the
+specified mode, but to all modes. As such it would be nice if the user
+can simply say e.g.
+video=HDMI-1:margin_right=14,margin_left=24,margin_bottom=36,margin_top=42
+
+This commit refactors drm_mode_parse_command_line_for_connector() to
+add support for this, and as a nice side effect also cleans up the
+function a bit.
+
+Acked-by: Maxime Ripard <mripard@kernel.org>
+Signed-off-by: Hans de Goede <hdegoede@redhat.com>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-8-hdegoede@redhat.com
+---
+ drivers/gpu/drm/drm_modes.c                   | 92 +++++++------------
+ .../gpu/drm/selftests/drm_cmdline_selftests.h |  2 +
+ .../drm/selftests/test-drm_cmdline_parser.c   | 50 ++++++++++
+ 3 files changed, 86 insertions(+), 58 deletions(-)
+
+--- a/drivers/gpu/drm/drm_modes.c
++++ b/drivers/gpu/drm/drm_modes.c
+@@ -1684,17 +1684,6 @@ static const char * const drm_named_mode
+       "PAL",
+ };
+-static bool drm_named_mode_is_in_whitelist(const char *mode, unsigned int size)
+-{
+-      int i;
+-
+-      for (i = 0; i < ARRAY_SIZE(drm_named_modes_whitelist); i++)
+-              if (!strncmp(mode, drm_named_modes_whitelist[i], size))
+-                      return true;
+-
+-      return false;
+-}
+-
+ /**
+  * drm_mode_parse_command_line_for_connector - parse command line modeline for connector
+  * @mode_option: optional per connector mode option
+@@ -1725,7 +1714,7 @@ bool drm_mode_parse_command_line_for_con
+                                              struct drm_cmdline_mode *mode)
+ {
+       const char *name;
+-      bool named_mode = false, parse_extras = false;
++      bool freestanding = false, parse_extras = false;
+       unsigned int bpp_off = 0, refresh_off = 0, options_off = 0;
+       unsigned int mode_end = 0;
+       const char *bpp_ptr = NULL, *refresh_ptr = NULL, *extra_ptr = NULL;
+@@ -1745,49 +1734,14 @@ bool drm_mode_parse_command_line_for_con
+       name = mode_option;
+-      /*
+-       * This is a bit convoluted. To differentiate between the
+-       * named modes and poorly formatted resolutions, we need a
+-       * bunch of things:
+-       *   - We need to make sure that the first character (which
+-       *     would be our resolution in X) is a digit.
+-       *   - If not, then it's either a named mode or a force on/off.
+-       *     To distinguish between the two, we need to run the
+-       *     extra parsing function, and if not, then we consider it
+-       *     a named mode.
+-       *
+-       * If this isn't enough, we should add more heuristics here,
+-       * and matching unit-tests.
+-       */
+-      if (!isdigit(name[0]) && name[0] != 'x') {
+-              unsigned int namelen = strlen(name);
+-
+-              /*
+-               * Only the force on/off options can be in that case,
+-               * and they all take a single character.
+-               */
+-              if (namelen == 1) {
+-                      ret = drm_mode_parse_cmdline_extra(name, namelen, true,
+-                                                         connector, mode);
+-                      if (!ret)
+-                              return true;
+-              }
+-
+-              named_mode = true;
+-      }
+-
+       /* Try to locate the bpp and refresh specifiers, if any */
+       bpp_ptr = strchr(name, '-');
+       if (bpp_ptr)
+               bpp_off = bpp_ptr - name;
+       refresh_ptr = strchr(name, '@');
+-      if (refresh_ptr) {
+-              if (named_mode)
+-                      return false;
+-
++      if (refresh_ptr)
+               refresh_off = refresh_ptr - name;
+-      }
+       /* Locate the start of named options */
+       options_ptr = strchr(name, ',');
+@@ -1807,23 +1761,45 @@ bool drm_mode_parse_command_line_for_con
+               parse_extras = true;
+       }
+-      if (named_mode) {
+-              if (mode_end + 1 > DRM_DISPLAY_MODE_LEN)
+-                      return false;
+-
+-              if (!drm_named_mode_is_in_whitelist(name, mode_end))
+-                      return false;
++      /* First check for a named mode */
++      for (i = 0; i < ARRAY_SIZE(drm_named_modes_whitelist); i++) {
++              ret = str_has_prefix(name, drm_named_modes_whitelist[i]);
++              if (ret == mode_end) {
++                      if (refresh_ptr)
++                              return false; /* named + refresh is invalid */
++
++                      strcpy(mode->name, drm_named_modes_whitelist[i]);
++                      mode->specified = true;
++                      break;
++              }
++      }
+-              strscpy(mode->name, name, mode_end + 1);
+-      } else {
++      /* No named mode? Check for a normal mode argument, e.g. 1024x768 */
++      if (!mode->specified && isdigit(name[0])) {
+               ret = drm_mode_parse_cmdline_res_mode(name, mode_end,
+                                                     parse_extras,
+                                                     connector,
+                                                     mode);
+               if (ret)
+                       return false;
++
++              mode->specified = true;
++      }
++
++      /* No mode? Check for freestanding extras and/or options */
++      if (!mode->specified) {
++              unsigned int len = strlen(mode_option);
++
++              if (bpp_ptr || refresh_ptr)
++                      return false; /* syntax error */
++
++              if (len == 1 || (len >= 2 && mode_option[1] == ','))
++                      extra_ptr = mode_option;
++              else
++                      options_ptr = mode_option - 1;
++
++              freestanding = true;
+       }
+-      mode->specified = true;
+       if (bpp_ptr) {
+               ret = drm_mode_parse_cmdline_bpp(bpp_ptr, &bpp_end_ptr, mode);
+@@ -1859,7 +1835,7 @@ bool drm_mode_parse_command_line_for_con
+               else
+                       len = strlen(extra_ptr);
+-              ret = drm_mode_parse_cmdline_extra(extra_ptr, len, false,
++              ret = drm_mode_parse_cmdline_extra(extra_ptr, len, freestanding,
+                                                  connector, mode);
+               if (ret)
+                       return false;
+@@ -1867,7 +1843,7 @@ bool drm_mode_parse_command_line_for_con
+       if (options_ptr) {
+               ret = drm_mode_parse_cmdline_options(options_ptr + 1,
+-                                                   false,
++                                                   freestanding,
+                                                    connector, mode);
+               if (ret)
+                       return false;
+--- a/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
++++ b/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
+@@ -63,3 +63,5 @@ cmdline_test(drm_cmdline_test_multiple_o
+ cmdline_test(drm_cmdline_test_invalid_option)
+ cmdline_test(drm_cmdline_test_bpp_extra_and_option)
+ cmdline_test(drm_cmdline_test_extra_and_option)
++cmdline_test(drm_cmdline_test_freestanding_options)
++cmdline_test(drm_cmdline_test_freestanding_force_e_and_options)
+--- a/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
++++ b/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
+@@ -1053,6 +1053,56 @@ static int drm_cmdline_test_extra_and_op
+       return 0;
+ }
++static int drm_cmdline_test_freestanding_options(void *ignored)
++{
++      struct drm_cmdline_mode mode = { };
++
++      FAIL_ON(!drm_mode_parse_command_line_for_connector("margin_right=14,margin_left=24,margin_bottom=36,margin_top=42",
++                                                         &no_connector,
++                                                         &mode));
++      FAIL_ON(mode.specified);
++      FAIL_ON(mode.refresh_specified);
++      FAIL_ON(mode.bpp_specified);
++
++      FAIL_ON(mode.tv_margins.right != 14);
++      FAIL_ON(mode.tv_margins.left != 24);
++      FAIL_ON(mode.tv_margins.bottom != 36);
++      FAIL_ON(mode.tv_margins.top != 42);
++
++      FAIL_ON(mode.rb);
++      FAIL_ON(mode.cvt);
++      FAIL_ON(mode.interlace);
++      FAIL_ON(mode.margins);
++      FAIL_ON(mode.force != DRM_FORCE_UNSPECIFIED);
++
++      return 0;
++}
++
++static int drm_cmdline_test_freestanding_force_e_and_options(void *ignored)
++{
++      struct drm_cmdline_mode mode = { };
++
++      FAIL_ON(!drm_mode_parse_command_line_for_connector("e,margin_right=14,margin_left=24,margin_bottom=36,margin_top=42",
++                                                         &no_connector,
++                                                         &mode));
++      FAIL_ON(mode.specified);
++      FAIL_ON(mode.refresh_specified);
++      FAIL_ON(mode.bpp_specified);
++
++      FAIL_ON(mode.tv_margins.right != 14);
++      FAIL_ON(mode.tv_margins.left != 24);
++      FAIL_ON(mode.tv_margins.bottom != 36);
++      FAIL_ON(mode.tv_margins.top != 42);
++
++      FAIL_ON(mode.rb);
++      FAIL_ON(mode.cvt);
++      FAIL_ON(mode.interlace);
++      FAIL_ON(mode.margins);
++      FAIL_ON(mode.force != DRM_FORCE_ON);
++
++      return 0;
++}
++
+ #include "drm_selftest.c"
+ static int __init test_drm_cmdline_init(void)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0475-drm-modes-parse_cmdline-Make-various-char-pointers-c.patch b/target/linux/bcm27xx/patches-5.4/950-0475-drm-modes-parse_cmdline-Make-various-char-pointers-c.patch
deleted file mode 100644 (file)
index 6abe7be..0000000
+++ /dev/null
@@ -1,50 +0,0 @@
-From 250363a413cd08e723789e1b8821608ff5eebfe6 Mon Sep 17 00:00:00 2001
-From: Hans de Goede <hdegoede@redhat.com>
-Date: Mon, 18 Nov 2019 16:51:23 +0100
-Subject: [PATCH] drm/modes: parse_cmdline: Make various char pointers
- const
-
-Commit 83e14ea3a64f00897cc31974d3ae4e27e5a7405b upstream.
-
-We are not supposed to modify the passed in string, make char pointers
-used in drm_mode_parse_cmdline_options() const char * where possible.
-
-Acked-by: Maxime Ripard <mripard@kernel.org>
-Signed-off-by: Hans de Goede <hdegoede@redhat.com>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-2-hdegoede@redhat.com
----
- drivers/gpu/drm/drm_modes.c | 10 +++++-----
- 1 file changed, 5 insertions(+), 5 deletions(-)
-
---- a/drivers/gpu/drm/drm_modes.c
-+++ b/drivers/gpu/drm/drm_modes.c
-@@ -1591,15 +1591,15 @@ static int drm_mode_parse_cmdline_int(co
-       return 0;
- }
--static int drm_mode_parse_cmdline_options(char *str, size_t len,
-+static int drm_mode_parse_cmdline_options(const char *str, size_t len,
-                                         const struct drm_connector *connector,
-                                         struct drm_cmdline_mode *mode)
- {
-       unsigned int deg, margin, rotation = 0;
--      char *sep = str;
-+      const char *sep = str;
-       while ((sep = strchr(sep, ','))) {
--              char *delim, *option;
-+              const char *delim, *option;
-               option = sep + 1;
-               delim = strchr(option, '=');
-@@ -1725,8 +1725,8 @@ bool drm_mode_parse_command_line_for_con
-       bool named_mode = false, parse_extras = false;
-       unsigned int bpp_off = 0, refresh_off = 0, options_off = 0;
-       unsigned int mode_end = 0;
--      char *bpp_ptr = NULL, *refresh_ptr = NULL, *extra_ptr = NULL;
--      char *options_ptr = NULL;
-+      const char *bpp_ptr = NULL, *refresh_ptr = NULL, *extra_ptr = NULL;
-+      const char *options_ptr = NULL;
-       char *bpp_end_ptr = NULL, *refresh_end_ptr = NULL;
-       int ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0476-drm-modes-parse_cmdline-Add-support-for-specifying-p.patch b/target/linux/bcm27xx/patches-5.4/950-0476-drm-modes-parse_cmdline-Add-support-for-specifying-p.patch
new file mode 100644 (file)
index 0000000..cbda9ec
--- /dev/null
@@ -0,0 +1,157 @@
+From 7d395633947fa6595a117f40e0f27ba87be77d6c Mon Sep 17 00:00:00 2001
+From: Hans de Goede <hdegoede@redhat.com>
+Date: Mon, 18 Nov 2019 16:51:30 +0100
+Subject: [PATCH] drm/modes: parse_cmdline: Add support for specifying
+ panel_orientation (v2)
+
+Commit 4e7a4a6fbdc669c44e6079f9d5eb25673749455f upstream.
+
+Sometimes we want to override a connector's panel_orientation from the
+kernel commandline. Either for testing and for special cases, e.g. a kiosk
+like setup which uses a TV mounted in portrait mode.
+
+Users can already specify a "rotate" option through a video= kernel cmdline
+option. But that only supports 0/180 degrees (see drm_client_modeset TODO)
+and only works for in kernel modeset clients, not for userspace kms users.
+
+The "panel-orientation" connector property OTOH does support 90/270 degrees
+as it leaves dealing with the rotation up to userspace and this does work
+for userspace kms clients (at least those which support this property).
+
+Changes in v2:
+-Add missing ':' after @panel_orientation (reported by kbuild test robot)
+
+BugLink: https://gitlab.freedesktop.org/plymouth/plymouth/merge_requests/83
+Acked-by: Maxime Ripard <mripard@kernel.org>
+Signed-off-by: Hans de Goede <hdegoede@redhat.com>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-9-hdegoede@redhat.com
+---
+ Documentation/fb/modedb.rst                   |  3 ++
+ drivers/gpu/drm/drm_modes.c                   | 32 +++++++++++++++++++
+ .../gpu/drm/selftests/drm_cmdline_selftests.h |  1 +
+ .../drm/selftests/test-drm_cmdline_parser.c   | 22 +++++++++++++
+ include/drm/drm_connector.h                   |  8 +++++
+ 5 files changed, 66 insertions(+)
+
+--- a/Documentation/fb/modedb.rst
++++ b/Documentation/fb/modedb.rst
+@@ -65,6 +65,9 @@ Valid options are::
+   - reflect_y (boolean): Perform an axial symmetry on the Y axis
+   - rotate (integer): Rotate the initial framebuffer by x
+     degrees. Valid values are 0, 90, 180 and 270.
++  - panel_orientation, one of "normal", "upside_down", "left_side_up", or
++    "right_side_up". For KMS drivers only, this sets the "panel orientation"
++    property on the kms connector as hint for kms users.
+ -----------------------------------------------------------------------------
+--- a/drivers/gpu/drm/drm_modes.c
++++ b/drivers/gpu/drm/drm_modes.c
+@@ -1591,6 +1591,33 @@ static int drm_mode_parse_cmdline_int(co
+       return 0;
+ }
++static int drm_mode_parse_panel_orientation(const char *delim,
++                                          struct drm_cmdline_mode *mode)
++{
++      const char *value;
++
++      if (*delim != '=')
++              return -EINVAL;
++
++      value = delim + 1;
++      delim = strchr(value, ',');
++      if (!delim)
++              delim = value + strlen(value);
++
++      if (!strncmp(value, "normal", delim - value))
++              mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_NORMAL;
++      else if (!strncmp(value, "upside_down", delim - value))
++              mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_BOTTOM_UP;
++      else if (!strncmp(value, "left_side_up", delim - value))
++              mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_LEFT_UP;
++      else if (!strncmp(value, "right_side_up", delim - value))
++              mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_RIGHT_UP;
++      else
++              return -EINVAL;
++
++      return 0;
++}
++
+ static int drm_mode_parse_cmdline_options(const char *str,
+                                         bool freestanding,
+                                         const struct drm_connector *connector,
+@@ -1657,6 +1684,9 @@ static int drm_mode_parse_cmdline_option
+                               return -EINVAL;
+                       mode->tv_margins.bottom = margin;
++              } else if (!strncmp(option, "panel_orientation", delim - option)) {
++                      if (drm_mode_parse_panel_orientation(delim, mode))
++                              return -EINVAL;
+               } else {
+                       return -EINVAL;
+               }
+@@ -1722,6 +1752,8 @@ bool drm_mode_parse_command_line_for_con
+       char *bpp_end_ptr = NULL, *refresh_end_ptr = NULL;
+       int i, len, ret;
++      mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_UNKNOWN;
++
+ #ifdef CONFIG_FB
+       if (!mode_option)
+               mode_option = fb_mode_option;
+--- a/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
++++ b/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
+@@ -65,3 +65,4 @@ cmdline_test(drm_cmdline_test_bpp_extra_
+ cmdline_test(drm_cmdline_test_extra_and_option)
+ cmdline_test(drm_cmdline_test_freestanding_options)
+ cmdline_test(drm_cmdline_test_freestanding_force_e_and_options)
++cmdline_test(drm_cmdline_test_panel_orientation)
+--- a/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
++++ b/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
+@@ -1103,6 +1103,28 @@ static int drm_cmdline_test_freestanding
+       return 0;
+ }
++static int drm_cmdline_test_panel_orientation(void *ignored)
++{
++      struct drm_cmdline_mode mode = { };
++
++      FAIL_ON(!drm_mode_parse_command_line_for_connector("panel_orientation=upside_down",
++                                                         &no_connector,
++                                                         &mode));
++      FAIL_ON(mode.specified);
++      FAIL_ON(mode.refresh_specified);
++      FAIL_ON(mode.bpp_specified);
++
++      FAIL_ON(mode.panel_orientation != DRM_MODE_PANEL_ORIENTATION_BOTTOM_UP);
++
++      FAIL_ON(mode.rb);
++      FAIL_ON(mode.cvt);
++      FAIL_ON(mode.interlace);
++      FAIL_ON(mode.margins);
++      FAIL_ON(mode.force != DRM_FORCE_UNSPECIFIED);
++
++      return 0;
++}
++
+ #include "drm_selftest.c"
+ static int __init test_drm_cmdline_init(void)
+--- a/include/drm/drm_connector.h
++++ b/include/drm/drm_connector.h
+@@ -1066,6 +1066,14 @@ struct drm_cmdline_mode {
+       unsigned int rotation_reflection;
+       /**
++       * @panel_orientation:
++       *
++       * drm-connector "panel orientation" property override value,
++       * DRM_MODE_PANEL_ORIENTATION_UNKNOWN if not set.
++       */
++      enum drm_panel_orientation panel_orientation;
++
++      /**
+        * @tv_margins: TV margins to apply to the mode.
+        */
+       struct drm_connector_tv_margins tv_margins;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0476-drm-modes-parse_cmdline-Stop-parsing-extras-after-bp.patch b/target/linux/bcm27xx/patches-5.4/950-0476-drm-modes-parse_cmdline-Stop-parsing-extras-after-bp.patch
deleted file mode 100644 (file)
index 1d356eb..0000000
+++ /dev/null
@@ -1,95 +0,0 @@
-From 0e7c5e80d8d310a881d723a426762e8822d5bf35 Mon Sep 17 00:00:00 2001
-From: Hans de Goede <hdegoede@redhat.com>
-Date: Mon, 18 Nov 2019 16:51:24 +0100
-Subject: [PATCH] drm/modes: parse_cmdline: Stop parsing extras after
- bpp / refresh at ', '
-
-Commit c2ed3e941901810ad3d55ce1935fa22c5007fee4 upstream.
-
-Before this commit it was impossible to add an extra mode argument after
-a bpp or refresh specifier, combined with an option, e.g.
-video=HDMI-1:720x480-24e,rotate=180 would not work, either the "e" to
-force enable would need to be dropped or the ",rotate=180", otherwise
-the mode_option would not be accepted.
-
-This commit fixes this by fixing the length calculation if extras_ptr
-is set to stop the extra parsing at the start of the options (stop at the
-',' options_ptr points to).
-
-Acked-by: Maxime Ripard <mripard@kernel.org>
-Signed-off-by: Hans de Goede <hdegoede@redhat.com>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-3-hdegoede@redhat.com
----
- drivers/gpu/drm/drm_modes.c                   | 10 ++++---
- .../gpu/drm/selftests/drm_cmdline_selftests.h |  1 +
- .../drm/selftests/test-drm_cmdline_parser.c   | 26 +++++++++++++++++++
- 3 files changed, 33 insertions(+), 4 deletions(-)
-
---- a/drivers/gpu/drm/drm_modes.c
-+++ b/drivers/gpu/drm/drm_modes.c
-@@ -1728,7 +1728,7 @@ bool drm_mode_parse_command_line_for_con
-       const char *bpp_ptr = NULL, *refresh_ptr = NULL, *extra_ptr = NULL;
-       const char *options_ptr = NULL;
-       char *bpp_end_ptr = NULL, *refresh_end_ptr = NULL;
--      int ret;
-+      int i, len, ret;
- #ifdef CONFIG_FB
-       if (!mode_option)
-@@ -1848,9 +1848,11 @@ bool drm_mode_parse_command_line_for_con
-       else if (refresh_ptr)
-               extra_ptr = refresh_end_ptr;
--      if (extra_ptr &&
--          extra_ptr != options_ptr) {
--              int len = strlen(name) - (extra_ptr - name);
-+      if (extra_ptr) {
-+              if (options_ptr)
-+                      len = options_ptr - extra_ptr;
-+              else
-+                      len = strlen(extra_ptr);
-               ret = drm_mode_parse_cmdline_extra(extra_ptr, len, false,
-                                                  connector, mode);
---- a/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
-+++ b/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
-@@ -61,3 +61,4 @@ cmdline_test(drm_cmdline_test_vmirror)
- cmdline_test(drm_cmdline_test_margin_options)
- cmdline_test(drm_cmdline_test_multiple_options)
- cmdline_test(drm_cmdline_test_invalid_option)
-+cmdline_test(drm_cmdline_test_bpp_extra_and_option)
---- a/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
-+++ b/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
-@@ -1003,6 +1003,32 @@ static int drm_cmdline_test_invalid_opti
-       return 0;
- }
-+static int drm_cmdline_test_bpp_extra_and_option(void *ignored)
-+{
-+      struct drm_cmdline_mode mode = { };
-+
-+      FAIL_ON(!drm_mode_parse_command_line_for_connector("720x480-24e,rotate=180",
-+                                                         &no_connector,
-+                                                         &mode));
-+      FAIL_ON(!mode.specified);
-+      FAIL_ON(mode.xres != 720);
-+      FAIL_ON(mode.yres != 480);
-+      FAIL_ON(mode.rotation_reflection != DRM_MODE_ROTATE_180);
-+
-+      FAIL_ON(mode.refresh_specified);
-+
-+      FAIL_ON(!mode.bpp_specified);
-+      FAIL_ON(mode.bpp != 24);
-+
-+      FAIL_ON(mode.rb);
-+      FAIL_ON(mode.cvt);
-+      FAIL_ON(mode.interlace);
-+      FAIL_ON(mode.margins);
-+      FAIL_ON(mode.force != DRM_FORCE_ON);
-+
-+      return 0;
-+}
-+
- #include "drm_selftest.c"
- static int __init test_drm_cmdline_init(void)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0477-drm-modes-parse_cmdline-Accept-extras-directly-after.patch b/target/linux/bcm27xx/patches-5.4/950-0477-drm-modes-parse_cmdline-Accept-extras-directly-after.patch
deleted file mode 100644 (file)
index 8d9a92e..0000000
+++ /dev/null
@@ -1,77 +0,0 @@
-From bc4d8c5519c74b9bdf4d35369ba76bac01be8ca2 Mon Sep 17 00:00:00 2001
-From: Hans de Goede <hdegoede@redhat.com>
-Date: Mon, 18 Nov 2019 16:51:25 +0100
-Subject: [PATCH] drm/modes: parse_cmdline: Accept extras directly
- after mode combined with options
-
-Commit cfb0881b8f621b656a9e23b31944a5db94cf5842 upstream.
-
-Before this commit it was impossible to combine an extra mode argument
-specified directly after the resolution with an option, e.g.
-video=HDMI-1:720x480e,rotate=180 would not work, either the "e" to force
-enable would need to be dropped or the ",rotate=180", otherwise the
-mode_option would not be accepted.
-
-This commit fixes this by setting parse_extras to true in this case, so
-that drm_mode_parse_cmdline_res_mode() parses the extra arguments directly
-after the resolution.
-
-Acked-by: Maxime Ripard <mripard@kernel.org>
-Signed-off-by: Hans de Goede <hdegoede@redhat.com>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-4-hdegoede@redhat.com
----
- drivers/gpu/drm/drm_modes.c                   |  1 +
- .../gpu/drm/selftests/drm_cmdline_selftests.h |  1 +
- .../drm/selftests/test-drm_cmdline_parser.c   | 24 +++++++++++++++++++
- 3 files changed, 26 insertions(+)
-
---- a/drivers/gpu/drm/drm_modes.c
-+++ b/drivers/gpu/drm/drm_modes.c
-@@ -1801,6 +1801,7 @@ bool drm_mode_parse_command_line_for_con
-               mode_end = refresh_off;
-       } else if (options_ptr) {
-               mode_end = options_off;
-+              parse_extras = true;
-       } else {
-               mode_end = strlen(name);
-               parse_extras = true;
---- a/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
-+++ b/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
-@@ -62,3 +62,4 @@ cmdline_test(drm_cmdline_test_margin_opt
- cmdline_test(drm_cmdline_test_multiple_options)
- cmdline_test(drm_cmdline_test_invalid_option)
- cmdline_test(drm_cmdline_test_bpp_extra_and_option)
-+cmdline_test(drm_cmdline_test_extra_and_option)
---- a/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
-+++ b/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
-@@ -1029,6 +1029,30 @@ static int drm_cmdline_test_bpp_extra_an
-       return 0;
- }
-+static int drm_cmdline_test_extra_and_option(void *ignored)
-+{
-+      struct drm_cmdline_mode mode = { };
-+
-+      FAIL_ON(!drm_mode_parse_command_line_for_connector("720x480e,rotate=180",
-+                                                         &no_connector,
-+                                                         &mode));
-+      FAIL_ON(!mode.specified);
-+      FAIL_ON(mode.xres != 720);
-+      FAIL_ON(mode.yres != 480);
-+      FAIL_ON(mode.rotation_reflection != DRM_MODE_ROTATE_180);
-+
-+      FAIL_ON(mode.refresh_specified);
-+      FAIL_ON(mode.bpp_specified);
-+
-+      FAIL_ON(mode.rb);
-+      FAIL_ON(mode.cvt);
-+      FAIL_ON(mode.interlace);
-+      FAIL_ON(mode.margins);
-+      FAIL_ON(mode.force != DRM_FORCE_ON);
-+
-+      return 0;
-+}
-+
- #include "drm_selftest.c"
- static int __init test_drm_cmdline_init(void)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0477-drm-modes-parse_cmdline-Remove-some-unnecessary-code.patch b/target/linux/bcm27xx/patches-5.4/950-0477-drm-modes-parse_cmdline-Remove-some-unnecessary-code.patch
new file mode 100644 (file)
index 0000000..fb4a7f1
--- /dev/null
@@ -0,0 +1,38 @@
+From 339666068713986cfe1456175dd8a7514f6ed2ab Mon Sep 17 00:00:00 2001
+From: Hans de Goede <hdegoede@redhat.com>
+Date: Mon, 18 Nov 2019 16:51:31 +0100
+Subject: [PATCH] drm/modes: parse_cmdline: Remove some unnecessary
+ code (v2)
+
+Commit 5b926617cdef41ce0696e09834991194b1759e28 upstream.
+
+fb_get_options() will return fb_mode_option if no video=<connector-name>
+argument is present on the kernel commandline, so there is no need to also
+do this in drm_mode_parse_command_line_for_connector() as our only caller
+uses fb_get_options() to get the mode_option argument.
+
+Changes in v2:
+-Split out the changes dealing with the initialization of the mode struct
+ into a separate patch
+
+Acked-by: Maxime Ripard <mripard@kernel.org>
+Signed-off-by: Hans de Goede <hdegoede@redhat.com>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-10-hdegoede@redhat.com
+---
+ drivers/gpu/drm/drm_modes.c | 5 -----
+ 1 file changed, 5 deletions(-)
+
+--- a/drivers/gpu/drm/drm_modes.c
++++ b/drivers/gpu/drm/drm_modes.c
+@@ -1754,11 +1754,6 @@ bool drm_mode_parse_command_line_for_con
+       mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_UNKNOWN;
+-#ifdef CONFIG_FB
+-      if (!mode_option)
+-              mode_option = fb_mode_option;
+-#endif
+-
+       if (!mode_option) {
+               mode->specified = false;
+               return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0478-drm-modes-parse_cmdline-Explicitly-memset-the-passed.patch b/target/linux/bcm27xx/patches-5.4/950-0478-drm-modes-parse_cmdline-Explicitly-memset-the-passed.patch
new file mode 100644 (file)
index 0000000..372cd0d
--- /dev/null
@@ -0,0 +1,41 @@
+From d89b3f22cf7b6bba8081f6d16c9087019fdcf586 Mon Sep 17 00:00:00 2001
+From: Hans de Goede <hdegoede@redhat.com>
+Date: Mon, 18 Nov 2019 16:51:32 +0100
+Subject: [PATCH] drm/modes: parse_cmdline: Explicitly memset the
+ passed in drm_cmdline_mode struct
+
+Commit d1fe276b5115f0d581c3cfe6154633b3547e8aab upstream.
+
+Instead of only setting mode->specified on false on an early exit and
+leaving e.g. mode->bpp_specified and mode->refresh_specified as is,
+lets be consistent and just zero out the entire passed in struct at
+the top of drm_mode_parse_command_line_for_connector()
+
+Changes in v3:
+-Drop "mode->specified = false;" line instead of the "return false;" (oops)
+ This crasher was reported-by: kernel test robot <lkp@intel.com>
+
+Acked-by: Maxime Ripard <mripard@kernel.org>
+Signed-off-by: Hans de Goede <hdegoede@redhat.com>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-11-hdegoede@redhat.com
+---
+ drivers/gpu/drm/drm_modes.c | 5 ++---
+ 1 file changed, 2 insertions(+), 3 deletions(-)
+
+--- a/drivers/gpu/drm/drm_modes.c
++++ b/drivers/gpu/drm/drm_modes.c
+@@ -1752,12 +1752,11 @@ bool drm_mode_parse_command_line_for_con
+       char *bpp_end_ptr = NULL, *refresh_end_ptr = NULL;
+       int i, len, ret;
++      memset(mode, 0, sizeof(*mode));
+       mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_UNKNOWN;
+-      if (!mode_option) {
+-              mode->specified = false;
++      if (!mode_option)
+               return false;
+-      }
+       name = mode_option;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0478-drm-modes-parse_cmdline-Rework-drm_mode_parse_cmdlin.patch b/target/linux/bcm27xx/patches-5.4/950-0478-drm-modes-parse_cmdline-Rework-drm_mode_parse_cmdlin.patch
deleted file mode 100644 (file)
index 1716ebd..0000000
+++ /dev/null
@@ -1,76 +0,0 @@
-From 5b6257773b43e7a7b28f86359d2e9ebe15346b78 Mon Sep 17 00:00:00 2001
-From: Hans de Goede <hdegoede@redhat.com>
-Date: Mon, 18 Nov 2019 16:51:26 +0100
-Subject: [PATCH] drm/modes: parse_cmdline: Rework
- drm_mode_parse_cmdline_options()
-
-Commit 739b200c2edcaaa7a86f37b0c11db57956433dfb upstream.
-
-Refactor drm_mode_parse_cmdline_options() so that it takes a pointer
-to the first option, rather then a pointer to the ',' before the first
-option.
-
-This is a preparation patch for allowing parsing of stand-alone options
-without a mode before them, e.g.: video=HDMI-1:margin_right=14,...
-
-Acked-by: Maxime Ripard <mripard@kernel.org>
-Signed-off-by: Hans de Goede <hdegoede@redhat.com>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-5-hdegoede@redhat.com
----
- drivers/gpu/drm/drm_modes.c | 21 +++++++++------------
- 1 file changed, 9 insertions(+), 12 deletions(-)
-
---- a/drivers/gpu/drm/drm_modes.c
-+++ b/drivers/gpu/drm/drm_modes.c
-@@ -1591,23 +1591,21 @@ static int drm_mode_parse_cmdline_int(co
-       return 0;
- }
--static int drm_mode_parse_cmdline_options(const char *str, size_t len,
-+static int drm_mode_parse_cmdline_options(const char *str,
-                                         const struct drm_connector *connector,
-                                         struct drm_cmdline_mode *mode)
- {
-       unsigned int deg, margin, rotation = 0;
--      const char *sep = str;
-+      const char *delim, *option, *sep;
--      while ((sep = strchr(sep, ','))) {
--              const char *delim, *option;
--
--              option = sep + 1;
-+      option = str;
-+      do {
-               delim = strchr(option, '=');
-               if (!delim) {
-                       delim = strchr(option, ',');
-                       if (!delim)
--                              delim = str + len;
-+                              delim = option + strlen(option);
-               }
-               if (!strncmp(option, "rotate", delim - option)) {
-@@ -1661,8 +1659,9 @@ static int drm_mode_parse_cmdline_option
-               } else {
-                       return -EINVAL;
-               }
--              sep = delim;
--      }
-+              sep = strchr(delim, ',');
-+              option = sep + 1;
-+      } while (sep);
-       if (!(rotation & DRM_MODE_ROTATE_MASK))
-               rotation |= DRM_MODE_ROTATE_0;
-@@ -1862,9 +1861,7 @@ bool drm_mode_parse_command_line_for_con
-       }
-       if (options_ptr) {
--              int len = strlen(name) - (options_ptr - name);
--
--              ret = drm_mode_parse_cmdline_options(options_ptr, len,
-+              ret = drm_mode_parse_cmdline_options(options_ptr + 1,
-                                                    connector, mode);
-               if (ret)
-                       return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0479-drm-modes-parse_cmdline-Add-freestanding-argument-to.patch b/target/linux/bcm27xx/patches-5.4/950-0479-drm-modes-parse_cmdline-Add-freestanding-argument-to.patch
deleted file mode 100644 (file)
index 6ed952b..0000000
+++ /dev/null
@@ -1,49 +0,0 @@
-From d3c76025a7de614fade5ffcaa8c1d88d8d64213e Mon Sep 17 00:00:00 2001
-From: Hans de Goede <hdegoede@redhat.com>
-Date: Mon, 18 Nov 2019 16:51:27 +0100
-Subject: [PATCH] drm/modes: parse_cmdline: Add freestanding argument
- to drm_mode_parse_cmdline_options()
-
-Commit 99e2716e053734b70434502867be24d20a3e2d84 upstream.
-
-Add a freestanding function argument to drm_mode_parse_cmdline_options()
-similar to how drm_mode_parse_cmdline_extra() already has this.
-
-This is a preparation patch for allowing parsing of stand-alone options
-without a mode before them, e.g.: video=HDMI-1:margin_right=14,...
-
-Acked-by: Maxime Ripard <mripard@kernel.org>
-Signed-off-by: Hans de Goede <hdegoede@redhat.com>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-6-hdegoede@redhat.com
----
- drivers/gpu/drm/drm_modes.c | 5 +++++
- 1 file changed, 5 insertions(+)
-
---- a/drivers/gpu/drm/drm_modes.c
-+++ b/drivers/gpu/drm/drm_modes.c
-@@ -1592,6 +1592,7 @@ static int drm_mode_parse_cmdline_int(co
- }
- static int drm_mode_parse_cmdline_options(const char *str,
-+                                        bool freestanding,
-                                         const struct drm_connector *connector,
-                                         struct drm_cmdline_mode *mode)
- {
-@@ -1670,6 +1671,9 @@ static int drm_mode_parse_cmdline_option
-       if (!is_power_of_2(rotation & DRM_MODE_ROTATE_MASK))
-               return -EINVAL;
-+      if (rotation && freestanding)
-+              return -EINVAL;
-+
-       mode->rotation_reflection = rotation;
-       return 0;
-@@ -1862,6 +1866,7 @@ bool drm_mode_parse_command_line_for_con
-       if (options_ptr) {
-               ret = drm_mode_parse_cmdline_options(options_ptr + 1,
-+                                                   false,
-                                                    connector, mode);
-               if (ret)
-                       return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0479-drm-v3d-Replace-wait_for-macros-to-remove-use-of-msl.patch b/target/linux/bcm27xx/patches-5.4/950-0479-drm-v3d-Replace-wait_for-macros-to-remove-use-of-msl.patch
new file mode 100644 (file)
index 0000000..830bc11
--- /dev/null
@@ -0,0 +1,89 @@
+From 12b60ef71cc005ee7290f692169d46a7e78df01a Mon Sep 17 00:00:00 2001
+From: Yukimasa Sugizaki <4298265+Terminus-IMRC@users.noreply.github.com>
+Date: Fri, 20 Mar 2020 19:01:23 +0900
+Subject: [PATCH] drm/v3d: Replace wait_for macros to remove use of
+ msleep (#3510)
+
+commit 9daee6141cc9c75b09659b02b1cb9eeb2f5e16cc upstream.
+
+The wait_for macro's for Broadcom V3D driver used msleep, which is
+inappropriate due to its inaccuracy at low values (minimum wait time
+is about 30ms on the Raspberry Pi).  This sleep was triggering in
+v3d_clean_caches(), causing us to only be able to dispatch ~33 compute
+jobs per second.
+
+This patch replaces the macro with the one from the Intel i915 version
+which uses usleep_range to provide more accurate waits.
+
+v2: Split from the vc4 patch so that we can confidently apply to
+    stable (by anholt)
+
+Signed-off-by: James Hughes <james.hughes@raspberrypi.com>
+Signed-off-by: Eric Anholt <eric@anholt.net>
+Link: https://patchwork.freedesktop.org/patch/msgid/20200217153145.13780-1-james.hughes@raspberrypi.com
+Link: https://github.com/raspberrypi/linux/issues/3460
+Fixes: 57692c94dcbe ("drm/v3d: Introduce a new DRM driver for Broadcom V3D V3.x+")
+
+Co-authored-by: James Hughes <james.hughes@raspberrypi.com>
+---
+ drivers/gpu/drm/v3d/v3d_drv.h | 41 ++++++++++++++++++++++++-----------
+ 1 file changed, 28 insertions(+), 13 deletions(-)
+
+--- a/drivers/gpu/drm/v3d/v3d_drv.h
++++ b/drivers/gpu/drm/v3d/v3d_drv.h
+@@ -260,27 +260,42 @@ struct v3d_csd_job {
+ };
+ /**
+- * _wait_for - magic (register) wait macro
++ * __wait_for - magic wait macro
+  *
+- * Does the right thing for modeset paths when run under kdgb or similar atomic
+- * contexts. Note that it's important that we check the condition again after
+- * having timed out, since the timeout could be due to preemption or similar and
+- * we've never had a chance to check the condition before the timeout.
++ * Macro to help avoid open coding check/wait/timeout patterns. Note that it's
++ * important that we check the condition again after having timed out, since the
++ * timeout could be due to preemption or similar and we've never had a chance to
++ * check the condition before the timeout.
+  */
+-#define wait_for(COND, MS) ({ \
+-      unsigned long timeout__ = jiffies + msecs_to_jiffies(MS) + 1;   \
+-      int ret__ = 0;                                                  \
+-      while (!(COND)) {                                               \
+-              if (time_after(jiffies, timeout__)) {                   \
+-                      if (!(COND))                                    \
+-                              ret__ = -ETIMEDOUT;                     \
++#define __wait_for(OP, COND, US, Wmin, Wmax) ({ \
++      const ktime_t end__ = ktime_add_ns(ktime_get_raw(), 1000ll * (US)); \
++      long wait__ = (Wmin); /* recommended min for usleep is 10 us */ \
++      int ret__;                                                      \
++      might_sleep();                                                  \
++      for (;;) {                                                      \
++              const bool expired__ = ktime_after(ktime_get_raw(), end__); \
++              OP;                                                     \
++              /* Guarantee COND check prior to timeout */             \
++              barrier();                                              \
++              if (COND) {                                             \
++                      ret__ = 0;                                      \
+                       break;                                          \
+               }                                                       \
+-              msleep(1);                                      \
++              if (expired__) {                                        \
++                      ret__ = -ETIMEDOUT;                             \
++                      break;                                          \
++              }                                                       \
++              usleep_range(wait__, wait__ * 2);                       \
++              if (wait__ < (Wmax))                                    \
++                      wait__ <<= 1;                                   \
+       }                                                               \
+       ret__;                                                          \
+ })
++#define _wait_for(COND, US, Wmin, Wmax)       __wait_for(, (COND), (US), (Wmin), \
++                                                 (Wmax))
++#define wait_for(COND, MS)            _wait_for((COND), (MS) * 1000, 10, 1000)
++
+ static inline unsigned long nsecs_to_jiffies_timeout(const u64 n)
+ {
+       /* nsecs_to_jiffies64() does not guard against overflow */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0480-Reduce-noise-from-rpi-poe-hat-fan.patch b/target/linux/bcm27xx/patches-5.4/950-0480-Reduce-noise-from-rpi-poe-hat-fan.patch
new file mode 100644 (file)
index 0000000..7c50843
--- /dev/null
@@ -0,0 +1,96 @@
+From 863dace20e48954a7e013a2e88e27c692ce165b0 Mon Sep 17 00:00:00 2001
+From: Nick B <nick@pelagiris.org>
+Date: Mon, 9 Mar 2020 09:05:39 -0400
+Subject: [PATCH] Reduce noise from rpi poe hat fan
+
+This adds 2 extra states, at 40c and 45c, with PWM of 31 and 63 (out
+of 255) for the rpi poe hat fan.  This significantly improves user
+experience by providing a smoother ramp up of the fan, from a pwm 0
+to 31 to 63 then finally to 150, and additionally makes it very easy
+for users to further tweak the values as needed for their specific
+application.
+
+The possible concerns I have are that a hysteresis of 2000 (2c) could
+be too narrow, and that running the fan more at a reduced temperature
+(40000 - 40c) could cause problems.
+
+Signed-off-by: Nick B <nick@pelagiris.org>
+---
+ .../arm/boot/dts/overlays/rpi-poe-overlay.dts | 35 ++++++++++++++++---
+ 1 file changed, 30 insertions(+), 5 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/rpi-poe-overlay.dts
++++ b/arch/arm/boot/dts/overlays/rpi-poe-overlay.dts
+@@ -14,9 +14,9 @@
+                               compatible = "raspberrypi,rpi-poe-fan";
+                               firmware = <&firmware>;
+                               cooling-min-state = <0>;
+-                              cooling-max-state = <2>;
++                              cooling-max-state = <4>;
+                               #cooling-cells = <2>;
+-                              cooling-levels = <0 150 255>;
++                              cooling-levels = <0 31 63 150 255>;
+                               status = "okay";
+                       };
+               };
+@@ -27,12 +27,21 @@
+               __overlay__ {
+                       trips {
+                               trip0: trip0 {
+-                                      temperature = <50000>;
+-                                      hysteresis = <5000>;
++                                      temperature = <40000>;
++                                      hysteresis = <2000>;
+                                       type = "active";
+                               };
+                               trip1: trip1 {
+-
++                                      temperature = <45000>;
++                                      hysteresis = <2000>;
++                                      type = "active";
++                              };
++                              trip2: trip2 {
++                                      temperature = <50000>;
++                                      hysteresis = <2000>;
++                                      type = "active";
++                              };
++                              trip3: trip3 {
+                                       temperature = <55000>;
+                                       hysteresis = <5000>;
+                                       type = "active";
+@@ -47,6 +56,14 @@
+                                       trip = <&trip1>;
+                                       cooling-device = <&fan0 1 2>;
+                               };
++                              map2 {
++                                      trip = <&trip2>;
++                                      cooling-device = <&fan0 2 3>;
++                              };
++                              map3 {
++                                      trip = <&trip3>;
++                                      cooling-device = <&fan0 3 4>;
++                              };
+                       };
+               };
+       };
+@@ -58,6 +75,10 @@
+                       poe_fan_temp0_hyst =    <&trip0>,"hysteresis:0";
+                       poe_fan_temp1 =         <&trip1>,"temperature:0";
+                       poe_fan_temp1_hyst =    <&trip1>,"hysteresis:0";
++                      poe_fan_temp2 =         <&trip2>,"temperature:0";
++                      poe_fan_temp2_hyst =    <&trip2>,"hysteresis:0";
++                      poe_fan_temp3 =         <&trip3>,"temperature:0";
++                      poe_fan_temp3_hyst =    <&trip3>,"hysteresis:0";
+               };
+       };
+@@ -66,5 +87,9 @@
+               poe_fan_temp0_hyst =    <&trip0>,"hysteresis:0";
+               poe_fan_temp1 =         <&trip1>,"temperature:0";
+               poe_fan_temp1_hyst =    <&trip1>,"hysteresis:0";
++              poe_fan_temp2 =         <&trip2>,"temperature:0";
++              poe_fan_temp2_hyst =    <&trip2>,"hysteresis:0";
++              poe_fan_temp3 =         <&trip3>,"temperature:0";
++              poe_fan_temp3_hyst =    <&trip3>,"hysteresis:0";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0480-drm-modes-parse_cmdline-Set-bpp-refresh_specified-af.patch b/target/linux/bcm27xx/patches-5.4/950-0480-drm-modes-parse_cmdline-Set-bpp-refresh_specified-af.patch
deleted file mode 100644 (file)
index ac973e1..0000000
+++ /dev/null
@@ -1,64 +0,0 @@
-From 5b7efd2fa0c75164373d6faf28fec4b89065d39c Mon Sep 17 00:00:00 2001
-From: Hans de Goede <hdegoede@redhat.com>
-Date: Mon, 18 Nov 2019 16:51:28 +0100
-Subject: [PATCH] drm/modes: parse_cmdline: Set bpp/refresh_specified
- after successful parsing
-
-Commit 6a2d163756545aa3180d7851d5f8322b865e72be upstream.
-
-drm_connector_get_cmdline_mode() calls
-drm_mode_parse_command_line_for_connector() with &connector->cmdline_mode
-as mode argument, so anything which we store in the mode arguments gets
-kept even if we return false.
-
-Avoid storing a possibly false-postive bpp/refresh_specified setting
-in connector->cmdline_mode by moving the setting of these to after
-successful parsing of the bpp/refresh parts of the video= argument.
-
-Acked-by: Maxime Ripard <mripard@kernel.org>
-Signed-off-by: Hans de Goede <hdegoede@redhat.com>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-7-hdegoede@redhat.com
----
- drivers/gpu/drm/drm_modes.c | 9 +++++----
- 1 file changed, 5 insertions(+), 4 deletions(-)
-
---- a/drivers/gpu/drm/drm_modes.c
-+++ b/drivers/gpu/drm/drm_modes.c
-@@ -1778,10 +1778,8 @@ bool drm_mode_parse_command_line_for_con
-       /* Try to locate the bpp and refresh specifiers, if any */
-       bpp_ptr = strchr(name, '-');
--      if (bpp_ptr) {
-+      if (bpp_ptr)
-               bpp_off = bpp_ptr - name;
--              mode->bpp_specified = true;
--      }
-       refresh_ptr = strchr(name, '@');
-       if (refresh_ptr) {
-@@ -1789,7 +1787,6 @@ bool drm_mode_parse_command_line_for_con
-                       return false;
-               refresh_off = refresh_ptr - name;
--              mode->refresh_specified = true;
-       }
-       /* Locate the start of named options */
-@@ -1832,6 +1829,8 @@ bool drm_mode_parse_command_line_for_con
-               ret = drm_mode_parse_cmdline_bpp(bpp_ptr, &bpp_end_ptr, mode);
-               if (ret)
-                       return false;
-+
-+              mode->bpp_specified = true;
-       }
-       if (refresh_ptr) {
-@@ -1839,6 +1838,8 @@ bool drm_mode_parse_command_line_for_con
-                                                    &refresh_end_ptr, mode);
-               if (ret)
-                       return false;
-+
-+              mode->refresh_specified = true;
-       }
-       /*
diff --git a/target/linux/bcm27xx/patches-5.4/950-0481-add-Sensirion-SPS30-to-i2c-sensor-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0481-add-Sensirion-SPS30-to-i2c-sensor-overlay.patch
new file mode 100644 (file)
index 0000000..72941b5
--- /dev/null
@@ -0,0 +1,59 @@
+From 60f3874207c50db6f6d9dbac40977843cb77acd5 Mon Sep 17 00:00:00 2001
+From: =?UTF-8?q?Petr=20=C5=A0tetiar?= <ynezz@true.cz>
+Date: Sat, 7 Mar 2020 22:37:52 +0100
+Subject: [PATCH] add Sensirion SPS30 to i2c-sensor overlay
+MIME-Version: 1.0
+Content-Type: text/plain; charset=UTF-8
+Content-Transfer-Encoding: 8bit
+
+Add support for Sensirion SPS30 particulate matter sensor with fixed
+address 0x69.
+
+Signed-off-by: Petr Štetiar <ynezz@true.cz>
+---
+ arch/arm/boot/dts/overlays/README                 |  3 +++
+ arch/arm/boot/dts/overlays/i2c-sensor-overlay.dts | 15 +++++++++++++++
+ 2 files changed, 18 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1261,6 +1261,9 @@ Params: addr                    Set the
+         si7020                  Select the Silicon Labs Si7013/20/21 humidity/
+                                 temperature sensor
++        sps30                   Select the Sensirion SPS30 particulate matter
++                                sensor. Fixed address 0x69.
++
+         tmp102                  Select the Texas Instruments TMP102 temp sensor
+                                 Valid addresses 0x48-0x4b, default 0x48
+--- a/arch/arm/boot/dts/overlays/i2c-sensor-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-sensor-overlay.dts
+@@ -231,6 +231,20 @@
+               };
+       };
++      fragment@15 {
++              target = <&i2c_arm>;
++              __dormant__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      sps30: sps30@69 {
++                              compatible = "sensirion,sps30";
++                              reg = <0x69>;
++                              status = "okay";
++                      };
++              };
++      };
+       __overrides__ {
+               addr =  <&bme280>,"reg:0", <&bmp280>,"reg:0", <&tmp102>,"reg:0",
+@@ -252,5 +266,6 @@
+               ds1621 = <0>,"+12";
+               max17040 = <0>,"+13";
+               bme680 = <0>,"+14";
++              sps30 = <0>,"+15";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0481-drm-modes-parse_cmdline-Allow-specifying-stand-alone.patch b/target/linux/bcm27xx/patches-5.4/950-0481-drm-modes-parse_cmdline-Allow-specifying-stand-alone.patch
deleted file mode 100644 (file)
index 746f35f..0000000
+++ /dev/null
@@ -1,247 +0,0 @@
-From b3212eba63b541206e12c8dc31fc0d99d916b210 Mon Sep 17 00:00:00 2001
-From: Hans de Goede <hdegoede@redhat.com>
-Date: Mon, 18 Nov 2019 16:51:29 +0100
-Subject: [PATCH] drm/modes: parse_cmdline: Allow specifying
- stand-alone options
-
-Commit 7b1cce760afe38b40f0989cdf10b2190dccf9815 upstream.
-
-Some options which can be specified on the commandline, such as
-margin_right=..., margin_left=..., etc. are applied not only to the
-specified mode, but to all modes. As such it would be nice if the user
-can simply say e.g.
-video=HDMI-1:margin_right=14,margin_left=24,margin_bottom=36,margin_top=42
-
-This commit refactors drm_mode_parse_command_line_for_connector() to
-add support for this, and as a nice side effect also cleans up the
-function a bit.
-
-Acked-by: Maxime Ripard <mripard@kernel.org>
-Signed-off-by: Hans de Goede <hdegoede@redhat.com>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-8-hdegoede@redhat.com
----
- drivers/gpu/drm/drm_modes.c                   | 92 +++++++------------
- .../gpu/drm/selftests/drm_cmdline_selftests.h |  2 +
- .../drm/selftests/test-drm_cmdline_parser.c   | 50 ++++++++++
- 3 files changed, 86 insertions(+), 58 deletions(-)
-
---- a/drivers/gpu/drm/drm_modes.c
-+++ b/drivers/gpu/drm/drm_modes.c
-@@ -1684,17 +1684,6 @@ static const char * const drm_named_mode
-       "PAL",
- };
--static bool drm_named_mode_is_in_whitelist(const char *mode, unsigned int size)
--{
--      int i;
--
--      for (i = 0; i < ARRAY_SIZE(drm_named_modes_whitelist); i++)
--              if (!strncmp(mode, drm_named_modes_whitelist[i], size))
--                      return true;
--
--      return false;
--}
--
- /**
-  * drm_mode_parse_command_line_for_connector - parse command line modeline for connector
-  * @mode_option: optional per connector mode option
-@@ -1725,7 +1714,7 @@ bool drm_mode_parse_command_line_for_con
-                                              struct drm_cmdline_mode *mode)
- {
-       const char *name;
--      bool named_mode = false, parse_extras = false;
-+      bool freestanding = false, parse_extras = false;
-       unsigned int bpp_off = 0, refresh_off = 0, options_off = 0;
-       unsigned int mode_end = 0;
-       const char *bpp_ptr = NULL, *refresh_ptr = NULL, *extra_ptr = NULL;
-@@ -1745,49 +1734,14 @@ bool drm_mode_parse_command_line_for_con
-       name = mode_option;
--      /*
--       * This is a bit convoluted. To differentiate between the
--       * named modes and poorly formatted resolutions, we need a
--       * bunch of things:
--       *   - We need to make sure that the first character (which
--       *     would be our resolution in X) is a digit.
--       *   - If not, then it's either a named mode or a force on/off.
--       *     To distinguish between the two, we need to run the
--       *     extra parsing function, and if not, then we consider it
--       *     a named mode.
--       *
--       * If this isn't enough, we should add more heuristics here,
--       * and matching unit-tests.
--       */
--      if (!isdigit(name[0]) && name[0] != 'x') {
--              unsigned int namelen = strlen(name);
--
--              /*
--               * Only the force on/off options can be in that case,
--               * and they all take a single character.
--               */
--              if (namelen == 1) {
--                      ret = drm_mode_parse_cmdline_extra(name, namelen, true,
--                                                         connector, mode);
--                      if (!ret)
--                              return true;
--              }
--
--              named_mode = true;
--      }
--
-       /* Try to locate the bpp and refresh specifiers, if any */
-       bpp_ptr = strchr(name, '-');
-       if (bpp_ptr)
-               bpp_off = bpp_ptr - name;
-       refresh_ptr = strchr(name, '@');
--      if (refresh_ptr) {
--              if (named_mode)
--                      return false;
--
-+      if (refresh_ptr)
-               refresh_off = refresh_ptr - name;
--      }
-       /* Locate the start of named options */
-       options_ptr = strchr(name, ',');
-@@ -1807,23 +1761,45 @@ bool drm_mode_parse_command_line_for_con
-               parse_extras = true;
-       }
--      if (named_mode) {
--              if (mode_end + 1 > DRM_DISPLAY_MODE_LEN)
--                      return false;
--
--              if (!drm_named_mode_is_in_whitelist(name, mode_end))
--                      return false;
-+      /* First check for a named mode */
-+      for (i = 0; i < ARRAY_SIZE(drm_named_modes_whitelist); i++) {
-+              ret = str_has_prefix(name, drm_named_modes_whitelist[i]);
-+              if (ret == mode_end) {
-+                      if (refresh_ptr)
-+                              return false; /* named + refresh is invalid */
-+
-+                      strcpy(mode->name, drm_named_modes_whitelist[i]);
-+                      mode->specified = true;
-+                      break;
-+              }
-+      }
--              strscpy(mode->name, name, mode_end + 1);
--      } else {
-+      /* No named mode? Check for a normal mode argument, e.g. 1024x768 */
-+      if (!mode->specified && isdigit(name[0])) {
-               ret = drm_mode_parse_cmdline_res_mode(name, mode_end,
-                                                     parse_extras,
-                                                     connector,
-                                                     mode);
-               if (ret)
-                       return false;
-+
-+              mode->specified = true;
-+      }
-+
-+      /* No mode? Check for freestanding extras and/or options */
-+      if (!mode->specified) {
-+              unsigned int len = strlen(mode_option);
-+
-+              if (bpp_ptr || refresh_ptr)
-+                      return false; /* syntax error */
-+
-+              if (len == 1 || (len >= 2 && mode_option[1] == ','))
-+                      extra_ptr = mode_option;
-+              else
-+                      options_ptr = mode_option - 1;
-+
-+              freestanding = true;
-       }
--      mode->specified = true;
-       if (bpp_ptr) {
-               ret = drm_mode_parse_cmdline_bpp(bpp_ptr, &bpp_end_ptr, mode);
-@@ -1859,7 +1835,7 @@ bool drm_mode_parse_command_line_for_con
-               else
-                       len = strlen(extra_ptr);
--              ret = drm_mode_parse_cmdline_extra(extra_ptr, len, false,
-+              ret = drm_mode_parse_cmdline_extra(extra_ptr, len, freestanding,
-                                                  connector, mode);
-               if (ret)
-                       return false;
-@@ -1867,7 +1843,7 @@ bool drm_mode_parse_command_line_for_con
-       if (options_ptr) {
-               ret = drm_mode_parse_cmdline_options(options_ptr + 1,
--                                                   false,
-+                                                   freestanding,
-                                                    connector, mode);
-               if (ret)
-                       return false;
---- a/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
-+++ b/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
-@@ -63,3 +63,5 @@ cmdline_test(drm_cmdline_test_multiple_o
- cmdline_test(drm_cmdline_test_invalid_option)
- cmdline_test(drm_cmdline_test_bpp_extra_and_option)
- cmdline_test(drm_cmdline_test_extra_and_option)
-+cmdline_test(drm_cmdline_test_freestanding_options)
-+cmdline_test(drm_cmdline_test_freestanding_force_e_and_options)
---- a/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
-+++ b/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
-@@ -1053,6 +1053,56 @@ static int drm_cmdline_test_extra_and_op
-       return 0;
- }
-+static int drm_cmdline_test_freestanding_options(void *ignored)
-+{
-+      struct drm_cmdline_mode mode = { };
-+
-+      FAIL_ON(!drm_mode_parse_command_line_for_connector("margin_right=14,margin_left=24,margin_bottom=36,margin_top=42",
-+                                                         &no_connector,
-+                                                         &mode));
-+      FAIL_ON(mode.specified);
-+      FAIL_ON(mode.refresh_specified);
-+      FAIL_ON(mode.bpp_specified);
-+
-+      FAIL_ON(mode.tv_margins.right != 14);
-+      FAIL_ON(mode.tv_margins.left != 24);
-+      FAIL_ON(mode.tv_margins.bottom != 36);
-+      FAIL_ON(mode.tv_margins.top != 42);
-+
-+      FAIL_ON(mode.rb);
-+      FAIL_ON(mode.cvt);
-+      FAIL_ON(mode.interlace);
-+      FAIL_ON(mode.margins);
-+      FAIL_ON(mode.force != DRM_FORCE_UNSPECIFIED);
-+
-+      return 0;
-+}
-+
-+static int drm_cmdline_test_freestanding_force_e_and_options(void *ignored)
-+{
-+      struct drm_cmdline_mode mode = { };
-+
-+      FAIL_ON(!drm_mode_parse_command_line_for_connector("e,margin_right=14,margin_left=24,margin_bottom=36,margin_top=42",
-+                                                         &no_connector,
-+                                                         &mode));
-+      FAIL_ON(mode.specified);
-+      FAIL_ON(mode.refresh_specified);
-+      FAIL_ON(mode.bpp_specified);
-+
-+      FAIL_ON(mode.tv_margins.right != 14);
-+      FAIL_ON(mode.tv_margins.left != 24);
-+      FAIL_ON(mode.tv_margins.bottom != 36);
-+      FAIL_ON(mode.tv_margins.top != 42);
-+
-+      FAIL_ON(mode.rb);
-+      FAIL_ON(mode.cvt);
-+      FAIL_ON(mode.interlace);
-+      FAIL_ON(mode.margins);
-+      FAIL_ON(mode.force != DRM_FORCE_ON);
-+
-+      return 0;
-+}
-+
- #include "drm_selftest.c"
- static int __init test_drm_cmdline_init(void)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0482-drm-modes-parse_cmdline-Add-support-for-specifying-p.patch b/target/linux/bcm27xx/patches-5.4/950-0482-drm-modes-parse_cmdline-Add-support-for-specifying-p.patch
deleted file mode 100644 (file)
index cbda9ec..0000000
+++ /dev/null
@@ -1,157 +0,0 @@
-From 7d395633947fa6595a117f40e0f27ba87be77d6c Mon Sep 17 00:00:00 2001
-From: Hans de Goede <hdegoede@redhat.com>
-Date: Mon, 18 Nov 2019 16:51:30 +0100
-Subject: [PATCH] drm/modes: parse_cmdline: Add support for specifying
- panel_orientation (v2)
-
-Commit 4e7a4a6fbdc669c44e6079f9d5eb25673749455f upstream.
-
-Sometimes we want to override a connector's panel_orientation from the
-kernel commandline. Either for testing and for special cases, e.g. a kiosk
-like setup which uses a TV mounted in portrait mode.
-
-Users can already specify a "rotate" option through a video= kernel cmdline
-option. But that only supports 0/180 degrees (see drm_client_modeset TODO)
-and only works for in kernel modeset clients, not for userspace kms users.
-
-The "panel-orientation" connector property OTOH does support 90/270 degrees
-as it leaves dealing with the rotation up to userspace and this does work
-for userspace kms clients (at least those which support this property).
-
-Changes in v2:
--Add missing ':' after @panel_orientation (reported by kbuild test robot)
-
-BugLink: https://gitlab.freedesktop.org/plymouth/plymouth/merge_requests/83
-Acked-by: Maxime Ripard <mripard@kernel.org>
-Signed-off-by: Hans de Goede <hdegoede@redhat.com>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-9-hdegoede@redhat.com
----
- Documentation/fb/modedb.rst                   |  3 ++
- drivers/gpu/drm/drm_modes.c                   | 32 +++++++++++++++++++
- .../gpu/drm/selftests/drm_cmdline_selftests.h |  1 +
- .../drm/selftests/test-drm_cmdline_parser.c   | 22 +++++++++++++
- include/drm/drm_connector.h                   |  8 +++++
- 5 files changed, 66 insertions(+)
-
---- a/Documentation/fb/modedb.rst
-+++ b/Documentation/fb/modedb.rst
-@@ -65,6 +65,9 @@ Valid options are::
-   - reflect_y (boolean): Perform an axial symmetry on the Y axis
-   - rotate (integer): Rotate the initial framebuffer by x
-     degrees. Valid values are 0, 90, 180 and 270.
-+  - panel_orientation, one of "normal", "upside_down", "left_side_up", or
-+    "right_side_up". For KMS drivers only, this sets the "panel orientation"
-+    property on the kms connector as hint for kms users.
- -----------------------------------------------------------------------------
---- a/drivers/gpu/drm/drm_modes.c
-+++ b/drivers/gpu/drm/drm_modes.c
-@@ -1591,6 +1591,33 @@ static int drm_mode_parse_cmdline_int(co
-       return 0;
- }
-+static int drm_mode_parse_panel_orientation(const char *delim,
-+                                          struct drm_cmdline_mode *mode)
-+{
-+      const char *value;
-+
-+      if (*delim != '=')
-+              return -EINVAL;
-+
-+      value = delim + 1;
-+      delim = strchr(value, ',');
-+      if (!delim)
-+              delim = value + strlen(value);
-+
-+      if (!strncmp(value, "normal", delim - value))
-+              mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_NORMAL;
-+      else if (!strncmp(value, "upside_down", delim - value))
-+              mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_BOTTOM_UP;
-+      else if (!strncmp(value, "left_side_up", delim - value))
-+              mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_LEFT_UP;
-+      else if (!strncmp(value, "right_side_up", delim - value))
-+              mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_RIGHT_UP;
-+      else
-+              return -EINVAL;
-+
-+      return 0;
-+}
-+
- static int drm_mode_parse_cmdline_options(const char *str,
-                                         bool freestanding,
-                                         const struct drm_connector *connector,
-@@ -1657,6 +1684,9 @@ static int drm_mode_parse_cmdline_option
-                               return -EINVAL;
-                       mode->tv_margins.bottom = margin;
-+              } else if (!strncmp(option, "panel_orientation", delim - option)) {
-+                      if (drm_mode_parse_panel_orientation(delim, mode))
-+                              return -EINVAL;
-               } else {
-                       return -EINVAL;
-               }
-@@ -1722,6 +1752,8 @@ bool drm_mode_parse_command_line_for_con
-       char *bpp_end_ptr = NULL, *refresh_end_ptr = NULL;
-       int i, len, ret;
-+      mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_UNKNOWN;
-+
- #ifdef CONFIG_FB
-       if (!mode_option)
-               mode_option = fb_mode_option;
---- a/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
-+++ b/drivers/gpu/drm/selftests/drm_cmdline_selftests.h
-@@ -65,3 +65,4 @@ cmdline_test(drm_cmdline_test_bpp_extra_
- cmdline_test(drm_cmdline_test_extra_and_option)
- cmdline_test(drm_cmdline_test_freestanding_options)
- cmdline_test(drm_cmdline_test_freestanding_force_e_and_options)
-+cmdline_test(drm_cmdline_test_panel_orientation)
---- a/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
-+++ b/drivers/gpu/drm/selftests/test-drm_cmdline_parser.c
-@@ -1103,6 +1103,28 @@ static int drm_cmdline_test_freestanding
-       return 0;
- }
-+static int drm_cmdline_test_panel_orientation(void *ignored)
-+{
-+      struct drm_cmdline_mode mode = { };
-+
-+      FAIL_ON(!drm_mode_parse_command_line_for_connector("panel_orientation=upside_down",
-+                                                         &no_connector,
-+                                                         &mode));
-+      FAIL_ON(mode.specified);
-+      FAIL_ON(mode.refresh_specified);
-+      FAIL_ON(mode.bpp_specified);
-+
-+      FAIL_ON(mode.panel_orientation != DRM_MODE_PANEL_ORIENTATION_BOTTOM_UP);
-+
-+      FAIL_ON(mode.rb);
-+      FAIL_ON(mode.cvt);
-+      FAIL_ON(mode.interlace);
-+      FAIL_ON(mode.margins);
-+      FAIL_ON(mode.force != DRM_FORCE_UNSPECIFIED);
-+
-+      return 0;
-+}
-+
- #include "drm_selftest.c"
- static int __init test_drm_cmdline_init(void)
---- a/include/drm/drm_connector.h
-+++ b/include/drm/drm_connector.h
-@@ -1066,6 +1066,14 @@ struct drm_cmdline_mode {
-       unsigned int rotation_reflection;
-       /**
-+       * @panel_orientation:
-+       *
-+       * drm-connector "panel orientation" property override value,
-+       * DRM_MODE_PANEL_ORIENTATION_UNKNOWN if not set.
-+       */
-+      enum drm_panel_orientation panel_orientation;
-+
-+      /**
-        * @tv_margins: TV margins to apply to the mode.
-        */
-       struct drm_connector_tv_margins tv_margins;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0482-media-add-V4L2_CTRL_TYPE_AREA-control-type.patch b/target/linux/bcm27xx/patches-5.4/950-0482-media-add-V4L2_CTRL_TYPE_AREA-control-type.patch
new file mode 100644 (file)
index 0000000..d82c319
--- /dev/null
@@ -0,0 +1,157 @@
+From 4af6218f1d01e5ae54dc43e4bd2421617c777570 Mon Sep 17 00:00:00 2001
+From: Ricardo Ribalda Delgado <ribalda@kernel.org>
+Date: Mon, 7 Oct 2019 12:06:31 -0300
+Subject: [PATCH] media: add V4L2_CTRL_TYPE_AREA control type
+
+Commit d1dc49370f8371b00e682ac409aa1987ce641e93 upstream.
+
+This type contains the width and the height of a rectangular area.
+
+Reviewed-by: Jacopo Mondi <jacopo@jmondi.org>
+Signed-off-by: Ricardo Ribalda Delgado <ribalda@kernel.org>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ drivers/media/v4l2-core/v4l2-ctrls.c | 21 ++++++++++++++
+ include/media/v4l2-ctrls.h           | 42 ++++++++++++++++++++++++++++
+ include/uapi/linux/videodev2.h       |  6 ++++
+ 3 files changed, 69 insertions(+)
+
+--- a/drivers/media/v4l2-core/v4l2-ctrls.c
++++ b/drivers/media/v4l2-core/v4l2-ctrls.c
+@@ -1673,6 +1673,7 @@ static int std_validate_compound(const s
+ {
+       struct v4l2_ctrl_mpeg2_slice_params *p_mpeg2_slice_params;
+       struct v4l2_ctrl_vp8_frame_header *p_vp8_frame_header;
++      struct v4l2_area *area;
+       void *p = ptr.p + idx * ctrl->elem_size;
+       switch ((u32)ctrl->type) {
+@@ -1749,6 +1750,11 @@ static int std_validate_compound(const s
+               zero_padding(p_vp8_frame_header->entropy_header);
+               zero_padding(p_vp8_frame_header->coder_state);
+               break;
++      case V4L2_CTRL_TYPE_AREA:
++              area = p;
++              if (!area->width || !area->height)
++                      return -EINVAL;
++              break;
+       default:
+               return -EINVAL;
+       }
+@@ -2422,6 +2428,9 @@ static struct v4l2_ctrl *v4l2_ctrl_new(s
+       case V4L2_CTRL_TYPE_VP8_FRAME_HEADER:
+               elem_size = sizeof(struct v4l2_ctrl_vp8_frame_header);
+               break;
++      case V4L2_CTRL_TYPE_AREA:
++              elem_size = sizeof(struct v4l2_area);
++              break;
+       default:
+               if (type < V4L2_CTRL_COMPOUND_TYPES)
+                       elem_size = sizeof(s32);
+@@ -4086,6 +4095,18 @@ int __v4l2_ctrl_s_ctrl_string(struct v4l
+ }
+ EXPORT_SYMBOL(__v4l2_ctrl_s_ctrl_string);
++int __v4l2_ctrl_s_ctrl_area(struct v4l2_ctrl *ctrl,
++                          const struct v4l2_area *area)
++{
++      lockdep_assert_held(ctrl->handler->lock);
++
++      /* It's a driver bug if this happens. */
++      WARN_ON(ctrl->type != V4L2_CTRL_TYPE_AREA);
++      *ctrl->p_new.p_area = *area;
++      return set_ctrl(NULL, ctrl, 0);
++}
++EXPORT_SYMBOL(__v4l2_ctrl_s_ctrl_area);
++
+ void v4l2_ctrl_request_complete(struct media_request *req,
+                               struct v4l2_ctrl_handler *main_hdl)
+ {
+--- a/include/media/v4l2-ctrls.h
++++ b/include/media/v4l2-ctrls.h
+@@ -50,6 +50,7 @@ struct poll_table_struct;
+  * @p_h264_slice_params:      Pointer to a struct v4l2_ctrl_h264_slice_params.
+  * @p_h264_decode_params:     Pointer to a struct v4l2_ctrl_h264_decode_params.
+  * @p_vp8_frame_header:               Pointer to a VP8 frame header structure.
++ * @p_area:                   Pointer to an area.
+  * @p:                                Pointer to a compound value.
+  */
+ union v4l2_ctrl_ptr {
+@@ -68,6 +69,7 @@ union v4l2_ctrl_ptr {
+       struct v4l2_ctrl_h264_slice_params *p_h264_slice_params;
+       struct v4l2_ctrl_h264_decode_params *p_h264_decode_params;
+       struct v4l2_ctrl_vp8_frame_header *p_vp8_frame_header;
++      struct v4l2_area *p_area;
+       void *p;
+ };
+@@ -1063,6 +1065,46 @@ static inline int v4l2_ctrl_s_ctrl_strin
+       v4l2_ctrl_unlock(ctrl);
+       return rval;
++}
++
++/**
++ * __v4l2_ctrl_s_ctrl_area() - Unlocked variant of v4l2_ctrl_s_ctrl_area().
++ *
++ * @ctrl:     The control.
++ * @area:     The new area.
++ *
++ * This sets the control's new area safely by going through the control
++ * framework. This function assumes the control's handler is already locked,
++ * allowing it to be used from within the &v4l2_ctrl_ops functions.
++ *
++ * This function is for area type controls only.
++ */
++int __v4l2_ctrl_s_ctrl_area(struct v4l2_ctrl *ctrl,
++                          const struct v4l2_area *area);
++
++/**
++ * v4l2_ctrl_s_ctrl_area() - Helper function to set a control's area value
++ *     from within a driver.
++ *
++ * @ctrl:     The control.
++ * @area:     The new area.
++ *
++ * This sets the control's new area safely by going through the control
++ * framework. This function will lock the control's handler, so it cannot be
++ * used from within the &v4l2_ctrl_ops functions.
++ *
++ * This function is for area type controls only.
++ */
++static inline int v4l2_ctrl_s_ctrl_area(struct v4l2_ctrl *ctrl,
++                                      const struct v4l2_area *area)
++{
++      int rval;
++
++      v4l2_ctrl_lock(ctrl);
++      rval = __v4l2_ctrl_s_ctrl_area(ctrl, area);
++      v4l2_ctrl_unlock(ctrl);
++
++      return rval;
+ }
+ /* Internal helper functions that deal with control events. */
+--- a/include/uapi/linux/videodev2.h
++++ b/include/uapi/linux/videodev2.h
+@@ -426,6 +426,11 @@ struct v4l2_fract {
+       __u32   denominator;
+ };
++struct v4l2_area {
++      __u32   width;
++      __u32   height;
++};
++
+ /**
+   * struct v4l2_capability - Describes V4L2 device caps returned by VIDIOC_QUERYCAP
+   *
+@@ -1724,6 +1729,7 @@ enum v4l2_ctrl_type {
+       V4L2_CTRL_TYPE_U8            = 0x0100,
+       V4L2_CTRL_TYPE_U16           = 0x0101,
+       V4L2_CTRL_TYPE_U32           = 0x0102,
++      V4L2_CTRL_TYPE_AREA          = 0x0106,
+ };
+ /*  Used in the VIDIOC_QUERYCTRL ioctl for querying controls */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0483-drm-modes-parse_cmdline-Remove-some-unnecessary-code.patch b/target/linux/bcm27xx/patches-5.4/950-0483-drm-modes-parse_cmdline-Remove-some-unnecessary-code.patch
deleted file mode 100644 (file)
index fb4a7f1..0000000
+++ /dev/null
@@ -1,38 +0,0 @@
-From 339666068713986cfe1456175dd8a7514f6ed2ab Mon Sep 17 00:00:00 2001
-From: Hans de Goede <hdegoede@redhat.com>
-Date: Mon, 18 Nov 2019 16:51:31 +0100
-Subject: [PATCH] drm/modes: parse_cmdline: Remove some unnecessary
- code (v2)
-
-Commit 5b926617cdef41ce0696e09834991194b1759e28 upstream.
-
-fb_get_options() will return fb_mode_option if no video=<connector-name>
-argument is present on the kernel commandline, so there is no need to also
-do this in drm_mode_parse_command_line_for_connector() as our only caller
-uses fb_get_options() to get the mode_option argument.
-
-Changes in v2:
--Split out the changes dealing with the initialization of the mode struct
- into a separate patch
-
-Acked-by: Maxime Ripard <mripard@kernel.org>
-Signed-off-by: Hans de Goede <hdegoede@redhat.com>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-10-hdegoede@redhat.com
----
- drivers/gpu/drm/drm_modes.c | 5 -----
- 1 file changed, 5 deletions(-)
-
---- a/drivers/gpu/drm/drm_modes.c
-+++ b/drivers/gpu/drm/drm_modes.c
-@@ -1754,11 +1754,6 @@ bool drm_mode_parse_command_line_for_con
-       mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_UNKNOWN;
--#ifdef CONFIG_FB
--      if (!mode_option)
--              mode_option = fb_mode_option;
--#endif
--
-       if (!mode_option) {
-               mode->specified = false;
-               return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0483-media-add-V4L2_CID_UNIT_CELL_SIZE-control.patch b/target/linux/bcm27xx/patches-5.4/950-0483-media-add-V4L2_CID_UNIT_CELL_SIZE-control.patch
new file mode 100644 (file)
index 0000000..0c860c7
--- /dev/null
@@ -0,0 +1,52 @@
+From 12eba72027d415bb3dfd4c8124813a322b27c793 Mon Sep 17 00:00:00 2001
+From: Ricardo Ribalda Delgado <ribalda@kernel.org>
+Date: Mon, 7 Oct 2019 12:06:33 -0300
+Subject: [PATCH] media: add V4L2_CID_UNIT_CELL_SIZE control
+
+Commit 61fd036d01111679b01e4b92e6bd0cdd33809aea upstream.
+
+This control returns the unit cell size in nanometres. The struct provides
+the width and the height in separated fields to take into consideration
+asymmetric pixels and/or hardware binning.
+This control is required for automatic calibration of sensors/cameras.
+
+Reviewed-by: Philipp Zabel <p.zabel@pengutronix.de>
+Signed-off-by: Ricardo Ribalda Delgado <ribalda@kernel.org>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ drivers/media/v4l2-core/v4l2-ctrls.c | 5 +++++
+ include/uapi/linux/v4l2-controls.h   | 1 +
+ 2 files changed, 6 insertions(+)
+
+--- a/drivers/media/v4l2-core/v4l2-ctrls.c
++++ b/drivers/media/v4l2-core/v4l2-ctrls.c
+@@ -995,6 +995,7 @@ const char *v4l2_ctrl_get_name(u32 id)
+       case V4L2_CID_AUTO_FOCUS_RANGE:         return "Auto Focus, Range";
+       case V4L2_CID_PAN_SPEED:                return "Pan, Speed";
+       case V4L2_CID_TILT_SPEED:               return "Tilt, Speed";
++      case V4L2_CID_UNIT_CELL_SIZE:           return "Unit Cell Size";
+       /* FM Radio Modulator controls */
+       /* Keep the order of the 'case's the same as in v4l2-controls.h! */
+@@ -1376,6 +1377,10 @@ void v4l2_ctrl_fill(u32 id, const char *
+       case V4L2_CID_MPEG_VIDEO_VP8_FRAME_HEADER:
+               *type = V4L2_CTRL_TYPE_VP8_FRAME_HEADER;
+               break;
++      case V4L2_CID_UNIT_CELL_SIZE:
++              *type = V4L2_CTRL_TYPE_AREA;
++              *flags |= V4L2_CTRL_FLAG_READ_ONLY;
++              break;
+       default:
+               *type = V4L2_CTRL_TYPE_INTEGER;
+               break;
+--- a/include/uapi/linux/v4l2-controls.h
++++ b/include/uapi/linux/v4l2-controls.h
+@@ -1035,6 +1035,7 @@ enum v4l2_jpeg_chroma_subsampling {
+ #define V4L2_CID_TEST_PATTERN_GREENR          (V4L2_CID_IMAGE_SOURCE_CLASS_BASE + 5)
+ #define V4L2_CID_TEST_PATTERN_BLUE            (V4L2_CID_IMAGE_SOURCE_CLASS_BASE + 6)
+ #define V4L2_CID_TEST_PATTERN_GREENB          (V4L2_CID_IMAGE_SOURCE_CLASS_BASE + 7)
++#define V4L2_CID_UNIT_CELL_SIZE                       (V4L2_CID_IMAGE_SOURCE_CLASS_BASE + 8)
+ /* Image processing controls */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0484-drm-modes-parse_cmdline-Explicitly-memset-the-passed.patch b/target/linux/bcm27xx/patches-5.4/950-0484-drm-modes-parse_cmdline-Explicitly-memset-the-passed.patch
deleted file mode 100644 (file)
index 372cd0d..0000000
+++ /dev/null
@@ -1,41 +0,0 @@
-From d89b3f22cf7b6bba8081f6d16c9087019fdcf586 Mon Sep 17 00:00:00 2001
-From: Hans de Goede <hdegoede@redhat.com>
-Date: Mon, 18 Nov 2019 16:51:32 +0100
-Subject: [PATCH] drm/modes: parse_cmdline: Explicitly memset the
- passed in drm_cmdline_mode struct
-
-Commit d1fe276b5115f0d581c3cfe6154633b3547e8aab upstream.
-
-Instead of only setting mode->specified on false on an early exit and
-leaving e.g. mode->bpp_specified and mode->refresh_specified as is,
-lets be consistent and just zero out the entire passed in struct at
-the top of drm_mode_parse_command_line_for_connector()
-
-Changes in v3:
--Drop "mode->specified = false;" line instead of the "return false;" (oops)
- This crasher was reported-by: kernel test robot <lkp@intel.com>
-
-Acked-by: Maxime Ripard <mripard@kernel.org>
-Signed-off-by: Hans de Goede <hdegoede@redhat.com>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191118155134.30468-11-hdegoede@redhat.com
----
- drivers/gpu/drm/drm_modes.c | 5 ++---
- 1 file changed, 2 insertions(+), 3 deletions(-)
-
---- a/drivers/gpu/drm/drm_modes.c
-+++ b/drivers/gpu/drm/drm_modes.c
-@@ -1752,12 +1752,11 @@ bool drm_mode_parse_command_line_for_con
-       char *bpp_end_ptr = NULL, *refresh_end_ptr = NULL;
-       int i, len, ret;
-+      memset(mode, 0, sizeof(*mode));
-       mode->panel_orientation = DRM_MODE_PANEL_ORIENTATION_UNKNOWN;
--      if (!mode_option) {
--              mode->specified = false;
-+      if (!mode_option)
-               return false;
--      }
-       name = mode_option;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0484-media-v4l2-common-add-pixel-encoding-support.patch b/target/linux/bcm27xx/patches-5.4/950-0484-media-v4l2-common-add-pixel-encoding-support.patch
new file mode 100644 (file)
index 0000000..aa127ab
--- /dev/null
@@ -0,0 +1,228 @@
+From c63ea6a840ad87e32239eb6b771ac8bbc3279b54 Mon Sep 17 00:00:00 2001
+From: Benoit Parrot <bparrot@ti.com>
+Date: Mon, 7 Oct 2019 12:10:07 -0300
+Subject: [PATCH] media: v4l2-common: add pixel encoding support
+
+Commit d5a897c8428b38053df4b427a4277b1a0722bfa0 upstream.
+
+It is often useful to figure out if a pixel_format is either YUV or RGB
+especially for driver who can perform the pixel encoding conversion.
+
+Instead of having each driver implement its own "is_this_yuv/rgb"
+function based on a restricted set of pixel value, it is better to do
+this in centralized manner.
+
+We therefore add a pixel_enc member to the v4l2_format_info structure to
+quickly identify the related pixel encoding.
+And add helper functions to check pixel encoding.
+
+Signed-off-by: Benoit Parrot <bparrot@ti.com>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ drivers/media/v4l2-core/v4l2-common.c | 126 +++++++++++++-------------
+ include/media/v4l2-common.h           |  33 ++++++-
+ 2 files changed, 95 insertions(+), 64 deletions(-)
+
+--- a/drivers/media/v4l2-core/v4l2-common.c
++++ b/drivers/media/v4l2-core/v4l2-common.c
+@@ -236,77 +236,77 @@ const struct v4l2_format_info *v4l2_form
+ {
+       static const struct v4l2_format_info formats[] = {
+               /* RGB formats */
+-              { .format = V4L2_PIX_FMT_BGR24,   .mem_planes = 1, .comp_planes = 1, .bpp = { 3, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_RGB24,   .mem_planes = 1, .comp_planes = 1, .bpp = { 3, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_HSV24,   .mem_planes = 1, .comp_planes = 1, .bpp = { 3, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_BGR32,   .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_XBGR32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_BGRX32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_RGB32,   .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_XRGB32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_RGBX32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_HSV32,   .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_ARGB32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_RGBA32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_ABGR32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_BGRA32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_GREY,    .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_BGR24,   .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 3, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_RGB24,   .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 3, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_HSV24,   .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 3, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_BGR32,   .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_XBGR32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_BGRX32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_RGB32,   .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_XRGB32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_RGBX32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_HSV32,   .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_ARGB32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_RGBA32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_ABGR32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_BGRA32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_GREY,    .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+               /* YUV packed formats */
+-              { .format = V4L2_PIX_FMT_YUYV,    .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_YVYU,    .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_UYVY,    .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_VYUY,    .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_YUYV,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_YVYU,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_UYVY,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_VYUY,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
+               /* YUV planar formats */
+-              { .format = V4L2_PIX_FMT_NV12,    .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
+-              { .format = V4L2_PIX_FMT_NV21,    .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
+-              { .format = V4L2_PIX_FMT_NV16,    .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_NV61,    .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_NV24,    .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_NV42,    .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-
+-              { .format = V4L2_PIX_FMT_YUV410,  .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 4, .vdiv = 4 },
+-              { .format = V4L2_PIX_FMT_YVU410,  .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 4, .vdiv = 4 },
+-              { .format = V4L2_PIX_FMT_YUV411P, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 4, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_YUV420,  .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
+-              { .format = V4L2_PIX_FMT_YVU420,  .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
+-              { .format = V4L2_PIX_FMT_YUV422P, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_NV12,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
++              { .format = V4L2_PIX_FMT_NV21,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
++              { .format = V4L2_PIX_FMT_NV16,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_NV61,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_NV24,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_NV42,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++
++              { .format = V4L2_PIX_FMT_YUV410,  .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 4, .vdiv = 4 },
++              { .format = V4L2_PIX_FMT_YVU410,  .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 4, .vdiv = 4 },
++              { .format = V4L2_PIX_FMT_YUV411P, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 4, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_YUV420,  .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
++              { .format = V4L2_PIX_FMT_YVU420,  .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
++              { .format = V4L2_PIX_FMT_YUV422P, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 1 },
+               /* YUV planar formats, non contiguous variant */
+-              { .format = V4L2_PIX_FMT_YUV420M, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
+-              { .format = V4L2_PIX_FMT_YVU420M, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
+-              { .format = V4L2_PIX_FMT_YUV422M, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_YVU422M, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_YUV444M, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_YVU444M, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 1, .vdiv = 1 },
+-
+-              { .format = V4L2_PIX_FMT_NV12M,   .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
+-              { .format = V4L2_PIX_FMT_NV21M,   .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
+-              { .format = V4L2_PIX_FMT_NV16M,   .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_NV61M,   .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_YUV420M, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
++              { .format = V4L2_PIX_FMT_YVU420M, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
++              { .format = V4L2_PIX_FMT_YUV422M, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_YVU422M, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_YUV444M, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_YVU444M, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 1, .vdiv = 1 },
++
++              { .format = V4L2_PIX_FMT_NV12M,   .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
++              { .format = V4L2_PIX_FMT_NV21M,   .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
++              { .format = V4L2_PIX_FMT_NV16M,   .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_NV61M,   .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
+               /* Bayer RGB formats */
+-              { .format = V4L2_PIX_FMT_SBGGR8,        .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SGBRG8,        .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SGRBG8,        .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SRGGB8,        .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SBGGR10,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SGBRG10,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SGRBG10,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SRGGB10,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SBGGR10ALAW8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SGBRG10ALAW8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SGRBG10ALAW8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SRGGB10ALAW8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SBGGR10DPCM8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SGBRG10DPCM8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SGRBG10DPCM8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SRGGB10DPCM8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SBGGR12,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SGBRG12,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SGRBG12,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+-              { .format = V4L2_PIX_FMT_SRGGB12,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SBGGR8,        .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SGBRG8,        .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SGRBG8,        .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SRGGB8,        .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SBGGR10,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SGBRG10,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SGRBG10,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SRGGB10,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SBGGR10ALAW8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SGBRG10ALAW8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SGRBG10ALAW8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SRGGB10ALAW8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SBGGR10DPCM8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SGBRG10DPCM8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SGRBG10DPCM8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SRGGB10DPCM8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SBGGR12,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SGBRG12,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SGRBG12,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_SRGGB12,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+       };
+       unsigned int i;
+--- a/include/media/v4l2-common.h
++++ b/include/media/v4l2-common.h
+@@ -457,8 +457,24 @@ int v4l2_s_parm_cap(struct video_device
+ /* Pixel format and FourCC helpers */
+ /**
++ * enum v4l2_pixel_encoding - specifies the pixel encoding value
++ *
++ * @V4L2_PIXEL_ENC_UNKNOWN:   Pixel encoding is unknown/un-initialized
++ * @V4L2_PIXEL_ENC_YUV:               Pixel encoding is YUV
++ * @V4L2_PIXEL_ENC_RGB:               Pixel encoding is RGB
++ * @V4L2_PIXEL_ENC_BAYER:     Pixel encoding is Bayer
++ */
++enum v4l2_pixel_encoding {
++      V4L2_PIXEL_ENC_UNKNOWN = 0,
++      V4L2_PIXEL_ENC_YUV = 1,
++      V4L2_PIXEL_ENC_RGB = 2,
++      V4L2_PIXEL_ENC_BAYER = 3,
++};
++
++/**
+  * struct v4l2_format_info - information about a V4L2 format
+  * @format: 4CC format identifier (V4L2_PIX_FMT_*)
++ * @pixel_enc: Pixel encoding (see enum v4l2_pixel_encoding above)
+  * @mem_planes: Number of memory planes, which includes the alpha plane (1 to 4).
+  * @comp_planes: Number of component planes, which includes the alpha plane (1 to 4).
+  * @bpp: Array of per-plane bytes per pixel
+@@ -469,6 +485,7 @@ int v4l2_s_parm_cap(struct video_device
+  */
+ struct v4l2_format_info {
+       u32 format;
++      u8 pixel_enc;
+       u8 mem_planes;
+       u8 comp_planes;
+       u8 bpp[4];
+@@ -478,8 +495,22 @@ struct v4l2_format_info {
+       u8 block_h[4];
+ };
+-const struct v4l2_format_info *v4l2_format_info(u32 format);
++static inline bool v4l2_is_format_rgb(const struct v4l2_format_info *f)
++{
++      return f && f->pixel_enc == V4L2_PIXEL_ENC_RGB;
++}
++
++static inline bool v4l2_is_format_yuv(const struct v4l2_format_info *f)
++{
++      return f && f->pixel_enc == V4L2_PIXEL_ENC_YUV;
++}
++static inline bool v4l2_is_format_bayer(const struct v4l2_format_info *f)
++{
++      return f && f->pixel_enc == V4L2_PIXEL_ENC_BAYER;
++}
++
++const struct v4l2_format_info *v4l2_format_info(u32 format);
+ void v4l2_apply_frmsize_constraints(u32 *width, u32 *height,
+                                   const struct v4l2_frmsize_stepwise *frmsize);
+ int v4l2_fill_pixfmt(struct v4l2_pix_format *pixfmt, u32 pixelformat,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0485-drm-v3d-Replace-wait_for-macros-to-remove-use-of-msl.patch b/target/linux/bcm27xx/patches-5.4/950-0485-drm-v3d-Replace-wait_for-macros-to-remove-use-of-msl.patch
deleted file mode 100644 (file)
index 830bc11..0000000
+++ /dev/null
@@ -1,89 +0,0 @@
-From 12b60ef71cc005ee7290f692169d46a7e78df01a Mon Sep 17 00:00:00 2001
-From: Yukimasa Sugizaki <4298265+Terminus-IMRC@users.noreply.github.com>
-Date: Fri, 20 Mar 2020 19:01:23 +0900
-Subject: [PATCH] drm/v3d: Replace wait_for macros to remove use of
- msleep (#3510)
-
-commit 9daee6141cc9c75b09659b02b1cb9eeb2f5e16cc upstream.
-
-The wait_for macro's for Broadcom V3D driver used msleep, which is
-inappropriate due to its inaccuracy at low values (minimum wait time
-is about 30ms on the Raspberry Pi).  This sleep was triggering in
-v3d_clean_caches(), causing us to only be able to dispatch ~33 compute
-jobs per second.
-
-This patch replaces the macro with the one from the Intel i915 version
-which uses usleep_range to provide more accurate waits.
-
-v2: Split from the vc4 patch so that we can confidently apply to
-    stable (by anholt)
-
-Signed-off-by: James Hughes <james.hughes@raspberrypi.com>
-Signed-off-by: Eric Anholt <eric@anholt.net>
-Link: https://patchwork.freedesktop.org/patch/msgid/20200217153145.13780-1-james.hughes@raspberrypi.com
-Link: https://github.com/raspberrypi/linux/issues/3460
-Fixes: 57692c94dcbe ("drm/v3d: Introduce a new DRM driver for Broadcom V3D V3.x+")
-
-Co-authored-by: James Hughes <james.hughes@raspberrypi.com>
----
- drivers/gpu/drm/v3d/v3d_drv.h | 41 ++++++++++++++++++++++++-----------
- 1 file changed, 28 insertions(+), 13 deletions(-)
-
---- a/drivers/gpu/drm/v3d/v3d_drv.h
-+++ b/drivers/gpu/drm/v3d/v3d_drv.h
-@@ -260,27 +260,42 @@ struct v3d_csd_job {
- };
- /**
-- * _wait_for - magic (register) wait macro
-+ * __wait_for - magic wait macro
-  *
-- * Does the right thing for modeset paths when run under kdgb or similar atomic
-- * contexts. Note that it's important that we check the condition again after
-- * having timed out, since the timeout could be due to preemption or similar and
-- * we've never had a chance to check the condition before the timeout.
-+ * Macro to help avoid open coding check/wait/timeout patterns. Note that it's
-+ * important that we check the condition again after having timed out, since the
-+ * timeout could be due to preemption or similar and we've never had a chance to
-+ * check the condition before the timeout.
-  */
--#define wait_for(COND, MS) ({ \
--      unsigned long timeout__ = jiffies + msecs_to_jiffies(MS) + 1;   \
--      int ret__ = 0;                                                  \
--      while (!(COND)) {                                               \
--              if (time_after(jiffies, timeout__)) {                   \
--                      if (!(COND))                                    \
--                              ret__ = -ETIMEDOUT;                     \
-+#define __wait_for(OP, COND, US, Wmin, Wmax) ({ \
-+      const ktime_t end__ = ktime_add_ns(ktime_get_raw(), 1000ll * (US)); \
-+      long wait__ = (Wmin); /* recommended min for usleep is 10 us */ \
-+      int ret__;                                                      \
-+      might_sleep();                                                  \
-+      for (;;) {                                                      \
-+              const bool expired__ = ktime_after(ktime_get_raw(), end__); \
-+              OP;                                                     \
-+              /* Guarantee COND check prior to timeout */             \
-+              barrier();                                              \
-+              if (COND) {                                             \
-+                      ret__ = 0;                                      \
-                       break;                                          \
-               }                                                       \
--              msleep(1);                                      \
-+              if (expired__) {                                        \
-+                      ret__ = -ETIMEDOUT;                             \
-+                      break;                                          \
-+              }                                                       \
-+              usleep_range(wait__, wait__ * 2);                       \
-+              if (wait__ < (Wmax))                                    \
-+                      wait__ <<= 1;                                   \
-       }                                                               \
-       ret__;                                                          \
- })
-+#define _wait_for(COND, US, Wmin, Wmax)       __wait_for(, (COND), (US), (Wmin), \
-+                                                 (Wmax))
-+#define wait_for(COND, MS)            _wait_for((COND), (MS) * 1000, 10, 1000)
-+
- static inline unsigned long nsecs_to_jiffies_timeout(const u64 n)
- {
-       /* nsecs_to_jiffies64() does not guard against overflow */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0485-media-v4l2-common-add-RGB565-and-RGB55-to-v4l2_forma.patch b/target/linux/bcm27xx/patches-5.4/950-0485-media-v4l2-common-add-RGB565-and-RGB55-to-v4l2_forma.patch
new file mode 100644 (file)
index 0000000..0171cdf
--- /dev/null
@@ -0,0 +1,28 @@
+From 560f3a9051578499e72ce4b1beaedd007ff46f96 Mon Sep 17 00:00:00 2001
+From: Benoit Parrot <bparrot@ti.com>
+Date: Mon, 7 Oct 2019 12:10:08 -0300
+Subject: [PATCH] media: v4l2-common: add RGB565 and RGB55 to
+ v4l2_format_info
+
+Commit b373f84d77e1c409aacb4ff5bb5726c45fc8b166 upstream.
+
+Add RGB565 and RGB555 to the v4l2_format_info table.
+
+Signed-off-by: Benoit Parrot <bparrot@ti.com>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ drivers/media/v4l2-core/v4l2-common.c | 2 ++
+ 1 file changed, 2 insertions(+)
+
+--- a/drivers/media/v4l2-core/v4l2-common.c
++++ b/drivers/media/v4l2-core/v4l2-common.c
+@@ -251,6 +251,8 @@ const struct v4l2_format_info *v4l2_form
+               { .format = V4L2_PIX_FMT_ABGR32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+               { .format = V4L2_PIX_FMT_BGRA32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+               { .format = V4L2_PIX_FMT_GREY,    .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_RGB565,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
++              { .format = V4L2_PIX_FMT_RGB555,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
+               /* YUV packed formats */
+               { .format = V4L2_PIX_FMT_YUYV,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
diff --git a/target/linux/bcm27xx/patches-5.4/950-0486-Reduce-noise-from-rpi-poe-hat-fan.patch b/target/linux/bcm27xx/patches-5.4/950-0486-Reduce-noise-from-rpi-poe-hat-fan.patch
deleted file mode 100644 (file)
index 7c50843..0000000
+++ /dev/null
@@ -1,96 +0,0 @@
-From 863dace20e48954a7e013a2e88e27c692ce165b0 Mon Sep 17 00:00:00 2001
-From: Nick B <nick@pelagiris.org>
-Date: Mon, 9 Mar 2020 09:05:39 -0400
-Subject: [PATCH] Reduce noise from rpi poe hat fan
-
-This adds 2 extra states, at 40c and 45c, with PWM of 31 and 63 (out
-of 255) for the rpi poe hat fan.  This significantly improves user
-experience by providing a smoother ramp up of the fan, from a pwm 0
-to 31 to 63 then finally to 150, and additionally makes it very easy
-for users to further tweak the values as needed for their specific
-application.
-
-The possible concerns I have are that a hysteresis of 2000 (2c) could
-be too narrow, and that running the fan more at a reduced temperature
-(40000 - 40c) could cause problems.
-
-Signed-off-by: Nick B <nick@pelagiris.org>
----
- .../arm/boot/dts/overlays/rpi-poe-overlay.dts | 35 ++++++++++++++++---
- 1 file changed, 30 insertions(+), 5 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/rpi-poe-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/rpi-poe-overlay.dts
-@@ -14,9 +14,9 @@
-                               compatible = "raspberrypi,rpi-poe-fan";
-                               firmware = <&firmware>;
-                               cooling-min-state = <0>;
--                              cooling-max-state = <2>;
-+                              cooling-max-state = <4>;
-                               #cooling-cells = <2>;
--                              cooling-levels = <0 150 255>;
-+                              cooling-levels = <0 31 63 150 255>;
-                               status = "okay";
-                       };
-               };
-@@ -27,12 +27,21 @@
-               __overlay__ {
-                       trips {
-                               trip0: trip0 {
--                                      temperature = <50000>;
--                                      hysteresis = <5000>;
-+                                      temperature = <40000>;
-+                                      hysteresis = <2000>;
-                                       type = "active";
-                               };
-                               trip1: trip1 {
--
-+                                      temperature = <45000>;
-+                                      hysteresis = <2000>;
-+                                      type = "active";
-+                              };
-+                              trip2: trip2 {
-+                                      temperature = <50000>;
-+                                      hysteresis = <2000>;
-+                                      type = "active";
-+                              };
-+                              trip3: trip3 {
-                                       temperature = <55000>;
-                                       hysteresis = <5000>;
-                                       type = "active";
-@@ -47,6 +56,14 @@
-                                       trip = <&trip1>;
-                                       cooling-device = <&fan0 1 2>;
-                               };
-+                              map2 {
-+                                      trip = <&trip2>;
-+                                      cooling-device = <&fan0 2 3>;
-+                              };
-+                              map3 {
-+                                      trip = <&trip3>;
-+                                      cooling-device = <&fan0 3 4>;
-+                              };
-                       };
-               };
-       };
-@@ -58,6 +75,10 @@
-                       poe_fan_temp0_hyst =    <&trip0>,"hysteresis:0";
-                       poe_fan_temp1 =         <&trip1>,"temperature:0";
-                       poe_fan_temp1_hyst =    <&trip1>,"hysteresis:0";
-+                      poe_fan_temp2 =         <&trip2>,"temperature:0";
-+                      poe_fan_temp2_hyst =    <&trip2>,"hysteresis:0";
-+                      poe_fan_temp3 =         <&trip3>,"temperature:0";
-+                      poe_fan_temp3_hyst =    <&trip3>,"hysteresis:0";
-               };
-       };
-@@ -66,5 +87,9 @@
-               poe_fan_temp0_hyst =    <&trip0>,"hysteresis:0";
-               poe_fan_temp1 =         <&trip1>,"temperature:0";
-               poe_fan_temp1_hyst =    <&trip1>,"hysteresis:0";
-+              poe_fan_temp2 =         <&trip2>,"temperature:0";
-+              poe_fan_temp2_hyst =    <&trip2>,"hysteresis:0";
-+              poe_fan_temp3 =         <&trip3>,"temperature:0";
-+              poe_fan_temp3_hyst =    <&trip3>,"hysteresis:0";
-       };
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0486-media-vb2-add-V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF.patch b/target/linux/bcm27xx/patches-5.4/950-0486-media-vb2-add-V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF.patch
new file mode 100644 (file)
index 0000000..b05c34c
--- /dev/null
@@ -0,0 +1,184 @@
+From dfcdc4ed9a514cd5d77dd18c6527f257f8aaf378 Mon Sep 17 00:00:00 2001
+From: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Date: Fri, 11 Oct 2019 06:32:40 -0300
+Subject: [PATCH] media: vb2: add V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF
+
+This patch adds support for the V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF
+flag.
+
+It also adds a new V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF
+capability.
+
+Drivers should set vb2_queue->subsystem_flags to
+VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF to indicate support
+for this flag.
+
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ Documentation/media/uapi/v4l/buffer.rst         | 13 +++++++++++++
+ Documentation/media/uapi/v4l/vidioc-reqbufs.rst |  6 ++++++
+ drivers/media/common/videobuf2/videobuf2-v4l2.c | 12 ++++++++++--
+ include/media/videobuf2-core.h                  |  3 +++
+ include/media/videobuf2-v4l2.h                  |  5 +++++
+ include/uapi/linux/videodev2.h                  | 13 ++++++++-----
+ 6 files changed, 45 insertions(+), 7 deletions(-)
+
+--- a/Documentation/media/uapi/v4l/buffer.rst
++++ b/Documentation/media/uapi/v4l/buffer.rst
+@@ -607,6 +607,19 @@ Buffer Flags
+       applications shall use this flag for output buffers if the data in
+       this buffer has not been created by the CPU but by some
+       DMA-capable unit, in which case caches have not been used.
++    * .. _`V4L2-BUF-FLAG-M2M-HOLD-CAPTURE-BUF`:
++
++      - ``V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF``
++      - 0x00000200
++      - Only valid if ``V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF`` is
++      set. It is typically used with stateless decoders where multiple
++      output buffers each decode to a slice of the decoded frame.
++      Applications can set this flag when queueing the output buffer
++      to prevent the driver from dequeueing the capture buffer after
++      the output buffer has been decoded (i.e. the capture buffer is
++      'held'). If the timestamp of this output buffer differs from that
++      of the previous output buffer, then that indicates the start of a
++      new frame and the previously held capture buffer is dequeued.
+     * .. _`V4L2-BUF-FLAG-LAST`:
+       - ``V4L2_BUF_FLAG_LAST``
+--- a/Documentation/media/uapi/v4l/vidioc-reqbufs.rst
++++ b/Documentation/media/uapi/v4l/vidioc-reqbufs.rst
+@@ -125,6 +125,7 @@ aborting or finishing any DMA in progres
+ .. _V4L2-BUF-CAP-SUPPORTS-DMABUF:
+ .. _V4L2-BUF-CAP-SUPPORTS-REQUESTS:
+ .. _V4L2-BUF-CAP-SUPPORTS-ORPHANED-BUFS:
++.. _V4L2-BUF-CAP-SUPPORTS-M2M-HOLD-CAPTURE-BUF:
+ .. cssclass:: longtable
+@@ -150,6 +151,11 @@ aborting or finishing any DMA in progres
+       - The kernel allows calling :ref:`VIDIOC_REQBUFS` while buffers are still
+         mapped or exported via DMABUF. These orphaned buffers will be freed
+         when they are unmapped or when the exported DMABUF fds are closed.
++    * - ``V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF``
++      - 0x00000020
++      - Only valid for stateless decoders. If set, then userspace can set the
++        ``V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF`` flag to hold off on returning the
++      capture buffer until the OUTPUT timestamp changes.
+ Return Value
+ ============
+--- a/drivers/media/common/videobuf2/videobuf2-v4l2.c
++++ b/drivers/media/common/videobuf2/videobuf2-v4l2.c
+@@ -49,8 +49,11 @@ module_param(debug, int, 0644);
+                                V4L2_BUF_FLAG_REQUEST_FD | \
+                                V4L2_BUF_FLAG_TIMESTAMP_MASK)
+ /* Output buffer flags that should be passed on to the driver */
+-#define V4L2_BUFFER_OUT_FLAGS (V4L2_BUF_FLAG_PFRAME | V4L2_BUF_FLAG_BFRAME | \
+-                               V4L2_BUF_FLAG_KEYFRAME | V4L2_BUF_FLAG_TIMECODE)
++#define V4L2_BUFFER_OUT_FLAGS (V4L2_BUF_FLAG_PFRAME | \
++                               V4L2_BUF_FLAG_BFRAME | \
++                               V4L2_BUF_FLAG_KEYFRAME | \
++                               V4L2_BUF_FLAG_TIMECODE | \
++                               V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF)
+ /*
+  * __verify_planes_array() - verify that the planes array passed in struct
+@@ -194,6 +197,7 @@ static int vb2_fill_vb2_v4l2_buffer(stru
+       }
+       vbuf->sequence = 0;
+       vbuf->request_fd = -1;
++      vbuf->is_held = false;
+       if (V4L2_TYPE_IS_MULTIPLANAR(b->type)) {
+               switch (b->memory) {
+@@ -321,6 +325,8 @@ static int vb2_fill_vb2_v4l2_buffer(stru
+                */
+               vbuf->flags &= ~V4L2_BUF_FLAG_TIMECODE;
+               vbuf->field = b->field;
++              if (!(q->subsystem_flags & VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF))
++                      vbuf->flags &= ~V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF;
+       } else {
+               /* Zero any output buffer flags as this is a capture buffer */
+               vbuf->flags &= ~V4L2_BUFFER_OUT_FLAGS;
+@@ -654,6 +660,8 @@ static void fill_buf_caps(struct vb2_que
+               *caps |= V4L2_BUF_CAP_SUPPORTS_USERPTR;
+       if (q->io_modes & VB2_DMABUF)
+               *caps |= V4L2_BUF_CAP_SUPPORTS_DMABUF;
++      if (q->subsystem_flags & VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF)
++              *caps |= V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF;
+ #ifdef CONFIG_MEDIA_CONTROLLER_REQUEST_API
+       if (q->supports_requests)
+               *caps |= V4L2_BUF_CAP_SUPPORTS_REQUESTS;
+--- a/include/media/videobuf2-core.h
++++ b/include/media/videobuf2-core.h
+@@ -505,6 +505,8 @@ struct vb2_buf_ops {
+  * @buf_ops:  callbacks to deliver buffer information.
+  *            between user-space and kernel-space.
+  * @drv_priv: driver private data.
++ * @subsystem_flags: Flags specific to the subsystem (V4L2/DVB/etc.). Not used
++ *            by the vb2 core.
+  * @buf_struct_size: size of the driver-specific buffer structure;
+  *            "0" indicates the driver doesn't want to use a custom buffer
+  *            structure type. for example, ``sizeof(struct vb2_v4l2_buffer)``
+@@ -571,6 +573,7 @@ struct vb2_queue {
+       const struct vb2_buf_ops        *buf_ops;
+       void                            *drv_priv;
++      u32                             subsystem_flags;
+       unsigned int                    buf_struct_size;
+       u32                             timestamp_flags;
+       gfp_t                           gfp_flags;
+--- a/include/media/videobuf2-v4l2.h
++++ b/include/media/videobuf2-v4l2.h
+@@ -33,6 +33,7 @@
+  * @timecode: frame timecode.
+  * @sequence: sequence count of this frame.
+  * @request_fd:       the request_fd associated with this buffer
++ * @is_held:  if true, then this capture buffer was held
+  * @planes:   plane information (userptr/fd, length, bytesused, data_offset).
+  *
+  * Should contain enough information to be able to cover all the fields
+@@ -46,9 +47,13 @@ struct vb2_v4l2_buffer {
+       struct v4l2_timecode    timecode;
+       __u32                   sequence;
+       __s32                   request_fd;
++      bool                    is_held;
+       struct vb2_plane        planes[VB2_MAX_PLANES];
+ };
++/* VB2 V4L2 flags as set in vb2_queue.subsystem_flags */
++#define VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF (1 << 0)
++
+ /*
+  * to_vb2_v4l2_buffer() - cast struct vb2_buffer * to struct vb2_v4l2_buffer *
+  */
+--- a/include/uapi/linux/videodev2.h
++++ b/include/uapi/linux/videodev2.h
+@@ -924,11 +924,12 @@ struct v4l2_requestbuffers {
+ };
+ /* capabilities for struct v4l2_requestbuffers and v4l2_create_buffers */
+-#define V4L2_BUF_CAP_SUPPORTS_MMAP    (1 << 0)
+-#define V4L2_BUF_CAP_SUPPORTS_USERPTR (1 << 1)
+-#define V4L2_BUF_CAP_SUPPORTS_DMABUF  (1 << 2)
+-#define V4L2_BUF_CAP_SUPPORTS_REQUESTS        (1 << 3)
+-#define V4L2_BUF_CAP_SUPPORTS_ORPHANED_BUFS (1 << 4)
++#define V4L2_BUF_CAP_SUPPORTS_MMAP                    (1 << 0)
++#define V4L2_BUF_CAP_SUPPORTS_USERPTR                 (1 << 1)
++#define V4L2_BUF_CAP_SUPPORTS_DMABUF                  (1 << 2)
++#define V4L2_BUF_CAP_SUPPORTS_REQUESTS                        (1 << 3)
++#define V4L2_BUF_CAP_SUPPORTS_ORPHANED_BUFS           (1 << 4)
++#define V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF    (1 << 5)
+ /**
+  * struct v4l2_plane - plane info for multi-planar buffers
+@@ -1050,6 +1051,8 @@ static inline __u64 v4l2_timeval_to_ns(c
+ #define V4L2_BUF_FLAG_IN_REQUEST              0x00000080
+ /* timecode field is valid */
+ #define V4L2_BUF_FLAG_TIMECODE                        0x00000100
++/* Don't return the capture buffer until OUTPUT timestamp changes */
++#define V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF    0x00000200
+ /* Buffer is prepared for queuing */
+ #define V4L2_BUF_FLAG_PREPARED                        0x00000400
+ /* Cache handling flags */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0487-add-Sensirion-SPS30-to-i2c-sensor-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0487-add-Sensirion-SPS30-to-i2c-sensor-overlay.patch
deleted file mode 100644 (file)
index 72941b5..0000000
+++ /dev/null
@@ -1,59 +0,0 @@
-From 60f3874207c50db6f6d9dbac40977843cb77acd5 Mon Sep 17 00:00:00 2001
-From: =?UTF-8?q?Petr=20=C5=A0tetiar?= <ynezz@true.cz>
-Date: Sat, 7 Mar 2020 22:37:52 +0100
-Subject: [PATCH] add Sensirion SPS30 to i2c-sensor overlay
-MIME-Version: 1.0
-Content-Type: text/plain; charset=UTF-8
-Content-Transfer-Encoding: 8bit
-
-Add support for Sensirion SPS30 particulate matter sensor with fixed
-address 0x69.
-
-Signed-off-by: Petr Štetiar <ynezz@true.cz>
----
- arch/arm/boot/dts/overlays/README                 |  3 +++
- arch/arm/boot/dts/overlays/i2c-sensor-overlay.dts | 15 +++++++++++++++
- 2 files changed, 18 insertions(+)
-
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -1261,6 +1261,9 @@ Params: addr                    Set the
-         si7020                  Select the Silicon Labs Si7013/20/21 humidity/
-                                 temperature sensor
-+        sps30                   Select the Sensirion SPS30 particulate matter
-+                                sensor. Fixed address 0x69.
-+
-         tmp102                  Select the Texas Instruments TMP102 temp sensor
-                                 Valid addresses 0x48-0x4b, default 0x48
---- a/arch/arm/boot/dts/overlays/i2c-sensor-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/i2c-sensor-overlay.dts
-@@ -231,6 +231,20 @@
-               };
-       };
-+      fragment@15 {
-+              target = <&i2c_arm>;
-+              __dormant__ {
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "okay";
-+
-+                      sps30: sps30@69 {
-+                              compatible = "sensirion,sps30";
-+                              reg = <0x69>;
-+                              status = "okay";
-+                      };
-+              };
-+      };
-       __overrides__ {
-               addr =  <&bme280>,"reg:0", <&bmp280>,"reg:0", <&tmp102>,"reg:0",
-@@ -252,5 +266,6 @@
-               ds1621 = <0>,"+12";
-               max17040 = <0>,"+13";
-               bme680 = <0>,"+14";
-+              sps30 = <0>,"+15";
-       };
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0487-media-v4l2-mem2mem-support-held-capture-buffers.patch b/target/linux/bcm27xx/patches-5.4/950-0487-media-v4l2-mem2mem-support-held-capture-buffers.patch
new file mode 100644 (file)
index 0000000..bb66baf
--- /dev/null
@@ -0,0 +1,260 @@
+From dc9b786e4b9a1262b536b3c9d0fa88e34a2b3f8f Mon Sep 17 00:00:00 2001
+From: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Date: Fri, 11 Oct 2019 06:32:41 -0300
+Subject: [PATCH] media: v4l2-mem2mem: support held capture buffers
+
+Commit f8cca8c97a63d77f48334cde81d15014f43530ef upstream.
+
+Check for held buffers that are ready to be returned to vb2 in
+__v4l2_m2m_try_queue(). This avoids drivers having to handle this
+case.
+
+Add v4l2_m2m_buf_done_and_job_finish() to correctly return source
+and destination buffers and mark the job as finished while taking
+a held destination buffer into account (i.e. that buffer won't be
+returned). This has to be done while job_spinlock is held to avoid
+race conditions.
+
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ drivers/media/v4l2-core/v4l2-mem2mem.c | 130 ++++++++++++++++++-------
+ include/media/v4l2-mem2mem.h           |  33 ++++++-
+ 2 files changed, 128 insertions(+), 35 deletions(-)
+
+--- a/drivers/media/v4l2-core/v4l2-mem2mem.c
++++ b/drivers/media/v4l2-core/v4l2-mem2mem.c
+@@ -284,7 +284,8 @@ static void v4l2_m2m_try_run(struct v4l2
+ static void __v4l2_m2m_try_queue(struct v4l2_m2m_dev *m2m_dev,
+                                struct v4l2_m2m_ctx *m2m_ctx)
+ {
+-      unsigned long flags_job, flags_out, flags_cap;
++      unsigned long flags_job;
++      struct vb2_v4l2_buffer *dst, *src;
+       dprintk("Trying to schedule a job for m2m_ctx: %p\n", m2m_ctx);
+@@ -307,20 +308,30 @@ static void __v4l2_m2m_try_queue(struct
+               goto job_unlock;
+       }
+-      spin_lock_irqsave(&m2m_ctx->out_q_ctx.rdy_spinlock, flags_out);
+-      if (list_empty(&m2m_ctx->out_q_ctx.rdy_queue)
+-          && !m2m_ctx->out_q_ctx.buffered) {
++      src = v4l2_m2m_next_src_buf(m2m_ctx);
++      dst = v4l2_m2m_next_dst_buf(m2m_ctx);
++      if (!src && !m2m_ctx->out_q_ctx.buffered) {
+               dprintk("No input buffers available\n");
+-              goto out_unlock;
++              goto job_unlock;
+       }
+-      spin_lock_irqsave(&m2m_ctx->cap_q_ctx.rdy_spinlock, flags_cap);
+-      if (list_empty(&m2m_ctx->cap_q_ctx.rdy_queue)
+-          && !m2m_ctx->cap_q_ctx.buffered) {
++      if (!dst && !m2m_ctx->cap_q_ctx.buffered) {
+               dprintk("No output buffers available\n");
+-              goto cap_unlock;
++              goto job_unlock;
++      }
++
++      if (src && dst &&
++          dst->is_held && dst->vb2_buf.copied_timestamp &&
++          dst->vb2_buf.timestamp != src->vb2_buf.timestamp) {
++              dst->is_held = false;
++              v4l2_m2m_dst_buf_remove(m2m_ctx);
++              v4l2_m2m_buf_done(dst, VB2_BUF_STATE_DONE);
++              dst = v4l2_m2m_next_dst_buf(m2m_ctx);
++
++              if (!dst && !m2m_ctx->cap_q_ctx.buffered) {
++                      dprintk("No output buffers available after returning held buffer\n");
++                      goto job_unlock;
++              }
+       }
+-      spin_unlock_irqrestore(&m2m_ctx->cap_q_ctx.rdy_spinlock, flags_cap);
+-      spin_unlock_irqrestore(&m2m_ctx->out_q_ctx.rdy_spinlock, flags_out);
+       if (m2m_dev->m2m_ops->job_ready
+               && (!m2m_dev->m2m_ops->job_ready(m2m_ctx->priv))) {
+@@ -331,13 +342,6 @@ static void __v4l2_m2m_try_queue(struct
+       list_add_tail(&m2m_ctx->queue, &m2m_dev->job_queue);
+       m2m_ctx->job_flags |= TRANS_QUEUED;
+-      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags_job);
+-      return;
+-
+-cap_unlock:
+-      spin_unlock_irqrestore(&m2m_ctx->cap_q_ctx.rdy_spinlock, flags_cap);
+-out_unlock:
+-      spin_unlock_irqrestore(&m2m_ctx->out_q_ctx.rdy_spinlock, flags_out);
+ job_unlock:
+       spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags_job);
+ }
+@@ -412,37 +416,97 @@ static void v4l2_m2m_cancel_job(struct v
+       }
+ }
+-void v4l2_m2m_job_finish(struct v4l2_m2m_dev *m2m_dev,
+-                       struct v4l2_m2m_ctx *m2m_ctx)
++/*
++ * Schedule the next job, called from v4l2_m2m_job_finish() or
++ * v4l2_m2m_buf_done_and_job_finish().
++ */
++static void v4l2_m2m_schedule_next_job(struct v4l2_m2m_dev *m2m_dev,
++                                     struct v4l2_m2m_ctx *m2m_ctx)
+ {
+-      unsigned long flags;
++      /*
++       * This instance might have more buffers ready, but since we do not
++       * allow more than one job on the job_queue per instance, each has
++       * to be scheduled separately after the previous one finishes.
++       */
++      __v4l2_m2m_try_queue(m2m_dev, m2m_ctx);
+-      spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
++      /*
++       * We might be running in atomic context,
++       * but the job must be run in non-atomic context.
++       */
++      schedule_work(&m2m_dev->job_work);
++}
++
++/*
++ * Assumes job_spinlock is held, called from v4l2_m2m_job_finish() or
++ * v4l2_m2m_buf_done_and_job_finish().
++ */
++static bool _v4l2_m2m_job_finish(struct v4l2_m2m_dev *m2m_dev,
++                               struct v4l2_m2m_ctx *m2m_ctx)
++{
+       if (!m2m_dev->curr_ctx || m2m_dev->curr_ctx != m2m_ctx) {
+-              spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
+               dprintk("Called by an instance not currently running\n");
+-              return;
++              return false;
+       }
+       list_del(&m2m_dev->curr_ctx->queue);
+       m2m_dev->curr_ctx->job_flags &= ~(TRANS_QUEUED | TRANS_RUNNING);
+       wake_up(&m2m_dev->curr_ctx->finished);
+       m2m_dev->curr_ctx = NULL;
++      return true;
++}
+-      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
+-
+-      /* This instance might have more buffers ready, but since we do not
+-       * allow more than one job on the job_queue per instance, each has
+-       * to be scheduled separately after the previous one finishes. */
+-      __v4l2_m2m_try_queue(m2m_dev, m2m_ctx);
++void v4l2_m2m_job_finish(struct v4l2_m2m_dev *m2m_dev,
++                       struct v4l2_m2m_ctx *m2m_ctx)
++{
++      unsigned long flags;
++      bool schedule_next;
+-      /* We might be running in atomic context,
+-       * but the job must be run in non-atomic context.
++      /*
++       * This function should not be used for drivers that support
++       * holding capture buffers. Those should use
++       * v4l2_m2m_buf_done_and_job_finish() instead.
+        */
+-      schedule_work(&m2m_dev->job_work);
++      WARN_ON(m2m_ctx->cap_q_ctx.q.subsystem_flags &
++              VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF);
++      spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
++      schedule_next = _v4l2_m2m_job_finish(m2m_dev, m2m_ctx);
++      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
++
++      if (schedule_next)
++              v4l2_m2m_schedule_next_job(m2m_dev, m2m_ctx);
+ }
+ EXPORT_SYMBOL(v4l2_m2m_job_finish);
++void v4l2_m2m_buf_done_and_job_finish(struct v4l2_m2m_dev *m2m_dev,
++                                    struct v4l2_m2m_ctx *m2m_ctx,
++                                    enum vb2_buffer_state state)
++{
++      struct vb2_v4l2_buffer *src_buf, *dst_buf;
++      bool schedule_next = false;
++      unsigned long flags;
++
++      spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
++      src_buf = v4l2_m2m_src_buf_remove(m2m_ctx);
++      dst_buf = v4l2_m2m_next_dst_buf(m2m_ctx);
++
++      if (WARN_ON(!src_buf || !dst_buf))
++              goto unlock;
++      v4l2_m2m_buf_done(src_buf, state);
++      dst_buf->is_held = src_buf->flags & V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF;
++      if (!dst_buf->is_held) {
++              v4l2_m2m_dst_buf_remove(m2m_ctx);
++              v4l2_m2m_buf_done(dst_buf, state);
++      }
++      schedule_next = _v4l2_m2m_job_finish(m2m_dev, m2m_ctx);
++unlock:
++      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
++
++      if (schedule_next)
++              v4l2_m2m_schedule_next_job(m2m_dev, m2m_ctx);
++}
++EXPORT_SYMBOL(v4l2_m2m_buf_done_and_job_finish);
++
+ int v4l2_m2m_reqbufs(struct file *file, struct v4l2_m2m_ctx *m2m_ctx,
+                    struct v4l2_requestbuffers *reqbufs)
+ {
+--- a/include/media/v4l2-mem2mem.h
++++ b/include/media/v4l2-mem2mem.h
+@@ -21,7 +21,8 @@
+  *            callback.
+  *            The job does NOT have to end before this callback returns
+  *            (and it will be the usual case). When the job finishes,
+- *            v4l2_m2m_job_finish() has to be called.
++ *            v4l2_m2m_job_finish() or v4l2_m2m_buf_done_and_job_finish()
++ *            has to be called.
+  * @job_ready:        optional. Should return 0 if the driver does not have a job
+  *            fully prepared to run yet (i.e. it will not be able to finish a
+  *            transaction without sleeping). If not provided, it will be
+@@ -33,7 +34,8 @@
+  *            stop the device safely; e.g. in the next interrupt handler),
+  *            even if the transaction would not have been finished by then.
+  *            After the driver performs the necessary steps, it has to call
+- *            v4l2_m2m_job_finish() (as if the transaction ended normally).
++ *            v4l2_m2m_job_finish() or v4l2_m2m_buf_done_and_job_finish() as
++ *            if the transaction ended normally.
+  *            This function does not have to (and will usually not) wait
+  *            until the device enters a state when it can be stopped.
+  */
+@@ -173,6 +175,33 @@ void v4l2_m2m_try_schedule(struct v4l2_m
+ void v4l2_m2m_job_finish(struct v4l2_m2m_dev *m2m_dev,
+                        struct v4l2_m2m_ctx *m2m_ctx);
++/**
++ * v4l2_m2m_buf_done_and_job_finish() - return source/destination buffers with
++ * state and inform the framework that a job has been finished and have it
++ * clean up
++ *
++ * @m2m_dev: opaque pointer to the internal data to handle M2M context
++ * @m2m_ctx: m2m context assigned to the instance given by struct &v4l2_m2m_ctx
++ * @state: vb2 buffer state passed to v4l2_m2m_buf_done().
++ *
++ * Drivers that set V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF must use this
++ * function instead of job_finish() to take held buffers into account. It is
++ * optional for other drivers.
++ *
++ * This function removes the source buffer from the ready list and returns
++ * it with the given state. The same is done for the destination buffer, unless
++ * it is marked 'held'. In that case the buffer is kept on the ready list.
++ *
++ * After that the job is finished (see job_finish()).
++ *
++ * This allows for multiple output buffers to be used to fill in a single
++ * capture buffer. This is typically used by stateless decoders where
++ * multiple e.g. H.264 slices contribute to a single decoded frame.
++ */
++void v4l2_m2m_buf_done_and_job_finish(struct v4l2_m2m_dev *m2m_dev,
++                                    struct v4l2_m2m_ctx *m2m_ctx,
++                                    enum vb2_buffer_state state);
++
+ static inline void
+ v4l2_m2m_buf_done(struct vb2_v4l2_buffer *buf, enum vb2_buffer_state state)
+ {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0488-media-add-V4L2_CTRL_TYPE_AREA-control-type.patch b/target/linux/bcm27xx/patches-5.4/950-0488-media-add-V4L2_CTRL_TYPE_AREA-control-type.patch
deleted file mode 100644 (file)
index d82c319..0000000
+++ /dev/null
@@ -1,157 +0,0 @@
-From 4af6218f1d01e5ae54dc43e4bd2421617c777570 Mon Sep 17 00:00:00 2001
-From: Ricardo Ribalda Delgado <ribalda@kernel.org>
-Date: Mon, 7 Oct 2019 12:06:31 -0300
-Subject: [PATCH] media: add V4L2_CTRL_TYPE_AREA control type
-
-Commit d1dc49370f8371b00e682ac409aa1987ce641e93 upstream.
-
-This type contains the width and the height of a rectangular area.
-
-Reviewed-by: Jacopo Mondi <jacopo@jmondi.org>
-Signed-off-by: Ricardo Ribalda Delgado <ribalda@kernel.org>
-Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- drivers/media/v4l2-core/v4l2-ctrls.c | 21 ++++++++++++++
- include/media/v4l2-ctrls.h           | 42 ++++++++++++++++++++++++++++
- include/uapi/linux/videodev2.h       |  6 ++++
- 3 files changed, 69 insertions(+)
-
---- a/drivers/media/v4l2-core/v4l2-ctrls.c
-+++ b/drivers/media/v4l2-core/v4l2-ctrls.c
-@@ -1673,6 +1673,7 @@ static int std_validate_compound(const s
- {
-       struct v4l2_ctrl_mpeg2_slice_params *p_mpeg2_slice_params;
-       struct v4l2_ctrl_vp8_frame_header *p_vp8_frame_header;
-+      struct v4l2_area *area;
-       void *p = ptr.p + idx * ctrl->elem_size;
-       switch ((u32)ctrl->type) {
-@@ -1749,6 +1750,11 @@ static int std_validate_compound(const s
-               zero_padding(p_vp8_frame_header->entropy_header);
-               zero_padding(p_vp8_frame_header->coder_state);
-               break;
-+      case V4L2_CTRL_TYPE_AREA:
-+              area = p;
-+              if (!area->width || !area->height)
-+                      return -EINVAL;
-+              break;
-       default:
-               return -EINVAL;
-       }
-@@ -2422,6 +2428,9 @@ static struct v4l2_ctrl *v4l2_ctrl_new(s
-       case V4L2_CTRL_TYPE_VP8_FRAME_HEADER:
-               elem_size = sizeof(struct v4l2_ctrl_vp8_frame_header);
-               break;
-+      case V4L2_CTRL_TYPE_AREA:
-+              elem_size = sizeof(struct v4l2_area);
-+              break;
-       default:
-               if (type < V4L2_CTRL_COMPOUND_TYPES)
-                       elem_size = sizeof(s32);
-@@ -4086,6 +4095,18 @@ int __v4l2_ctrl_s_ctrl_string(struct v4l
- }
- EXPORT_SYMBOL(__v4l2_ctrl_s_ctrl_string);
-+int __v4l2_ctrl_s_ctrl_area(struct v4l2_ctrl *ctrl,
-+                          const struct v4l2_area *area)
-+{
-+      lockdep_assert_held(ctrl->handler->lock);
-+
-+      /* It's a driver bug if this happens. */
-+      WARN_ON(ctrl->type != V4L2_CTRL_TYPE_AREA);
-+      *ctrl->p_new.p_area = *area;
-+      return set_ctrl(NULL, ctrl, 0);
-+}
-+EXPORT_SYMBOL(__v4l2_ctrl_s_ctrl_area);
-+
- void v4l2_ctrl_request_complete(struct media_request *req,
-                               struct v4l2_ctrl_handler *main_hdl)
- {
---- a/include/media/v4l2-ctrls.h
-+++ b/include/media/v4l2-ctrls.h
-@@ -50,6 +50,7 @@ struct poll_table_struct;
-  * @p_h264_slice_params:      Pointer to a struct v4l2_ctrl_h264_slice_params.
-  * @p_h264_decode_params:     Pointer to a struct v4l2_ctrl_h264_decode_params.
-  * @p_vp8_frame_header:               Pointer to a VP8 frame header structure.
-+ * @p_area:                   Pointer to an area.
-  * @p:                                Pointer to a compound value.
-  */
- union v4l2_ctrl_ptr {
-@@ -68,6 +69,7 @@ union v4l2_ctrl_ptr {
-       struct v4l2_ctrl_h264_slice_params *p_h264_slice_params;
-       struct v4l2_ctrl_h264_decode_params *p_h264_decode_params;
-       struct v4l2_ctrl_vp8_frame_header *p_vp8_frame_header;
-+      struct v4l2_area *p_area;
-       void *p;
- };
-@@ -1063,6 +1065,46 @@ static inline int v4l2_ctrl_s_ctrl_strin
-       v4l2_ctrl_unlock(ctrl);
-       return rval;
-+}
-+
-+/**
-+ * __v4l2_ctrl_s_ctrl_area() - Unlocked variant of v4l2_ctrl_s_ctrl_area().
-+ *
-+ * @ctrl:     The control.
-+ * @area:     The new area.
-+ *
-+ * This sets the control's new area safely by going through the control
-+ * framework. This function assumes the control's handler is already locked,
-+ * allowing it to be used from within the &v4l2_ctrl_ops functions.
-+ *
-+ * This function is for area type controls only.
-+ */
-+int __v4l2_ctrl_s_ctrl_area(struct v4l2_ctrl *ctrl,
-+                          const struct v4l2_area *area);
-+
-+/**
-+ * v4l2_ctrl_s_ctrl_area() - Helper function to set a control's area value
-+ *     from within a driver.
-+ *
-+ * @ctrl:     The control.
-+ * @area:     The new area.
-+ *
-+ * This sets the control's new area safely by going through the control
-+ * framework. This function will lock the control's handler, so it cannot be
-+ * used from within the &v4l2_ctrl_ops functions.
-+ *
-+ * This function is for area type controls only.
-+ */
-+static inline int v4l2_ctrl_s_ctrl_area(struct v4l2_ctrl *ctrl,
-+                                      const struct v4l2_area *area)
-+{
-+      int rval;
-+
-+      v4l2_ctrl_lock(ctrl);
-+      rval = __v4l2_ctrl_s_ctrl_area(ctrl, area);
-+      v4l2_ctrl_unlock(ctrl);
-+
-+      return rval;
- }
- /* Internal helper functions that deal with control events. */
---- a/include/uapi/linux/videodev2.h
-+++ b/include/uapi/linux/videodev2.h
-@@ -426,6 +426,11 @@ struct v4l2_fract {
-       __u32   denominator;
- };
-+struct v4l2_area {
-+      __u32   width;
-+      __u32   height;
-+};
-+
- /**
-   * struct v4l2_capability - Describes V4L2 device caps returned by VIDIOC_QUERYCAP
-   *
-@@ -1724,6 +1729,7 @@ enum v4l2_ctrl_type {
-       V4L2_CTRL_TYPE_U8            = 0x0100,
-       V4L2_CTRL_TYPE_U16           = 0x0101,
-       V4L2_CTRL_TYPE_U32           = 0x0102,
-+      V4L2_CTRL_TYPE_AREA          = 0x0106,
- };
- /*  Used in the VIDIOC_QUERYCTRL ioctl for querying controls */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0488-media-videodev2.h-add-V4L2_DEC_CMD_FLUSH.patch b/target/linux/bcm27xx/patches-5.4/950-0488-media-videodev2.h-add-V4L2_DEC_CMD_FLUSH.patch
new file mode 100644 (file)
index 0000000..56fee1e
--- /dev/null
@@ -0,0 +1,57 @@
+From b2ea711d2c21ec021de4ff09a0a2b5b4224f9749 Mon Sep 17 00:00:00 2001
+From: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Date: Fri, 11 Oct 2019 06:32:42 -0300
+Subject: [PATCH] media: videodev2.h: add V4L2_DEC_CMD_FLUSH
+
+Add this new V4L2_DEC_CMD_FLUSH decoder command and document it.
+
+Reviewed-by: Boris Brezillon <boris.brezillon@collabora.com>
+Reviewed-by: Alexandre Courbot <acourbot@chromium.org>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Jernej Skrabec <jernej.skrabec@siol.net>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ Documentation/media/uapi/v4l/vidioc-decoder-cmd.rst | 10 +++++++++-
+ Documentation/media/videodev2.h.rst.exceptions      |  1 +
+ include/uapi/linux/videodev2.h                      |  1 +
+ 3 files changed, 11 insertions(+), 1 deletion(-)
+
+--- a/Documentation/media/uapi/v4l/vidioc-decoder-cmd.rst
++++ b/Documentation/media/uapi/v4l/vidioc-decoder-cmd.rst
+@@ -208,7 +208,15 @@ introduced in Linux 3.3. They are, howev
+       been started yet, the driver will return an ``EPERM`` error code. When
+       the decoder is already running, this command does nothing. No
+       flags are defined for this command.
+-
++    * - ``V4L2_DEC_CMD_FLUSH``
++      - 4
++      - Flush any held capture buffers. Only valid for stateless decoders.
++      This command is typically used when the application reached the
++      end of the stream and the last output buffer had the
++      ``V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF`` flag set. This would prevent
++      dequeueing the capture buffer containing the last decoded frame.
++      So this command can be used to explicitly flush that final decoded
++      frame. This command does nothing if there are no held capture buffers.
+ Return Value
+ ============
+--- a/Documentation/media/videodev2.h.rst.exceptions
++++ b/Documentation/media/videodev2.h.rst.exceptions
+@@ -434,6 +434,7 @@ replace define V4L2_DEC_CMD_START decode
+ replace define V4L2_DEC_CMD_STOP decoder-cmds
+ replace define V4L2_DEC_CMD_PAUSE decoder-cmds
+ replace define V4L2_DEC_CMD_RESUME decoder-cmds
++replace define V4L2_DEC_CMD_FLUSH decoder-cmds
+ replace define V4L2_DEC_CMD_START_MUTE_AUDIO decoder-cmds
+ replace define V4L2_DEC_CMD_PAUSE_TO_BLACK decoder-cmds
+--- a/include/uapi/linux/videodev2.h
++++ b/include/uapi/linux/videodev2.h
+@@ -1988,6 +1988,7 @@ struct v4l2_encoder_cmd {
+ #define V4L2_DEC_CMD_STOP        (1)
+ #define V4L2_DEC_CMD_PAUSE       (2)
+ #define V4L2_DEC_CMD_RESUME      (3)
++#define V4L2_DEC_CMD_FLUSH       (4)
+ /* Flags for V4L2_DEC_CMD_START */
+ #define V4L2_DEC_CMD_START_MUTE_AUDIO (1 << 0)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0489-media-add-V4L2_CID_UNIT_CELL_SIZE-control.patch b/target/linux/bcm27xx/patches-5.4/950-0489-media-add-V4L2_CID_UNIT_CELL_SIZE-control.patch
deleted file mode 100644 (file)
index 0c860c7..0000000
+++ /dev/null
@@ -1,52 +0,0 @@
-From 12eba72027d415bb3dfd4c8124813a322b27c793 Mon Sep 17 00:00:00 2001
-From: Ricardo Ribalda Delgado <ribalda@kernel.org>
-Date: Mon, 7 Oct 2019 12:06:33 -0300
-Subject: [PATCH] media: add V4L2_CID_UNIT_CELL_SIZE control
-
-Commit 61fd036d01111679b01e4b92e6bd0cdd33809aea upstream.
-
-This control returns the unit cell size in nanometres. The struct provides
-the width and the height in separated fields to take into consideration
-asymmetric pixels and/or hardware binning.
-This control is required for automatic calibration of sensors/cameras.
-
-Reviewed-by: Philipp Zabel <p.zabel@pengutronix.de>
-Signed-off-by: Ricardo Ribalda Delgado <ribalda@kernel.org>
-Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- drivers/media/v4l2-core/v4l2-ctrls.c | 5 +++++
- include/uapi/linux/v4l2-controls.h   | 1 +
- 2 files changed, 6 insertions(+)
-
---- a/drivers/media/v4l2-core/v4l2-ctrls.c
-+++ b/drivers/media/v4l2-core/v4l2-ctrls.c
-@@ -995,6 +995,7 @@ const char *v4l2_ctrl_get_name(u32 id)
-       case V4L2_CID_AUTO_FOCUS_RANGE:         return "Auto Focus, Range";
-       case V4L2_CID_PAN_SPEED:                return "Pan, Speed";
-       case V4L2_CID_TILT_SPEED:               return "Tilt, Speed";
-+      case V4L2_CID_UNIT_CELL_SIZE:           return "Unit Cell Size";
-       /* FM Radio Modulator controls */
-       /* Keep the order of the 'case's the same as in v4l2-controls.h! */
-@@ -1376,6 +1377,10 @@ void v4l2_ctrl_fill(u32 id, const char *
-       case V4L2_CID_MPEG_VIDEO_VP8_FRAME_HEADER:
-               *type = V4L2_CTRL_TYPE_VP8_FRAME_HEADER;
-               break;
-+      case V4L2_CID_UNIT_CELL_SIZE:
-+              *type = V4L2_CTRL_TYPE_AREA;
-+              *flags |= V4L2_CTRL_FLAG_READ_ONLY;
-+              break;
-       default:
-               *type = V4L2_CTRL_TYPE_INTEGER;
-               break;
---- a/include/uapi/linux/v4l2-controls.h
-+++ b/include/uapi/linux/v4l2-controls.h
-@@ -1035,6 +1035,7 @@ enum v4l2_jpeg_chroma_subsampling {
- #define V4L2_CID_TEST_PATTERN_GREENR          (V4L2_CID_IMAGE_SOURCE_CLASS_BASE + 5)
- #define V4L2_CID_TEST_PATTERN_BLUE            (V4L2_CID_IMAGE_SOURCE_CLASS_BASE + 6)
- #define V4L2_CID_TEST_PATTERN_GREENB          (V4L2_CID_IMAGE_SOURCE_CLASS_BASE + 7)
-+#define V4L2_CID_UNIT_CELL_SIZE                       (V4L2_CID_IMAGE_SOURCE_CLASS_BASE + 8)
- /* Image processing controls */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0489-media-v4l2-mem2mem-add-stateless_-try_-decoder_cmd-i.patch b/target/linux/bcm27xx/patches-5.4/950-0489-media-v4l2-mem2mem-add-stateless_-try_-decoder_cmd-i.patch
new file mode 100644 (file)
index 0000000..0b74dbf
--- /dev/null
@@ -0,0 +1,96 @@
+From 1decb017f990ea61ab421e316bf1af3a5199b73a Mon Sep 17 00:00:00 2001
+From: Jernej Skrabec <jernej.skrabec@siol.net>
+Date: Fri, 11 Oct 2019 06:32:43 -0300
+Subject: [PATCH] media: v4l2-mem2mem: add stateless_(try_)decoder_cmd
+ ioctl helpers
+
+Commit bef41d93aac64b54c3008ca6170bec54f85784f5 upstream.
+
+These helpers are used by stateless codecs when they support multiple
+slices per frame and hold capture buffer flag is set. It's expected that
+all such codecs will use this code.
+
+Signed-off-by: Jernej Skrabec <jernej.skrabec@siol.net>
+Co-developed-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ drivers/media/v4l2-core/v4l2-mem2mem.c | 53 ++++++++++++++++++++++++++
+ include/media/v4l2-mem2mem.h           |  4 ++
+ 2 files changed, 57 insertions(+)
+
+--- a/drivers/media/v4l2-core/v4l2-mem2mem.c
++++ b/drivers/media/v4l2-core/v4l2-mem2mem.c
+@@ -1218,6 +1218,59 @@ int v4l2_m2m_ioctl_try_decoder_cmd(struc
+ }
+ EXPORT_SYMBOL_GPL(v4l2_m2m_ioctl_try_decoder_cmd);
++int v4l2_m2m_ioctl_stateless_try_decoder_cmd(struct file *file, void *fh,
++                                           struct v4l2_decoder_cmd *dc)
++{
++      if (dc->cmd != V4L2_DEC_CMD_FLUSH)
++              return -EINVAL;
++
++      dc->flags = 0;
++
++      return 0;
++}
++EXPORT_SYMBOL_GPL(v4l2_m2m_ioctl_stateless_try_decoder_cmd);
++
++int v4l2_m2m_ioctl_stateless_decoder_cmd(struct file *file, void *priv,
++                                       struct v4l2_decoder_cmd *dc)
++{
++      struct v4l2_fh *fh = file->private_data;
++      struct vb2_v4l2_buffer *out_vb, *cap_vb;
++      struct v4l2_m2m_dev *m2m_dev = fh->m2m_ctx->m2m_dev;
++      unsigned long flags;
++      int ret;
++
++      ret = v4l2_m2m_ioctl_stateless_try_decoder_cmd(file, priv, dc);
++      if (ret < 0)
++              return ret;
++
++      spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
++      out_vb = v4l2_m2m_last_src_buf(fh->m2m_ctx);
++      cap_vb = v4l2_m2m_last_dst_buf(fh->m2m_ctx);
++
++      /*
++       * If there is an out buffer pending, then clear any HOLD flag.
++       *
++       * By clearing this flag we ensure that when this output
++       * buffer is processed any held capture buffer will be released.
++       */
++      if (out_vb) {
++              out_vb->flags &= ~V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF;
++      } else if (cap_vb && cap_vb->is_held) {
++              /*
++               * If there were no output buffers, but there is a
++               * capture buffer that is held, then release that
++               * buffer.
++               */
++              cap_vb->is_held = false;
++              v4l2_m2m_dst_buf_remove(fh->m2m_ctx);
++              v4l2_m2m_buf_done(cap_vb, VB2_BUF_STATE_DONE);
++      }
++      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
++
++      return 0;
++}
++EXPORT_SYMBOL_GPL(v4l2_m2m_ioctl_stateless_decoder_cmd);
++
+ /*
+  * v4l2_file_operations helpers. It is assumed here same lock is used
+  * for the output and the capture buffer queue.
+--- a/include/media/v4l2-mem2mem.h
++++ b/include/media/v4l2-mem2mem.h
+@@ -701,6 +701,10 @@ int v4l2_m2m_ioctl_try_encoder_cmd(struc
+                                  struct v4l2_encoder_cmd *ec);
+ int v4l2_m2m_ioctl_try_decoder_cmd(struct file *file, void *fh,
+                                  struct v4l2_decoder_cmd *dc);
++int v4l2_m2m_ioctl_stateless_try_decoder_cmd(struct file *file, void *fh,
++                                           struct v4l2_decoder_cmd *dc);
++int v4l2_m2m_ioctl_stateless_decoder_cmd(struct file *file, void *priv,
++                                       struct v4l2_decoder_cmd *dc);
+ int v4l2_m2m_fop_mmap(struct file *file, struct vm_area_struct *vma);
+ __poll_t v4l2_m2m_fop_poll(struct file *file, poll_table *wait);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0490-media-v4l2-common-add-pixel-encoding-support.patch b/target/linux/bcm27xx/patches-5.4/950-0490-media-v4l2-common-add-pixel-encoding-support.patch
deleted file mode 100644 (file)
index aa127ab..0000000
+++ /dev/null
@@ -1,228 +0,0 @@
-From c63ea6a840ad87e32239eb6b771ac8bbc3279b54 Mon Sep 17 00:00:00 2001
-From: Benoit Parrot <bparrot@ti.com>
-Date: Mon, 7 Oct 2019 12:10:07 -0300
-Subject: [PATCH] media: v4l2-common: add pixel encoding support
-
-Commit d5a897c8428b38053df4b427a4277b1a0722bfa0 upstream.
-
-It is often useful to figure out if a pixel_format is either YUV or RGB
-especially for driver who can perform the pixel encoding conversion.
-
-Instead of having each driver implement its own "is_this_yuv/rgb"
-function based on a restricted set of pixel value, it is better to do
-this in centralized manner.
-
-We therefore add a pixel_enc member to the v4l2_format_info structure to
-quickly identify the related pixel encoding.
-And add helper functions to check pixel encoding.
-
-Signed-off-by: Benoit Parrot <bparrot@ti.com>
-Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- drivers/media/v4l2-core/v4l2-common.c | 126 +++++++++++++-------------
- include/media/v4l2-common.h           |  33 ++++++-
- 2 files changed, 95 insertions(+), 64 deletions(-)
-
---- a/drivers/media/v4l2-core/v4l2-common.c
-+++ b/drivers/media/v4l2-core/v4l2-common.c
-@@ -236,77 +236,77 @@ const struct v4l2_format_info *v4l2_form
- {
-       static const struct v4l2_format_info formats[] = {
-               /* RGB formats */
--              { .format = V4L2_PIX_FMT_BGR24,   .mem_planes = 1, .comp_planes = 1, .bpp = { 3, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_RGB24,   .mem_planes = 1, .comp_planes = 1, .bpp = { 3, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_HSV24,   .mem_planes = 1, .comp_planes = 1, .bpp = { 3, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_BGR32,   .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_XBGR32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_BGRX32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_RGB32,   .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_XRGB32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_RGBX32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_HSV32,   .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_ARGB32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_RGBA32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_ABGR32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_BGRA32,  .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_GREY,    .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_BGR24,   .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 3, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_RGB24,   .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 3, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_HSV24,   .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 3, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_BGR32,   .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_XBGR32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_BGRX32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_RGB32,   .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_XRGB32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_RGBX32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_HSV32,   .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_ARGB32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_RGBA32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_ABGR32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_BGRA32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_GREY,    .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-               /* YUV packed formats */
--              { .format = V4L2_PIX_FMT_YUYV,    .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_YVYU,    .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_UYVY,    .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_VYUY,    .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_YUYV,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_YVYU,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_UYVY,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_VYUY,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
-               /* YUV planar formats */
--              { .format = V4L2_PIX_FMT_NV12,    .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
--              { .format = V4L2_PIX_FMT_NV21,    .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
--              { .format = V4L2_PIX_FMT_NV16,    .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_NV61,    .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_NV24,    .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_NV42,    .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--
--              { .format = V4L2_PIX_FMT_YUV410,  .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 4, .vdiv = 4 },
--              { .format = V4L2_PIX_FMT_YVU410,  .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 4, .vdiv = 4 },
--              { .format = V4L2_PIX_FMT_YUV411P, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 4, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_YUV420,  .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
--              { .format = V4L2_PIX_FMT_YVU420,  .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
--              { .format = V4L2_PIX_FMT_YUV422P, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_NV12,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
-+              { .format = V4L2_PIX_FMT_NV21,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
-+              { .format = V4L2_PIX_FMT_NV16,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_NV61,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_NV24,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_NV42,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+
-+              { .format = V4L2_PIX_FMT_YUV410,  .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 4, .vdiv = 4 },
-+              { .format = V4L2_PIX_FMT_YVU410,  .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 4, .vdiv = 4 },
-+              { .format = V4L2_PIX_FMT_YUV411P, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 4, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_YUV420,  .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
-+              { .format = V4L2_PIX_FMT_YVU420,  .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
-+              { .format = V4L2_PIX_FMT_YUV422P, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 1 },
-               /* YUV planar formats, non contiguous variant */
--              { .format = V4L2_PIX_FMT_YUV420M, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
--              { .format = V4L2_PIX_FMT_YVU420M, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
--              { .format = V4L2_PIX_FMT_YUV422M, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_YVU422M, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_YUV444M, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_YVU444M, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 1, .vdiv = 1 },
--
--              { .format = V4L2_PIX_FMT_NV12M,   .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
--              { .format = V4L2_PIX_FMT_NV21M,   .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
--              { .format = V4L2_PIX_FMT_NV16M,   .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_NV61M,   .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_YUV420M, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
-+              { .format = V4L2_PIX_FMT_YVU420M, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 2 },
-+              { .format = V4L2_PIX_FMT_YUV422M, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_YVU422M, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 2, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_YUV444M, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_YVU444M, .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 3, .comp_planes = 3, .bpp = { 1, 1, 1, 0 }, .hdiv = 1, .vdiv = 1 },
-+
-+              { .format = V4L2_PIX_FMT_NV12M,   .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
-+              { .format = V4L2_PIX_FMT_NV21M,   .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 2 },
-+              { .format = V4L2_PIX_FMT_NV16M,   .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_NV61M,   .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 2, .comp_planes = 2, .bpp = { 1, 2, 0, 0 }, .hdiv = 2, .vdiv = 1 },
-               /* Bayer RGB formats */
--              { .format = V4L2_PIX_FMT_SBGGR8,        .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SGBRG8,        .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SGRBG8,        .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SRGGB8,        .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SBGGR10,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SGBRG10,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SGRBG10,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SRGGB10,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SBGGR10ALAW8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SGBRG10ALAW8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SGRBG10ALAW8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SRGGB10ALAW8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SBGGR10DPCM8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SGBRG10DPCM8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SGRBG10DPCM8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SRGGB10DPCM8,  .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SBGGR12,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SGBRG12,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SGRBG12,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
--              { .format = V4L2_PIX_FMT_SRGGB12,       .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SBGGR8,        .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SGBRG8,        .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SGRBG8,        .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SRGGB8,        .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SBGGR10,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SGBRG10,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SGRBG10,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SRGGB10,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SBGGR10ALAW8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SGBRG10ALAW8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SGRBG10ALAW8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SRGGB10ALAW8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SBGGR10DPCM8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SGBRG10DPCM8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SGRBG10DPCM8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SRGGB10DPCM8,  .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SBGGR12,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SGBRG12,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SGRBG12,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_SRGGB12,       .pixel_enc = V4L2_PIXEL_ENC_BAYER, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-       };
-       unsigned int i;
---- a/include/media/v4l2-common.h
-+++ b/include/media/v4l2-common.h
-@@ -457,8 +457,24 @@ int v4l2_s_parm_cap(struct video_device
- /* Pixel format and FourCC helpers */
- /**
-+ * enum v4l2_pixel_encoding - specifies the pixel encoding value
-+ *
-+ * @V4L2_PIXEL_ENC_UNKNOWN:   Pixel encoding is unknown/un-initialized
-+ * @V4L2_PIXEL_ENC_YUV:               Pixel encoding is YUV
-+ * @V4L2_PIXEL_ENC_RGB:               Pixel encoding is RGB
-+ * @V4L2_PIXEL_ENC_BAYER:     Pixel encoding is Bayer
-+ */
-+enum v4l2_pixel_encoding {
-+      V4L2_PIXEL_ENC_UNKNOWN = 0,
-+      V4L2_PIXEL_ENC_YUV = 1,
-+      V4L2_PIXEL_ENC_RGB = 2,
-+      V4L2_PIXEL_ENC_BAYER = 3,
-+};
-+
-+/**
-  * struct v4l2_format_info - information about a V4L2 format
-  * @format: 4CC format identifier (V4L2_PIX_FMT_*)
-+ * @pixel_enc: Pixel encoding (see enum v4l2_pixel_encoding above)
-  * @mem_planes: Number of memory planes, which includes the alpha plane (1 to 4).
-  * @comp_planes: Number of component planes, which includes the alpha plane (1 to 4).
-  * @bpp: Array of per-plane bytes per pixel
-@@ -469,6 +485,7 @@ int v4l2_s_parm_cap(struct video_device
-  */
- struct v4l2_format_info {
-       u32 format;
-+      u8 pixel_enc;
-       u8 mem_planes;
-       u8 comp_planes;
-       u8 bpp[4];
-@@ -478,8 +495,22 @@ struct v4l2_format_info {
-       u8 block_h[4];
- };
--const struct v4l2_format_info *v4l2_format_info(u32 format);
-+static inline bool v4l2_is_format_rgb(const struct v4l2_format_info *f)
-+{
-+      return f && f->pixel_enc == V4L2_PIXEL_ENC_RGB;
-+}
-+
-+static inline bool v4l2_is_format_yuv(const struct v4l2_format_info *f)
-+{
-+      return f && f->pixel_enc == V4L2_PIXEL_ENC_YUV;
-+}
-+static inline bool v4l2_is_format_bayer(const struct v4l2_format_info *f)
-+{
-+      return f && f->pixel_enc == V4L2_PIXEL_ENC_BAYER;
-+}
-+
-+const struct v4l2_format_info *v4l2_format_info(u32 format);
- void v4l2_apply_frmsize_constraints(u32 *width, u32 *height,
-                                   const struct v4l2_frmsize_stepwise *frmsize);
- int v4l2_fill_pixfmt(struct v4l2_pix_format *pixfmt, u32 pixelformat,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0490-media-v4l2-mem2mem-add-new_frame-detection.patch b/target/linux/bcm27xx/patches-5.4/950-0490-media-v4l2-mem2mem-add-new_frame-detection.patch
new file mode 100644 (file)
index 0000000..3c77792
--- /dev/null
@@ -0,0 +1,69 @@
+From 1d55acac432983ad8301f5430c42ac549b4b4c6f Mon Sep 17 00:00:00 2001
+From: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Date: Fri, 11 Oct 2019 06:32:44 -0300
+Subject: [PATCH] media: v4l2-mem2mem: add new_frame detection
+
+Commit f07602ac388723233e9e3c5a05b54baf34e0a3e9 upstream.
+
+Drivers that support VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF
+typically want to know if a new frame is started (i.e. the first
+slice is about to be processed). Add a new_frame bool to v4l2_m2m_ctx
+and set it accordingly.
+
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ drivers/media/v4l2-core/v4l2-mem2mem.c | 11 +++++++++--
+ include/media/v4l2-mem2mem.h           |  7 +++++++
+ 2 files changed, 16 insertions(+), 2 deletions(-)
+
+--- a/drivers/media/v4l2-core/v4l2-mem2mem.c
++++ b/drivers/media/v4l2-core/v4l2-mem2mem.c
+@@ -319,8 +319,10 @@ static void __v4l2_m2m_try_queue(struct
+               goto job_unlock;
+       }
+-      if (src && dst &&
+-          dst->is_held && dst->vb2_buf.copied_timestamp &&
++      m2m_ctx->new_frame = true;
++
++      if (src && dst && dst->is_held &&
++          dst->vb2_buf.copied_timestamp &&
+           dst->vb2_buf.timestamp != src->vb2_buf.timestamp) {
+               dst->is_held = false;
+               v4l2_m2m_dst_buf_remove(m2m_ctx);
+@@ -333,6 +335,11 @@ static void __v4l2_m2m_try_queue(struct
+               }
+       }
++      if (src && dst && (m2m_ctx->cap_q_ctx.q.subsystem_flags &
++                         VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF))
++              m2m_ctx->new_frame = !dst->vb2_buf.copied_timestamp ||
++                      dst->vb2_buf.timestamp != src->vb2_buf.timestamp;
++
+       if (m2m_dev->m2m_ops->job_ready
+               && (!m2m_dev->m2m_ops->job_ready(m2m_ctx->priv))) {
+               dprintk("Driver not ready\n");
+--- a/include/media/v4l2-mem2mem.h
++++ b/include/media/v4l2-mem2mem.h
+@@ -75,6 +75,11 @@ struct v4l2_m2m_queue_ctx {
+  * struct v4l2_m2m_ctx - Memory to memory context structure
+  *
+  * @q_lock: struct &mutex lock
++ * @new_frame: valid in the device_run callback: if true, then this
++ *            starts a new frame; if false, then this is a new slice
++ *            for an existing frame. This is always true unless
++ *            V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF is set, which
++ *            indicates slicing support.
+  * @m2m_dev: opaque pointer to the internal data to handle M2M context
+  * @cap_q_ctx: Capture (output to memory) queue context
+  * @out_q_ctx: Output (input from memory) queue context
+@@ -91,6 +96,8 @@ struct v4l2_m2m_ctx {
+       /* optional cap/out vb2 queues lock */
+       struct mutex                    *q_lock;
++      bool                            new_frame;
++
+       /* internal use only */
+       struct v4l2_m2m_dev             *m2m_dev;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0491-media-Documentation-media-Document-V4L2_CTRL_TYPE_AR.patch b/target/linux/bcm27xx/patches-5.4/950-0491-media-Documentation-media-Document-V4L2_CTRL_TYPE_AR.patch
new file mode 100644 (file)
index 0000000..1d478fc
--- /dev/null
@@ -0,0 +1,46 @@
+From 20076d276d045c03f809bb16f0e1fafcfe63a81f Mon Sep 17 00:00:00 2001
+From: Ricardo Ribalda Delgado <ribalda@kernel.org>
+Date: Mon, 7 Oct 2019 12:06:32 -0300
+Subject: [PATCH] media: Documentation: media: Document
+ V4L2_CTRL_TYPE_AREA
+
+Commit 8ae3a0862993c09a8ef0f9abb379553370c517e3 upstream.
+
+A struct v4l2_area containing the width and the height of a rectangular
+area.
+
+Reviewed-by: Jacopo Mondi <jacopo@jmondi.org>
+Reviewed-by: Philipp Zabel <p.zabel@pengutronix.de>
+Signed-off-by: Ricardo Ribalda Delgado <ribalda@kernel.org>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ Documentation/media/uapi/v4l/vidioc-queryctrl.rst | 6 ++++++
+ Documentation/media/videodev2.h.rst.exceptions    | 1 +
+ 2 files changed, 7 insertions(+)
+
+--- a/Documentation/media/uapi/v4l/vidioc-queryctrl.rst
++++ b/Documentation/media/uapi/v4l/vidioc-queryctrl.rst
+@@ -443,6 +443,12 @@ See also the examples in :ref:`control`.
+       - n/a
+       - A struct :c:type:`v4l2_ctrl_mpeg2_quantization`, containing MPEG-2
+       quantization matrices for stateless video decoders.
++    * - ``V4L2_CTRL_TYPE_AREA``
++      - n/a
++      - n/a
++      - n/a
++      - A struct :c:type:`v4l2_area`, containing the width and the height
++        of a rectangular area. Units depend on the use case.
+     * - ``V4L2_CTRL_TYPE_H264_SPS``
+       - n/a
+       - n/a
+--- a/Documentation/media/videodev2.h.rst.exceptions
++++ b/Documentation/media/videodev2.h.rst.exceptions
+@@ -141,6 +141,7 @@ replace symbol V4L2_CTRL_TYPE_H264_PPS :
+ replace symbol V4L2_CTRL_TYPE_H264_SCALING_MATRIX :c:type:`v4l2_ctrl_type`
+ replace symbol V4L2_CTRL_TYPE_H264_SLICE_PARAMS :c:type:`v4l2_ctrl_type`
+ replace symbol V4L2_CTRL_TYPE_H264_DECODE_PARAMS :c:type:`v4l2_ctrl_type`
++replace symbol V4L2_CTRL_TYPE_AREA :c:type:`v4l2_ctrl_type`
+ # V4L2 capability defines
+ replace define V4L2_CAP_VIDEO_CAPTURE device-capabilities
diff --git a/target/linux/bcm27xx/patches-5.4/950-0491-media-v4l2-common-add-RGB565-and-RGB55-to-v4l2_forma.patch b/target/linux/bcm27xx/patches-5.4/950-0491-media-v4l2-common-add-RGB565-and-RGB55-to-v4l2_forma.patch
deleted file mode 100644 (file)
index 0171cdf..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From 560f3a9051578499e72ce4b1beaedd007ff46f96 Mon Sep 17 00:00:00 2001
-From: Benoit Parrot <bparrot@ti.com>
-Date: Mon, 7 Oct 2019 12:10:08 -0300
-Subject: [PATCH] media: v4l2-common: add RGB565 and RGB55 to
- v4l2_format_info
-
-Commit b373f84d77e1c409aacb4ff5bb5726c45fc8b166 upstream.
-
-Add RGB565 and RGB555 to the v4l2_format_info table.
-
-Signed-off-by: Benoit Parrot <bparrot@ti.com>
-Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- drivers/media/v4l2-core/v4l2-common.c | 2 ++
- 1 file changed, 2 insertions(+)
-
---- a/drivers/media/v4l2-core/v4l2-common.c
-+++ b/drivers/media/v4l2-core/v4l2-common.c
-@@ -251,6 +251,8 @@ const struct v4l2_format_info *v4l2_form
-               { .format = V4L2_PIX_FMT_ABGR32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-               { .format = V4L2_PIX_FMT_BGRA32,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 4, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-               { .format = V4L2_PIX_FMT_GREY,    .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 1, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_RGB565,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-+              { .format = V4L2_PIX_FMT_RGB555,  .pixel_enc = V4L2_PIXEL_ENC_RGB, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 1, .vdiv = 1 },
-               /* YUV packed formats */
-               { .format = V4L2_PIX_FMT_YUYV,    .pixel_enc = V4L2_PIXEL_ENC_YUV, .mem_planes = 1, .comp_planes = 1, .bpp = { 2, 0, 0, 0 }, .hdiv = 2, .vdiv = 1 },
diff --git a/target/linux/bcm27xx/patches-5.4/950-0492-media-v4l-Add-definitions-for-HEVC-stateless-decodin.patch b/target/linux/bcm27xx/patches-5.4/950-0492-media-v4l-Add-definitions-for-HEVC-stateless-decodin.patch
new file mode 100644 (file)
index 0000000..0fe0f8c
--- /dev/null
@@ -0,0 +1,1093 @@
+From 5f6c08984a6578201fe3a2394ccb0d3a30fdf027 Mon Sep 17 00:00:00 2001
+From: Paul Kocialkowski <paul.kocialkowski@bootlin.com>
+Date: Tue, 22 Oct 2019 12:26:52 -0300
+Subject: [PATCH] media: v4l: Add definitions for HEVC stateless
+ decoding
+
+This introduces the required definitions for HEVC decoding support with
+stateless VPUs. The controls associated to the HEVC slice format provide
+the required meta-data for decoding slices extracted from the bitstream.
+
+They are not exported to the public V4L2 API since reworking this API
+will likely be needed for covering various use-cases and new hardware.
+
+Multi-slice decoding is exposed as a valid decoding mode to match current
+H.264 support but it is not yet implemented.
+
+The interface comes with the following limitations:
+* No custom quantization matrices (scaling lists);
+* Support for a single temporal layer only;
+* No slice entry point offsets support;
+* No conformance window support;
+* No VUI parameters support;
+* No support for SPS extensions: range, multilayer, 3d, scc, 4 bits;
+* No support for PPS extensions: range, multilayer, 3d, scc, 4 bits.
+
+Signed-off-by: Paul Kocialkowski <paul.kocialkowski@bootlin.com>
+[hverkuil-cisco@xs4all.nl: use 1ULL in flags defines in hevc-ctrls.h]
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ Documentation/media/uapi/v4l/biblio.rst       |   9 +
+ .../media/uapi/v4l/ext-ctrls-codec.rst        | 553 +++++++++++++++++-
+ .../media/uapi/v4l/vidioc-queryctrl.rst       |  18 +
+ .../media/videodev2.h.rst.exceptions          |   3 +
+ drivers/media/v4l2-core/v4l2-ctrls.c          | 109 +++-
+ drivers/media/v4l2-core/v4l2-ioctl.c          |   1 +
+ include/media/hevc-ctrls.h                    | 212 +++++++
+ include/media/v4l2-ctrls.h                    |   7 +
+ 8 files changed, 908 insertions(+), 4 deletions(-)
+ create mode 100644 include/media/hevc-ctrls.h
+
+--- a/Documentation/media/uapi/v4l/biblio.rst
++++ b/Documentation/media/uapi/v4l/biblio.rst
+@@ -131,6 +131,15 @@ ITU-T Rec. H.264 Specification (04/2017
+ :author:    International Telecommunication Union (http://www.itu.ch)
++.. _hevc:
++
++ITU H.265/HEVC
++==============
++
++:title:     ITU-T Rec. H.265 | ISO/IEC 23008-2 "High Efficiency Video Coding"
++
++:author:    International Telecommunication Union (http://www.itu.ch), International Organisation for Standardisation (http://www.iso.ch)
++
+ .. _jfif:
+ JFIF
+--- a/Documentation/media/uapi/v4l/ext-ctrls-codec.rst
++++ b/Documentation/media/uapi/v4l/ext-ctrls-codec.rst
+@@ -1983,9 +1983,9 @@ enum v4l2_mpeg_video_h264_hierarchical_c
+       - ``reference_ts``
+       - Timestamp of the V4L2 capture buffer to use as reference, used
+         with B-coded and P-coded frames. The timestamp refers to the
+-      ``timestamp`` field in struct :c:type:`v4l2_buffer`. Use the
+-      :c:func:`v4l2_timeval_to_ns()` function to convert the struct
+-      :c:type:`timeval` in struct :c:type:`v4l2_buffer` to a __u64.
++        ``timestamp`` field in struct :c:type:`v4l2_buffer`. Use the
++        :c:func:`v4l2_timeval_to_ns()` function to convert the struct
++        :c:type:`timeval` in struct :c:type:`v4l2_buffer` to a __u64.
+     * - __u16
+       - ``frame_num``
+       -
+@@ -3693,3 +3693,550 @@ enum v4l2_mpeg_video_hevc_size_of_length
+     Indicates whether to generate SPS and PPS at every IDR. Setting it to 0
+     disables generating SPS and PPS at every IDR. Setting it to one enables
+     generating SPS and PPS at every IDR.
++
++.. _v4l2-mpeg-hevc:
++
++``V4L2_CID_MPEG_VIDEO_HEVC_SPS (struct)``
++    Specifies the Sequence Parameter Set fields (as extracted from the
++    bitstream) for the associated HEVC slice data.
++    These bitstream parameters are defined according to :ref:`hevc`.
++    They are described in section 7.4.3.2 "Sequence parameter set RBSP
++    semantics" of the specification.
++
++.. c:type:: v4l2_ctrl_hevc_sps
++
++.. cssclass:: longtable
++
++.. flat-table:: struct v4l2_ctrl_hevc_sps
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       1 1 2
++
++    * - __u16
++      - ``pic_width_in_luma_samples``
++      -
++    * - __u16
++      - ``pic_height_in_luma_samples``
++      -
++    * - __u8
++      - ``bit_depth_luma_minus8``
++      -
++    * - __u8
++      - ``bit_depth_chroma_minus8``
++      -
++    * - __u8
++      - ``log2_max_pic_order_cnt_lsb_minus4``
++      -
++    * - __u8
++      - ``sps_max_dec_pic_buffering_minus1``
++      -
++    * - __u8
++      - ``sps_max_num_reorder_pics``
++      -
++    * - __u8
++      - ``sps_max_latency_increase_plus1``
++      -
++    * - __u8
++      - ``log2_min_luma_coding_block_size_minus3``
++      -
++    * - __u8
++      - ``log2_diff_max_min_luma_coding_block_size``
++      -
++    * - __u8
++      - ``log2_min_luma_transform_block_size_minus2``
++      -
++    * - __u8
++      - ``log2_diff_max_min_luma_transform_block_size``
++      -
++    * - __u8
++      - ``max_transform_hierarchy_depth_inter``
++      -
++    * - __u8
++      - ``max_transform_hierarchy_depth_intra``
++      -
++    * - __u8
++      - ``pcm_sample_bit_depth_luma_minus1``
++      -
++    * - __u8
++      - ``pcm_sample_bit_depth_chroma_minus1``
++      -
++    * - __u8
++      - ``log2_min_pcm_luma_coding_block_size_minus3``
++      -
++    * - __u8
++      - ``log2_diff_max_min_pcm_luma_coding_block_size``
++      -
++    * - __u8
++      - ``num_short_term_ref_pic_sets``
++      -
++    * - __u8
++      - ``num_long_term_ref_pics_sps``
++      -
++    * - __u8
++      - ``chroma_format_idc``
++      -
++    * - __u64
++      - ``flags``
++      - See :ref:`Sequence Parameter Set Flags <hevc_sps_flags>`
++
++.. _hevc_sps_flags:
++
++``Sequence Parameter Set Flags``
++
++.. cssclass:: longtable
++
++.. flat-table::
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       1 1 2
++
++    * - ``V4L2_HEVC_SPS_FLAG_SEPARATE_COLOUR_PLANE``
++      - 0x00000001
++      -
++    * - ``V4L2_HEVC_SPS_FLAG_SCALING_LIST_ENABLED``
++      - 0x00000002
++      -
++    * - ``V4L2_HEVC_SPS_FLAG_AMP_ENABLED``
++      - 0x00000004
++      -
++    * - ``V4L2_HEVC_SPS_FLAG_SAMPLE_ADAPTIVE_OFFSET``
++      - 0x00000008
++      -
++    * - ``V4L2_HEVC_SPS_FLAG_PCM_ENABLED``
++      - 0x00000010
++      -
++    * - ``V4L2_HEVC_SPS_FLAG_PCM_LOOP_FILTER_DISABLED``
++      - 0x00000020
++      -
++    * - ``V4L2_HEVC_SPS_FLAG_LONG_TERM_REF_PICS_PRESENT``
++      - 0x00000040
++      -
++    * - ``V4L2_HEVC_SPS_FLAG_SPS_TEMPORAL_MVP_ENABLED``
++      - 0x00000080
++      -
++    * - ``V4L2_HEVC_SPS_FLAG_STRONG_INTRA_SMOOTHING_ENABLED``
++      - 0x00000100
++      -
++
++``V4L2_CID_MPEG_VIDEO_HEVC_PPS (struct)``
++    Specifies the Picture Parameter Set fields (as extracted from the
++    bitstream) for the associated HEVC slice data.
++    These bitstream parameters are defined according to :ref:`hevc`.
++    They are described in section 7.4.3.3 "Picture parameter set RBSP
++    semantics" of the specification.
++
++.. c:type:: v4l2_ctrl_hevc_pps
++
++.. cssclass:: longtable
++
++.. flat-table:: struct v4l2_ctrl_hevc_pps
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       1 1 2
++
++    * - __u8
++      - ``num_extra_slice_header_bits``
++      -
++    * - __s8
++      - ``init_qp_minus26``
++      -
++    * - __u8
++      - ``diff_cu_qp_delta_depth``
++      -
++    * - __s8
++      - ``pps_cb_qp_offset``
++      -
++    * - __s8
++      - ``pps_cr_qp_offset``
++      -
++    * - __u8
++      - ``num_tile_columns_minus1``
++      -
++    * - __u8
++      - ``num_tile_rows_minus1``
++      -
++    * - __u8
++      - ``column_width_minus1[20]``
++      -
++    * - __u8
++      - ``row_height_minus1[22]``
++      -
++    * - __s8
++      - ``pps_beta_offset_div2``
++      -
++    * - __s8
++      - ``pps_tc_offset_div2``
++      -
++    * - __u8
++      - ``log2_parallel_merge_level_minus2``
++      -
++    * - __u8
++      - ``padding[4]``
++      - Applications and drivers must set this to zero.
++    * - __u64
++      - ``flags``
++      - See :ref:`Picture Parameter Set Flags <hevc_pps_flags>`
++
++.. _hevc_pps_flags:
++
++``Picture Parameter Set Flags``
++
++.. cssclass:: longtable
++
++.. flat-table::
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       1 1 2
++
++    * - ``V4L2_HEVC_PPS_FLAG_DEPENDENT_SLICE_SEGMENT``
++      - 0x00000001
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_OUTPUT_FLAG_PRESENT``
++      - 0x00000002
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_SIGN_DATA_HIDING_ENABLED``
++      - 0x00000004
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_CABAC_INIT_PRESENT``
++      - 0x00000008
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_CONSTRAINED_INTRA_PRED``
++      - 0x00000010
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_TRANSFORM_SKIP_ENABLED``
++      - 0x00000020
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_CU_QP_DELTA_ENABLED``
++      - 0x00000040
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_PPS_SLICE_CHROMA_QP_OFFSETS_PRESENT``
++      - 0x00000080
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_WEIGHTED_PRED``
++      - 0x00000100
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_WEIGHTED_BIPRED``
++      - 0x00000200
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_TRANSQUANT_BYPASS_ENABLED``
++      - 0x00000400
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_TILES_ENABLED``
++      - 0x00000800
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_ENTROPY_CODING_SYNC_ENABLED``
++      - 0x00001000
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_LOOP_FILTER_ACROSS_TILES_ENABLED``
++      - 0x00002000
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_PPS_LOOP_FILTER_ACROSS_SLICES_ENABLED``
++      - 0x00004000
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_DEBLOCKING_FILTER_OVERRIDE_ENABLED``
++      - 0x00008000
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_PPS_DISABLE_DEBLOCKING_FILTER``
++      - 0x00010000
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_LISTS_MODIFICATION_PRESENT``
++      - 0x00020000
++      -
++    * - ``V4L2_HEVC_PPS_FLAG_SLICE_SEGMENT_HEADER_EXTENSION_PRESENT``
++      - 0x00040000
++      -
++
++``V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS (struct)``
++    Specifies various slice-specific parameters, especially from the NAL unit
++    header, general slice segment header and weighted prediction parameter
++    parts of the bitstream.
++    These bitstream parameters are defined according to :ref:`hevc`.
++    They are described in section 7.4.7 "General slice segment header
++    semantics" of the specification.
++
++.. c:type:: v4l2_ctrl_hevc_slice_params
++
++.. cssclass:: longtable
++
++.. flat-table:: struct v4l2_ctrl_hevc_slice_params
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       1 1 2
++
++    * - __u32
++      - ``bit_size``
++      - Size (in bits) of the current slice data.
++    * - __u32
++      - ``data_bit_offset``
++      - Offset (in bits) to the video data in the current slice data.
++    * - __u8
++      - ``nal_unit_type``
++      -
++    * - __u8
++      - ``nuh_temporal_id_plus1``
++      -
++    * - __u8
++      - ``slice_type``
++      -
++      (V4L2_HEVC_SLICE_TYPE_I, V4L2_HEVC_SLICE_TYPE_P or
++      V4L2_HEVC_SLICE_TYPE_B).
++    * - __u8
++      - ``colour_plane_id``
++      -
++    * - __u16
++      - ``slice_pic_order_cnt``
++      -
++    * - __u8
++      - ``num_ref_idx_l0_active_minus1``
++      -
++    * - __u8
++      - ``num_ref_idx_l1_active_minus1``
++      -
++    * - __u8
++      - ``collocated_ref_idx``
++      -
++    * - __u8
++      - ``five_minus_max_num_merge_cand``
++      -
++    * - __s8
++      - ``slice_qp_delta``
++      -
++    * - __s8
++      - ``slice_cb_qp_offset``
++      -
++    * - __s8
++      - ``slice_cr_qp_offset``
++      -
++    * - __s8
++      - ``slice_act_y_qp_offset``
++      -
++    * - __s8
++      - ``slice_act_cb_qp_offset``
++      -
++    * - __s8
++      - ``slice_act_cr_qp_offset``
++      -
++    * - __s8
++      - ``slice_beta_offset_div2``
++      -
++    * - __s8
++      - ``slice_tc_offset_div2``
++      -
++    * - __u8
++      - ``pic_struct``
++      -
++    * - __u8
++      - ``num_active_dpb_entries``
++      - The number of entries in ``dpb``.
++    * - __u8
++      - ``ref_idx_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
++      - The list of L0 reference elements as indices in the DPB.
++    * - __u8
++      - ``ref_idx_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
++      - The list of L1 reference elements as indices in the DPB.
++    * - __u8
++      - ``num_rps_poc_st_curr_before``
++      - The number of reference pictures in the short-term set that come before
++        the current frame.
++    * - __u8
++      - ``num_rps_poc_st_curr_after``
++      - The number of reference pictures in the short-term set that come after
++        the current frame.
++    * - __u8
++      - ``num_rps_poc_lt_curr``
++      - The number of reference pictures in the long-term set.
++    * - __u8
++      - ``padding[7]``
++      - Applications and drivers must set this to zero.
++    * - struct :c:type:`v4l2_hevc_dpb_entry`
++      - ``dpb[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
++      - The decoded picture buffer, for meta-data about reference frames.
++    * - struct :c:type:`v4l2_hevc_pred_weight_table`
++      - ``pred_weight_table``
++      - The prediction weight coefficients for inter-picture prediction.
++    * - __u64
++      - ``flags``
++      - See :ref:`Slice Parameters Flags <hevc_slice_params_flags>`
++
++.. _hevc_slice_params_flags:
++
++``Slice Parameters Flags``
++
++.. cssclass:: longtable
++
++.. flat-table::
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       1 1 2
++
++    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_SAO_LUMA``
++      - 0x00000001
++      -
++    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_SAO_CHROMA``
++      - 0x00000002
++      -
++    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_TEMPORAL_MVP_ENABLED``
++      - 0x00000004
++      -
++    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_MVD_L1_ZERO``
++      - 0x00000008
++      -
++    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_CABAC_INIT``
++      - 0x00000010
++      -
++    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_COLLOCATED_FROM_L0``
++      - 0x00000020
++      -
++    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_USE_INTEGER_MV``
++      - 0x00000040
++      -
++    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_DEBLOCKING_FILTER_DISABLED``
++      - 0x00000080
++      -
++    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_LOOP_FILTER_ACROSS_SLICES_ENABLED``
++      - 0x00000100
++      -
++
++.. c:type:: v4l2_hevc_dpb_entry
++
++.. cssclass:: longtable
++
++.. flat-table:: struct v4l2_hevc_dpb_entry
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       1 1 2
++
++    * - __u64
++      - ``timestamp``
++      - Timestamp of the V4L2 capture buffer to use as reference, used
++        with B-coded and P-coded frames. The timestamp refers to the
++      ``timestamp`` field in struct :c:type:`v4l2_buffer`. Use the
++      :c:func:`v4l2_timeval_to_ns()` function to convert the struct
++      :c:type:`timeval` in struct :c:type:`v4l2_buffer` to a __u64.
++    * - __u8
++      - ``rps``
++      - The reference set for the reference frame
++        (V4L2_HEVC_DPB_ENTRY_RPS_ST_CURR_BEFORE,
++        V4L2_HEVC_DPB_ENTRY_RPS_ST_CURR_AFTER or
++        V4L2_HEVC_DPB_ENTRY_RPS_LT_CURR)
++    * - __u8
++      - ``field_pic``
++      - Whether the reference is a field picture or a frame.
++    * - __u16
++      - ``pic_order_cnt[2]``
++      - The picture order count of the reference. Only the first element of the
++        array is used for frame pictures, while the first element identifies the
++        top field and the second the bottom field in field-coded pictures.
++    * - __u8
++      - ``padding[2]``
++      - Applications and drivers must set this to zero.
++
++.. c:type:: v4l2_hevc_pred_weight_table
++
++.. cssclass:: longtable
++
++.. flat-table:: struct v4l2_hevc_pred_weight_table
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       1 1 2
++
++    * - __u8
++      - ``luma_log2_weight_denom``
++      -
++    * - __s8
++      - ``delta_chroma_log2_weight_denom``
++      -
++    * - __s8
++      - ``delta_luma_weight_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
++      -
++    * - __s8
++      - ``luma_offset_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
++      -
++    * - __s8
++      - ``delta_chroma_weight_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2]``
++      -
++    * - __s8
++      - ``chroma_offset_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2]``
++      -
++    * - __s8
++      - ``delta_luma_weight_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
++      -
++    * - __s8
++      - ``luma_offset_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
++      -
++    * - __s8
++      - ``delta_chroma_weight_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2]``
++      -
++    * - __s8
++      - ``chroma_offset_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2]``
++      -
++    * - __u8
++      - ``padding[6]``
++      - Applications and drivers must set this to zero.
++
++``V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE (enum)``
++    Specifies the decoding mode to use. Currently exposes slice-based and
++    frame-based decoding but new modes might be added later on.
++    This control is used as a modifier for V4L2_PIX_FMT_HEVC_SLICE
++    pixel format. Applications that support V4L2_PIX_FMT_HEVC_SLICE
++    are required to set this control in order to specify the decoding mode
++    that is expected for the buffer.
++    Drivers may expose a single or multiple decoding modes, depending
++    on what they can support.
++
++    .. note::
++
++       This menu control is not yet part of the public kernel API and
++       it is expected to change.
++
++.. c:type:: v4l2_mpeg_video_hevc_decode_mode
++
++.. cssclass:: longtable
++
++.. flat-table::
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       1 1 2
++
++    * - ``V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_SLICE_BASED``
++      - 0
++      - Decoding is done at the slice granularity.
++        The OUTPUT buffer must contain a single slice.
++    * - ``V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_FRAME_BASED``
++      - 1
++      - Decoding is done at the frame granularity.
++        The OUTPUT buffer must contain all slices needed to decode the
++        frame. The OUTPUT buffer must also contain both fields.
++
++``V4L2_CID_MPEG_VIDEO_HEVC_START_CODE (enum)``
++    Specifies the HEVC slice start code expected for each slice.
++    This control is used as a modifier for V4L2_PIX_FMT_HEVC_SLICE
++    pixel format. Applications that support V4L2_PIX_FMT_HEVC_SLICE
++    are required to set this control in order to specify the start code
++    that is expected for the buffer.
++    Drivers may expose a single or multiple start codes, depending
++    on what they can support.
++
++    .. note::
++
++       This menu control is not yet part of the public kernel API and
++       it is expected to change.
++
++.. c:type:: v4l2_mpeg_video_hevc_start_code
++
++.. cssclass:: longtable
++
++.. flat-table::
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       1 1 2
++
++    * - ``V4L2_MPEG_VIDEO_HEVC_START_CODE_NONE``
++      - 0
++      - Selecting this value specifies that HEVC slices are passed
++        to the driver without any start code.
++    * - ``V4L2_MPEG_VIDEO_HEVC_START_CODE_ANNEX_B``
++      - 1
++      - Selecting this value specifies that HEVC slices are expected
++        to be prefixed by Annex B start codes. According to :ref:`hevc`
++        valid start codes can be 3-bytes 0x000001 or 4-bytes 0x00000001.
+--- a/Documentation/media/uapi/v4l/vidioc-queryctrl.rst
++++ b/Documentation/media/uapi/v4l/vidioc-queryctrl.rst
+@@ -479,6 +479,24 @@ See also the examples in :ref:`control`.
+       - n/a
+       - A struct :c:type:`v4l2_ctrl_h264_decode_params`, containing H264
+       decode parameters for stateless video decoders.
++    * - ``V4L2_CTRL_TYPE_HEVC_SPS``
++      - n/a
++      - n/a
++      - n/a
++      - A struct :c:type:`v4l2_ctrl_hevc_sps`, containing HEVC Sequence
++      Parameter Set for stateless video decoders.
++    * - ``V4L2_CTRL_TYPE_HEVC_PPS``
++      - n/a
++      - n/a
++      - n/a
++      - A struct :c:type:`v4l2_ctrl_hevc_pps`, containing HEVC Picture
++      Parameter Set for stateless video decoders.
++    * - ``V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS``
++      - n/a
++      - n/a
++      - n/a
++      - A struct :c:type:`v4l2_ctrl_hevc_slice_params`, containing HEVC
++      slice parameters for stateless video decoders.
+ .. tabularcolumns:: |p{6.6cm}|p{2.2cm}|p{8.7cm}|
+--- a/Documentation/media/videodev2.h.rst.exceptions
++++ b/Documentation/media/videodev2.h.rst.exceptions
+@@ -141,6 +141,9 @@ replace symbol V4L2_CTRL_TYPE_H264_PPS :
+ replace symbol V4L2_CTRL_TYPE_H264_SCALING_MATRIX :c:type:`v4l2_ctrl_type`
+ replace symbol V4L2_CTRL_TYPE_H264_SLICE_PARAMS :c:type:`v4l2_ctrl_type`
+ replace symbol V4L2_CTRL_TYPE_H264_DECODE_PARAMS :c:type:`v4l2_ctrl_type`
++replace symbol V4L2_CTRL_TYPE_HEVC_SPS :c:type:`v4l2_ctrl_type`
++replace symbol V4L2_CTRL_TYPE_HEVC_PPS :c:type:`v4l2_ctrl_type`
++replace symbol V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS :c:type:`v4l2_ctrl_type`
+ replace symbol V4L2_CTRL_TYPE_AREA :c:type:`v4l2_ctrl_type`
+ # V4L2 capability defines
+--- a/drivers/media/v4l2-core/v4l2-ctrls.c
++++ b/drivers/media/v4l2-core/v4l2-ctrls.c
+@@ -567,6 +567,16 @@ const char * const *v4l2_ctrl_get_menu(u
+               "Disabled at slice boundary",
+               "NULL",
+       };
++      static const char * const hevc_decode_mode[] = {
++              "Slice-Based",
++              "Frame-Based",
++              NULL,
++      };
++      static const char * const hevc_start_code[] = {
++              "No Start Code",
++              "Annex B Start Code",
++              NULL,
++      };
+       switch (id) {
+       case V4L2_CID_MPEG_AUDIO_SAMPLING_FREQ:
+@@ -688,7 +698,10 @@ const char * const *v4l2_ctrl_get_menu(u
+               return hevc_tier;
+       case V4L2_CID_MPEG_VIDEO_HEVC_LOOP_FILTER_MODE:
+               return hevc_loop_filter_mode;
+-
++      case V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE:
++              return hevc_decode_mode;
++      case V4L2_CID_MPEG_VIDEO_HEVC_START_CODE:
++              return hevc_start_code;
+       default:
+               return NULL;
+       }
+@@ -958,6 +971,11 @@ const char *v4l2_ctrl_get_name(u32 id)
+       case V4L2_CID_MPEG_VIDEO_HEVC_SIZE_OF_LENGTH_FIELD:     return "HEVC Size of Length Field";
+       case V4L2_CID_MPEG_VIDEO_REF_NUMBER_FOR_PFRAMES:        return "Reference Frames for a P-Frame";
+       case V4L2_CID_MPEG_VIDEO_PREPEND_SPSPPS_TO_IDR:         return "Prepend SPS and PPS to IDR";
++      case V4L2_CID_MPEG_VIDEO_HEVC_SPS:                      return "HEVC Sequence Parameter Set";
++      case V4L2_CID_MPEG_VIDEO_HEVC_PPS:                      return "HEVC Picture Parameter Set";
++      case V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS:             return "HEVC Slice Parameters";
++      case V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE:              return "HEVC Decode Mode";
++      case V4L2_CID_MPEG_VIDEO_HEVC_START_CODE:               return "HEVC Start Code";
+       /* CAMERA controls */
+       /* Keep the order of the 'case's the same as in v4l2-controls.h! */
+@@ -1267,6 +1285,8 @@ void v4l2_ctrl_fill(u32 id, const char *
+       case V4L2_CID_MPEG_VIDEO_HEVC_SIZE_OF_LENGTH_FIELD:
+       case V4L2_CID_MPEG_VIDEO_HEVC_TIER:
+       case V4L2_CID_MPEG_VIDEO_HEVC_LOOP_FILTER_MODE:
++      case V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE:
++      case V4L2_CID_MPEG_VIDEO_HEVC_START_CODE:
+               *type = V4L2_CTRL_TYPE_MENU;
+               break;
+       case V4L2_CID_LINK_FREQ:
+@@ -1377,6 +1397,15 @@ void v4l2_ctrl_fill(u32 id, const char *
+       case V4L2_CID_MPEG_VIDEO_VP8_FRAME_HEADER:
+               *type = V4L2_CTRL_TYPE_VP8_FRAME_HEADER;
+               break;
++      case V4L2_CID_MPEG_VIDEO_HEVC_SPS:
++              *type = V4L2_CTRL_TYPE_HEVC_SPS;
++              break;
++      case V4L2_CID_MPEG_VIDEO_HEVC_PPS:
++              *type = V4L2_CTRL_TYPE_HEVC_PPS;
++              break;
++      case V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS:
++              *type = V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS;
++              break;
+       case V4L2_CID_UNIT_CELL_SIZE:
+               *type = V4L2_CTRL_TYPE_AREA;
+               *flags |= V4L2_CTRL_FLAG_READ_ONLY;
+@@ -1678,8 +1707,12 @@ static int std_validate_compound(const s
+ {
+       struct v4l2_ctrl_mpeg2_slice_params *p_mpeg2_slice_params;
+       struct v4l2_ctrl_vp8_frame_header *p_vp8_frame_header;
++      struct v4l2_ctrl_hevc_sps *p_hevc_sps;
++      struct v4l2_ctrl_hevc_pps *p_hevc_pps;
++      struct v4l2_ctrl_hevc_slice_params *p_hevc_slice_params;
+       struct v4l2_area *area;
+       void *p = ptr.p + idx * ctrl->elem_size;
++      unsigned int i;
+       switch ((u32)ctrl->type) {
+       case V4L2_CTRL_TYPE_MPEG2_SLICE_PARAMS:
+@@ -1755,11 +1788,76 @@ static int std_validate_compound(const s
+               zero_padding(p_vp8_frame_header->entropy_header);
+               zero_padding(p_vp8_frame_header->coder_state);
+               break;
++
++      case V4L2_CTRL_TYPE_HEVC_SPS:
++              p_hevc_sps = p;
++
++              if (!(p_hevc_sps->flags & V4L2_HEVC_SPS_FLAG_PCM_ENABLED)) {
++                      p_hevc_sps->pcm_sample_bit_depth_luma_minus1 = 0;
++                      p_hevc_sps->pcm_sample_bit_depth_chroma_minus1 = 0;
++                      p_hevc_sps->log2_min_pcm_luma_coding_block_size_minus3 = 0;
++                      p_hevc_sps->log2_diff_max_min_pcm_luma_coding_block_size = 0;
++              }
++
++              if (!(p_hevc_sps->flags &
++                    V4L2_HEVC_SPS_FLAG_LONG_TERM_REF_PICS_PRESENT))
++                      p_hevc_sps->num_long_term_ref_pics_sps = 0;
++              break;
++
++      case V4L2_CTRL_TYPE_HEVC_PPS:
++              p_hevc_pps = p;
++
++              if (!(p_hevc_pps->flags &
++                    V4L2_HEVC_PPS_FLAG_CU_QP_DELTA_ENABLED))
++                      p_hevc_pps->diff_cu_qp_delta_depth = 0;
++
++              if (!(p_hevc_pps->flags & V4L2_HEVC_PPS_FLAG_TILES_ENABLED)) {
++                      p_hevc_pps->num_tile_columns_minus1 = 0;
++                      p_hevc_pps->num_tile_rows_minus1 = 0;
++                      memset(&p_hevc_pps->column_width_minus1, 0,
++                             sizeof(p_hevc_pps->column_width_minus1));
++                      memset(&p_hevc_pps->row_height_minus1, 0,
++                             sizeof(p_hevc_pps->row_height_minus1));
++
++                      p_hevc_pps->flags &=
++                              ~V4L2_HEVC_PPS_FLAG_PPS_LOOP_FILTER_ACROSS_SLICES_ENABLED;
++              }
++
++              if (p_hevc_pps->flags &
++                  V4L2_HEVC_PPS_FLAG_PPS_DISABLE_DEBLOCKING_FILTER) {
++                      p_hevc_pps->pps_beta_offset_div2 = 0;
++                      p_hevc_pps->pps_tc_offset_div2 = 0;
++              }
++
++              zero_padding(*p_hevc_pps);
++              break;
++
++      case V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS:
++              p_hevc_slice_params = p;
++
++              if (p_hevc_slice_params->num_active_dpb_entries >
++                  V4L2_HEVC_DPB_ENTRIES_NUM_MAX)
++                      return -EINVAL;
++
++              zero_padding(p_hevc_slice_params->pred_weight_table);
++
++              for (i = 0; i < p_hevc_slice_params->num_active_dpb_entries;
++                   i++) {
++                      struct v4l2_hevc_dpb_entry *dpb_entry =
++                              &p_hevc_slice_params->dpb[i];
++
++                      zero_padding(*dpb_entry);
++              }
++
++              zero_padding(*p_hevc_slice_params);
++              break;
++
+       case V4L2_CTRL_TYPE_AREA:
+               area = p;
+               if (!area->width || !area->height)
+                       return -EINVAL;
+               break;
++
+       default:
+               return -EINVAL;
+       }
+@@ -2433,6 +2531,15 @@ static struct v4l2_ctrl *v4l2_ctrl_new(s
+       case V4L2_CTRL_TYPE_VP8_FRAME_HEADER:
+               elem_size = sizeof(struct v4l2_ctrl_vp8_frame_header);
+               break;
++      case V4L2_CTRL_TYPE_HEVC_SPS:
++              elem_size = sizeof(struct v4l2_ctrl_hevc_sps);
++              break;
++      case V4L2_CTRL_TYPE_HEVC_PPS:
++              elem_size = sizeof(struct v4l2_ctrl_hevc_pps);
++              break;
++      case V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS:
++              elem_size = sizeof(struct v4l2_ctrl_hevc_slice_params);
++              break;
+       case V4L2_CTRL_TYPE_AREA:
+               elem_size = sizeof(struct v4l2_area);
+               break;
+--- a/drivers/media/v4l2-core/v4l2-ioctl.c
++++ b/drivers/media/v4l2-core/v4l2-ioctl.c
+@@ -1356,6 +1356,7 @@ static void v4l_fill_fmtdesc(struct v4l2
+               case V4L2_PIX_FMT_VP8_FRAME:    descr = "VP8 Frame"; break;
+               case V4L2_PIX_FMT_VP9:          descr = "VP9"; break;
+               case V4L2_PIX_FMT_HEVC:         descr = "HEVC"; break; /* aka H.265 */
++              case V4L2_PIX_FMT_HEVC_SLICE:   descr = "HEVC Parsed Slice Data"; break;
+               case V4L2_PIX_FMT_FWHT:         descr = "FWHT"; break; /* used in vicodec */
+               case V4L2_PIX_FMT_FWHT_STATELESS:       descr = "FWHT Stateless"; break; /* used in vicodec */
+               case V4L2_PIX_FMT_CPIA1:        descr = "GSPCA CPiA YUV"; break;
+--- /dev/null
++++ b/include/media/hevc-ctrls.h
+@@ -0,0 +1,212 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * These are the HEVC state controls for use with stateless HEVC
++ * codec drivers.
++ *
++ * It turns out that these structs are not stable yet and will undergo
++ * more changes. So keep them private until they are stable and ready to
++ * become part of the official public API.
++ */
++
++#ifndef _HEVC_CTRLS_H_
++#define _HEVC_CTRLS_H_
++
++#include <linux/videodev2.h>
++
++/* The pixel format isn't stable at the moment and will likely be renamed. */
++#define V4L2_PIX_FMT_HEVC_SLICE v4l2_fourcc('S', '2', '6', '5') /* HEVC parsed slices */
++
++#define V4L2_CID_MPEG_VIDEO_HEVC_SPS          (V4L2_CID_MPEG_BASE + 1008)
++#define V4L2_CID_MPEG_VIDEO_HEVC_PPS          (V4L2_CID_MPEG_BASE + 1009)
++#define V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS (V4L2_CID_MPEG_BASE + 1010)
++#define V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE  (V4L2_CID_MPEG_BASE + 1015)
++#define V4L2_CID_MPEG_VIDEO_HEVC_START_CODE   (V4L2_CID_MPEG_BASE + 1016)
++
++/* enum v4l2_ctrl_type type values */
++#define V4L2_CTRL_TYPE_HEVC_SPS 0x0120
++#define V4L2_CTRL_TYPE_HEVC_PPS 0x0121
++#define V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS 0x0122
++
++enum v4l2_mpeg_video_hevc_decode_mode {
++      V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_SLICE_BASED,
++      V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_FRAME_BASED,
++};
++
++enum v4l2_mpeg_video_hevc_start_code {
++      V4L2_MPEG_VIDEO_HEVC_START_CODE_NONE,
++      V4L2_MPEG_VIDEO_HEVC_START_CODE_ANNEX_B,
++};
++
++#define V4L2_HEVC_SLICE_TYPE_B        0
++#define V4L2_HEVC_SLICE_TYPE_P        1
++#define V4L2_HEVC_SLICE_TYPE_I        2
++
++#define V4L2_HEVC_SPS_FLAG_SEPARATE_COLOUR_PLANE              (1ULL << 0)
++#define V4L2_HEVC_SPS_FLAG_SCALING_LIST_ENABLED                       (1ULL << 1)
++#define V4L2_HEVC_SPS_FLAG_AMP_ENABLED                                (1ULL << 2)
++#define V4L2_HEVC_SPS_FLAG_SAMPLE_ADAPTIVE_OFFSET             (1ULL << 3)
++#define V4L2_HEVC_SPS_FLAG_PCM_ENABLED                                (1ULL << 4)
++#define V4L2_HEVC_SPS_FLAG_PCM_LOOP_FILTER_DISABLED           (1ULL << 5)
++#define V4L2_HEVC_SPS_FLAG_LONG_TERM_REF_PICS_PRESENT         (1ULL << 6)
++#define V4L2_HEVC_SPS_FLAG_SPS_TEMPORAL_MVP_ENABLED           (1ULL << 7)
++#define V4L2_HEVC_SPS_FLAG_STRONG_INTRA_SMOOTHING_ENABLED     (1ULL << 8)
++
++/* The controls are not stable at the moment and will likely be reworked. */
++struct v4l2_ctrl_hevc_sps {
++      /* ISO/IEC 23008-2, ITU-T Rec. H.265: Sequence parameter set */
++      __u16   pic_width_in_luma_samples;
++      __u16   pic_height_in_luma_samples;
++      __u8    bit_depth_luma_minus8;
++      __u8    bit_depth_chroma_minus8;
++      __u8    log2_max_pic_order_cnt_lsb_minus4;
++      __u8    sps_max_dec_pic_buffering_minus1;
++      __u8    sps_max_num_reorder_pics;
++      __u8    sps_max_latency_increase_plus1;
++      __u8    log2_min_luma_coding_block_size_minus3;
++      __u8    log2_diff_max_min_luma_coding_block_size;
++      __u8    log2_min_luma_transform_block_size_minus2;
++      __u8    log2_diff_max_min_luma_transform_block_size;
++      __u8    max_transform_hierarchy_depth_inter;
++      __u8    max_transform_hierarchy_depth_intra;
++      __u8    pcm_sample_bit_depth_luma_minus1;
++      __u8    pcm_sample_bit_depth_chroma_minus1;
++      __u8    log2_min_pcm_luma_coding_block_size_minus3;
++      __u8    log2_diff_max_min_pcm_luma_coding_block_size;
++      __u8    num_short_term_ref_pic_sets;
++      __u8    num_long_term_ref_pics_sps;
++      __u8    chroma_format_idc;
++
++      __u8    padding;
++
++      __u64   flags;
++};
++
++#define V4L2_HEVC_PPS_FLAG_DEPENDENT_SLICE_SEGMENT            (1ULL << 0)
++#define V4L2_HEVC_PPS_FLAG_OUTPUT_FLAG_PRESENT                        (1ULL << 1)
++#define V4L2_HEVC_PPS_FLAG_SIGN_DATA_HIDING_ENABLED           (1ULL << 2)
++#define V4L2_HEVC_PPS_FLAG_CABAC_INIT_PRESENT                 (1ULL << 3)
++#define V4L2_HEVC_PPS_FLAG_CONSTRAINED_INTRA_PRED             (1ULL << 4)
++#define V4L2_HEVC_PPS_FLAG_TRANSFORM_SKIP_ENABLED             (1ULL << 5)
++#define V4L2_HEVC_PPS_FLAG_CU_QP_DELTA_ENABLED                        (1ULL << 6)
++#define V4L2_HEVC_PPS_FLAG_PPS_SLICE_CHROMA_QP_OFFSETS_PRESENT        (1ULL << 7)
++#define V4L2_HEVC_PPS_FLAG_WEIGHTED_PRED                      (1ULL << 8)
++#define V4L2_HEVC_PPS_FLAG_WEIGHTED_BIPRED                    (1ULL << 9)
++#define V4L2_HEVC_PPS_FLAG_TRANSQUANT_BYPASS_ENABLED          (1ULL << 10)
++#define V4L2_HEVC_PPS_FLAG_TILES_ENABLED                      (1ULL << 11)
++#define V4L2_HEVC_PPS_FLAG_ENTROPY_CODING_SYNC_ENABLED                (1ULL << 12)
++#define V4L2_HEVC_PPS_FLAG_LOOP_FILTER_ACROSS_TILES_ENABLED   (1ULL << 13)
++#define V4L2_HEVC_PPS_FLAG_PPS_LOOP_FILTER_ACROSS_SLICES_ENABLED (1ULL << 14)
++#define V4L2_HEVC_PPS_FLAG_DEBLOCKING_FILTER_OVERRIDE_ENABLED (1ULL << 15)
++#define V4L2_HEVC_PPS_FLAG_PPS_DISABLE_DEBLOCKING_FILTER      (1ULL << 16)
++#define V4L2_HEVC_PPS_FLAG_LISTS_MODIFICATION_PRESENT         (1ULL << 17)
++#define V4L2_HEVC_PPS_FLAG_SLICE_SEGMENT_HEADER_EXTENSION_PRESENT (1ULL << 18)
++
++struct v4l2_ctrl_hevc_pps {
++      /* ISO/IEC 23008-2, ITU-T Rec. H.265: Picture parameter set */
++      __u8    num_extra_slice_header_bits;
++      __s8    init_qp_minus26;
++      __u8    diff_cu_qp_delta_depth;
++      __s8    pps_cb_qp_offset;
++      __s8    pps_cr_qp_offset;
++      __u8    num_tile_columns_minus1;
++      __u8    num_tile_rows_minus1;
++      __u8    column_width_minus1[20];
++      __u8    row_height_minus1[22];
++      __s8    pps_beta_offset_div2;
++      __s8    pps_tc_offset_div2;
++      __u8    log2_parallel_merge_level_minus2;
++
++      __u8    padding[4];
++      __u64   flags;
++};
++
++#define V4L2_HEVC_DPB_ENTRY_RPS_ST_CURR_BEFORE        0x01
++#define V4L2_HEVC_DPB_ENTRY_RPS_ST_CURR_AFTER 0x02
++#define V4L2_HEVC_DPB_ENTRY_RPS_LT_CURR               0x03
++
++#define V4L2_HEVC_DPB_ENTRIES_NUM_MAX         16
++
++struct v4l2_hevc_dpb_entry {
++      __u64   timestamp;
++      __u8    rps;
++      __u8    field_pic;
++      __u16   pic_order_cnt[2];
++      __u8    padding[2];
++};
++
++struct v4l2_hevc_pred_weight_table {
++      __s8    delta_luma_weight_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
++      __s8    luma_offset_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
++      __s8    delta_chroma_weight_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2];
++      __s8    chroma_offset_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2];
++
++      __s8    delta_luma_weight_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
++      __s8    luma_offset_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
++      __s8    delta_chroma_weight_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2];
++      __s8    chroma_offset_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2];
++
++      __u8    padding[6];
++
++      __u8    luma_log2_weight_denom;
++      __s8    delta_chroma_log2_weight_denom;
++};
++
++#define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_SAO_LUMA            (1ULL << 0)
++#define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_SAO_CHROMA          (1ULL << 1)
++#define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_TEMPORAL_MVP_ENABLED        (1ULL << 2)
++#define V4L2_HEVC_SLICE_PARAMS_FLAG_MVD_L1_ZERO                       (1ULL << 3)
++#define V4L2_HEVC_SLICE_PARAMS_FLAG_CABAC_INIT                        (1ULL << 4)
++#define V4L2_HEVC_SLICE_PARAMS_FLAG_COLLOCATED_FROM_L0                (1ULL << 5)
++#define V4L2_HEVC_SLICE_PARAMS_FLAG_USE_INTEGER_MV            (1ULL << 6)
++#define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_DEBLOCKING_FILTER_DISABLED (1ULL << 7)
++#define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_LOOP_FILTER_ACROSS_SLICES_ENABLED (1ULL << 8)
++
++struct v4l2_ctrl_hevc_slice_params {
++      __u32   bit_size;
++      __u32   data_bit_offset;
++
++      /* ISO/IEC 23008-2, ITU-T Rec. H.265: NAL unit header */
++      __u8    nal_unit_type;
++      __u8    nuh_temporal_id_plus1;
++
++      /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
++      __u8    slice_type;
++      __u8    colour_plane_id;
++      __u16   slice_pic_order_cnt;
++      __u8    num_ref_idx_l0_active_minus1;
++      __u8    num_ref_idx_l1_active_minus1;
++      __u8    collocated_ref_idx;
++      __u8    five_minus_max_num_merge_cand;
++      __s8    slice_qp_delta;
++      __s8    slice_cb_qp_offset;
++      __s8    slice_cr_qp_offset;
++      __s8    slice_act_y_qp_offset;
++      __s8    slice_act_cb_qp_offset;
++      __s8    slice_act_cr_qp_offset;
++      __s8    slice_beta_offset_div2;
++      __s8    slice_tc_offset_div2;
++
++      /* ISO/IEC 23008-2, ITU-T Rec. H.265: Picture timing SEI message */
++      __u8    pic_struct;
++
++      /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
++      __u8    num_active_dpb_entries;
++      __u8    ref_idx_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
++      __u8    ref_idx_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
++
++      __u8    num_rps_poc_st_curr_before;
++      __u8    num_rps_poc_st_curr_after;
++      __u8    num_rps_poc_lt_curr;
++
++      __u8    padding;
++
++      /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
++      struct v4l2_hevc_dpb_entry dpb[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
++
++      /* ISO/IEC 23008-2, ITU-T Rec. H.265: Weighted prediction parameter */
++      struct v4l2_hevc_pred_weight_table pred_weight_table;
++
++      __u64   flags;
++};
++
++#endif
+--- a/include/media/v4l2-ctrls.h
++++ b/include/media/v4l2-ctrls.h
+@@ -21,6 +21,7 @@
+ #include <media/fwht-ctrls.h>
+ #include <media/h264-ctrls.h>
+ #include <media/vp8-ctrls.h>
++#include <media/hevc-ctrls.h>
+ /* forward references */
+ struct file;
+@@ -50,6 +51,9 @@ struct poll_table_struct;
+  * @p_h264_slice_params:      Pointer to a struct v4l2_ctrl_h264_slice_params.
+  * @p_h264_decode_params:     Pointer to a struct v4l2_ctrl_h264_decode_params.
+  * @p_vp8_frame_header:               Pointer to a VP8 frame header structure.
++ * @p_hevc_sps:                       Pointer to an HEVC sequence parameter set structure.
++ * @p_hevc_pps:                       Pointer to an HEVC picture parameter set structure.
++ * @p_hevc_slice_params:      Pointer to an HEVC slice parameters structure.
+  * @p_area:                   Pointer to an area.
+  * @p:                                Pointer to a compound value.
+  */
+@@ -69,6 +73,9 @@ union v4l2_ctrl_ptr {
+       struct v4l2_ctrl_h264_slice_params *p_h264_slice_params;
+       struct v4l2_ctrl_h264_decode_params *p_h264_decode_params;
+       struct v4l2_ctrl_vp8_frame_header *p_vp8_frame_header;
++      struct v4l2_ctrl_hevc_sps *p_hevc_sps;
++      struct v4l2_ctrl_hevc_pps *p_hevc_pps;
++      struct v4l2_ctrl_hevc_slice_params *p_hevc_slice_params;
+       struct v4l2_area *p_area;
+       void *p;
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0492-media-vb2-add-V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF.patch b/target/linux/bcm27xx/patches-5.4/950-0492-media-vb2-add-V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF.patch
deleted file mode 100644 (file)
index b05c34c..0000000
+++ /dev/null
@@ -1,184 +0,0 @@
-From dfcdc4ed9a514cd5d77dd18c6527f257f8aaf378 Mon Sep 17 00:00:00 2001
-From: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Date: Fri, 11 Oct 2019 06:32:40 -0300
-Subject: [PATCH] media: vb2: add V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF
-
-This patch adds support for the V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF
-flag.
-
-It also adds a new V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF
-capability.
-
-Drivers should set vb2_queue->subsystem_flags to
-VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF to indicate support
-for this flag.
-
-Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- Documentation/media/uapi/v4l/buffer.rst         | 13 +++++++++++++
- Documentation/media/uapi/v4l/vidioc-reqbufs.rst |  6 ++++++
- drivers/media/common/videobuf2/videobuf2-v4l2.c | 12 ++++++++++--
- include/media/videobuf2-core.h                  |  3 +++
- include/media/videobuf2-v4l2.h                  |  5 +++++
- include/uapi/linux/videodev2.h                  | 13 ++++++++-----
- 6 files changed, 45 insertions(+), 7 deletions(-)
-
---- a/Documentation/media/uapi/v4l/buffer.rst
-+++ b/Documentation/media/uapi/v4l/buffer.rst
-@@ -607,6 +607,19 @@ Buffer Flags
-       applications shall use this flag for output buffers if the data in
-       this buffer has not been created by the CPU but by some
-       DMA-capable unit, in which case caches have not been used.
-+    * .. _`V4L2-BUF-FLAG-M2M-HOLD-CAPTURE-BUF`:
-+
-+      - ``V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF``
-+      - 0x00000200
-+      - Only valid if ``V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF`` is
-+      set. It is typically used with stateless decoders where multiple
-+      output buffers each decode to a slice of the decoded frame.
-+      Applications can set this flag when queueing the output buffer
-+      to prevent the driver from dequeueing the capture buffer after
-+      the output buffer has been decoded (i.e. the capture buffer is
-+      'held'). If the timestamp of this output buffer differs from that
-+      of the previous output buffer, then that indicates the start of a
-+      new frame and the previously held capture buffer is dequeued.
-     * .. _`V4L2-BUF-FLAG-LAST`:
-       - ``V4L2_BUF_FLAG_LAST``
---- a/Documentation/media/uapi/v4l/vidioc-reqbufs.rst
-+++ b/Documentation/media/uapi/v4l/vidioc-reqbufs.rst
-@@ -125,6 +125,7 @@ aborting or finishing any DMA in progres
- .. _V4L2-BUF-CAP-SUPPORTS-DMABUF:
- .. _V4L2-BUF-CAP-SUPPORTS-REQUESTS:
- .. _V4L2-BUF-CAP-SUPPORTS-ORPHANED-BUFS:
-+.. _V4L2-BUF-CAP-SUPPORTS-M2M-HOLD-CAPTURE-BUF:
- .. cssclass:: longtable
-@@ -150,6 +151,11 @@ aborting or finishing any DMA in progres
-       - The kernel allows calling :ref:`VIDIOC_REQBUFS` while buffers are still
-         mapped or exported via DMABUF. These orphaned buffers will be freed
-         when they are unmapped or when the exported DMABUF fds are closed.
-+    * - ``V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF``
-+      - 0x00000020
-+      - Only valid for stateless decoders. If set, then userspace can set the
-+        ``V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF`` flag to hold off on returning the
-+      capture buffer until the OUTPUT timestamp changes.
- Return Value
- ============
---- a/drivers/media/common/videobuf2/videobuf2-v4l2.c
-+++ b/drivers/media/common/videobuf2/videobuf2-v4l2.c
-@@ -49,8 +49,11 @@ module_param(debug, int, 0644);
-                                V4L2_BUF_FLAG_REQUEST_FD | \
-                                V4L2_BUF_FLAG_TIMESTAMP_MASK)
- /* Output buffer flags that should be passed on to the driver */
--#define V4L2_BUFFER_OUT_FLAGS (V4L2_BUF_FLAG_PFRAME | V4L2_BUF_FLAG_BFRAME | \
--                               V4L2_BUF_FLAG_KEYFRAME | V4L2_BUF_FLAG_TIMECODE)
-+#define V4L2_BUFFER_OUT_FLAGS (V4L2_BUF_FLAG_PFRAME | \
-+                               V4L2_BUF_FLAG_BFRAME | \
-+                               V4L2_BUF_FLAG_KEYFRAME | \
-+                               V4L2_BUF_FLAG_TIMECODE | \
-+                               V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF)
- /*
-  * __verify_planes_array() - verify that the planes array passed in struct
-@@ -194,6 +197,7 @@ static int vb2_fill_vb2_v4l2_buffer(stru
-       }
-       vbuf->sequence = 0;
-       vbuf->request_fd = -1;
-+      vbuf->is_held = false;
-       if (V4L2_TYPE_IS_MULTIPLANAR(b->type)) {
-               switch (b->memory) {
-@@ -321,6 +325,8 @@ static int vb2_fill_vb2_v4l2_buffer(stru
-                */
-               vbuf->flags &= ~V4L2_BUF_FLAG_TIMECODE;
-               vbuf->field = b->field;
-+              if (!(q->subsystem_flags & VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF))
-+                      vbuf->flags &= ~V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF;
-       } else {
-               /* Zero any output buffer flags as this is a capture buffer */
-               vbuf->flags &= ~V4L2_BUFFER_OUT_FLAGS;
-@@ -654,6 +660,8 @@ static void fill_buf_caps(struct vb2_que
-               *caps |= V4L2_BUF_CAP_SUPPORTS_USERPTR;
-       if (q->io_modes & VB2_DMABUF)
-               *caps |= V4L2_BUF_CAP_SUPPORTS_DMABUF;
-+      if (q->subsystem_flags & VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF)
-+              *caps |= V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF;
- #ifdef CONFIG_MEDIA_CONTROLLER_REQUEST_API
-       if (q->supports_requests)
-               *caps |= V4L2_BUF_CAP_SUPPORTS_REQUESTS;
---- a/include/media/videobuf2-core.h
-+++ b/include/media/videobuf2-core.h
-@@ -505,6 +505,8 @@ struct vb2_buf_ops {
-  * @buf_ops:  callbacks to deliver buffer information.
-  *            between user-space and kernel-space.
-  * @drv_priv: driver private data.
-+ * @subsystem_flags: Flags specific to the subsystem (V4L2/DVB/etc.). Not used
-+ *            by the vb2 core.
-  * @buf_struct_size: size of the driver-specific buffer structure;
-  *            "0" indicates the driver doesn't want to use a custom buffer
-  *            structure type. for example, ``sizeof(struct vb2_v4l2_buffer)``
-@@ -571,6 +573,7 @@ struct vb2_queue {
-       const struct vb2_buf_ops        *buf_ops;
-       void                            *drv_priv;
-+      u32                             subsystem_flags;
-       unsigned int                    buf_struct_size;
-       u32                             timestamp_flags;
-       gfp_t                           gfp_flags;
---- a/include/media/videobuf2-v4l2.h
-+++ b/include/media/videobuf2-v4l2.h
-@@ -33,6 +33,7 @@
-  * @timecode: frame timecode.
-  * @sequence: sequence count of this frame.
-  * @request_fd:       the request_fd associated with this buffer
-+ * @is_held:  if true, then this capture buffer was held
-  * @planes:   plane information (userptr/fd, length, bytesused, data_offset).
-  *
-  * Should contain enough information to be able to cover all the fields
-@@ -46,9 +47,13 @@ struct vb2_v4l2_buffer {
-       struct v4l2_timecode    timecode;
-       __u32                   sequence;
-       __s32                   request_fd;
-+      bool                    is_held;
-       struct vb2_plane        planes[VB2_MAX_PLANES];
- };
-+/* VB2 V4L2 flags as set in vb2_queue.subsystem_flags */
-+#define VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF (1 << 0)
-+
- /*
-  * to_vb2_v4l2_buffer() - cast struct vb2_buffer * to struct vb2_v4l2_buffer *
-  */
---- a/include/uapi/linux/videodev2.h
-+++ b/include/uapi/linux/videodev2.h
-@@ -924,11 +924,12 @@ struct v4l2_requestbuffers {
- };
- /* capabilities for struct v4l2_requestbuffers and v4l2_create_buffers */
--#define V4L2_BUF_CAP_SUPPORTS_MMAP    (1 << 0)
--#define V4L2_BUF_CAP_SUPPORTS_USERPTR (1 << 1)
--#define V4L2_BUF_CAP_SUPPORTS_DMABUF  (1 << 2)
--#define V4L2_BUF_CAP_SUPPORTS_REQUESTS        (1 << 3)
--#define V4L2_BUF_CAP_SUPPORTS_ORPHANED_BUFS (1 << 4)
-+#define V4L2_BUF_CAP_SUPPORTS_MMAP                    (1 << 0)
-+#define V4L2_BUF_CAP_SUPPORTS_USERPTR                 (1 << 1)
-+#define V4L2_BUF_CAP_SUPPORTS_DMABUF                  (1 << 2)
-+#define V4L2_BUF_CAP_SUPPORTS_REQUESTS                        (1 << 3)
-+#define V4L2_BUF_CAP_SUPPORTS_ORPHANED_BUFS           (1 << 4)
-+#define V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF    (1 << 5)
- /**
-  * struct v4l2_plane - plane info for multi-planar buffers
-@@ -1050,6 +1051,8 @@ static inline __u64 v4l2_timeval_to_ns(c
- #define V4L2_BUF_FLAG_IN_REQUEST              0x00000080
- /* timecode field is valid */
- #define V4L2_BUF_FLAG_TIMECODE                        0x00000100
-+/* Don't return the capture buffer until OUTPUT timestamp changes */
-+#define V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF    0x00000200
- /* Buffer is prepared for queuing */
- #define V4L2_BUF_FLAG_PREPARED                        0x00000400
- /* Cache handling flags */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0493-media-v4l2-mem2mem-Fix-hold-buf-flag-checks.patch b/target/linux/bcm27xx/patches-5.4/950-0493-media-v4l2-mem2mem-Fix-hold-buf-flag-checks.patch
new file mode 100644 (file)
index 0000000..18073a8
--- /dev/null
@@ -0,0 +1,37 @@
+From 73d8a76ec5b5e1240af4142a9ccbd39179d779af Mon Sep 17 00:00:00 2001
+From: Jernej Skrabec <jernej.skrabec@siol.net>
+Date: Wed, 6 Nov 2019 08:02:53 +0100
+Subject: [PATCH] media: v4l2-mem2mem: Fix hold buf flag checks
+
+Commit 1076df3a77b490d33429560a9e0603b3673223e2 upstream.
+
+Hold buf flag is set on output queue, not capture. Fix that.
+
+Fixes: f07602ac3887 ("media: v4l2-mem2mem: add new_frame detection")
+Signed-off-by: Jernej Skrabec <jernej.skrabec@siol.net>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab@kernel.org>
+---
+ drivers/media/v4l2-core/v4l2-mem2mem.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/media/v4l2-core/v4l2-mem2mem.c
++++ b/drivers/media/v4l2-core/v4l2-mem2mem.c
+@@ -335,7 +335,7 @@ static void __v4l2_m2m_try_queue(struct
+               }
+       }
+-      if (src && dst && (m2m_ctx->cap_q_ctx.q.subsystem_flags &
++      if (src && dst && (m2m_ctx->out_q_ctx.q.subsystem_flags &
+                          VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF))
+               m2m_ctx->new_frame = !dst->vb2_buf.copied_timestamp ||
+                       dst->vb2_buf.timestamp != src->vb2_buf.timestamp;
+@@ -474,7 +474,7 @@ void v4l2_m2m_job_finish(struct v4l2_m2m
+        * holding capture buffers. Those should use
+        * v4l2_m2m_buf_done_and_job_finish() instead.
+        */
+-      WARN_ON(m2m_ctx->cap_q_ctx.q.subsystem_flags &
++      WARN_ON(m2m_ctx->out_q_ctx.q.subsystem_flags &
+               VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF);
+       spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
+       schedule_next = _v4l2_m2m_job_finish(m2m_dev, m2m_ctx);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0493-media-v4l2-mem2mem-support-held-capture-buffers.patch b/target/linux/bcm27xx/patches-5.4/950-0493-media-v4l2-mem2mem-support-held-capture-buffers.patch
deleted file mode 100644 (file)
index bb66baf..0000000
+++ /dev/null
@@ -1,260 +0,0 @@
-From dc9b786e4b9a1262b536b3c9d0fa88e34a2b3f8f Mon Sep 17 00:00:00 2001
-From: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Date: Fri, 11 Oct 2019 06:32:41 -0300
-Subject: [PATCH] media: v4l2-mem2mem: support held capture buffers
-
-Commit f8cca8c97a63d77f48334cde81d15014f43530ef upstream.
-
-Check for held buffers that are ready to be returned to vb2 in
-__v4l2_m2m_try_queue(). This avoids drivers having to handle this
-case.
-
-Add v4l2_m2m_buf_done_and_job_finish() to correctly return source
-and destination buffers and mark the job as finished while taking
-a held destination buffer into account (i.e. that buffer won't be
-returned). This has to be done while job_spinlock is held to avoid
-race conditions.
-
-Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- drivers/media/v4l2-core/v4l2-mem2mem.c | 130 ++++++++++++++++++-------
- include/media/v4l2-mem2mem.h           |  33 ++++++-
- 2 files changed, 128 insertions(+), 35 deletions(-)
-
---- a/drivers/media/v4l2-core/v4l2-mem2mem.c
-+++ b/drivers/media/v4l2-core/v4l2-mem2mem.c
-@@ -284,7 +284,8 @@ static void v4l2_m2m_try_run(struct v4l2
- static void __v4l2_m2m_try_queue(struct v4l2_m2m_dev *m2m_dev,
-                                struct v4l2_m2m_ctx *m2m_ctx)
- {
--      unsigned long flags_job, flags_out, flags_cap;
-+      unsigned long flags_job;
-+      struct vb2_v4l2_buffer *dst, *src;
-       dprintk("Trying to schedule a job for m2m_ctx: %p\n", m2m_ctx);
-@@ -307,20 +308,30 @@ static void __v4l2_m2m_try_queue(struct
-               goto job_unlock;
-       }
--      spin_lock_irqsave(&m2m_ctx->out_q_ctx.rdy_spinlock, flags_out);
--      if (list_empty(&m2m_ctx->out_q_ctx.rdy_queue)
--          && !m2m_ctx->out_q_ctx.buffered) {
-+      src = v4l2_m2m_next_src_buf(m2m_ctx);
-+      dst = v4l2_m2m_next_dst_buf(m2m_ctx);
-+      if (!src && !m2m_ctx->out_q_ctx.buffered) {
-               dprintk("No input buffers available\n");
--              goto out_unlock;
-+              goto job_unlock;
-       }
--      spin_lock_irqsave(&m2m_ctx->cap_q_ctx.rdy_spinlock, flags_cap);
--      if (list_empty(&m2m_ctx->cap_q_ctx.rdy_queue)
--          && !m2m_ctx->cap_q_ctx.buffered) {
-+      if (!dst && !m2m_ctx->cap_q_ctx.buffered) {
-               dprintk("No output buffers available\n");
--              goto cap_unlock;
-+              goto job_unlock;
-+      }
-+
-+      if (src && dst &&
-+          dst->is_held && dst->vb2_buf.copied_timestamp &&
-+          dst->vb2_buf.timestamp != src->vb2_buf.timestamp) {
-+              dst->is_held = false;
-+              v4l2_m2m_dst_buf_remove(m2m_ctx);
-+              v4l2_m2m_buf_done(dst, VB2_BUF_STATE_DONE);
-+              dst = v4l2_m2m_next_dst_buf(m2m_ctx);
-+
-+              if (!dst && !m2m_ctx->cap_q_ctx.buffered) {
-+                      dprintk("No output buffers available after returning held buffer\n");
-+                      goto job_unlock;
-+              }
-       }
--      spin_unlock_irqrestore(&m2m_ctx->cap_q_ctx.rdy_spinlock, flags_cap);
--      spin_unlock_irqrestore(&m2m_ctx->out_q_ctx.rdy_spinlock, flags_out);
-       if (m2m_dev->m2m_ops->job_ready
-               && (!m2m_dev->m2m_ops->job_ready(m2m_ctx->priv))) {
-@@ -331,13 +342,6 @@ static void __v4l2_m2m_try_queue(struct
-       list_add_tail(&m2m_ctx->queue, &m2m_dev->job_queue);
-       m2m_ctx->job_flags |= TRANS_QUEUED;
--      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags_job);
--      return;
--
--cap_unlock:
--      spin_unlock_irqrestore(&m2m_ctx->cap_q_ctx.rdy_spinlock, flags_cap);
--out_unlock:
--      spin_unlock_irqrestore(&m2m_ctx->out_q_ctx.rdy_spinlock, flags_out);
- job_unlock:
-       spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags_job);
- }
-@@ -412,37 +416,97 @@ static void v4l2_m2m_cancel_job(struct v
-       }
- }
--void v4l2_m2m_job_finish(struct v4l2_m2m_dev *m2m_dev,
--                       struct v4l2_m2m_ctx *m2m_ctx)
-+/*
-+ * Schedule the next job, called from v4l2_m2m_job_finish() or
-+ * v4l2_m2m_buf_done_and_job_finish().
-+ */
-+static void v4l2_m2m_schedule_next_job(struct v4l2_m2m_dev *m2m_dev,
-+                                     struct v4l2_m2m_ctx *m2m_ctx)
- {
--      unsigned long flags;
-+      /*
-+       * This instance might have more buffers ready, but since we do not
-+       * allow more than one job on the job_queue per instance, each has
-+       * to be scheduled separately after the previous one finishes.
-+       */
-+      __v4l2_m2m_try_queue(m2m_dev, m2m_ctx);
--      spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
-+      /*
-+       * We might be running in atomic context,
-+       * but the job must be run in non-atomic context.
-+       */
-+      schedule_work(&m2m_dev->job_work);
-+}
-+
-+/*
-+ * Assumes job_spinlock is held, called from v4l2_m2m_job_finish() or
-+ * v4l2_m2m_buf_done_and_job_finish().
-+ */
-+static bool _v4l2_m2m_job_finish(struct v4l2_m2m_dev *m2m_dev,
-+                               struct v4l2_m2m_ctx *m2m_ctx)
-+{
-       if (!m2m_dev->curr_ctx || m2m_dev->curr_ctx != m2m_ctx) {
--              spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
-               dprintk("Called by an instance not currently running\n");
--              return;
-+              return false;
-       }
-       list_del(&m2m_dev->curr_ctx->queue);
-       m2m_dev->curr_ctx->job_flags &= ~(TRANS_QUEUED | TRANS_RUNNING);
-       wake_up(&m2m_dev->curr_ctx->finished);
-       m2m_dev->curr_ctx = NULL;
-+      return true;
-+}
--      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
--
--      /* This instance might have more buffers ready, but since we do not
--       * allow more than one job on the job_queue per instance, each has
--       * to be scheduled separately after the previous one finishes. */
--      __v4l2_m2m_try_queue(m2m_dev, m2m_ctx);
-+void v4l2_m2m_job_finish(struct v4l2_m2m_dev *m2m_dev,
-+                       struct v4l2_m2m_ctx *m2m_ctx)
-+{
-+      unsigned long flags;
-+      bool schedule_next;
--      /* We might be running in atomic context,
--       * but the job must be run in non-atomic context.
-+      /*
-+       * This function should not be used for drivers that support
-+       * holding capture buffers. Those should use
-+       * v4l2_m2m_buf_done_and_job_finish() instead.
-        */
--      schedule_work(&m2m_dev->job_work);
-+      WARN_ON(m2m_ctx->cap_q_ctx.q.subsystem_flags &
-+              VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF);
-+      spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
-+      schedule_next = _v4l2_m2m_job_finish(m2m_dev, m2m_ctx);
-+      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
-+
-+      if (schedule_next)
-+              v4l2_m2m_schedule_next_job(m2m_dev, m2m_ctx);
- }
- EXPORT_SYMBOL(v4l2_m2m_job_finish);
-+void v4l2_m2m_buf_done_and_job_finish(struct v4l2_m2m_dev *m2m_dev,
-+                                    struct v4l2_m2m_ctx *m2m_ctx,
-+                                    enum vb2_buffer_state state)
-+{
-+      struct vb2_v4l2_buffer *src_buf, *dst_buf;
-+      bool schedule_next = false;
-+      unsigned long flags;
-+
-+      spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
-+      src_buf = v4l2_m2m_src_buf_remove(m2m_ctx);
-+      dst_buf = v4l2_m2m_next_dst_buf(m2m_ctx);
-+
-+      if (WARN_ON(!src_buf || !dst_buf))
-+              goto unlock;
-+      v4l2_m2m_buf_done(src_buf, state);
-+      dst_buf->is_held = src_buf->flags & V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF;
-+      if (!dst_buf->is_held) {
-+              v4l2_m2m_dst_buf_remove(m2m_ctx);
-+              v4l2_m2m_buf_done(dst_buf, state);
-+      }
-+      schedule_next = _v4l2_m2m_job_finish(m2m_dev, m2m_ctx);
-+unlock:
-+      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
-+
-+      if (schedule_next)
-+              v4l2_m2m_schedule_next_job(m2m_dev, m2m_ctx);
-+}
-+EXPORT_SYMBOL(v4l2_m2m_buf_done_and_job_finish);
-+
- int v4l2_m2m_reqbufs(struct file *file, struct v4l2_m2m_ctx *m2m_ctx,
-                    struct v4l2_requestbuffers *reqbufs)
- {
---- a/include/media/v4l2-mem2mem.h
-+++ b/include/media/v4l2-mem2mem.h
-@@ -21,7 +21,8 @@
-  *            callback.
-  *            The job does NOT have to end before this callback returns
-  *            (and it will be the usual case). When the job finishes,
-- *            v4l2_m2m_job_finish() has to be called.
-+ *            v4l2_m2m_job_finish() or v4l2_m2m_buf_done_and_job_finish()
-+ *            has to be called.
-  * @job_ready:        optional. Should return 0 if the driver does not have a job
-  *            fully prepared to run yet (i.e. it will not be able to finish a
-  *            transaction without sleeping). If not provided, it will be
-@@ -33,7 +34,8 @@
-  *            stop the device safely; e.g. in the next interrupt handler),
-  *            even if the transaction would not have been finished by then.
-  *            After the driver performs the necessary steps, it has to call
-- *            v4l2_m2m_job_finish() (as if the transaction ended normally).
-+ *            v4l2_m2m_job_finish() or v4l2_m2m_buf_done_and_job_finish() as
-+ *            if the transaction ended normally.
-  *            This function does not have to (and will usually not) wait
-  *            until the device enters a state when it can be stopped.
-  */
-@@ -173,6 +175,33 @@ void v4l2_m2m_try_schedule(struct v4l2_m
- void v4l2_m2m_job_finish(struct v4l2_m2m_dev *m2m_dev,
-                        struct v4l2_m2m_ctx *m2m_ctx);
-+/**
-+ * v4l2_m2m_buf_done_and_job_finish() - return source/destination buffers with
-+ * state and inform the framework that a job has been finished and have it
-+ * clean up
-+ *
-+ * @m2m_dev: opaque pointer to the internal data to handle M2M context
-+ * @m2m_ctx: m2m context assigned to the instance given by struct &v4l2_m2m_ctx
-+ * @state: vb2 buffer state passed to v4l2_m2m_buf_done().
-+ *
-+ * Drivers that set V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF must use this
-+ * function instead of job_finish() to take held buffers into account. It is
-+ * optional for other drivers.
-+ *
-+ * This function removes the source buffer from the ready list and returns
-+ * it with the given state. The same is done for the destination buffer, unless
-+ * it is marked 'held'. In that case the buffer is kept on the ready list.
-+ *
-+ * After that the job is finished (see job_finish()).
-+ *
-+ * This allows for multiple output buffers to be used to fill in a single
-+ * capture buffer. This is typically used by stateless decoders where
-+ * multiple e.g. H.264 slices contribute to a single decoded frame.
-+ */
-+void v4l2_m2m_buf_done_and_job_finish(struct v4l2_m2m_dev *m2m_dev,
-+                                    struct v4l2_m2m_ctx *m2m_ctx,
-+                                    enum vb2_buffer_state state);
-+
- static inline void
- v4l2_m2m_buf_done(struct vb2_v4l2_buffer *buf, enum vb2_buffer_state state)
- {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0494-media-pixfmt-Document-the-HEVC-slice-pixel-format.patch b/target/linux/bcm27xx/patches-5.4/950-0494-media-pixfmt-Document-the-HEVC-slice-pixel-format.patch
new file mode 100644 (file)
index 0000000..7398807
--- /dev/null
@@ -0,0 +1,50 @@
+From 662256810630f6ac6d06ee0cdc5f4660b25f7e98 Mon Sep 17 00:00:00 2001
+From: Paul Kocialkowski <paul.kocialkowski@bootlin.com>
+Date: Tue, 22 Oct 2019 12:26:53 -0300
+Subject: [PATCH] media: pixfmt: Document the HEVC slice pixel format
+
+Commit de06f289283298e2938445019999cec46435375c upstream.
+
+Document the current state of the HEVC slice pixel format.
+The format will need to evolve in the future, which is why it is
+not part of the public API.
+
+Signed-off-by: Paul Kocialkowski <paul.kocialkowski@bootlin.com>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ .../media/uapi/v4l/pixfmt-compressed.rst      | 23 +++++++++++++++++++
+ 1 file changed, 23 insertions(+)
+
+--- a/Documentation/media/uapi/v4l/pixfmt-compressed.rst
++++ b/Documentation/media/uapi/v4l/pixfmt-compressed.rst
+@@ -188,6 +188,29 @@ Compressed Formats
+       If :ref:`VIDIOC_ENUM_FMT` reports ``V4L2_FMT_FLAG_CONTINUOUS_BYTESTREAM``
+       then the decoder has no requirements since it can parse all the
+       information from the raw bytestream.
++    * .. _V4L2-PIX-FMT-HEVC-SLICE:
++
++      - ``V4L2_PIX_FMT_HEVC_SLICE``
++      - 'S265'
++      - HEVC parsed slice data, as extracted from the HEVC bitstream.
++      This format is adapted for stateless video decoders that implement a
++      HEVC pipeline (using the :ref:`mem2mem` and :ref:`media-request-api`).
++      This pixelformat has two modifiers that must be set at least once
++      through the ``V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE``
++        and ``V4L2_CID_MPEG_VIDEO_HEVC_START_CODE`` controls.
++      Metadata associated with the frame to decode is required to be passed
++      through the following controls :
++        * ``V4L2_CID_MPEG_VIDEO_HEVC_SPS``
++        * ``V4L2_CID_MPEG_VIDEO_HEVC_PPS``
++        * ``V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS``
++      See the :ref:`associated Codec Control IDs <v4l2-mpeg-hevc>`.
++      Buffers associated with this pixel format must contain the appropriate
++      number of macroblocks to decode a full corresponding frame.
++
++      .. note::
++
++         This format is not yet part of the public kernel API and it
++         is expected to change.
+     * .. _V4L2-PIX-FMT-FWHT:
+       - ``V4L2_PIX_FMT_FWHT``
diff --git a/target/linux/bcm27xx/patches-5.4/950-0494-media-videodev2.h-add-V4L2_DEC_CMD_FLUSH.patch b/target/linux/bcm27xx/patches-5.4/950-0494-media-videodev2.h-add-V4L2_DEC_CMD_FLUSH.patch
deleted file mode 100644 (file)
index 56fee1e..0000000
+++ /dev/null
@@ -1,57 +0,0 @@
-From b2ea711d2c21ec021de4ff09a0a2b5b4224f9749 Mon Sep 17 00:00:00 2001
-From: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Date: Fri, 11 Oct 2019 06:32:42 -0300
-Subject: [PATCH] media: videodev2.h: add V4L2_DEC_CMD_FLUSH
-
-Add this new V4L2_DEC_CMD_FLUSH decoder command and document it.
-
-Reviewed-by: Boris Brezillon <boris.brezillon@collabora.com>
-Reviewed-by: Alexandre Courbot <acourbot@chromium.org>
-Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Jernej Skrabec <jernej.skrabec@siol.net>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- Documentation/media/uapi/v4l/vidioc-decoder-cmd.rst | 10 +++++++++-
- Documentation/media/videodev2.h.rst.exceptions      |  1 +
- include/uapi/linux/videodev2.h                      |  1 +
- 3 files changed, 11 insertions(+), 1 deletion(-)
-
---- a/Documentation/media/uapi/v4l/vidioc-decoder-cmd.rst
-+++ b/Documentation/media/uapi/v4l/vidioc-decoder-cmd.rst
-@@ -208,7 +208,15 @@ introduced in Linux 3.3. They are, howev
-       been started yet, the driver will return an ``EPERM`` error code. When
-       the decoder is already running, this command does nothing. No
-       flags are defined for this command.
--
-+    * - ``V4L2_DEC_CMD_FLUSH``
-+      - 4
-+      - Flush any held capture buffers. Only valid for stateless decoders.
-+      This command is typically used when the application reached the
-+      end of the stream and the last output buffer had the
-+      ``V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF`` flag set. This would prevent
-+      dequeueing the capture buffer containing the last decoded frame.
-+      So this command can be used to explicitly flush that final decoded
-+      frame. This command does nothing if there are no held capture buffers.
- Return Value
- ============
---- a/Documentation/media/videodev2.h.rst.exceptions
-+++ b/Documentation/media/videodev2.h.rst.exceptions
-@@ -434,6 +434,7 @@ replace define V4L2_DEC_CMD_START decode
- replace define V4L2_DEC_CMD_STOP decoder-cmds
- replace define V4L2_DEC_CMD_PAUSE decoder-cmds
- replace define V4L2_DEC_CMD_RESUME decoder-cmds
-+replace define V4L2_DEC_CMD_FLUSH decoder-cmds
- replace define V4L2_DEC_CMD_START_MUTE_AUDIO decoder-cmds
- replace define V4L2_DEC_CMD_PAUSE_TO_BLACK decoder-cmds
---- a/include/uapi/linux/videodev2.h
-+++ b/include/uapi/linux/videodev2.h
-@@ -1988,6 +1988,7 @@ struct v4l2_encoder_cmd {
- #define V4L2_DEC_CMD_STOP        (1)
- #define V4L2_DEC_CMD_PAUSE       (2)
- #define V4L2_DEC_CMD_RESUME      (3)
-+#define V4L2_DEC_CMD_FLUSH       (4)
- /* Flags for V4L2_DEC_CMD_START */
- #define V4L2_DEC_CMD_START_MUTE_AUDIO (1 << 0)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0495-media-uapi-hevc-Add-scaling-matrix-control.patch b/target/linux/bcm27xx/patches-5.4/950-0495-media-uapi-hevc-Add-scaling-matrix-control.patch
new file mode 100644 (file)
index 0000000..c2cf27a
--- /dev/null
@@ -0,0 +1,150 @@
+From 70b5a28786215c996503210abd3e44c200771640 Mon Sep 17 00:00:00 2001
+From: Jernej Skrabec <jernej.skrabec@siol.net>
+Date: Fri, 13 Dec 2019 17:04:25 +0100
+Subject: [PATCH] media: uapi: hevc: Add scaling matrix control
+
+Taken from https://patchwork.linuxtv.org/patch/60728/
+Changes (mainly documentation) have been requested.
+
+HEVC has a scaling matrix concept. Add support for it.
+
+Signed-off-by: Jernej Skrabec <jernej.skrabec@siol.net>
+---
+ .../media/uapi/v4l/ext-ctrls-codec.rst        | 41 +++++++++++++++++++
+ .../media/uapi/v4l/pixfmt-compressed.rst      |  1 +
+ drivers/media/v4l2-core/v4l2-ctrls.c          | 10 +++++
+ include/media/hevc-ctrls.h                    | 11 +++++
+ 4 files changed, 63 insertions(+)
+
+--- a/Documentation/media/uapi/v4l/ext-ctrls-codec.rst
++++ b/Documentation/media/uapi/v4l/ext-ctrls-codec.rst
+@@ -4174,6 +4174,47 @@ enum v4l2_mpeg_video_hevc_size_of_length
+       - ``padding[6]``
+       - Applications and drivers must set this to zero.
++``V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX (struct)``
++    Specifies the scaling matrix (as extracted from the bitstream) for
++    the associated HEVC slice data. The bitstream parameters are
++    defined according to :ref:`hevc`, section 7.4.5 "Scaling list
++    data semantics". For further documentation, refer to the above
++    specification, unless there is an explicit comment stating
++    otherwise.
++
++    .. note::
++
++       This compound control is not yet part of the public kernel API and
++       it is expected to change.
++
++.. c:type:: v4l2_ctrl_hevc_scaling_matrix
++
++.. cssclass:: longtable
++
++.. flat-table:: struct v4l2_ctrl_hevc_scaling_matrix
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       1 1 2
++
++    * - __u8
++      - ``scaling_list_4x4[6][16]``
++      -
++    * - __u8
++      - ``scaling_list_8x8[6][64]``
++      -
++    * - __u8
++      - ``scaling_list_16x16[6][64]``
++      -
++    * - __u8
++      - ``scaling_list_32x32[2][64]``
++      -
++    * - __u8
++      - ``scaling_list_dc_coef_16x16[6]``
++      -
++    * - __u8
++      - ``scaling_list_dc_coef_32x32[2]``
++      -
++
+ ``V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE (enum)``
+     Specifies the decoding mode to use. Currently exposes slice-based and
+     frame-based decoding but new modes might be added later on.
+--- a/Documentation/media/uapi/v4l/pixfmt-compressed.rst
++++ b/Documentation/media/uapi/v4l/pixfmt-compressed.rst
+@@ -203,6 +203,7 @@ Compressed Formats
+         * ``V4L2_CID_MPEG_VIDEO_HEVC_SPS``
+         * ``V4L2_CID_MPEG_VIDEO_HEVC_PPS``
+         * ``V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS``
++        * ``V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX``
+       See the :ref:`associated Codec Control IDs <v4l2-mpeg-hevc>`.
+       Buffers associated with this pixel format must contain the appropriate
+       number of macroblocks to decode a full corresponding frame.
+--- a/drivers/media/v4l2-core/v4l2-ctrls.c
++++ b/drivers/media/v4l2-core/v4l2-ctrls.c
+@@ -974,6 +974,7 @@ const char *v4l2_ctrl_get_name(u32 id)
+       case V4L2_CID_MPEG_VIDEO_HEVC_SPS:                      return "HEVC Sequence Parameter Set";
+       case V4L2_CID_MPEG_VIDEO_HEVC_PPS:                      return "HEVC Picture Parameter Set";
+       case V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS:             return "HEVC Slice Parameters";
++      case V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX:           return "HEVC Scaling Matrix";
+       case V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE:              return "HEVC Decode Mode";
+       case V4L2_CID_MPEG_VIDEO_HEVC_START_CODE:               return "HEVC Start Code";
+@@ -1406,6 +1407,9 @@ void v4l2_ctrl_fill(u32 id, const char *
+       case V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS:
+               *type = V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS;
+               break;
++      case V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX:
++              *type = V4L2_CTRL_TYPE_HEVC_SCALING_MATRIX;
++              break;
+       case V4L2_CID_UNIT_CELL_SIZE:
+               *type = V4L2_CTRL_TYPE_AREA;
+               *flags |= V4L2_CTRL_FLAG_READ_ONLY;
+@@ -1852,6 +1856,9 @@ static int std_validate_compound(const s
+               zero_padding(*p_hevc_slice_params);
+               break;
++      case V4L2_CTRL_TYPE_HEVC_SCALING_MATRIX:
++              break;
++
+       case V4L2_CTRL_TYPE_AREA:
+               area = p;
+               if (!area->width || !area->height)
+@@ -2540,6 +2547,9 @@ static struct v4l2_ctrl *v4l2_ctrl_new(s
+       case V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS:
+               elem_size = sizeof(struct v4l2_ctrl_hevc_slice_params);
+               break;
++      case V4L2_CTRL_TYPE_HEVC_SCALING_MATRIX:
++              elem_size = sizeof(struct v4l2_ctrl_hevc_scaling_matrix);
++              break;
+       case V4L2_CTRL_TYPE_AREA:
+               elem_size = sizeof(struct v4l2_area);
+               break;
+--- a/include/media/hevc-ctrls.h
++++ b/include/media/hevc-ctrls.h
+@@ -19,6 +19,7 @@
+ #define V4L2_CID_MPEG_VIDEO_HEVC_SPS          (V4L2_CID_MPEG_BASE + 1008)
+ #define V4L2_CID_MPEG_VIDEO_HEVC_PPS          (V4L2_CID_MPEG_BASE + 1009)
+ #define V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS (V4L2_CID_MPEG_BASE + 1010)
++#define V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX       (V4L2_CID_MPEG_BASE + 1011)
+ #define V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE  (V4L2_CID_MPEG_BASE + 1015)
+ #define V4L2_CID_MPEG_VIDEO_HEVC_START_CODE   (V4L2_CID_MPEG_BASE + 1016)
+@@ -26,6 +27,7 @@
+ #define V4L2_CTRL_TYPE_HEVC_SPS 0x0120
+ #define V4L2_CTRL_TYPE_HEVC_PPS 0x0121
+ #define V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS 0x0122
++#define V4L2_CTRL_TYPE_HEVC_SCALING_MATRIX 0x0123
+ enum v4l2_mpeg_video_hevc_decode_mode {
+       V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_SLICE_BASED,
+@@ -209,4 +211,13 @@ struct v4l2_ctrl_hevc_slice_params {
+       __u64   flags;
+ };
++struct v4l2_ctrl_hevc_scaling_matrix {
++      __u8    scaling_list_4x4[6][16];
++      __u8    scaling_list_8x8[6][64];
++      __u8    scaling_list_16x16[6][64];
++      __u8    scaling_list_32x32[2][64];
++      __u8    scaling_list_dc_coef_16x16[6];
++      __u8    scaling_list_dc_coef_32x32[2];
++};
++
+ #endif
diff --git a/target/linux/bcm27xx/patches-5.4/950-0495-media-v4l2-mem2mem-add-stateless_-try_-decoder_cmd-i.patch b/target/linux/bcm27xx/patches-5.4/950-0495-media-v4l2-mem2mem-add-stateless_-try_-decoder_cmd-i.patch
deleted file mode 100644 (file)
index 0b74dbf..0000000
+++ /dev/null
@@ -1,96 +0,0 @@
-From 1decb017f990ea61ab421e316bf1af3a5199b73a Mon Sep 17 00:00:00 2001
-From: Jernej Skrabec <jernej.skrabec@siol.net>
-Date: Fri, 11 Oct 2019 06:32:43 -0300
-Subject: [PATCH] media: v4l2-mem2mem: add stateless_(try_)decoder_cmd
- ioctl helpers
-
-Commit bef41d93aac64b54c3008ca6170bec54f85784f5 upstream.
-
-These helpers are used by stateless codecs when they support multiple
-slices per frame and hold capture buffer flag is set. It's expected that
-all such codecs will use this code.
-
-Signed-off-by: Jernej Skrabec <jernej.skrabec@siol.net>
-Co-developed-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- drivers/media/v4l2-core/v4l2-mem2mem.c | 53 ++++++++++++++++++++++++++
- include/media/v4l2-mem2mem.h           |  4 ++
- 2 files changed, 57 insertions(+)
-
---- a/drivers/media/v4l2-core/v4l2-mem2mem.c
-+++ b/drivers/media/v4l2-core/v4l2-mem2mem.c
-@@ -1218,6 +1218,59 @@ int v4l2_m2m_ioctl_try_decoder_cmd(struc
- }
- EXPORT_SYMBOL_GPL(v4l2_m2m_ioctl_try_decoder_cmd);
-+int v4l2_m2m_ioctl_stateless_try_decoder_cmd(struct file *file, void *fh,
-+                                           struct v4l2_decoder_cmd *dc)
-+{
-+      if (dc->cmd != V4L2_DEC_CMD_FLUSH)
-+              return -EINVAL;
-+
-+      dc->flags = 0;
-+
-+      return 0;
-+}
-+EXPORT_SYMBOL_GPL(v4l2_m2m_ioctl_stateless_try_decoder_cmd);
-+
-+int v4l2_m2m_ioctl_stateless_decoder_cmd(struct file *file, void *priv,
-+                                       struct v4l2_decoder_cmd *dc)
-+{
-+      struct v4l2_fh *fh = file->private_data;
-+      struct vb2_v4l2_buffer *out_vb, *cap_vb;
-+      struct v4l2_m2m_dev *m2m_dev = fh->m2m_ctx->m2m_dev;
-+      unsigned long flags;
-+      int ret;
-+
-+      ret = v4l2_m2m_ioctl_stateless_try_decoder_cmd(file, priv, dc);
-+      if (ret < 0)
-+              return ret;
-+
-+      spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
-+      out_vb = v4l2_m2m_last_src_buf(fh->m2m_ctx);
-+      cap_vb = v4l2_m2m_last_dst_buf(fh->m2m_ctx);
-+
-+      /*
-+       * If there is an out buffer pending, then clear any HOLD flag.
-+       *
-+       * By clearing this flag we ensure that when this output
-+       * buffer is processed any held capture buffer will be released.
-+       */
-+      if (out_vb) {
-+              out_vb->flags &= ~V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF;
-+      } else if (cap_vb && cap_vb->is_held) {
-+              /*
-+               * If there were no output buffers, but there is a
-+               * capture buffer that is held, then release that
-+               * buffer.
-+               */
-+              cap_vb->is_held = false;
-+              v4l2_m2m_dst_buf_remove(fh->m2m_ctx);
-+              v4l2_m2m_buf_done(cap_vb, VB2_BUF_STATE_DONE);
-+      }
-+      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
-+
-+      return 0;
-+}
-+EXPORT_SYMBOL_GPL(v4l2_m2m_ioctl_stateless_decoder_cmd);
-+
- /*
-  * v4l2_file_operations helpers. It is assumed here same lock is used
-  * for the output and the capture buffer queue.
---- a/include/media/v4l2-mem2mem.h
-+++ b/include/media/v4l2-mem2mem.h
-@@ -701,6 +701,10 @@ int v4l2_m2m_ioctl_try_encoder_cmd(struc
-                                  struct v4l2_encoder_cmd *ec);
- int v4l2_m2m_ioctl_try_decoder_cmd(struct file *file, void *fh,
-                                  struct v4l2_decoder_cmd *dc);
-+int v4l2_m2m_ioctl_stateless_try_decoder_cmd(struct file *file, void *fh,
-+                                           struct v4l2_decoder_cmd *dc);
-+int v4l2_m2m_ioctl_stateless_decoder_cmd(struct file *file, void *priv,
-+                                       struct v4l2_decoder_cmd *dc);
- int v4l2_m2m_fop_mmap(struct file *file, struct vm_area_struct *vma);
- __poll_t v4l2_m2m_fop_poll(struct file *file, poll_table *wait);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0496-media-uapi-hevc-Add-segment-address-field.patch b/target/linux/bcm27xx/patches-5.4/950-0496-media-uapi-hevc-Add-segment-address-field.patch
new file mode 100644 (file)
index 0000000..91f195b
--- /dev/null
@@ -0,0 +1,61 @@
+From 88eb3b015b6f61252fd214d39fc7fc0379ee0442 Mon Sep 17 00:00:00 2001
+From: Jernej Skrabec <jernej.skrabec@siol.net>
+Date: Fri, 13 Dec 2019 17:04:27 +0100
+Subject: [PATCH] media: uapi: hevc: Add segment address field
+
+From https://patchwork.linuxtv.org/patch/60725/
+Changes requested, but mainly docs.
+
+If HEVC frame consists of multiple slices, segment address has to be
+known in order to properly decode it.
+
+Add segment address field to slice parameters.
+
+Signed-off-by: Jernej Skrabec <jernej.skrabec@siol.net>
+---
+ Documentation/media/uapi/v4l/ext-ctrls-codec.rst | 5 ++++-
+ include/media/hevc-ctrls.h                       | 5 ++++-
+ 2 files changed, 8 insertions(+), 2 deletions(-)
+
+--- a/Documentation/media/uapi/v4l/ext-ctrls-codec.rst
++++ b/Documentation/media/uapi/v4l/ext-ctrls-codec.rst
+@@ -3969,6 +3969,9 @@ enum v4l2_mpeg_video_hevc_size_of_length
+     * - __u32
+       - ``data_bit_offset``
+       - Offset (in bits) to the video data in the current slice data.
++    * - __u32
++      - ``slice_segment_addr``
++      -
+     * - __u8
+       - ``nal_unit_type``
+       -
+@@ -4046,7 +4049,7 @@ enum v4l2_mpeg_video_hevc_size_of_length
+       - ``num_rps_poc_lt_curr``
+       - The number of reference pictures in the long-term set.
+     * - __u8
+-      - ``padding[7]``
++      - ``padding[5]``
+       - Applications and drivers must set this to zero.
+     * - struct :c:type:`v4l2_hevc_dpb_entry`
+       - ``dpb[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
+--- a/include/media/hevc-ctrls.h
++++ b/include/media/hevc-ctrls.h
+@@ -167,6 +167,9 @@ struct v4l2_ctrl_hevc_slice_params {
+       __u32   bit_size;
+       __u32   data_bit_offset;
++      /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
++      __u32   slice_segment_addr;
++
+       /* ISO/IEC 23008-2, ITU-T Rec. H.265: NAL unit header */
+       __u8    nal_unit_type;
+       __u8    nuh_temporal_id_plus1;
+@@ -200,7 +203,7 @@ struct v4l2_ctrl_hevc_slice_params {
+       __u8    num_rps_poc_st_curr_after;
+       __u8    num_rps_poc_lt_curr;
+-      __u8    padding;
++      __u8    padding[5];
+       /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
+       struct v4l2_hevc_dpb_entry dpb[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
diff --git a/target/linux/bcm27xx/patches-5.4/950-0496-media-v4l2-mem2mem-add-new_frame-detection.patch b/target/linux/bcm27xx/patches-5.4/950-0496-media-v4l2-mem2mem-add-new_frame-detection.patch
deleted file mode 100644 (file)
index 3c77792..0000000
+++ /dev/null
@@ -1,69 +0,0 @@
-From 1d55acac432983ad8301f5430c42ac549b4b4c6f Mon Sep 17 00:00:00 2001
-From: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Date: Fri, 11 Oct 2019 06:32:44 -0300
-Subject: [PATCH] media: v4l2-mem2mem: add new_frame detection
-
-Commit f07602ac388723233e9e3c5a05b54baf34e0a3e9 upstream.
-
-Drivers that support VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF
-typically want to know if a new frame is started (i.e. the first
-slice is about to be processed). Add a new_frame bool to v4l2_m2m_ctx
-and set it accordingly.
-
-Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- drivers/media/v4l2-core/v4l2-mem2mem.c | 11 +++++++++--
- include/media/v4l2-mem2mem.h           |  7 +++++++
- 2 files changed, 16 insertions(+), 2 deletions(-)
-
---- a/drivers/media/v4l2-core/v4l2-mem2mem.c
-+++ b/drivers/media/v4l2-core/v4l2-mem2mem.c
-@@ -319,8 +319,10 @@ static void __v4l2_m2m_try_queue(struct
-               goto job_unlock;
-       }
--      if (src && dst &&
--          dst->is_held && dst->vb2_buf.copied_timestamp &&
-+      m2m_ctx->new_frame = true;
-+
-+      if (src && dst && dst->is_held &&
-+          dst->vb2_buf.copied_timestamp &&
-           dst->vb2_buf.timestamp != src->vb2_buf.timestamp) {
-               dst->is_held = false;
-               v4l2_m2m_dst_buf_remove(m2m_ctx);
-@@ -333,6 +335,11 @@ static void __v4l2_m2m_try_queue(struct
-               }
-       }
-+      if (src && dst && (m2m_ctx->cap_q_ctx.q.subsystem_flags &
-+                         VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF))
-+              m2m_ctx->new_frame = !dst->vb2_buf.copied_timestamp ||
-+                      dst->vb2_buf.timestamp != src->vb2_buf.timestamp;
-+
-       if (m2m_dev->m2m_ops->job_ready
-               && (!m2m_dev->m2m_ops->job_ready(m2m_ctx->priv))) {
-               dprintk("Driver not ready\n");
---- a/include/media/v4l2-mem2mem.h
-+++ b/include/media/v4l2-mem2mem.h
-@@ -75,6 +75,11 @@ struct v4l2_m2m_queue_ctx {
-  * struct v4l2_m2m_ctx - Memory to memory context structure
-  *
-  * @q_lock: struct &mutex lock
-+ * @new_frame: valid in the device_run callback: if true, then this
-+ *            starts a new frame; if false, then this is a new slice
-+ *            for an existing frame. This is always true unless
-+ *            V4L2_BUF_CAP_SUPPORTS_M2M_HOLD_CAPTURE_BUF is set, which
-+ *            indicates slicing support.
-  * @m2m_dev: opaque pointer to the internal data to handle M2M context
-  * @cap_q_ctx: Capture (output to memory) queue context
-  * @out_q_ctx: Output (input from memory) queue context
-@@ -91,6 +96,8 @@ struct v4l2_m2m_ctx {
-       /* optional cap/out vb2 queues lock */
-       struct mutex                    *q_lock;
-+      bool                            new_frame;
-+
-       /* internal use only */
-       struct v4l2_m2m_dev             *m2m_dev;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0497-media-Documentation-media-Document-V4L2_CTRL_TYPE_AR.patch b/target/linux/bcm27xx/patches-5.4/950-0497-media-Documentation-media-Document-V4L2_CTRL_TYPE_AR.patch
deleted file mode 100644 (file)
index 1d478fc..0000000
+++ /dev/null
@@ -1,46 +0,0 @@
-From 20076d276d045c03f809bb16f0e1fafcfe63a81f Mon Sep 17 00:00:00 2001
-From: Ricardo Ribalda Delgado <ribalda@kernel.org>
-Date: Mon, 7 Oct 2019 12:06:32 -0300
-Subject: [PATCH] media: Documentation: media: Document
- V4L2_CTRL_TYPE_AREA
-
-Commit 8ae3a0862993c09a8ef0f9abb379553370c517e3 upstream.
-
-A struct v4l2_area containing the width and the height of a rectangular
-area.
-
-Reviewed-by: Jacopo Mondi <jacopo@jmondi.org>
-Reviewed-by: Philipp Zabel <p.zabel@pengutronix.de>
-Signed-off-by: Ricardo Ribalda Delgado <ribalda@kernel.org>
-Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- Documentation/media/uapi/v4l/vidioc-queryctrl.rst | 6 ++++++
- Documentation/media/videodev2.h.rst.exceptions    | 1 +
- 2 files changed, 7 insertions(+)
-
---- a/Documentation/media/uapi/v4l/vidioc-queryctrl.rst
-+++ b/Documentation/media/uapi/v4l/vidioc-queryctrl.rst
-@@ -443,6 +443,12 @@ See also the examples in :ref:`control`.
-       - n/a
-       - A struct :c:type:`v4l2_ctrl_mpeg2_quantization`, containing MPEG-2
-       quantization matrices for stateless video decoders.
-+    * - ``V4L2_CTRL_TYPE_AREA``
-+      - n/a
-+      - n/a
-+      - n/a
-+      - A struct :c:type:`v4l2_area`, containing the width and the height
-+        of a rectangular area. Units depend on the use case.
-     * - ``V4L2_CTRL_TYPE_H264_SPS``
-       - n/a
-       - n/a
---- a/Documentation/media/videodev2.h.rst.exceptions
-+++ b/Documentation/media/videodev2.h.rst.exceptions
-@@ -141,6 +141,7 @@ replace symbol V4L2_CTRL_TYPE_H264_PPS :
- replace symbol V4L2_CTRL_TYPE_H264_SCALING_MATRIX :c:type:`v4l2_ctrl_type`
- replace symbol V4L2_CTRL_TYPE_H264_SLICE_PARAMS :c:type:`v4l2_ctrl_type`
- replace symbol V4L2_CTRL_TYPE_H264_DECODE_PARAMS :c:type:`v4l2_ctrl_type`
-+replace symbol V4L2_CTRL_TYPE_AREA :c:type:`v4l2_ctrl_type`
- # V4L2 capability defines
- replace define V4L2_CAP_VIDEO_CAPTURE device-capabilities
diff --git a/target/linux/bcm27xx/patches-5.4/950-0497-media-hevc_ctrls-Add-slice-param-dependent-slice-seg.patch b/target/linux/bcm27xx/patches-5.4/950-0497-media-hevc_ctrls-Add-slice-param-dependent-slice-seg.patch
new file mode 100644 (file)
index 0000000..1353480
--- /dev/null
@@ -0,0 +1,23 @@
+From e8355c6b60adb6704c9fb863f380f2d7b457d82c Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Mon, 23 Mar 2020 18:34:01 +0000
+Subject: [PATCH] media: hevc_ctrls: Add slice param dependent slice
+ segment
+
+Adds V4L2_HEVC_SLICE_PARAMS_FLAG_DEPENDENT_SLICE_SEGMENT define.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ include/media/hevc-ctrls.h | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/include/media/hevc-ctrls.h
++++ b/include/media/hevc-ctrls.h
+@@ -162,6 +162,7 @@ struct v4l2_hevc_pred_weight_table {
+ #define V4L2_HEVC_SLICE_PARAMS_FLAG_USE_INTEGER_MV            (1ULL << 6)
+ #define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_DEBLOCKING_FILTER_DISABLED (1ULL << 7)
+ #define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_LOOP_FILTER_ACROSS_SLICES_ENABLED (1ULL << 8)
++#define V4L2_HEVC_SLICE_PARAMS_FLAG_DEPENDENT_SLICE_SEGMENT   (1ULL << 9)
+ struct v4l2_ctrl_hevc_slice_params {
+       __u32   bit_size;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0498-media-uapi-Add-hevc-ctrls-for-WPP-decoding.patch b/target/linux/bcm27xx/patches-5.4/950-0498-media-uapi-Add-hevc-ctrls-for-WPP-decoding.patch
new file mode 100644 (file)
index 0000000..234cb82
--- /dev/null
@@ -0,0 +1,40 @@
+From 6a42d17668699234bfa2d459e29cc2732e59759b Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Mon, 23 Mar 2020 19:00:17 +0000
+Subject: [PATCH] media: uapi: Add hevc ctrls for WPP decoding
+
+WPP can allow greater parallelism within the decode, but needs
+offset information to be passed in.
+
+Adds num_entry_point_offsets and entry_point_offset_minus1 to
+v4l2_ctrl_hevc_slice_params.
+
+This is based on Jernej Skrabec's patches for cedrus which
+implement the same feature.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ include/media/hevc-ctrls.h | 5 ++++-
+ 1 file changed, 4 insertions(+), 1 deletion(-)
+
+--- a/include/media/hevc-ctrls.h
++++ b/include/media/hevc-ctrls.h
+@@ -170,6 +170,7 @@ struct v4l2_ctrl_hevc_slice_params {
+       /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
+       __u32   slice_segment_addr;
++      __u32   num_entry_point_offsets;
+       /* ISO/IEC 23008-2, ITU-T Rec. H.265: NAL unit header */
+       __u8    nal_unit_type;
+@@ -204,7 +205,9 @@ struct v4l2_ctrl_hevc_slice_params {
+       __u8    num_rps_poc_st_curr_after;
+       __u8    num_rps_poc_lt_curr;
+-      __u8    padding[5];
++      __u8    padding;
++
++      __u32   entry_point_offset_minus1[256];
+       /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
+       struct v4l2_hevc_dpb_entry dpb[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
diff --git a/target/linux/bcm27xx/patches-5.4/950-0498-media-v4l-Add-definitions-for-HEVC-stateless-decodin.patch b/target/linux/bcm27xx/patches-5.4/950-0498-media-v4l-Add-definitions-for-HEVC-stateless-decodin.patch
deleted file mode 100644 (file)
index 0fe0f8c..0000000
+++ /dev/null
@@ -1,1093 +0,0 @@
-From 5f6c08984a6578201fe3a2394ccb0d3a30fdf027 Mon Sep 17 00:00:00 2001
-From: Paul Kocialkowski <paul.kocialkowski@bootlin.com>
-Date: Tue, 22 Oct 2019 12:26:52 -0300
-Subject: [PATCH] media: v4l: Add definitions for HEVC stateless
- decoding
-
-This introduces the required definitions for HEVC decoding support with
-stateless VPUs. The controls associated to the HEVC slice format provide
-the required meta-data for decoding slices extracted from the bitstream.
-
-They are not exported to the public V4L2 API since reworking this API
-will likely be needed for covering various use-cases and new hardware.
-
-Multi-slice decoding is exposed as a valid decoding mode to match current
-H.264 support but it is not yet implemented.
-
-The interface comes with the following limitations:
-* No custom quantization matrices (scaling lists);
-* Support for a single temporal layer only;
-* No slice entry point offsets support;
-* No conformance window support;
-* No VUI parameters support;
-* No support for SPS extensions: range, multilayer, 3d, scc, 4 bits;
-* No support for PPS extensions: range, multilayer, 3d, scc, 4 bits.
-
-Signed-off-by: Paul Kocialkowski <paul.kocialkowski@bootlin.com>
-[hverkuil-cisco@xs4all.nl: use 1ULL in flags defines in hevc-ctrls.h]
-Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- Documentation/media/uapi/v4l/biblio.rst       |   9 +
- .../media/uapi/v4l/ext-ctrls-codec.rst        | 553 +++++++++++++++++-
- .../media/uapi/v4l/vidioc-queryctrl.rst       |  18 +
- .../media/videodev2.h.rst.exceptions          |   3 +
- drivers/media/v4l2-core/v4l2-ctrls.c          | 109 +++-
- drivers/media/v4l2-core/v4l2-ioctl.c          |   1 +
- include/media/hevc-ctrls.h                    | 212 +++++++
- include/media/v4l2-ctrls.h                    |   7 +
- 8 files changed, 908 insertions(+), 4 deletions(-)
- create mode 100644 include/media/hevc-ctrls.h
-
---- a/Documentation/media/uapi/v4l/biblio.rst
-+++ b/Documentation/media/uapi/v4l/biblio.rst
-@@ -131,6 +131,15 @@ ITU-T Rec. H.264 Specification (04/2017
- :author:    International Telecommunication Union (http://www.itu.ch)
-+.. _hevc:
-+
-+ITU H.265/HEVC
-+==============
-+
-+:title:     ITU-T Rec. H.265 | ISO/IEC 23008-2 "High Efficiency Video Coding"
-+
-+:author:    International Telecommunication Union (http://www.itu.ch), International Organisation for Standardisation (http://www.iso.ch)
-+
- .. _jfif:
- JFIF
---- a/Documentation/media/uapi/v4l/ext-ctrls-codec.rst
-+++ b/Documentation/media/uapi/v4l/ext-ctrls-codec.rst
-@@ -1983,9 +1983,9 @@ enum v4l2_mpeg_video_h264_hierarchical_c
-       - ``reference_ts``
-       - Timestamp of the V4L2 capture buffer to use as reference, used
-         with B-coded and P-coded frames. The timestamp refers to the
--      ``timestamp`` field in struct :c:type:`v4l2_buffer`. Use the
--      :c:func:`v4l2_timeval_to_ns()` function to convert the struct
--      :c:type:`timeval` in struct :c:type:`v4l2_buffer` to a __u64.
-+        ``timestamp`` field in struct :c:type:`v4l2_buffer`. Use the
-+        :c:func:`v4l2_timeval_to_ns()` function to convert the struct
-+        :c:type:`timeval` in struct :c:type:`v4l2_buffer` to a __u64.
-     * - __u16
-       - ``frame_num``
-       -
-@@ -3693,3 +3693,550 @@ enum v4l2_mpeg_video_hevc_size_of_length
-     Indicates whether to generate SPS and PPS at every IDR. Setting it to 0
-     disables generating SPS and PPS at every IDR. Setting it to one enables
-     generating SPS and PPS at every IDR.
-+
-+.. _v4l2-mpeg-hevc:
-+
-+``V4L2_CID_MPEG_VIDEO_HEVC_SPS (struct)``
-+    Specifies the Sequence Parameter Set fields (as extracted from the
-+    bitstream) for the associated HEVC slice data.
-+    These bitstream parameters are defined according to :ref:`hevc`.
-+    They are described in section 7.4.3.2 "Sequence parameter set RBSP
-+    semantics" of the specification.
-+
-+.. c:type:: v4l2_ctrl_hevc_sps
-+
-+.. cssclass:: longtable
-+
-+.. flat-table:: struct v4l2_ctrl_hevc_sps
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths:       1 1 2
-+
-+    * - __u16
-+      - ``pic_width_in_luma_samples``
-+      -
-+    * - __u16
-+      - ``pic_height_in_luma_samples``
-+      -
-+    * - __u8
-+      - ``bit_depth_luma_minus8``
-+      -
-+    * - __u8
-+      - ``bit_depth_chroma_minus8``
-+      -
-+    * - __u8
-+      - ``log2_max_pic_order_cnt_lsb_minus4``
-+      -
-+    * - __u8
-+      - ``sps_max_dec_pic_buffering_minus1``
-+      -
-+    * - __u8
-+      - ``sps_max_num_reorder_pics``
-+      -
-+    * - __u8
-+      - ``sps_max_latency_increase_plus1``
-+      -
-+    * - __u8
-+      - ``log2_min_luma_coding_block_size_minus3``
-+      -
-+    * - __u8
-+      - ``log2_diff_max_min_luma_coding_block_size``
-+      -
-+    * - __u8
-+      - ``log2_min_luma_transform_block_size_minus2``
-+      -
-+    * - __u8
-+      - ``log2_diff_max_min_luma_transform_block_size``
-+      -
-+    * - __u8
-+      - ``max_transform_hierarchy_depth_inter``
-+      -
-+    * - __u8
-+      - ``max_transform_hierarchy_depth_intra``
-+      -
-+    * - __u8
-+      - ``pcm_sample_bit_depth_luma_minus1``
-+      -
-+    * - __u8
-+      - ``pcm_sample_bit_depth_chroma_minus1``
-+      -
-+    * - __u8
-+      - ``log2_min_pcm_luma_coding_block_size_minus3``
-+      -
-+    * - __u8
-+      - ``log2_diff_max_min_pcm_luma_coding_block_size``
-+      -
-+    * - __u8
-+      - ``num_short_term_ref_pic_sets``
-+      -
-+    * - __u8
-+      - ``num_long_term_ref_pics_sps``
-+      -
-+    * - __u8
-+      - ``chroma_format_idc``
-+      -
-+    * - __u64
-+      - ``flags``
-+      - See :ref:`Sequence Parameter Set Flags <hevc_sps_flags>`
-+
-+.. _hevc_sps_flags:
-+
-+``Sequence Parameter Set Flags``
-+
-+.. cssclass:: longtable
-+
-+.. flat-table::
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths:       1 1 2
-+
-+    * - ``V4L2_HEVC_SPS_FLAG_SEPARATE_COLOUR_PLANE``
-+      - 0x00000001
-+      -
-+    * - ``V4L2_HEVC_SPS_FLAG_SCALING_LIST_ENABLED``
-+      - 0x00000002
-+      -
-+    * - ``V4L2_HEVC_SPS_FLAG_AMP_ENABLED``
-+      - 0x00000004
-+      -
-+    * - ``V4L2_HEVC_SPS_FLAG_SAMPLE_ADAPTIVE_OFFSET``
-+      - 0x00000008
-+      -
-+    * - ``V4L2_HEVC_SPS_FLAG_PCM_ENABLED``
-+      - 0x00000010
-+      -
-+    * - ``V4L2_HEVC_SPS_FLAG_PCM_LOOP_FILTER_DISABLED``
-+      - 0x00000020
-+      -
-+    * - ``V4L2_HEVC_SPS_FLAG_LONG_TERM_REF_PICS_PRESENT``
-+      - 0x00000040
-+      -
-+    * - ``V4L2_HEVC_SPS_FLAG_SPS_TEMPORAL_MVP_ENABLED``
-+      - 0x00000080
-+      -
-+    * - ``V4L2_HEVC_SPS_FLAG_STRONG_INTRA_SMOOTHING_ENABLED``
-+      - 0x00000100
-+      -
-+
-+``V4L2_CID_MPEG_VIDEO_HEVC_PPS (struct)``
-+    Specifies the Picture Parameter Set fields (as extracted from the
-+    bitstream) for the associated HEVC slice data.
-+    These bitstream parameters are defined according to :ref:`hevc`.
-+    They are described in section 7.4.3.3 "Picture parameter set RBSP
-+    semantics" of the specification.
-+
-+.. c:type:: v4l2_ctrl_hevc_pps
-+
-+.. cssclass:: longtable
-+
-+.. flat-table:: struct v4l2_ctrl_hevc_pps
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths:       1 1 2
-+
-+    * - __u8
-+      - ``num_extra_slice_header_bits``
-+      -
-+    * - __s8
-+      - ``init_qp_minus26``
-+      -
-+    * - __u8
-+      - ``diff_cu_qp_delta_depth``
-+      -
-+    * - __s8
-+      - ``pps_cb_qp_offset``
-+      -
-+    * - __s8
-+      - ``pps_cr_qp_offset``
-+      -
-+    * - __u8
-+      - ``num_tile_columns_minus1``
-+      -
-+    * - __u8
-+      - ``num_tile_rows_minus1``
-+      -
-+    * - __u8
-+      - ``column_width_minus1[20]``
-+      -
-+    * - __u8
-+      - ``row_height_minus1[22]``
-+      -
-+    * - __s8
-+      - ``pps_beta_offset_div2``
-+      -
-+    * - __s8
-+      - ``pps_tc_offset_div2``
-+      -
-+    * - __u8
-+      - ``log2_parallel_merge_level_minus2``
-+      -
-+    * - __u8
-+      - ``padding[4]``
-+      - Applications and drivers must set this to zero.
-+    * - __u64
-+      - ``flags``
-+      - See :ref:`Picture Parameter Set Flags <hevc_pps_flags>`
-+
-+.. _hevc_pps_flags:
-+
-+``Picture Parameter Set Flags``
-+
-+.. cssclass:: longtable
-+
-+.. flat-table::
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths:       1 1 2
-+
-+    * - ``V4L2_HEVC_PPS_FLAG_DEPENDENT_SLICE_SEGMENT``
-+      - 0x00000001
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_OUTPUT_FLAG_PRESENT``
-+      - 0x00000002
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_SIGN_DATA_HIDING_ENABLED``
-+      - 0x00000004
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_CABAC_INIT_PRESENT``
-+      - 0x00000008
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_CONSTRAINED_INTRA_PRED``
-+      - 0x00000010
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_TRANSFORM_SKIP_ENABLED``
-+      - 0x00000020
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_CU_QP_DELTA_ENABLED``
-+      - 0x00000040
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_PPS_SLICE_CHROMA_QP_OFFSETS_PRESENT``
-+      - 0x00000080
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_WEIGHTED_PRED``
-+      - 0x00000100
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_WEIGHTED_BIPRED``
-+      - 0x00000200
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_TRANSQUANT_BYPASS_ENABLED``
-+      - 0x00000400
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_TILES_ENABLED``
-+      - 0x00000800
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_ENTROPY_CODING_SYNC_ENABLED``
-+      - 0x00001000
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_LOOP_FILTER_ACROSS_TILES_ENABLED``
-+      - 0x00002000
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_PPS_LOOP_FILTER_ACROSS_SLICES_ENABLED``
-+      - 0x00004000
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_DEBLOCKING_FILTER_OVERRIDE_ENABLED``
-+      - 0x00008000
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_PPS_DISABLE_DEBLOCKING_FILTER``
-+      - 0x00010000
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_LISTS_MODIFICATION_PRESENT``
-+      - 0x00020000
-+      -
-+    * - ``V4L2_HEVC_PPS_FLAG_SLICE_SEGMENT_HEADER_EXTENSION_PRESENT``
-+      - 0x00040000
-+      -
-+
-+``V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS (struct)``
-+    Specifies various slice-specific parameters, especially from the NAL unit
-+    header, general slice segment header and weighted prediction parameter
-+    parts of the bitstream.
-+    These bitstream parameters are defined according to :ref:`hevc`.
-+    They are described in section 7.4.7 "General slice segment header
-+    semantics" of the specification.
-+
-+.. c:type:: v4l2_ctrl_hevc_slice_params
-+
-+.. cssclass:: longtable
-+
-+.. flat-table:: struct v4l2_ctrl_hevc_slice_params
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths:       1 1 2
-+
-+    * - __u32
-+      - ``bit_size``
-+      - Size (in bits) of the current slice data.
-+    * - __u32
-+      - ``data_bit_offset``
-+      - Offset (in bits) to the video data in the current slice data.
-+    * - __u8
-+      - ``nal_unit_type``
-+      -
-+    * - __u8
-+      - ``nuh_temporal_id_plus1``
-+      -
-+    * - __u8
-+      - ``slice_type``
-+      -
-+      (V4L2_HEVC_SLICE_TYPE_I, V4L2_HEVC_SLICE_TYPE_P or
-+      V4L2_HEVC_SLICE_TYPE_B).
-+    * - __u8
-+      - ``colour_plane_id``
-+      -
-+    * - __u16
-+      - ``slice_pic_order_cnt``
-+      -
-+    * - __u8
-+      - ``num_ref_idx_l0_active_minus1``
-+      -
-+    * - __u8
-+      - ``num_ref_idx_l1_active_minus1``
-+      -
-+    * - __u8
-+      - ``collocated_ref_idx``
-+      -
-+    * - __u8
-+      - ``five_minus_max_num_merge_cand``
-+      -
-+    * - __s8
-+      - ``slice_qp_delta``
-+      -
-+    * - __s8
-+      - ``slice_cb_qp_offset``
-+      -
-+    * - __s8
-+      - ``slice_cr_qp_offset``
-+      -
-+    * - __s8
-+      - ``slice_act_y_qp_offset``
-+      -
-+    * - __s8
-+      - ``slice_act_cb_qp_offset``
-+      -
-+    * - __s8
-+      - ``slice_act_cr_qp_offset``
-+      -
-+    * - __s8
-+      - ``slice_beta_offset_div2``
-+      -
-+    * - __s8
-+      - ``slice_tc_offset_div2``
-+      -
-+    * - __u8
-+      - ``pic_struct``
-+      -
-+    * - __u8
-+      - ``num_active_dpb_entries``
-+      - The number of entries in ``dpb``.
-+    * - __u8
-+      - ``ref_idx_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
-+      - The list of L0 reference elements as indices in the DPB.
-+    * - __u8
-+      - ``ref_idx_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
-+      - The list of L1 reference elements as indices in the DPB.
-+    * - __u8
-+      - ``num_rps_poc_st_curr_before``
-+      - The number of reference pictures in the short-term set that come before
-+        the current frame.
-+    * - __u8
-+      - ``num_rps_poc_st_curr_after``
-+      - The number of reference pictures in the short-term set that come after
-+        the current frame.
-+    * - __u8
-+      - ``num_rps_poc_lt_curr``
-+      - The number of reference pictures in the long-term set.
-+    * - __u8
-+      - ``padding[7]``
-+      - Applications and drivers must set this to zero.
-+    * - struct :c:type:`v4l2_hevc_dpb_entry`
-+      - ``dpb[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
-+      - The decoded picture buffer, for meta-data about reference frames.
-+    * - struct :c:type:`v4l2_hevc_pred_weight_table`
-+      - ``pred_weight_table``
-+      - The prediction weight coefficients for inter-picture prediction.
-+    * - __u64
-+      - ``flags``
-+      - See :ref:`Slice Parameters Flags <hevc_slice_params_flags>`
-+
-+.. _hevc_slice_params_flags:
-+
-+``Slice Parameters Flags``
-+
-+.. cssclass:: longtable
-+
-+.. flat-table::
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths:       1 1 2
-+
-+    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_SAO_LUMA``
-+      - 0x00000001
-+      -
-+    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_SAO_CHROMA``
-+      - 0x00000002
-+      -
-+    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_TEMPORAL_MVP_ENABLED``
-+      - 0x00000004
-+      -
-+    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_MVD_L1_ZERO``
-+      - 0x00000008
-+      -
-+    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_CABAC_INIT``
-+      - 0x00000010
-+      -
-+    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_COLLOCATED_FROM_L0``
-+      - 0x00000020
-+      -
-+    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_USE_INTEGER_MV``
-+      - 0x00000040
-+      -
-+    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_DEBLOCKING_FILTER_DISABLED``
-+      - 0x00000080
-+      -
-+    * - ``V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_LOOP_FILTER_ACROSS_SLICES_ENABLED``
-+      - 0x00000100
-+      -
-+
-+.. c:type:: v4l2_hevc_dpb_entry
-+
-+.. cssclass:: longtable
-+
-+.. flat-table:: struct v4l2_hevc_dpb_entry
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths:       1 1 2
-+
-+    * - __u64
-+      - ``timestamp``
-+      - Timestamp of the V4L2 capture buffer to use as reference, used
-+        with B-coded and P-coded frames. The timestamp refers to the
-+      ``timestamp`` field in struct :c:type:`v4l2_buffer`. Use the
-+      :c:func:`v4l2_timeval_to_ns()` function to convert the struct
-+      :c:type:`timeval` in struct :c:type:`v4l2_buffer` to a __u64.
-+    * - __u8
-+      - ``rps``
-+      - The reference set for the reference frame
-+        (V4L2_HEVC_DPB_ENTRY_RPS_ST_CURR_BEFORE,
-+        V4L2_HEVC_DPB_ENTRY_RPS_ST_CURR_AFTER or
-+        V4L2_HEVC_DPB_ENTRY_RPS_LT_CURR)
-+    * - __u8
-+      - ``field_pic``
-+      - Whether the reference is a field picture or a frame.
-+    * - __u16
-+      - ``pic_order_cnt[2]``
-+      - The picture order count of the reference. Only the first element of the
-+        array is used for frame pictures, while the first element identifies the
-+        top field and the second the bottom field in field-coded pictures.
-+    * - __u8
-+      - ``padding[2]``
-+      - Applications and drivers must set this to zero.
-+
-+.. c:type:: v4l2_hevc_pred_weight_table
-+
-+.. cssclass:: longtable
-+
-+.. flat-table:: struct v4l2_hevc_pred_weight_table
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths:       1 1 2
-+
-+    * - __u8
-+      - ``luma_log2_weight_denom``
-+      -
-+    * - __s8
-+      - ``delta_chroma_log2_weight_denom``
-+      -
-+    * - __s8
-+      - ``delta_luma_weight_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
-+      -
-+    * - __s8
-+      - ``luma_offset_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
-+      -
-+    * - __s8
-+      - ``delta_chroma_weight_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2]``
-+      -
-+    * - __s8
-+      - ``chroma_offset_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2]``
-+      -
-+    * - __s8
-+      - ``delta_luma_weight_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
-+      -
-+    * - __s8
-+      - ``luma_offset_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
-+      -
-+    * - __s8
-+      - ``delta_chroma_weight_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2]``
-+      -
-+    * - __s8
-+      - ``chroma_offset_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2]``
-+      -
-+    * - __u8
-+      - ``padding[6]``
-+      - Applications and drivers must set this to zero.
-+
-+``V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE (enum)``
-+    Specifies the decoding mode to use. Currently exposes slice-based and
-+    frame-based decoding but new modes might be added later on.
-+    This control is used as a modifier for V4L2_PIX_FMT_HEVC_SLICE
-+    pixel format. Applications that support V4L2_PIX_FMT_HEVC_SLICE
-+    are required to set this control in order to specify the decoding mode
-+    that is expected for the buffer.
-+    Drivers may expose a single or multiple decoding modes, depending
-+    on what they can support.
-+
-+    .. note::
-+
-+       This menu control is not yet part of the public kernel API and
-+       it is expected to change.
-+
-+.. c:type:: v4l2_mpeg_video_hevc_decode_mode
-+
-+.. cssclass:: longtable
-+
-+.. flat-table::
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths:       1 1 2
-+
-+    * - ``V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_SLICE_BASED``
-+      - 0
-+      - Decoding is done at the slice granularity.
-+        The OUTPUT buffer must contain a single slice.
-+    * - ``V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_FRAME_BASED``
-+      - 1
-+      - Decoding is done at the frame granularity.
-+        The OUTPUT buffer must contain all slices needed to decode the
-+        frame. The OUTPUT buffer must also contain both fields.
-+
-+``V4L2_CID_MPEG_VIDEO_HEVC_START_CODE (enum)``
-+    Specifies the HEVC slice start code expected for each slice.
-+    This control is used as a modifier for V4L2_PIX_FMT_HEVC_SLICE
-+    pixel format. Applications that support V4L2_PIX_FMT_HEVC_SLICE
-+    are required to set this control in order to specify the start code
-+    that is expected for the buffer.
-+    Drivers may expose a single or multiple start codes, depending
-+    on what they can support.
-+
-+    .. note::
-+
-+       This menu control is not yet part of the public kernel API and
-+       it is expected to change.
-+
-+.. c:type:: v4l2_mpeg_video_hevc_start_code
-+
-+.. cssclass:: longtable
-+
-+.. flat-table::
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths:       1 1 2
-+
-+    * - ``V4L2_MPEG_VIDEO_HEVC_START_CODE_NONE``
-+      - 0
-+      - Selecting this value specifies that HEVC slices are passed
-+        to the driver without any start code.
-+    * - ``V4L2_MPEG_VIDEO_HEVC_START_CODE_ANNEX_B``
-+      - 1
-+      - Selecting this value specifies that HEVC slices are expected
-+        to be prefixed by Annex B start codes. According to :ref:`hevc`
-+        valid start codes can be 3-bytes 0x000001 or 4-bytes 0x00000001.
---- a/Documentation/media/uapi/v4l/vidioc-queryctrl.rst
-+++ b/Documentation/media/uapi/v4l/vidioc-queryctrl.rst
-@@ -479,6 +479,24 @@ See also the examples in :ref:`control`.
-       - n/a
-       - A struct :c:type:`v4l2_ctrl_h264_decode_params`, containing H264
-       decode parameters for stateless video decoders.
-+    * - ``V4L2_CTRL_TYPE_HEVC_SPS``
-+      - n/a
-+      - n/a
-+      - n/a
-+      - A struct :c:type:`v4l2_ctrl_hevc_sps`, containing HEVC Sequence
-+      Parameter Set for stateless video decoders.
-+    * - ``V4L2_CTRL_TYPE_HEVC_PPS``
-+      - n/a
-+      - n/a
-+      - n/a
-+      - A struct :c:type:`v4l2_ctrl_hevc_pps`, containing HEVC Picture
-+      Parameter Set for stateless video decoders.
-+    * - ``V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS``
-+      - n/a
-+      - n/a
-+      - n/a
-+      - A struct :c:type:`v4l2_ctrl_hevc_slice_params`, containing HEVC
-+      slice parameters for stateless video decoders.
- .. tabularcolumns:: |p{6.6cm}|p{2.2cm}|p{8.7cm}|
---- a/Documentation/media/videodev2.h.rst.exceptions
-+++ b/Documentation/media/videodev2.h.rst.exceptions
-@@ -141,6 +141,9 @@ replace symbol V4L2_CTRL_TYPE_H264_PPS :
- replace symbol V4L2_CTRL_TYPE_H264_SCALING_MATRIX :c:type:`v4l2_ctrl_type`
- replace symbol V4L2_CTRL_TYPE_H264_SLICE_PARAMS :c:type:`v4l2_ctrl_type`
- replace symbol V4L2_CTRL_TYPE_H264_DECODE_PARAMS :c:type:`v4l2_ctrl_type`
-+replace symbol V4L2_CTRL_TYPE_HEVC_SPS :c:type:`v4l2_ctrl_type`
-+replace symbol V4L2_CTRL_TYPE_HEVC_PPS :c:type:`v4l2_ctrl_type`
-+replace symbol V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS :c:type:`v4l2_ctrl_type`
- replace symbol V4L2_CTRL_TYPE_AREA :c:type:`v4l2_ctrl_type`
- # V4L2 capability defines
---- a/drivers/media/v4l2-core/v4l2-ctrls.c
-+++ b/drivers/media/v4l2-core/v4l2-ctrls.c
-@@ -567,6 +567,16 @@ const char * const *v4l2_ctrl_get_menu(u
-               "Disabled at slice boundary",
-               "NULL",
-       };
-+      static const char * const hevc_decode_mode[] = {
-+              "Slice-Based",
-+              "Frame-Based",
-+              NULL,
-+      };
-+      static const char * const hevc_start_code[] = {
-+              "No Start Code",
-+              "Annex B Start Code",
-+              NULL,
-+      };
-       switch (id) {
-       case V4L2_CID_MPEG_AUDIO_SAMPLING_FREQ:
-@@ -688,7 +698,10 @@ const char * const *v4l2_ctrl_get_menu(u
-               return hevc_tier;
-       case V4L2_CID_MPEG_VIDEO_HEVC_LOOP_FILTER_MODE:
-               return hevc_loop_filter_mode;
--
-+      case V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE:
-+              return hevc_decode_mode;
-+      case V4L2_CID_MPEG_VIDEO_HEVC_START_CODE:
-+              return hevc_start_code;
-       default:
-               return NULL;
-       }
-@@ -958,6 +971,11 @@ const char *v4l2_ctrl_get_name(u32 id)
-       case V4L2_CID_MPEG_VIDEO_HEVC_SIZE_OF_LENGTH_FIELD:     return "HEVC Size of Length Field";
-       case V4L2_CID_MPEG_VIDEO_REF_NUMBER_FOR_PFRAMES:        return "Reference Frames for a P-Frame";
-       case V4L2_CID_MPEG_VIDEO_PREPEND_SPSPPS_TO_IDR:         return "Prepend SPS and PPS to IDR";
-+      case V4L2_CID_MPEG_VIDEO_HEVC_SPS:                      return "HEVC Sequence Parameter Set";
-+      case V4L2_CID_MPEG_VIDEO_HEVC_PPS:                      return "HEVC Picture Parameter Set";
-+      case V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS:             return "HEVC Slice Parameters";
-+      case V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE:              return "HEVC Decode Mode";
-+      case V4L2_CID_MPEG_VIDEO_HEVC_START_CODE:               return "HEVC Start Code";
-       /* CAMERA controls */
-       /* Keep the order of the 'case's the same as in v4l2-controls.h! */
-@@ -1267,6 +1285,8 @@ void v4l2_ctrl_fill(u32 id, const char *
-       case V4L2_CID_MPEG_VIDEO_HEVC_SIZE_OF_LENGTH_FIELD:
-       case V4L2_CID_MPEG_VIDEO_HEVC_TIER:
-       case V4L2_CID_MPEG_VIDEO_HEVC_LOOP_FILTER_MODE:
-+      case V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE:
-+      case V4L2_CID_MPEG_VIDEO_HEVC_START_CODE:
-               *type = V4L2_CTRL_TYPE_MENU;
-               break;
-       case V4L2_CID_LINK_FREQ:
-@@ -1377,6 +1397,15 @@ void v4l2_ctrl_fill(u32 id, const char *
-       case V4L2_CID_MPEG_VIDEO_VP8_FRAME_HEADER:
-               *type = V4L2_CTRL_TYPE_VP8_FRAME_HEADER;
-               break;
-+      case V4L2_CID_MPEG_VIDEO_HEVC_SPS:
-+              *type = V4L2_CTRL_TYPE_HEVC_SPS;
-+              break;
-+      case V4L2_CID_MPEG_VIDEO_HEVC_PPS:
-+              *type = V4L2_CTRL_TYPE_HEVC_PPS;
-+              break;
-+      case V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS:
-+              *type = V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS;
-+              break;
-       case V4L2_CID_UNIT_CELL_SIZE:
-               *type = V4L2_CTRL_TYPE_AREA;
-               *flags |= V4L2_CTRL_FLAG_READ_ONLY;
-@@ -1678,8 +1707,12 @@ static int std_validate_compound(const s
- {
-       struct v4l2_ctrl_mpeg2_slice_params *p_mpeg2_slice_params;
-       struct v4l2_ctrl_vp8_frame_header *p_vp8_frame_header;
-+      struct v4l2_ctrl_hevc_sps *p_hevc_sps;
-+      struct v4l2_ctrl_hevc_pps *p_hevc_pps;
-+      struct v4l2_ctrl_hevc_slice_params *p_hevc_slice_params;
-       struct v4l2_area *area;
-       void *p = ptr.p + idx * ctrl->elem_size;
-+      unsigned int i;
-       switch ((u32)ctrl->type) {
-       case V4L2_CTRL_TYPE_MPEG2_SLICE_PARAMS:
-@@ -1755,11 +1788,76 @@ static int std_validate_compound(const s
-               zero_padding(p_vp8_frame_header->entropy_header);
-               zero_padding(p_vp8_frame_header->coder_state);
-               break;
-+
-+      case V4L2_CTRL_TYPE_HEVC_SPS:
-+              p_hevc_sps = p;
-+
-+              if (!(p_hevc_sps->flags & V4L2_HEVC_SPS_FLAG_PCM_ENABLED)) {
-+                      p_hevc_sps->pcm_sample_bit_depth_luma_minus1 = 0;
-+                      p_hevc_sps->pcm_sample_bit_depth_chroma_minus1 = 0;
-+                      p_hevc_sps->log2_min_pcm_luma_coding_block_size_minus3 = 0;
-+                      p_hevc_sps->log2_diff_max_min_pcm_luma_coding_block_size = 0;
-+              }
-+
-+              if (!(p_hevc_sps->flags &
-+                    V4L2_HEVC_SPS_FLAG_LONG_TERM_REF_PICS_PRESENT))
-+                      p_hevc_sps->num_long_term_ref_pics_sps = 0;
-+              break;
-+
-+      case V4L2_CTRL_TYPE_HEVC_PPS:
-+              p_hevc_pps = p;
-+
-+              if (!(p_hevc_pps->flags &
-+                    V4L2_HEVC_PPS_FLAG_CU_QP_DELTA_ENABLED))
-+                      p_hevc_pps->diff_cu_qp_delta_depth = 0;
-+
-+              if (!(p_hevc_pps->flags & V4L2_HEVC_PPS_FLAG_TILES_ENABLED)) {
-+                      p_hevc_pps->num_tile_columns_minus1 = 0;
-+                      p_hevc_pps->num_tile_rows_minus1 = 0;
-+                      memset(&p_hevc_pps->column_width_minus1, 0,
-+                             sizeof(p_hevc_pps->column_width_minus1));
-+                      memset(&p_hevc_pps->row_height_minus1, 0,
-+                             sizeof(p_hevc_pps->row_height_minus1));
-+
-+                      p_hevc_pps->flags &=
-+                              ~V4L2_HEVC_PPS_FLAG_PPS_LOOP_FILTER_ACROSS_SLICES_ENABLED;
-+              }
-+
-+              if (p_hevc_pps->flags &
-+                  V4L2_HEVC_PPS_FLAG_PPS_DISABLE_DEBLOCKING_FILTER) {
-+                      p_hevc_pps->pps_beta_offset_div2 = 0;
-+                      p_hevc_pps->pps_tc_offset_div2 = 0;
-+              }
-+
-+              zero_padding(*p_hevc_pps);
-+              break;
-+
-+      case V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS:
-+              p_hevc_slice_params = p;
-+
-+              if (p_hevc_slice_params->num_active_dpb_entries >
-+                  V4L2_HEVC_DPB_ENTRIES_NUM_MAX)
-+                      return -EINVAL;
-+
-+              zero_padding(p_hevc_slice_params->pred_weight_table);
-+
-+              for (i = 0; i < p_hevc_slice_params->num_active_dpb_entries;
-+                   i++) {
-+                      struct v4l2_hevc_dpb_entry *dpb_entry =
-+                              &p_hevc_slice_params->dpb[i];
-+
-+                      zero_padding(*dpb_entry);
-+              }
-+
-+              zero_padding(*p_hevc_slice_params);
-+              break;
-+
-       case V4L2_CTRL_TYPE_AREA:
-               area = p;
-               if (!area->width || !area->height)
-                       return -EINVAL;
-               break;
-+
-       default:
-               return -EINVAL;
-       }
-@@ -2433,6 +2531,15 @@ static struct v4l2_ctrl *v4l2_ctrl_new(s
-       case V4L2_CTRL_TYPE_VP8_FRAME_HEADER:
-               elem_size = sizeof(struct v4l2_ctrl_vp8_frame_header);
-               break;
-+      case V4L2_CTRL_TYPE_HEVC_SPS:
-+              elem_size = sizeof(struct v4l2_ctrl_hevc_sps);
-+              break;
-+      case V4L2_CTRL_TYPE_HEVC_PPS:
-+              elem_size = sizeof(struct v4l2_ctrl_hevc_pps);
-+              break;
-+      case V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS:
-+              elem_size = sizeof(struct v4l2_ctrl_hevc_slice_params);
-+              break;
-       case V4L2_CTRL_TYPE_AREA:
-               elem_size = sizeof(struct v4l2_area);
-               break;
---- a/drivers/media/v4l2-core/v4l2-ioctl.c
-+++ b/drivers/media/v4l2-core/v4l2-ioctl.c
-@@ -1356,6 +1356,7 @@ static void v4l_fill_fmtdesc(struct v4l2
-               case V4L2_PIX_FMT_VP8_FRAME:    descr = "VP8 Frame"; break;
-               case V4L2_PIX_FMT_VP9:          descr = "VP9"; break;
-               case V4L2_PIX_FMT_HEVC:         descr = "HEVC"; break; /* aka H.265 */
-+              case V4L2_PIX_FMT_HEVC_SLICE:   descr = "HEVC Parsed Slice Data"; break;
-               case V4L2_PIX_FMT_FWHT:         descr = "FWHT"; break; /* used in vicodec */
-               case V4L2_PIX_FMT_FWHT_STATELESS:       descr = "FWHT Stateless"; break; /* used in vicodec */
-               case V4L2_PIX_FMT_CPIA1:        descr = "GSPCA CPiA YUV"; break;
---- /dev/null
-+++ b/include/media/hevc-ctrls.h
-@@ -0,0 +1,212 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * These are the HEVC state controls for use with stateless HEVC
-+ * codec drivers.
-+ *
-+ * It turns out that these structs are not stable yet and will undergo
-+ * more changes. So keep them private until they are stable and ready to
-+ * become part of the official public API.
-+ */
-+
-+#ifndef _HEVC_CTRLS_H_
-+#define _HEVC_CTRLS_H_
-+
-+#include <linux/videodev2.h>
-+
-+/* The pixel format isn't stable at the moment and will likely be renamed. */
-+#define V4L2_PIX_FMT_HEVC_SLICE v4l2_fourcc('S', '2', '6', '5') /* HEVC parsed slices */
-+
-+#define V4L2_CID_MPEG_VIDEO_HEVC_SPS          (V4L2_CID_MPEG_BASE + 1008)
-+#define V4L2_CID_MPEG_VIDEO_HEVC_PPS          (V4L2_CID_MPEG_BASE + 1009)
-+#define V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS (V4L2_CID_MPEG_BASE + 1010)
-+#define V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE  (V4L2_CID_MPEG_BASE + 1015)
-+#define V4L2_CID_MPEG_VIDEO_HEVC_START_CODE   (V4L2_CID_MPEG_BASE + 1016)
-+
-+/* enum v4l2_ctrl_type type values */
-+#define V4L2_CTRL_TYPE_HEVC_SPS 0x0120
-+#define V4L2_CTRL_TYPE_HEVC_PPS 0x0121
-+#define V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS 0x0122
-+
-+enum v4l2_mpeg_video_hevc_decode_mode {
-+      V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_SLICE_BASED,
-+      V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_FRAME_BASED,
-+};
-+
-+enum v4l2_mpeg_video_hevc_start_code {
-+      V4L2_MPEG_VIDEO_HEVC_START_CODE_NONE,
-+      V4L2_MPEG_VIDEO_HEVC_START_CODE_ANNEX_B,
-+};
-+
-+#define V4L2_HEVC_SLICE_TYPE_B        0
-+#define V4L2_HEVC_SLICE_TYPE_P        1
-+#define V4L2_HEVC_SLICE_TYPE_I        2
-+
-+#define V4L2_HEVC_SPS_FLAG_SEPARATE_COLOUR_PLANE              (1ULL << 0)
-+#define V4L2_HEVC_SPS_FLAG_SCALING_LIST_ENABLED                       (1ULL << 1)
-+#define V4L2_HEVC_SPS_FLAG_AMP_ENABLED                                (1ULL << 2)
-+#define V4L2_HEVC_SPS_FLAG_SAMPLE_ADAPTIVE_OFFSET             (1ULL << 3)
-+#define V4L2_HEVC_SPS_FLAG_PCM_ENABLED                                (1ULL << 4)
-+#define V4L2_HEVC_SPS_FLAG_PCM_LOOP_FILTER_DISABLED           (1ULL << 5)
-+#define V4L2_HEVC_SPS_FLAG_LONG_TERM_REF_PICS_PRESENT         (1ULL << 6)
-+#define V4L2_HEVC_SPS_FLAG_SPS_TEMPORAL_MVP_ENABLED           (1ULL << 7)
-+#define V4L2_HEVC_SPS_FLAG_STRONG_INTRA_SMOOTHING_ENABLED     (1ULL << 8)
-+
-+/* The controls are not stable at the moment and will likely be reworked. */
-+struct v4l2_ctrl_hevc_sps {
-+      /* ISO/IEC 23008-2, ITU-T Rec. H.265: Sequence parameter set */
-+      __u16   pic_width_in_luma_samples;
-+      __u16   pic_height_in_luma_samples;
-+      __u8    bit_depth_luma_minus8;
-+      __u8    bit_depth_chroma_minus8;
-+      __u8    log2_max_pic_order_cnt_lsb_minus4;
-+      __u8    sps_max_dec_pic_buffering_minus1;
-+      __u8    sps_max_num_reorder_pics;
-+      __u8    sps_max_latency_increase_plus1;
-+      __u8    log2_min_luma_coding_block_size_minus3;
-+      __u8    log2_diff_max_min_luma_coding_block_size;
-+      __u8    log2_min_luma_transform_block_size_minus2;
-+      __u8    log2_diff_max_min_luma_transform_block_size;
-+      __u8    max_transform_hierarchy_depth_inter;
-+      __u8    max_transform_hierarchy_depth_intra;
-+      __u8    pcm_sample_bit_depth_luma_minus1;
-+      __u8    pcm_sample_bit_depth_chroma_minus1;
-+      __u8    log2_min_pcm_luma_coding_block_size_minus3;
-+      __u8    log2_diff_max_min_pcm_luma_coding_block_size;
-+      __u8    num_short_term_ref_pic_sets;
-+      __u8    num_long_term_ref_pics_sps;
-+      __u8    chroma_format_idc;
-+
-+      __u8    padding;
-+
-+      __u64   flags;
-+};
-+
-+#define V4L2_HEVC_PPS_FLAG_DEPENDENT_SLICE_SEGMENT            (1ULL << 0)
-+#define V4L2_HEVC_PPS_FLAG_OUTPUT_FLAG_PRESENT                        (1ULL << 1)
-+#define V4L2_HEVC_PPS_FLAG_SIGN_DATA_HIDING_ENABLED           (1ULL << 2)
-+#define V4L2_HEVC_PPS_FLAG_CABAC_INIT_PRESENT                 (1ULL << 3)
-+#define V4L2_HEVC_PPS_FLAG_CONSTRAINED_INTRA_PRED             (1ULL << 4)
-+#define V4L2_HEVC_PPS_FLAG_TRANSFORM_SKIP_ENABLED             (1ULL << 5)
-+#define V4L2_HEVC_PPS_FLAG_CU_QP_DELTA_ENABLED                        (1ULL << 6)
-+#define V4L2_HEVC_PPS_FLAG_PPS_SLICE_CHROMA_QP_OFFSETS_PRESENT        (1ULL << 7)
-+#define V4L2_HEVC_PPS_FLAG_WEIGHTED_PRED                      (1ULL << 8)
-+#define V4L2_HEVC_PPS_FLAG_WEIGHTED_BIPRED                    (1ULL << 9)
-+#define V4L2_HEVC_PPS_FLAG_TRANSQUANT_BYPASS_ENABLED          (1ULL << 10)
-+#define V4L2_HEVC_PPS_FLAG_TILES_ENABLED                      (1ULL << 11)
-+#define V4L2_HEVC_PPS_FLAG_ENTROPY_CODING_SYNC_ENABLED                (1ULL << 12)
-+#define V4L2_HEVC_PPS_FLAG_LOOP_FILTER_ACROSS_TILES_ENABLED   (1ULL << 13)
-+#define V4L2_HEVC_PPS_FLAG_PPS_LOOP_FILTER_ACROSS_SLICES_ENABLED (1ULL << 14)
-+#define V4L2_HEVC_PPS_FLAG_DEBLOCKING_FILTER_OVERRIDE_ENABLED (1ULL << 15)
-+#define V4L2_HEVC_PPS_FLAG_PPS_DISABLE_DEBLOCKING_FILTER      (1ULL << 16)
-+#define V4L2_HEVC_PPS_FLAG_LISTS_MODIFICATION_PRESENT         (1ULL << 17)
-+#define V4L2_HEVC_PPS_FLAG_SLICE_SEGMENT_HEADER_EXTENSION_PRESENT (1ULL << 18)
-+
-+struct v4l2_ctrl_hevc_pps {
-+      /* ISO/IEC 23008-2, ITU-T Rec. H.265: Picture parameter set */
-+      __u8    num_extra_slice_header_bits;
-+      __s8    init_qp_minus26;
-+      __u8    diff_cu_qp_delta_depth;
-+      __s8    pps_cb_qp_offset;
-+      __s8    pps_cr_qp_offset;
-+      __u8    num_tile_columns_minus1;
-+      __u8    num_tile_rows_minus1;
-+      __u8    column_width_minus1[20];
-+      __u8    row_height_minus1[22];
-+      __s8    pps_beta_offset_div2;
-+      __s8    pps_tc_offset_div2;
-+      __u8    log2_parallel_merge_level_minus2;
-+
-+      __u8    padding[4];
-+      __u64   flags;
-+};
-+
-+#define V4L2_HEVC_DPB_ENTRY_RPS_ST_CURR_BEFORE        0x01
-+#define V4L2_HEVC_DPB_ENTRY_RPS_ST_CURR_AFTER 0x02
-+#define V4L2_HEVC_DPB_ENTRY_RPS_LT_CURR               0x03
-+
-+#define V4L2_HEVC_DPB_ENTRIES_NUM_MAX         16
-+
-+struct v4l2_hevc_dpb_entry {
-+      __u64   timestamp;
-+      __u8    rps;
-+      __u8    field_pic;
-+      __u16   pic_order_cnt[2];
-+      __u8    padding[2];
-+};
-+
-+struct v4l2_hevc_pred_weight_table {
-+      __s8    delta_luma_weight_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
-+      __s8    luma_offset_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
-+      __s8    delta_chroma_weight_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2];
-+      __s8    chroma_offset_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2];
-+
-+      __s8    delta_luma_weight_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
-+      __s8    luma_offset_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
-+      __s8    delta_chroma_weight_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2];
-+      __s8    chroma_offset_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX][2];
-+
-+      __u8    padding[6];
-+
-+      __u8    luma_log2_weight_denom;
-+      __s8    delta_chroma_log2_weight_denom;
-+};
-+
-+#define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_SAO_LUMA            (1ULL << 0)
-+#define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_SAO_CHROMA          (1ULL << 1)
-+#define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_TEMPORAL_MVP_ENABLED        (1ULL << 2)
-+#define V4L2_HEVC_SLICE_PARAMS_FLAG_MVD_L1_ZERO                       (1ULL << 3)
-+#define V4L2_HEVC_SLICE_PARAMS_FLAG_CABAC_INIT                        (1ULL << 4)
-+#define V4L2_HEVC_SLICE_PARAMS_FLAG_COLLOCATED_FROM_L0                (1ULL << 5)
-+#define V4L2_HEVC_SLICE_PARAMS_FLAG_USE_INTEGER_MV            (1ULL << 6)
-+#define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_DEBLOCKING_FILTER_DISABLED (1ULL << 7)
-+#define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_LOOP_FILTER_ACROSS_SLICES_ENABLED (1ULL << 8)
-+
-+struct v4l2_ctrl_hevc_slice_params {
-+      __u32   bit_size;
-+      __u32   data_bit_offset;
-+
-+      /* ISO/IEC 23008-2, ITU-T Rec. H.265: NAL unit header */
-+      __u8    nal_unit_type;
-+      __u8    nuh_temporal_id_plus1;
-+
-+      /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
-+      __u8    slice_type;
-+      __u8    colour_plane_id;
-+      __u16   slice_pic_order_cnt;
-+      __u8    num_ref_idx_l0_active_minus1;
-+      __u8    num_ref_idx_l1_active_minus1;
-+      __u8    collocated_ref_idx;
-+      __u8    five_minus_max_num_merge_cand;
-+      __s8    slice_qp_delta;
-+      __s8    slice_cb_qp_offset;
-+      __s8    slice_cr_qp_offset;
-+      __s8    slice_act_y_qp_offset;
-+      __s8    slice_act_cb_qp_offset;
-+      __s8    slice_act_cr_qp_offset;
-+      __s8    slice_beta_offset_div2;
-+      __s8    slice_tc_offset_div2;
-+
-+      /* ISO/IEC 23008-2, ITU-T Rec. H.265: Picture timing SEI message */
-+      __u8    pic_struct;
-+
-+      /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
-+      __u8    num_active_dpb_entries;
-+      __u8    ref_idx_l0[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
-+      __u8    ref_idx_l1[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
-+
-+      __u8    num_rps_poc_st_curr_before;
-+      __u8    num_rps_poc_st_curr_after;
-+      __u8    num_rps_poc_lt_curr;
-+
-+      __u8    padding;
-+
-+      /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
-+      struct v4l2_hevc_dpb_entry dpb[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
-+
-+      /* ISO/IEC 23008-2, ITU-T Rec. H.265: Weighted prediction parameter */
-+      struct v4l2_hevc_pred_weight_table pred_weight_table;
-+
-+      __u64   flags;
-+};
-+
-+#endif
---- a/include/media/v4l2-ctrls.h
-+++ b/include/media/v4l2-ctrls.h
-@@ -21,6 +21,7 @@
- #include <media/fwht-ctrls.h>
- #include <media/h264-ctrls.h>
- #include <media/vp8-ctrls.h>
-+#include <media/hevc-ctrls.h>
- /* forward references */
- struct file;
-@@ -50,6 +51,9 @@ struct poll_table_struct;
-  * @p_h264_slice_params:      Pointer to a struct v4l2_ctrl_h264_slice_params.
-  * @p_h264_decode_params:     Pointer to a struct v4l2_ctrl_h264_decode_params.
-  * @p_vp8_frame_header:               Pointer to a VP8 frame header structure.
-+ * @p_hevc_sps:                       Pointer to an HEVC sequence parameter set structure.
-+ * @p_hevc_pps:                       Pointer to an HEVC picture parameter set structure.
-+ * @p_hevc_slice_params:      Pointer to an HEVC slice parameters structure.
-  * @p_area:                   Pointer to an area.
-  * @p:                                Pointer to a compound value.
-  */
-@@ -69,6 +73,9 @@ union v4l2_ctrl_ptr {
-       struct v4l2_ctrl_h264_slice_params *p_h264_slice_params;
-       struct v4l2_ctrl_h264_decode_params *p_h264_decode_params;
-       struct v4l2_ctrl_vp8_frame_header *p_vp8_frame_header;
-+      struct v4l2_ctrl_hevc_sps *p_hevc_sps;
-+      struct v4l2_ctrl_hevc_pps *p_hevc_pps;
-+      struct v4l2_ctrl_hevc_slice_params *p_hevc_slice_params;
-       struct v4l2_area *p_area;
-       void *p;
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0499-media-v4l2-mem2mem-Fix-hold-buf-flag-checks.patch b/target/linux/bcm27xx/patches-5.4/950-0499-media-v4l2-mem2mem-Fix-hold-buf-flag-checks.patch
deleted file mode 100644 (file)
index 18073a8..0000000
+++ /dev/null
@@ -1,37 +0,0 @@
-From 73d8a76ec5b5e1240af4142a9ccbd39179d779af Mon Sep 17 00:00:00 2001
-From: Jernej Skrabec <jernej.skrabec@siol.net>
-Date: Wed, 6 Nov 2019 08:02:53 +0100
-Subject: [PATCH] media: v4l2-mem2mem: Fix hold buf flag checks
-
-Commit 1076df3a77b490d33429560a9e0603b3673223e2 upstream.
-
-Hold buf flag is set on output queue, not capture. Fix that.
-
-Fixes: f07602ac3887 ("media: v4l2-mem2mem: add new_frame detection")
-Signed-off-by: Jernej Skrabec <jernej.skrabec@siol.net>
-Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Mauro Carvalho Chehab <mchehab@kernel.org>
----
- drivers/media/v4l2-core/v4l2-mem2mem.c | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/media/v4l2-core/v4l2-mem2mem.c
-+++ b/drivers/media/v4l2-core/v4l2-mem2mem.c
-@@ -335,7 +335,7 @@ static void __v4l2_m2m_try_queue(struct
-               }
-       }
--      if (src && dst && (m2m_ctx->cap_q_ctx.q.subsystem_flags &
-+      if (src && dst && (m2m_ctx->out_q_ctx.q.subsystem_flags &
-                          VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF))
-               m2m_ctx->new_frame = !dst->vb2_buf.copied_timestamp ||
-                       dst->vb2_buf.timestamp != src->vb2_buf.timestamp;
-@@ -474,7 +474,7 @@ void v4l2_m2m_job_finish(struct v4l2_m2m
-        * holding capture buffers. Those should use
-        * v4l2_m2m_buf_done_and_job_finish() instead.
-        */
--      WARN_ON(m2m_ctx->cap_q_ctx.q.subsystem_flags &
-+      WARN_ON(m2m_ctx->out_q_ctx.q.subsystem_flags &
-               VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF);
-       spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
-       schedule_next = _v4l2_m2m_job_finish(m2m_dev, m2m_ctx);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0499-media-videodev2.h-Add-a-format-for-column-YUV4-2-0-m.patch b/target/linux/bcm27xx/patches-5.4/950-0499-media-videodev2.h-Add-a-format-for-column-YUV4-2-0-m.patch
new file mode 100644 (file)
index 0000000..5a30982
--- /dev/null
@@ -0,0 +1,302 @@
+From a8f52dad0ed65192eb880a4a1ca90b236e99711e Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 24 Jan 2020 14:28:21 +0000
+Subject: [PATCH] media: videodev2.h: Add a format for column YUV4:2:0
+ modes
+
+Some of the Broadcom codec blocks use a column based YUV4:2:0 image
+format, so add the documentation and defines for both 8 and 10 bit
+versions.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../media/uapi/v4l/pixfmt-nv12-col128.rst     | 215 ++++++++++++++++++
+ Documentation/media/uapi/v4l/pixfmt-nv12.rst  |  14 +-
+ Documentation/media/uapi/v4l/yuv-formats.rst  |   1 +
+ drivers/media/v4l2-core/v4l2-ioctl.c          |   2 +
+ include/uapi/linux/videodev2.h                |   4 +
+ 5 files changed, 233 insertions(+), 3 deletions(-)
+ create mode 100644 Documentation/media/uapi/v4l/pixfmt-nv12-col128.rst
+
+--- /dev/null
++++ b/Documentation/media/uapi/v4l/pixfmt-nv12-col128.rst
+@@ -0,0 +1,215 @@
++.. Permission is granted to copy, distribute and/or modify this
++.. document under the terms of the GNU Free Documentation License,
++.. Version 1.1 or any later version published by the Free Software
++.. Foundation, with no Invariant Sections, no Front-Cover Texts
++.. and no Back-Cover Texts. A copy of the license is included at
++.. Documentation/media/uapi/fdl-appendix.rst.
++..
++.. TODO: replace it to GFDL-1.1-or-later WITH no-invariant-sections
++
++.. _V4L2_PIX_FMT_NV12_COL128:
++.. _V4L2_PIX_FMT_NV12_10_COL128:
++
++********************************************************************************
++V4L2_PIX_FMT_NV12_COL128, V4L2_PIX_FMT_NV12_10_COL128
++********************************************************************************
++
++
++V4L2_PIX_FMT_NV21_COL128
++Formats with ½ horizontal and vertical chroma resolution. This format
++has two planes - one for luminance and one for chrominance. Chroma
++samples are interleaved. The difference to ``V4L2_PIX_FMT_NV12`` is the
++memory layout. The image is split into columns of 128 bytes wide rather than
++being in raster order.
++
++V4L2_PIX_FMT_NV12_10_COL128
++Follows the same pattern as ``V4L2_PIX_FMT_NV21_COL128`` with 128 byte, but is
++a 10bit format with 3 10-bit samples being packed into 4 bytes. Each 128 byte
++wide column therefore contains 96 samples.
++
++
++Description
++===========
++
++This is the two-plane versions of the YUV 4:2:0 format where data is
++grouped into 128 byte wide columns. The three components are separated into
++two sub-images or planes. The Y plane has one byte per pixel and pixels
++are grouped into 128 byte wide columns. The CbCr plane has the same width,
++in bytes, as the Y plane (and the image), but is half as tall in pixels.
++The chroma plane is also in 128 byte columns, reflecting 64 Cb and 64 Cr
++samples.
++
++The chroma samples for a column follow the luma samples. If there is any
++paddding, then that will be reflected via the selection API.
++The luma height must be a multiple of 2 lines.
++
++The normal bytesperline is effectively fixed at 128. However the format
++requires knowledge of the stride between columns, therefore the bytesperline
++value has been repurposed to denote the number of 128 byte long lines between
++the start of each column.
++
++**Byte Order.**
++
++
++.. flat-table::
++    :header-rows:  0
++    :stub-columns: 0
++    :widths: 12 12 12 12 12 4 12 12 12 12
++
++    * - start + 0:
++      - Y'\ :sub:`0,0`
++      - Y'\ :sub:`0,1`
++      - Y'\ :sub:`0,2`
++      - Y'\ :sub:`0,3`
++      - ...
++      - Y'\ :sub:`0,124`
++      - Y'\ :sub:`0,125`
++      - Y'\ :sub:`0,126`
++      - Y'\ :sub:`0,127`
++    * - start + 128:
++      - Y'\ :sub:`1,0`
++      - Y'\ :sub:`1,1`
++      - Y'\ :sub:`1,2`
++      - Y'\ :sub:`1,3`
++      - ...
++      - Y'\ :sub:`1,124`
++      - Y'\ :sub:`1,125`
++      - Y'\ :sub:`1,126`
++      - Y'\ :sub:`1,127`
++    * - start + 256:
++      - Y'\ :sub:`2,0`
++      - Y'\ :sub:`2,1`
++      - Y'\ :sub:`2,2`
++      - Y'\ :sub:`2,3`
++      - ...
++      - Y'\ :sub:`2,124`
++      - Y'\ :sub:`2,125`
++      - Y'\ :sub:`2,126`
++      - Y'\ :sub:`2,127`
++    * - ...
++      - ...
++      - ...
++      - ...
++      - ...
++      - ...
++      - ...
++      - ...
++    * - start + ((height-1) * 128):
++      - Y'\ :sub:`height-1,0`
++      - Y'\ :sub:`height-1,1`
++      - Y'\ :sub:`height-1,2`
++      - Y'\ :sub:`height-1,3`
++      - ...
++      - Y'\ :sub:`height-1,124`
++      - Y'\ :sub:`height-1,125`
++      - Y'\ :sub:`height-1,126`
++      - Y'\ :sub:`height-1,127`
++    * - start + ((height) * 128):
++      - Cb\ :sub:`0,0`
++      - Cr\ :sub:`0,0`
++      - Cb\ :sub:`0,1`
++      - Cr\ :sub:`0,1`
++      - ...
++      - Cb\ :sub:`0,62`
++      - Cr\ :sub:`0,62`
++      - Cb\ :sub:`0,63`
++      - Cr\ :sub:`0,63`
++    * - start + ((height+1) * 128):
++      - Cb\ :sub:`1,0`
++      - Cr\ :sub:`1,0`
++      - Cb\ :sub:`1,1`
++      - Cr\ :sub:`1,1`
++      - ...
++      - Cb\ :sub:`1,62`
++      - Cr\ :sub:`1,62`
++      - Cb\ :sub:`1,63`
++      - Cr\ :sub:`1,63`
++    * - ...
++      - ...
++      - ...
++      - ...
++      - ...
++      - ...
++      - ...
++      - ...
++    * - start + ((height+(height/2)-1) * 128):
++      - Cb\ :sub:`(height/2)-1,0`
++      - Cr\ :sub:`(height/2)-1,0`
++      - Cb\ :sub:`(height/2)-1,1`
++      - Cr\ :sub:`(height/2)-1,1`
++      - ...
++      - Cb\ :sub:`(height/2)-1,62`
++      - Cr\ :sub:`(height/2)-1,62`
++      - Cb\ :sub:`(height/2)-1,63`
++      - Cr\ :sub:`(height/2)-1,63`
++    * - start + (bytesperline * 128):
++      - Y'\ :sub:`0,128`
++      - Y'\ :sub:`0,129`
++      - Y'\ :sub:`0,130`
++      - Y'\ :sub:`0,131`
++      - ...
++      - Y'\ :sub:`0,252`
++      - Y'\ :sub:`0,253`
++      - Y'\ :sub:`0,254`
++      - Y'\ :sub:`0,255`
++    * - ...
++      - ...
++      - ...
++      - ...
++      - ...
++      - ...
++      - ...
++      - ...
++
++V4L2_PIX_FMT_NV12_10_COL128 uses the same 128 byte column structure, but
++encodes 10-bit YUV.
++3 10-bit values are packed into 4 bytes as bits 9:0, 19:10, and 29:20, with
++bits 30 & 31 unused. For the luma plane, bits 9:0 are Y0, 19:10 are Y1, and
++29:20 are Y2. For the chroma plane the samples always come in pairs of Cr
++and Cb, so it needs to be considered 6 values packed in 8 bytes.
++
++Bit-packed representation.
++
++.. raw:: latex
++
++    \small
++
++.. tabularcolumns:: |p{1.2cm}||p{1.2cm}||p{1.2cm}||p{1.2cm}|p{3.2cm}|p{3.2cm}|
++
++.. flat-table::
++    :header-rows:  0
++    :stub-columns: 0
++    :widths: 8 8 8 8
++
++    * - Y'\ :sub:`00[7:0]`
++      - Y'\ :sub:`01[5:0] (bits 7--2)` Y'\ :sub:`00[9:8]`\ (bits 1--0)
++      - Y'\ :sub:`02[3:0] (bits 7--4)` Y'\ :sub:`01[9:6]`\ (bits 3--0)
++      - unused (bits 7--6)` Y'\ :sub:`02[9:4]`\ (bits 5--0)
++
++.. raw:: latex
++
++    \small
++
++.. tabularcolumns:: |p{1.2cm}||p{1.2cm}||p{1.2cm}||p{1.2cm}|p{3.2cm}|p{3.2cm}|
++
++.. flat-table::
++    :header-rows:  0
++    :stub-columns: 0
++    :widths: 12 12 12 12 12 12 12 12
++
++    * - Cb\ :sub:`00[7:0]`
++      - Cr\ :sub:`00[5:0]`\ (bits 7--2) Cb\ :sub:`00[9:8]`\ (bits 1--0)
++      - Cb\ :sub:`01[3:0]`\ (bits 7--4) Cr\ :sub:`00[9:6]`\ (bits 3--0)
++      - unused (bits 7--6) Cb\ :sub:`02[9:4]`\ (bits 5--0)
++      - Cr\ :sub:`01[7:0]`
++      - Cb\ :sub:`02[5:0]`\ (bits 7--2) Cr\ :sub:`01[9:8]`\ (bits 1--0)
++      - Cr\ :sub:`02[3:0]`\ (bits 7--4) Cb\ :sub:`02[9:6]`\ (bits 3--0)
++      - unused (bits 7--6) Cr\ :sub:`02[9:4]`\ (bits 5--0)
++
++.. raw:: latex
++
++    \normalsize
++
++
++
++
+--- a/Documentation/media/uapi/v4l/pixfmt-nv12.rst
++++ b/Documentation/media/uapi/v4l/pixfmt-nv12.rst
+@@ -10,9 +10,9 @@
+ .. _V4L2-PIX-FMT-NV12:
+ .. _V4L2-PIX-FMT-NV21:
+-******************************************************
+-V4L2_PIX_FMT_NV12 ('NV12'), V4L2_PIX_FMT_NV21 ('NV21')
+-******************************************************
++********************************************************************************
++V4L2_PIX_FMT_NV12 ('NV12'), V4L2_PIX_FMT_NV21 ('NV21'), V4L2_PIX_FMT_NV12_COL128
++********************************************************************************
+ V4L2_PIX_FMT_NV21
+@@ -38,6 +38,14 @@ with a Cr byte.
+ If the Y plane has pad bytes after each row, then the CbCr plane has as
+ many pad bytes after its rows.
++``V4L2_PIX_FMT_NV12_COL128`` is the tiled version of
++``V4L2_PIX_FMT_NV12`` with the image broken down into 128 pixel wide columns of
++Y followed by the associated combined CbCr plane.
++The normal bytesperline is effectively fixed at 128. However the format
++requires knowledge of the stride between columns, therefore the bytesperline
++value has been repurposed to denote the number of 128 byte long lines between
++the start of each column.
++
+ **Byte Order.**
+ Each cell is one byte.
+--- a/Documentation/media/uapi/v4l/yuv-formats.rst
++++ b/Documentation/media/uapi/v4l/yuv-formats.rst
+@@ -57,6 +57,7 @@ to brightness information.
+     pixfmt-nv12
+     pixfmt-nv12m
+     pixfmt-nv12mt
++    pixfmt-nv12-col128
+     pixfmt-nv16
+     pixfmt-nv16m
+     pixfmt-nv24
+--- a/drivers/media/v4l2-core/v4l2-ioctl.c
++++ b/drivers/media/v4l2-core/v4l2-ioctl.c
+@@ -1258,6 +1258,8 @@ static void v4l_fill_fmtdesc(struct v4l2
+       case V4L2_PIX_FMT_NV61M:        descr = "Y/CrCb 4:2:2 (N-C)"; break;
+       case V4L2_PIX_FMT_NV12MT:       descr = "Y/CbCr 4:2:0 (64x32 MB, N-C)"; break;
+       case V4L2_PIX_FMT_NV12MT_16X16: descr = "Y/CbCr 4:2:0 (16x16 MB, N-C)"; break;
++      case V4L2_PIX_FMT_NV12_COL128:  descr = "Y/CbCr 4:2:0 (128b cols)"; break;
++      case V4L2_PIX_FMT_NV12_10_COL128: descr = "10-bit Y/CbCr 4:2:0 (128b cols)"; break;
+       case V4L2_PIX_FMT_YUV420M:      descr = "Planar YUV 4:2:0 (N-C)"; break;
+       case V4L2_PIX_FMT_YVU420M:      descr = "Planar YVU 4:2:0 (N-C)"; break;
+       case V4L2_PIX_FMT_YUV422M:      descr = "Planar YUV 4:2:2 (N-C)"; break;
+--- a/include/uapi/linux/videodev2.h
++++ b/include/uapi/linux/videodev2.h
+@@ -736,6 +736,10 @@ struct v4l2_pix_format {
+ #define V4L2_PIX_FMT_INZI     v4l2_fourcc('I', 'N', 'Z', 'I') /* Intel Planar Greyscale 10-bit and Depth 16-bit */
+ #define V4L2_PIX_FMT_SUNXI_TILED_NV12 v4l2_fourcc('S', 'T', '1', '2') /* Sunxi Tiled NV12 Format */
+ #define V4L2_PIX_FMT_CNF4     v4l2_fourcc('C', 'N', 'F', '4') /* Intel 4-bit packed depth confidence information */
++#define V4L2_PIX_FMT_NV12_COL128 v4l2_fourcc('N', 'C', '1', '2') /* 12  Y/CbCr 4:2:0 128 pixel wide column */
++#define V4L2_PIX_FMT_NV12_10_COL128 v4l2_fourcc('N', 'C', '3', '0')
++                                                              /* Y/CbCr 4:2:0 10bpc, 3x10 packed as 4 bytes in
++                                                               * a 128 bytes / 96 pixel wide column */
+ /* 10bit raw bayer packed, 32 bytes for every 25 pixels, last LSB 6 bits unused */
+ #define V4L2_PIX_FMT_IPU3_SBGGR10     v4l2_fourcc('i', 'p', '3', 'b') /* IPU3 packed 10-bit BGGR bayer */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0500-media-pixfmt-Document-the-HEVC-slice-pixel-format.patch b/target/linux/bcm27xx/patches-5.4/950-0500-media-pixfmt-Document-the-HEVC-slice-pixel-format.patch
deleted file mode 100644 (file)
index 7398807..0000000
+++ /dev/null
@@ -1,50 +0,0 @@
-From 662256810630f6ac6d06ee0cdc5f4660b25f7e98 Mon Sep 17 00:00:00 2001
-From: Paul Kocialkowski <paul.kocialkowski@bootlin.com>
-Date: Tue, 22 Oct 2019 12:26:53 -0300
-Subject: [PATCH] media: pixfmt: Document the HEVC slice pixel format
-
-Commit de06f289283298e2938445019999cec46435375c upstream.
-
-Document the current state of the HEVC slice pixel format.
-The format will need to evolve in the future, which is why it is
-not part of the public API.
-
-Signed-off-by: Paul Kocialkowski <paul.kocialkowski@bootlin.com>
-Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
----
- .../media/uapi/v4l/pixfmt-compressed.rst      | 23 +++++++++++++++++++
- 1 file changed, 23 insertions(+)
-
---- a/Documentation/media/uapi/v4l/pixfmt-compressed.rst
-+++ b/Documentation/media/uapi/v4l/pixfmt-compressed.rst
-@@ -188,6 +188,29 @@ Compressed Formats
-       If :ref:`VIDIOC_ENUM_FMT` reports ``V4L2_FMT_FLAG_CONTINUOUS_BYTESTREAM``
-       then the decoder has no requirements since it can parse all the
-       information from the raw bytestream.
-+    * .. _V4L2-PIX-FMT-HEVC-SLICE:
-+
-+      - ``V4L2_PIX_FMT_HEVC_SLICE``
-+      - 'S265'
-+      - HEVC parsed slice data, as extracted from the HEVC bitstream.
-+      This format is adapted for stateless video decoders that implement a
-+      HEVC pipeline (using the :ref:`mem2mem` and :ref:`media-request-api`).
-+      This pixelformat has two modifiers that must be set at least once
-+      through the ``V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE``
-+        and ``V4L2_CID_MPEG_VIDEO_HEVC_START_CODE`` controls.
-+      Metadata associated with the frame to decode is required to be passed
-+      through the following controls :
-+        * ``V4L2_CID_MPEG_VIDEO_HEVC_SPS``
-+        * ``V4L2_CID_MPEG_VIDEO_HEVC_PPS``
-+        * ``V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS``
-+      See the :ref:`associated Codec Control IDs <v4l2-mpeg-hevc>`.
-+      Buffers associated with this pixel format must contain the appropriate
-+      number of macroblocks to decode a full corresponding frame.
-+
-+      .. note::
-+
-+         This format is not yet part of the public kernel API and it
-+         is expected to change.
-     * .. _V4L2-PIX-FMT-FWHT:
-       - ``V4L2_PIX_FMT_FWHT``
diff --git a/target/linux/bcm27xx/patches-5.4/950-0500-media-v4l2-mem2mem-allow-request-job-buffer-processi.patch b/target/linux/bcm27xx/patches-5.4/950-0500-media-v4l2-mem2mem-allow-request-job-buffer-processi.patch
new file mode 100644 (file)
index 0000000..a3023ca
--- /dev/null
@@ -0,0 +1,274 @@
+From b8ae9d55d468a9f55524296247dba93531c29c99 Mon Sep 17 00:00:00 2001
+From: John Cox <jc@kynesim.co.uk>
+Date: Thu, 5 Mar 2020 14:46:54 +0000
+Subject: [PATCH] media: v4l2-mem2mem: allow request job buffer
+ processing after job finish
+
+Allow the capture buffer to be detached from a v4l2 request job such
+that another job can start before the capture buffer is returned. This
+allows h/w codecs that can process multiple requests at the same time
+to operate more efficiently.
+
+Signed-off-by: John Cox <jc@kynesim.co.uk>
+---
+ drivers/media/v4l2-core/v4l2-mem2mem.c | 105 +++++++++++++++++++++++--
+ include/media/v4l2-mem2mem.h           |  47 +++++++++++
+ include/media/videobuf2-v4l2.h         |   3 +
+ 3 files changed, 149 insertions(+), 6 deletions(-)
+
+--- a/drivers/media/v4l2-core/v4l2-mem2mem.c
++++ b/drivers/media/v4l2-core/v4l2-mem2mem.c
+@@ -399,15 +399,18 @@ static void v4l2_m2m_cancel_job(struct v
+ {
+       struct v4l2_m2m_dev *m2m_dev;
+       unsigned long flags;
++      bool det_abort_req;
+       m2m_dev = m2m_ctx->m2m_dev;
+       spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
++      det_abort_req = !list_empty(&m2m_ctx->det_list);
+       m2m_ctx->job_flags |= TRANS_ABORT;
+       if (m2m_ctx->job_flags & TRANS_RUNNING) {
+               spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
+               if (m2m_dev->m2m_ops->job_abort)
+                       m2m_dev->m2m_ops->job_abort(m2m_ctx->priv);
++              det_abort_req = false;
+               dprintk("m2m_ctx %p running, will wait to complete\n", m2m_ctx);
+               wait_event(m2m_ctx->finished,
+                               !(m2m_ctx->job_flags & TRANS_RUNNING));
+@@ -421,6 +424,11 @@ static void v4l2_m2m_cancel_job(struct v
+               /* Do nothing, was not on queue/running */
+               spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
+       }
++
++      /* Wait for detached buffers to come back too */
++      if (det_abort_req && m2m_dev->m2m_ops->job_abort)
++              m2m_dev->m2m_ops->job_abort(m2m_ctx->priv);
++      wait_event(m2m_ctx->det_empty, list_empty(&m2m_ctx->det_list));
+ }
+ /*
+@@ -458,6 +466,7 @@ static bool _v4l2_m2m_job_finish(struct
+       list_del(&m2m_dev->curr_ctx->queue);
+       m2m_dev->curr_ctx->job_flags &= ~(TRANS_QUEUED | TRANS_RUNNING);
++      m2m_ctx->cap_detached = false;
+       wake_up(&m2m_dev->curr_ctx->finished);
+       m2m_dev->curr_ctx = NULL;
+       return true;
+@@ -485,6 +494,80 @@ void v4l2_m2m_job_finish(struct v4l2_m2m
+ }
+ EXPORT_SYMBOL(v4l2_m2m_job_finish);
++struct vb2_v4l2_buffer *_v4l2_m2m_cap_buf_detach(struct v4l2_m2m_ctx *m2m_ctx)
++{
++      struct vb2_v4l2_buffer *buf;
++
++      buf = v4l2_m2m_dst_buf_remove(m2m_ctx);
++      list_add_tail(&container_of(buf, struct v4l2_m2m_buffer, vb)->list,
++                    &m2m_ctx->det_list);
++      m2m_ctx->cap_detached = true;
++      buf->is_held = true;
++      buf->det_state = VB2_BUF_STATE_ACTIVE;
++
++      return buf;
++}
++
++struct vb2_v4l2_buffer *v4l2_m2m_cap_buf_detach(struct v4l2_m2m_dev *m2m_dev,
++                                              struct v4l2_m2m_ctx *m2m_ctx)
++{
++      unsigned long flags;
++      struct vb2_v4l2_buffer *src_buf, *dst_buf;
++
++      spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
++
++      dst_buf = NULL;
++      src_buf = v4l2_m2m_next_src_buf(m2m_ctx);
++
++      if (!(src_buf->flags & V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF) &&
++          !m2m_ctx->cap_detached)
++              dst_buf = _v4l2_m2m_cap_buf_detach(m2m_ctx);
++
++      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
++      return dst_buf;
++}
++EXPORT_SYMBOL(v4l2_m2m_cap_buf_detach);
++
++static void _v4l2_m2m_cap_buf_return(struct v4l2_m2m_ctx *m2m_ctx,
++                                   struct vb2_v4l2_buffer *buf,
++                                   enum vb2_buffer_state state)
++{
++      buf->det_state = state;
++
++      /*
++       * Always signal done in the order we got stuff
++       * Stop if we find a buf that is still in use
++       */
++      while (!list_empty(&m2m_ctx->det_list)) {
++              buf = &list_first_entry(&m2m_ctx->det_list,
++                                      struct v4l2_m2m_buffer, list)->vb;
++              state = buf->det_state;
++              if (state != VB2_BUF_STATE_DONE &&
++                  state != VB2_BUF_STATE_ERROR)
++                      return;
++              list_del(&container_of(buf, struct v4l2_m2m_buffer, vb)->list);
++              buf->det_state = VB2_BUF_STATE_DEQUEUED;
++              v4l2_m2m_buf_done(buf, state);
++      }
++      wake_up(&m2m_ctx->det_empty);
++}
++
++void v4l2_m2m_cap_buf_return(struct v4l2_m2m_dev *m2m_dev,
++                           struct v4l2_m2m_ctx *m2m_ctx,
++                           struct vb2_v4l2_buffer *buf,
++                           enum vb2_buffer_state state)
++{
++      unsigned long flags;
++
++      if (!buf)
++              return;
++
++      spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
++      _v4l2_m2m_cap_buf_return(m2m_ctx, buf, state);
++      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
++}
++EXPORT_SYMBOL(v4l2_m2m_cap_buf_return);
++
+ void v4l2_m2m_buf_done_and_job_finish(struct v4l2_m2m_dev *m2m_dev,
+                                     struct v4l2_m2m_ctx *m2m_ctx,
+                                     enum vb2_buffer_state state)
+@@ -495,15 +578,23 @@ void v4l2_m2m_buf_done_and_job_finish(st
+       spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
+       src_buf = v4l2_m2m_src_buf_remove(m2m_ctx);
+-      dst_buf = v4l2_m2m_next_dst_buf(m2m_ctx);
+-      if (WARN_ON(!src_buf || !dst_buf))
++      if (WARN_ON(!src_buf))
+               goto unlock;
+       v4l2_m2m_buf_done(src_buf, state);
+-      dst_buf->is_held = src_buf->flags & V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF;
+-      if (!dst_buf->is_held) {
+-              v4l2_m2m_dst_buf_remove(m2m_ctx);
+-              v4l2_m2m_buf_done(dst_buf, state);
++
++      if (!m2m_ctx->cap_detached) {
++              dst_buf = v4l2_m2m_next_dst_buf(m2m_ctx);
++              if (WARN_ON(!dst_buf))
++                      goto unlock;
++
++              dst_buf->is_held = src_buf->flags
++                                  & V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF;
++
++              if (!dst_buf->is_held) {
++                      dst_buf = _v4l2_m2m_cap_buf_detach(m2m_ctx);
++                      _v4l2_m2m_cap_buf_return(m2m_ctx, dst_buf, state);
++              }
+       }
+       schedule_next = _v4l2_m2m_job_finish(m2m_dev, m2m_ctx);
+ unlock:
+@@ -983,12 +1074,14 @@ struct v4l2_m2m_ctx *v4l2_m2m_ctx_init(s
+       m2m_ctx->priv = drv_priv;
+       m2m_ctx->m2m_dev = m2m_dev;
+       init_waitqueue_head(&m2m_ctx->finished);
++      init_waitqueue_head(&m2m_ctx->det_empty);
+       out_q_ctx = &m2m_ctx->out_q_ctx;
+       cap_q_ctx = &m2m_ctx->cap_q_ctx;
+       INIT_LIST_HEAD(&out_q_ctx->rdy_queue);
+       INIT_LIST_HEAD(&cap_q_ctx->rdy_queue);
++      INIT_LIST_HEAD(&m2m_ctx->det_list);
+       spin_lock_init(&out_q_ctx->rdy_spinlock);
+       spin_lock_init(&cap_q_ctx->rdy_spinlock);
+--- a/include/media/v4l2-mem2mem.h
++++ b/include/media/v4l2-mem2mem.h
+@@ -88,6 +88,9 @@ struct v4l2_m2m_queue_ctx {
+  *            %TRANS_QUEUED, %TRANS_RUNNING and %TRANS_ABORT.
+  * @finished: Wait queue used to signalize when a job queue finished.
+  * @priv: Instance private data
++ * @cap_detached: Current job's capture buffer has been detached
++ * @det_list: List of detached (post-job but still in flight) capture buffers
++ * @det_empty: Wait queue signalled when det_list goes empty
+  *
+  * The memory to memory context is specific to a file handle, NOT to e.g.
+  * a device.
+@@ -111,6 +114,11 @@ struct v4l2_m2m_ctx {
+       wait_queue_head_t               finished;
+       void                            *priv;
++
++      /* Detached buffer handling */
++      bool    cap_detached;
++      struct list_head                det_list;
++      wait_queue_head_t               det_empty;
+ };
+ /**
+@@ -216,6 +224,45 @@ v4l2_m2m_buf_done(struct vb2_v4l2_buffer
+ }
+ /**
++ * v4l2_m2m_cap_buf_detach() - detach the capture buffer from the job and
++ * return it.
++ *
++ * @m2m_dev: opaque pointer to the internal data to handle M2M context
++ * @m2m_ctx: m2m context assigned to the instance given by struct &v4l2_m2m_ctx
++ *
++ * This function is designed to be used in conjunction with
++ * v4l2_m2m_buf_done_and_job_finish(). It allows the next job to start
++ * execution before the capture buffer is returned to the user which can be
++ * important if the underlying processing has multiple phases that are more
++ * efficiently executed in parallel.
++ *
++ * If used then it must be called before v4l2_m2m_buf_done_and_job_finish()
++ * as otherwise the buffer will have already gone.
++ *
++ * It is the callers reponsibilty to ensure that all detached buffers are
++ * returned.
++ */
++struct vb2_v4l2_buffer *v4l2_m2m_cap_buf_detach(struct v4l2_m2m_dev *m2m_dev,
++                                              struct v4l2_m2m_ctx *m2m_ctx);
++
++/**
++ * v4l2_m2m_cap_buf_return() - return a capture buffer, previously detached
++ * with v4l2_m2m_cap_buf_detach() to the user.
++ *
++ * @m2m_dev: opaque pointer to the internal data to handle M2M context
++ * @m2m_ctx: m2m context assigned to the instance given by struct &v4l2_m2m_ctx
++ * @buf: the buffer to return
++ * @state: vb2 buffer state passed to v4l2_m2m_buf_done().
++ *
++ * Buffers returned by this function will be returned to the user in the order
++ * of the original jobs rather than the order in which this function is called.
++ */
++void v4l2_m2m_cap_buf_return(struct v4l2_m2m_dev *m2m_dev,
++                           struct v4l2_m2m_ctx *m2m_ctx,
++                           struct vb2_v4l2_buffer *buf,
++                           enum vb2_buffer_state state);
++
++/**
+  * v4l2_m2m_reqbufs() - multi-queue-aware REQBUFS multiplexer
+  *
+  * @file: pointer to struct &file
+--- a/include/media/videobuf2-v4l2.h
++++ b/include/media/videobuf2-v4l2.h
+@@ -35,6 +35,8 @@
+  * @request_fd:       the request_fd associated with this buffer
+  * @is_held:  if true, then this capture buffer was held
+  * @planes:   plane information (userptr/fd, length, bytesused, data_offset).
++ * @det_state:        if a detached request capture buffer then this contains its
++ *            current state
+  *
+  * Should contain enough information to be able to cover all the fields
+  * of &struct v4l2_buffer at ``videodev2.h``.
+@@ -49,6 +51,7 @@ struct vb2_v4l2_buffer {
+       __s32                   request_fd;
+       bool                    is_held;
+       struct vb2_plane        planes[VB2_MAX_PLANES];
++      enum vb2_buffer_state   det_state;
+ };
+ /* VB2 V4L2 flags as set in vb2_queue.subsystem_flags */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0501-media-dt-bindings-media-Add-binding-for-the-Raspberr.patch b/target/linux/bcm27xx/patches-5.4/950-0501-media-dt-bindings-media-Add-binding-for-the-Raspberr.patch
new file mode 100644 (file)
index 0000000..203e112
--- /dev/null
@@ -0,0 +1,106 @@
+From 15b4e8fa2d5101b989856c42cdae6ec764c99db0 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 17 Mar 2020 10:53:16 +0000
+Subject: [PATCH] media: dt-bindings: media: Add binding for the
+ Raspberry PI HEVC decoder
+
+Adds a binding for the HEVC decoder found on the BCM2711 / Raspberry Pi 4.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../bindings/media/rpivid_hevc.yaml           | 72 +++++++++++++++++++
+ MAINTAINERS                                   |  7 ++
+ 2 files changed, 79 insertions(+)
+ create mode 100644 Documentation/devicetree/bindings/media/rpivid_hevc.yaml
+
+--- /dev/null
++++ b/Documentation/devicetree/bindings/media/rpivid_hevc.yaml
+@@ -0,0 +1,72 @@
++# SPDX-License-Identifier: GPL-2.0-only
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/media/rpivid_hevc.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Raspberry Pi HEVC Decoder
++
++maintainers:
++  - Raspberry Pi <kernel-list@raspberrypi.com>
++
++description: |-
++  The Camera Adaptation Layer (CAL) is a key component for image capture
++  applications. The capture module provides the system interface and the
++  processing capability to connect CSI2 image-sensor modules to the
++  DRA72x device.
++
++properties:
++  compatible:
++    enum:
++      - raspberrypi,rpivid-vid-decoder
++
++  reg:
++    minItems: 2
++    items:
++      - description: The HEVC main register region
++      - description: The Interrupt controller register region
++
++  reg-names:
++    minItems: 2
++    items:
++      - const: hevc
++      - const: intc
++
++  interrupts:
++    maxItems: 1
++
++  clocks:
++    items:
++      - description: The HEVC block clock
++
++  clock-names:
++    items:
++      - const: hevc
++
++required:
++  - compatible
++  - reg
++  - reg-names
++  - interrupts
++  - clocks
++
++additionalProperties: false
++
++examples:
++  - |
++    #include <dt-bindings/interrupt-controller/arm-gic.h>
++
++    video-codec@7eb10000 {
++        compatible = "raspberrypi,rpivid-vid-decoder";
++        reg = <0x0 0x7eb10000 0x1000>,        /* INTC */
++              <0x0 0x7eb00000 0x10000>; /* HEVC */
++        reg-names = "intc",
++                    "hevc";
++
++        interrupts = <GIC_SPI 98 IRQ_TYPE_LEVEL_HIGH>;
++
++        clocks = <&clk 0>;
++        clock-names = "hevc";
++    };
++
++...
+--- a/MAINTAINERS
++++ b/MAINTAINERS
+@@ -3198,6 +3198,13 @@ N:      bcm2711
+ N:    bcm2835
+ F:    drivers/staging/vc04_services
++BROADCOM BCM2711 HEVC DECODER
++M:    Raspberry Pi Kernel Maintenance <kernel-list@raspberrypi.com>
++L:    linux-media@vger.kernel.org
++S:    Maintained
++F:    Documentation/devicetree/bindings/media/rpivid_hevc.jaml
++F:    drivers/staging/media/rpivid
++
+ BROADCOM BCM2835 CAMERA DRIVER
+ M:    Dave Stevenson <dave.stevenson@raspberrypi.org>
+ L:    linux-media@vger.kernel.org
diff --git a/target/linux/bcm27xx/patches-5.4/950-0501-media-uapi-hevc-Add-scaling-matrix-control.patch b/target/linux/bcm27xx/patches-5.4/950-0501-media-uapi-hevc-Add-scaling-matrix-control.patch
deleted file mode 100644 (file)
index c2cf27a..0000000
+++ /dev/null
@@ -1,150 +0,0 @@
-From 70b5a28786215c996503210abd3e44c200771640 Mon Sep 17 00:00:00 2001
-From: Jernej Skrabec <jernej.skrabec@siol.net>
-Date: Fri, 13 Dec 2019 17:04:25 +0100
-Subject: [PATCH] media: uapi: hevc: Add scaling matrix control
-
-Taken from https://patchwork.linuxtv.org/patch/60728/
-Changes (mainly documentation) have been requested.
-
-HEVC has a scaling matrix concept. Add support for it.
-
-Signed-off-by: Jernej Skrabec <jernej.skrabec@siol.net>
----
- .../media/uapi/v4l/ext-ctrls-codec.rst        | 41 +++++++++++++++++++
- .../media/uapi/v4l/pixfmt-compressed.rst      |  1 +
- drivers/media/v4l2-core/v4l2-ctrls.c          | 10 +++++
- include/media/hevc-ctrls.h                    | 11 +++++
- 4 files changed, 63 insertions(+)
-
---- a/Documentation/media/uapi/v4l/ext-ctrls-codec.rst
-+++ b/Documentation/media/uapi/v4l/ext-ctrls-codec.rst
-@@ -4174,6 +4174,47 @@ enum v4l2_mpeg_video_hevc_size_of_length
-       - ``padding[6]``
-       - Applications and drivers must set this to zero.
-+``V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX (struct)``
-+    Specifies the scaling matrix (as extracted from the bitstream) for
-+    the associated HEVC slice data. The bitstream parameters are
-+    defined according to :ref:`hevc`, section 7.4.5 "Scaling list
-+    data semantics". For further documentation, refer to the above
-+    specification, unless there is an explicit comment stating
-+    otherwise.
-+
-+    .. note::
-+
-+       This compound control is not yet part of the public kernel API and
-+       it is expected to change.
-+
-+.. c:type:: v4l2_ctrl_hevc_scaling_matrix
-+
-+.. cssclass:: longtable
-+
-+.. flat-table:: struct v4l2_ctrl_hevc_scaling_matrix
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths:       1 1 2
-+
-+    * - __u8
-+      - ``scaling_list_4x4[6][16]``
-+      -
-+    * - __u8
-+      - ``scaling_list_8x8[6][64]``
-+      -
-+    * - __u8
-+      - ``scaling_list_16x16[6][64]``
-+      -
-+    * - __u8
-+      - ``scaling_list_32x32[2][64]``
-+      -
-+    * - __u8
-+      - ``scaling_list_dc_coef_16x16[6]``
-+      -
-+    * - __u8
-+      - ``scaling_list_dc_coef_32x32[2]``
-+      -
-+
- ``V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE (enum)``
-     Specifies the decoding mode to use. Currently exposes slice-based and
-     frame-based decoding but new modes might be added later on.
---- a/Documentation/media/uapi/v4l/pixfmt-compressed.rst
-+++ b/Documentation/media/uapi/v4l/pixfmt-compressed.rst
-@@ -203,6 +203,7 @@ Compressed Formats
-         * ``V4L2_CID_MPEG_VIDEO_HEVC_SPS``
-         * ``V4L2_CID_MPEG_VIDEO_HEVC_PPS``
-         * ``V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS``
-+        * ``V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX``
-       See the :ref:`associated Codec Control IDs <v4l2-mpeg-hevc>`.
-       Buffers associated with this pixel format must contain the appropriate
-       number of macroblocks to decode a full corresponding frame.
---- a/drivers/media/v4l2-core/v4l2-ctrls.c
-+++ b/drivers/media/v4l2-core/v4l2-ctrls.c
-@@ -974,6 +974,7 @@ const char *v4l2_ctrl_get_name(u32 id)
-       case V4L2_CID_MPEG_VIDEO_HEVC_SPS:                      return "HEVC Sequence Parameter Set";
-       case V4L2_CID_MPEG_VIDEO_HEVC_PPS:                      return "HEVC Picture Parameter Set";
-       case V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS:             return "HEVC Slice Parameters";
-+      case V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX:           return "HEVC Scaling Matrix";
-       case V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE:              return "HEVC Decode Mode";
-       case V4L2_CID_MPEG_VIDEO_HEVC_START_CODE:               return "HEVC Start Code";
-@@ -1406,6 +1407,9 @@ void v4l2_ctrl_fill(u32 id, const char *
-       case V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS:
-               *type = V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS;
-               break;
-+      case V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX:
-+              *type = V4L2_CTRL_TYPE_HEVC_SCALING_MATRIX;
-+              break;
-       case V4L2_CID_UNIT_CELL_SIZE:
-               *type = V4L2_CTRL_TYPE_AREA;
-               *flags |= V4L2_CTRL_FLAG_READ_ONLY;
-@@ -1852,6 +1856,9 @@ static int std_validate_compound(const s
-               zero_padding(*p_hevc_slice_params);
-               break;
-+      case V4L2_CTRL_TYPE_HEVC_SCALING_MATRIX:
-+              break;
-+
-       case V4L2_CTRL_TYPE_AREA:
-               area = p;
-               if (!area->width || !area->height)
-@@ -2540,6 +2547,9 @@ static struct v4l2_ctrl *v4l2_ctrl_new(s
-       case V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS:
-               elem_size = sizeof(struct v4l2_ctrl_hevc_slice_params);
-               break;
-+      case V4L2_CTRL_TYPE_HEVC_SCALING_MATRIX:
-+              elem_size = sizeof(struct v4l2_ctrl_hevc_scaling_matrix);
-+              break;
-       case V4L2_CTRL_TYPE_AREA:
-               elem_size = sizeof(struct v4l2_area);
-               break;
---- a/include/media/hevc-ctrls.h
-+++ b/include/media/hevc-ctrls.h
-@@ -19,6 +19,7 @@
- #define V4L2_CID_MPEG_VIDEO_HEVC_SPS          (V4L2_CID_MPEG_BASE + 1008)
- #define V4L2_CID_MPEG_VIDEO_HEVC_PPS          (V4L2_CID_MPEG_BASE + 1009)
- #define V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS (V4L2_CID_MPEG_BASE + 1010)
-+#define V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX       (V4L2_CID_MPEG_BASE + 1011)
- #define V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE  (V4L2_CID_MPEG_BASE + 1015)
- #define V4L2_CID_MPEG_VIDEO_HEVC_START_CODE   (V4L2_CID_MPEG_BASE + 1016)
-@@ -26,6 +27,7 @@
- #define V4L2_CTRL_TYPE_HEVC_SPS 0x0120
- #define V4L2_CTRL_TYPE_HEVC_PPS 0x0121
- #define V4L2_CTRL_TYPE_HEVC_SLICE_PARAMS 0x0122
-+#define V4L2_CTRL_TYPE_HEVC_SCALING_MATRIX 0x0123
- enum v4l2_mpeg_video_hevc_decode_mode {
-       V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_SLICE_BASED,
-@@ -209,4 +211,13 @@ struct v4l2_ctrl_hevc_slice_params {
-       __u64   flags;
- };
-+struct v4l2_ctrl_hevc_scaling_matrix {
-+      __u8    scaling_list_4x4[6][16];
-+      __u8    scaling_list_8x8[6][64];
-+      __u8    scaling_list_16x16[6][64];
-+      __u8    scaling_list_32x32[2][64];
-+      __u8    scaling_list_dc_coef_16x16[6];
-+      __u8    scaling_list_dc_coef_32x32[2];
-+};
-+
- #endif
diff --git a/target/linux/bcm27xx/patches-5.4/950-0502-media-uapi-hevc-Add-segment-address-field.patch b/target/linux/bcm27xx/patches-5.4/950-0502-media-uapi-hevc-Add-segment-address-field.patch
deleted file mode 100644 (file)
index 91f195b..0000000
+++ /dev/null
@@ -1,61 +0,0 @@
-From 88eb3b015b6f61252fd214d39fc7fc0379ee0442 Mon Sep 17 00:00:00 2001
-From: Jernej Skrabec <jernej.skrabec@siol.net>
-Date: Fri, 13 Dec 2019 17:04:27 +0100
-Subject: [PATCH] media: uapi: hevc: Add segment address field
-
-From https://patchwork.linuxtv.org/patch/60725/
-Changes requested, but mainly docs.
-
-If HEVC frame consists of multiple slices, segment address has to be
-known in order to properly decode it.
-
-Add segment address field to slice parameters.
-
-Signed-off-by: Jernej Skrabec <jernej.skrabec@siol.net>
----
- Documentation/media/uapi/v4l/ext-ctrls-codec.rst | 5 ++++-
- include/media/hevc-ctrls.h                       | 5 ++++-
- 2 files changed, 8 insertions(+), 2 deletions(-)
-
---- a/Documentation/media/uapi/v4l/ext-ctrls-codec.rst
-+++ b/Documentation/media/uapi/v4l/ext-ctrls-codec.rst
-@@ -3969,6 +3969,9 @@ enum v4l2_mpeg_video_hevc_size_of_length
-     * - __u32
-       - ``data_bit_offset``
-       - Offset (in bits) to the video data in the current slice data.
-+    * - __u32
-+      - ``slice_segment_addr``
-+      -
-     * - __u8
-       - ``nal_unit_type``
-       -
-@@ -4046,7 +4049,7 @@ enum v4l2_mpeg_video_hevc_size_of_length
-       - ``num_rps_poc_lt_curr``
-       - The number of reference pictures in the long-term set.
-     * - __u8
--      - ``padding[7]``
-+      - ``padding[5]``
-       - Applications and drivers must set this to zero.
-     * - struct :c:type:`v4l2_hevc_dpb_entry`
-       - ``dpb[V4L2_HEVC_DPB_ENTRIES_NUM_MAX]``
---- a/include/media/hevc-ctrls.h
-+++ b/include/media/hevc-ctrls.h
-@@ -167,6 +167,9 @@ struct v4l2_ctrl_hevc_slice_params {
-       __u32   bit_size;
-       __u32   data_bit_offset;
-+      /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
-+      __u32   slice_segment_addr;
-+
-       /* ISO/IEC 23008-2, ITU-T Rec. H.265: NAL unit header */
-       __u8    nal_unit_type;
-       __u8    nuh_temporal_id_plus1;
-@@ -200,7 +203,7 @@ struct v4l2_ctrl_hevc_slice_params {
-       __u8    num_rps_poc_st_curr_after;
-       __u8    num_rps_poc_lt_curr;
--      __u8    padding;
-+      __u8    padding[5];
-       /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
-       struct v4l2_hevc_dpb_entry dpb[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
diff --git a/target/linux/bcm27xx/patches-5.4/950-0502-staging-media-Add-Raspberry-Pi-V4L2-H265-decoder.patch b/target/linux/bcm27xx/patches-5.4/950-0502-staging-media-Add-Raspberry-Pi-V4L2-H265-decoder.patch
new file mode 100644 (file)
index 0000000..134a685
--- /dev/null
@@ -0,0 +1,4341 @@
+From 82bbd353e2dc364bf37e6f0b91890cb432b1a72f Mon Sep 17 00:00:00 2001
+From: John Cox <jc@kynesim.co.uk>
+Date: Thu, 5 Mar 2020 18:30:41 +0000
+Subject: [PATCH] staging: media: Add Raspberry Pi V4L2 H265 decoder
+
+This driver is for the HEVC/H265 decoder block on the Raspberry
+Pi 4, and conforms to the V4L2 stateless decoder API.
+
+Signed-off-by: John Cox <jc@kynesim.co.uk>
+---
+ drivers/staging/media/Kconfig               |    2 +
+ drivers/staging/media/Makefile              |    1 +
+ drivers/staging/media/rpivid/Kconfig        |   16 +
+ drivers/staging/media/rpivid/Makefile       |    5 +
+ drivers/staging/media/rpivid/rpivid.c       |  432 ++++
+ drivers/staging/media/rpivid/rpivid.h       |  181 ++
+ drivers/staging/media/rpivid/rpivid_dec.c   |   79 +
+ drivers/staging/media/rpivid/rpivid_dec.h   |   19 +
+ drivers/staging/media/rpivid/rpivid_h265.c  | 2275 +++++++++++++++++++
+ drivers/staging/media/rpivid/rpivid_hw.c    |  321 +++
+ drivers/staging/media/rpivid/rpivid_hw.h    |  300 +++
+ drivers/staging/media/rpivid/rpivid_video.c |  593 +++++
+ drivers/staging/media/rpivid/rpivid_video.h |   30 +
+ 14 files changed, 4256 insertions(+)
+ create mode 100644 drivers/staging/media/rpivid/Kconfig
+ create mode 100644 drivers/staging/media/rpivid/Makefile
+ create mode 100644 drivers/staging/media/rpivid/rpivid.c
+ create mode 100644 drivers/staging/media/rpivid/rpivid.h
+ create mode 100644 drivers/staging/media/rpivid/rpivid_dec.c
+ create mode 100644 drivers/staging/media/rpivid/rpivid_dec.h
+ create mode 100644 drivers/staging/media/rpivid/rpivid_h265.c
+ create mode 100644 drivers/staging/media/rpivid/rpivid_hw.c
+ create mode 100644 drivers/staging/media/rpivid/rpivid_hw.h
+ create mode 100644 drivers/staging/media/rpivid/rpivid_video.c
+ create mode 100644 drivers/staging/media/rpivid/rpivid_video.h
+
+--- a/drivers/staging/media/Kconfig
++++ b/drivers/staging/media/Kconfig
+@@ -30,6 +30,8 @@ source "drivers/staging/media/meson/vdec
+ source "drivers/staging/media/omap4iss/Kconfig"
++source "drivers/staging/media/rpivid/Kconfig"
++
+ source "drivers/staging/media/sunxi/Kconfig"
+ source "drivers/staging/media/tegra-vde/Kconfig"
+--- a/drivers/staging/media/Makefile
++++ b/drivers/staging/media/Makefile
+@@ -3,6 +3,7 @@ obj-$(CONFIG_VIDEO_ALLEGRO_DVT)        += alleg
+ obj-$(CONFIG_VIDEO_IMX_MEDIA) += imx/
+ obj-$(CONFIG_VIDEO_MESON_VDEC)        += meson/vdec/
+ obj-$(CONFIG_VIDEO_OMAP4)     += omap4iss/
++obj-$(CONFIG_VIDEO_RPIVID)    += rpivid/
+ obj-$(CONFIG_VIDEO_SUNXI)     += sunxi/
+ obj-$(CONFIG_TEGRA_VDE)               += tegra-vde/
+ obj-$(CONFIG_VIDEO_HANTRO)    += hantro/
+--- /dev/null
++++ b/drivers/staging/media/rpivid/Kconfig
+@@ -0,0 +1,16 @@
++# SPDX-License-Identifier: GPL-2.0
++
++config VIDEO_RPIVID
++      tristate "Rpi H265 driver"
++      depends on VIDEO_DEV && VIDEO_V4L2
++      depends on MEDIA_CONTROLLER
++      depends on OF
++      depends on MEDIA_CONTROLLER_REQUEST_API
++      select VIDEOBUF2_DMA_CONTIG
++      select V4L2_MEM2MEM_DEV
++      help
++        Support for the Rpi H265 h/w decoder.
++
++        To compile this driver as a module, choose M here: the module
++        will be called rpivid-hevc.
++
+--- /dev/null
++++ b/drivers/staging/media/rpivid/Makefile
+@@ -0,0 +1,5 @@
++# SPDX-License-Identifier: GPL-2.0
++obj-$(CONFIG_VIDEO_RPIVID) += rpivid-hevc.o
++
++rpivid-hevc-y = rpivid.o rpivid_video.o rpivid_dec.o \
++               rpivid_hw.o rpivid_h265.o
+--- /dev/null
++++ b/drivers/staging/media/rpivid/rpivid.c
+@@ -0,0 +1,432 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * Raspberry Pi HEVC driver
++ *
++ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
++ *
++ * Based on the Cedrus VPU driver, that is:
++ *
++ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
++ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
++ * Copyright (C) 2018 Bootlin
++ */
++
++#include <linux/platform_device.h>
++#include <linux/module.h>
++#include <linux/of.h>
++
++#include <media/v4l2-device.h>
++#include <media/v4l2-ioctl.h>
++#include <media/v4l2-ctrls.h>
++#include <media/v4l2-mem2mem.h>
++
++#include "rpivid.h"
++#include "rpivid_video.h"
++#include "rpivid_hw.h"
++#include "rpivid_dec.h"
++
++/*
++ * Default /dev/videoN node number.
++ * Deliberately avoid the very low numbers as these are often taken by webcams
++ * etc, and simple apps tend to only go for /dev/video0.
++ */
++static int video_nr = 19;
++module_param(video_nr, int, 0644);
++MODULE_PARM_DESC(video_nr, "decoder video device number");
++
++static const struct rpivid_control rpivid_ctrls[] = {
++      {
++              .cfg = {
++                      .id     = V4L2_CID_MPEG_VIDEO_HEVC_SPS,
++              },
++              .required       = true,
++      },
++      {
++              .cfg = {
++                      .id     = V4L2_CID_MPEG_VIDEO_HEVC_PPS,
++              },
++              .required       = true,
++      },
++      {
++              .cfg = {
++                      .id = V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX,
++              },
++              .required       = false,
++      },
++      {
++              .cfg = {
++                      .id     = V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS,
++              },
++              .required       = true,
++      },
++      {
++              .cfg = {
++                      .id     = V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE,
++                      .max    = V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_SLICE_BASED,
++                      .def    = V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_SLICE_BASED,
++              },
++              .required       = false,
++      },
++      {
++              .cfg = {
++                      .id     = V4L2_CID_MPEG_VIDEO_HEVC_START_CODE,
++                      .max    = V4L2_MPEG_VIDEO_HEVC_START_CODE_NONE,
++                      .def    = V4L2_MPEG_VIDEO_HEVC_START_CODE_NONE,
++              },
++              .required       = false,
++      },
++};
++
++#define rpivid_ctrls_COUNT    ARRAY_SIZE(rpivid_ctrls)
++
++void *rpivid_find_control_data(struct rpivid_ctx *ctx, u32 id)
++{
++      unsigned int i;
++
++      for (i = 0; ctx->ctrls[i]; i++)
++              if (ctx->ctrls[i]->id == id)
++                      return ctx->ctrls[i]->p_cur.p;
++
++      return NULL;
++}
++
++static int rpivid_init_ctrls(struct rpivid_dev *dev, struct rpivid_ctx *ctx)
++{
++      struct v4l2_ctrl_handler *hdl = &ctx->hdl;
++      struct v4l2_ctrl *ctrl;
++      unsigned int ctrl_size;
++      unsigned int i;
++
++      v4l2_ctrl_handler_init(hdl, rpivid_ctrls_COUNT);
++      if (hdl->error) {
++              v4l2_err(&dev->v4l2_dev,
++                       "Failed to initialize control handler\n");
++              return hdl->error;
++      }
++
++      ctrl_size = sizeof(ctrl) * rpivid_ctrls_COUNT + 1;
++
++      ctx->ctrls = kzalloc(ctrl_size, GFP_KERNEL);
++      if (!ctx->ctrls)
++              return -ENOMEM;
++
++      for (i = 0; i < rpivid_ctrls_COUNT; i++) {
++              ctrl = v4l2_ctrl_new_custom(hdl, &rpivid_ctrls[i].cfg,
++                                          NULL);
++              if (hdl->error) {
++                      v4l2_err(&dev->v4l2_dev,
++                               "Failed to create new custom control id=%#x\n",
++                               rpivid_ctrls[i].cfg.id);
++
++                      v4l2_ctrl_handler_free(hdl);
++                      kfree(ctx->ctrls);
++                      return hdl->error;
++              }
++
++              ctx->ctrls[i] = ctrl;
++      }
++
++      ctx->fh.ctrl_handler = hdl;
++      v4l2_ctrl_handler_setup(hdl);
++
++      return 0;
++}
++
++static int rpivid_request_validate(struct media_request *req)
++{
++      struct media_request_object *obj;
++      struct v4l2_ctrl_handler *parent_hdl, *hdl;
++      struct rpivid_ctx *ctx = NULL;
++      struct v4l2_ctrl *ctrl_test;
++      unsigned int count;
++      unsigned int i;
++
++      list_for_each_entry(obj, &req->objects, list) {
++              struct vb2_buffer *vb;
++
++              if (vb2_request_object_is_buffer(obj)) {
++                      vb = container_of(obj, struct vb2_buffer, req_obj);
++                      ctx = vb2_get_drv_priv(vb->vb2_queue);
++
++                      break;
++              }
++      }
++
++      if (!ctx)
++              return -ENOENT;
++
++      count = vb2_request_buffer_cnt(req);
++      if (!count) {
++              v4l2_info(&ctx->dev->v4l2_dev,
++                        "No buffer was provided with the request\n");
++              return -ENOENT;
++      } else if (count > 1) {
++              v4l2_info(&ctx->dev->v4l2_dev,
++                        "More than one buffer was provided with the request\n");
++              return -EINVAL;
++      }
++
++      parent_hdl = &ctx->hdl;
++
++      hdl = v4l2_ctrl_request_hdl_find(req, parent_hdl);
++      if (!hdl) {
++              v4l2_info(&ctx->dev->v4l2_dev, "Missing codec control(s)\n");
++              return -ENOENT;
++      }
++
++      for (i = 0; i < rpivid_ctrls_COUNT; i++) {
++              if (!rpivid_ctrls[i].required)
++                      continue;
++
++              ctrl_test =
++                      v4l2_ctrl_request_hdl_ctrl_find(hdl,
++                                                      rpivid_ctrls[i].cfg.id);
++              if (!ctrl_test) {
++                      v4l2_info(&ctx->dev->v4l2_dev,
++                                "Missing required codec control\n");
++                      return -ENOENT;
++              }
++      }
++
++      v4l2_ctrl_request_hdl_put(hdl);
++
++      return vb2_request_validate(req);
++}
++
++static int rpivid_open(struct file *file)
++{
++      struct rpivid_dev *dev = video_drvdata(file);
++      struct rpivid_ctx *ctx = NULL;
++      int ret;
++
++      if (mutex_lock_interruptible(&dev->dev_mutex))
++              return -ERESTARTSYS;
++
++      ctx = kzalloc(sizeof(*ctx), GFP_KERNEL);
++      if (!ctx) {
++              mutex_unlock(&dev->dev_mutex);
++              return -ENOMEM;
++      }
++
++      v4l2_fh_init(&ctx->fh, video_devdata(file));
++      file->private_data = &ctx->fh;
++      ctx->dev = dev;
++
++      ret = rpivid_init_ctrls(dev, ctx);
++      if (ret)
++              goto err_free;
++
++      ctx->fh.m2m_ctx = v4l2_m2m_ctx_init(dev->m2m_dev, ctx,
++                                          &rpivid_queue_init);
++      if (IS_ERR(ctx->fh.m2m_ctx)) {
++              ret = PTR_ERR(ctx->fh.m2m_ctx);
++              goto err_ctrls;
++      }
++
++      /* The only bit of format info that we can guess now is H265 src
++       * Everything else we need more info for
++       */
++      ctx->src_fmt.pixelformat = RPIVID_SRC_PIXELFORMAT_DEFAULT;
++      rpivid_prepare_src_format(&ctx->src_fmt);
++
++      v4l2_fh_add(&ctx->fh);
++
++      mutex_unlock(&dev->dev_mutex);
++
++      return 0;
++
++err_ctrls:
++      v4l2_ctrl_handler_free(&ctx->hdl);
++err_free:
++      kfree(ctx);
++      mutex_unlock(&dev->dev_mutex);
++
++      return ret;
++}
++
++static int rpivid_release(struct file *file)
++{
++      struct rpivid_dev *dev = video_drvdata(file);
++      struct rpivid_ctx *ctx = container_of(file->private_data,
++                                            struct rpivid_ctx, fh);
++
++      mutex_lock(&dev->dev_mutex);
++
++      v4l2_fh_del(&ctx->fh);
++      v4l2_m2m_ctx_release(ctx->fh.m2m_ctx);
++
++      v4l2_ctrl_handler_free(&ctx->hdl);
++      kfree(ctx->ctrls);
++
++      v4l2_fh_exit(&ctx->fh);
++
++      kfree(ctx);
++
++      mutex_unlock(&dev->dev_mutex);
++
++      return 0;
++}
++
++static const struct v4l2_file_operations rpivid_fops = {
++      .owner          = THIS_MODULE,
++      .open           = rpivid_open,
++      .release        = rpivid_release,
++      .poll           = v4l2_m2m_fop_poll,
++      .unlocked_ioctl = video_ioctl2,
++      .mmap           = v4l2_m2m_fop_mmap,
++};
++
++static const struct video_device rpivid_video_device = {
++      .name           = RPIVID_NAME,
++      .vfl_dir        = VFL_DIR_M2M,
++      .fops           = &rpivid_fops,
++      .ioctl_ops      = &rpivid_ioctl_ops,
++      .minor          = -1,
++      .release        = video_device_release_empty,
++      .device_caps    = V4L2_CAP_VIDEO_M2M | V4L2_CAP_STREAMING,
++};
++
++static const struct v4l2_m2m_ops rpivid_m2m_ops = {
++      .device_run     = rpivid_device_run,
++};
++
++static const struct media_device_ops rpivid_m2m_media_ops = {
++      .req_validate   = rpivid_request_validate,
++      .req_queue      = v4l2_m2m_request_queue,
++};
++
++static int rpivid_probe(struct platform_device *pdev)
++{
++      struct rpivid_dev *dev;
++      struct video_device *vfd;
++      int ret;
++
++      dev = devm_kzalloc(&pdev->dev, sizeof(*dev), GFP_KERNEL);
++      if (!dev)
++              return -ENOMEM;
++
++      dev->vfd = rpivid_video_device;
++      dev->dev = &pdev->dev;
++      dev->pdev = pdev;
++
++      ret = 0;
++      ret = rpivid_hw_probe(dev);
++      if (ret) {
++              dev_err(&pdev->dev, "Failed to probe hardware\n");
++              return ret;
++      }
++
++      dev->dec_ops = &rpivid_dec_ops_h265;
++
++      mutex_init(&dev->dev_mutex);
++
++      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
++      if (ret) {
++              dev_err(&pdev->dev, "Failed to register V4L2 device\n");
++              return ret;
++      }
++
++      vfd = &dev->vfd;
++      vfd->lock = &dev->dev_mutex;
++      vfd->v4l2_dev = &dev->v4l2_dev;
++
++      snprintf(vfd->name, sizeof(vfd->name), "%s", rpivid_video_device.name);
++      video_set_drvdata(vfd, dev);
++
++      dev->m2m_dev = v4l2_m2m_init(&rpivid_m2m_ops);
++      if (IS_ERR(dev->m2m_dev)) {
++              v4l2_err(&dev->v4l2_dev,
++                       "Failed to initialize V4L2 M2M device\n");
++              ret = PTR_ERR(dev->m2m_dev);
++
++              goto err_v4l2;
++      }
++
++      dev->mdev.dev = &pdev->dev;
++      strscpy(dev->mdev.model, RPIVID_NAME, sizeof(dev->mdev.model));
++      strscpy(dev->mdev.bus_info, "platform:" RPIVID_NAME,
++              sizeof(dev->mdev.bus_info));
++
++      media_device_init(&dev->mdev);
++      dev->mdev.ops = &rpivid_m2m_media_ops;
++      dev->v4l2_dev.mdev = &dev->mdev;
++
++      ret = video_register_device(vfd, VFL_TYPE_GRABBER, video_nr);
++      if (ret) {
++              v4l2_err(&dev->v4l2_dev, "Failed to register video device\n");
++              goto err_m2m;
++      }
++
++      v4l2_info(&dev->v4l2_dev,
++                "Device registered as /dev/video%d\n", vfd->num);
++
++      ret = v4l2_m2m_register_media_controller(dev->m2m_dev, vfd,
++                                               MEDIA_ENT_F_PROC_VIDEO_DECODER);
++      if (ret) {
++              v4l2_err(&dev->v4l2_dev,
++                       "Failed to initialize V4L2 M2M media controller\n");
++              goto err_video;
++      }
++
++      ret = media_device_register(&dev->mdev);
++      if (ret) {
++              v4l2_err(&dev->v4l2_dev, "Failed to register media device\n");
++              goto err_m2m_mc;
++      }
++
++      platform_set_drvdata(pdev, dev);
++
++      return 0;
++
++err_m2m_mc:
++      v4l2_m2m_unregister_media_controller(dev->m2m_dev);
++err_video:
++      video_unregister_device(&dev->vfd);
++err_m2m:
++      v4l2_m2m_release(dev->m2m_dev);
++err_v4l2:
++      v4l2_device_unregister(&dev->v4l2_dev);
++
++      return ret;
++}
++
++static int rpivid_remove(struct platform_device *pdev)
++{
++      struct rpivid_dev *dev = platform_get_drvdata(pdev);
++
++      if (media_devnode_is_registered(dev->mdev.devnode)) {
++              media_device_unregister(&dev->mdev);
++              v4l2_m2m_unregister_media_controller(dev->m2m_dev);
++              media_device_cleanup(&dev->mdev);
++      }
++
++      v4l2_m2m_release(dev->m2m_dev);
++      video_unregister_device(&dev->vfd);
++      v4l2_device_unregister(&dev->v4l2_dev);
++
++      rpivid_hw_remove(dev);
++
++      return 0;
++}
++
++static const struct of_device_id rpivid_dt_match[] = {
++      {
++              .compatible = "raspberrypi,rpivid-vid-decoder",
++      },
++      { /* sentinel */ }
++};
++MODULE_DEVICE_TABLE(of, rpivid_dt_match);
++
++static struct platform_driver rpivid_driver = {
++      .probe          = rpivid_probe,
++      .remove         = rpivid_remove,
++      .driver         = {
++              .name = RPIVID_NAME,
++              .of_match_table = of_match_ptr(rpivid_dt_match),
++      },
++};
++module_platform_driver(rpivid_driver);
++
++MODULE_LICENSE("GPL v2");
++MODULE_AUTHOR("John Cox <jc@kynesim.co.uk>");
++MODULE_DESCRIPTION("Raspberry Pi HEVC V4L2 driver");
+--- /dev/null
++++ b/drivers/staging/media/rpivid/rpivid.h
+@@ -0,0 +1,181 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Raspberry Pi HEVC driver
++ *
++ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
++ *
++ * Based on the Cedrus VPU driver, that is:
++ *
++ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
++ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
++ * Copyright (C) 2018 Bootlin
++ */
++
++#ifndef _RPIVID_H_
++#define _RPIVID_H_
++
++#include <linux/clk.h>
++#include <linux/platform_device.h>
++#include <media/v4l2-ctrls.h>
++#include <media/v4l2-device.h>
++#include <media/v4l2-mem2mem.h>
++#include <media/videobuf2-v4l2.h>
++#include <media/videobuf2-dma-contig.h>
++
++#define OPT_DEBUG_POLL_IRQ  0
++
++#define RPIVID_NAME                   "rpivid"
++
++#define RPIVID_CAPABILITY_UNTILED     BIT(0)
++#define RPIVID_CAPABILITY_H265_DEC    BIT(1)
++
++#define RPIVID_QUIRK_NO_DMA_OFFSET    BIT(0)
++
++#define RPIVID_SRC_PIXELFORMAT_DEFAULT        V4L2_PIX_FMT_HEVC_SLICE
++
++enum rpivid_irq_status {
++      RPIVID_IRQ_NONE,
++      RPIVID_IRQ_ERROR,
++      RPIVID_IRQ_OK,
++};
++
++struct rpivid_control {
++      struct v4l2_ctrl_config cfg;
++      unsigned char           required:1;
++};
++
++struct rpivid_h265_run {
++      const struct v4l2_ctrl_hevc_sps                 *sps;
++      const struct v4l2_ctrl_hevc_pps                 *pps;
++      const struct v4l2_ctrl_hevc_slice_params        *slice_params;
++      const struct v4l2_ctrl_hevc_scaling_matrix      *scaling_matrix;
++};
++
++struct rpivid_run {
++      struct vb2_v4l2_buffer  *src;
++      struct vb2_v4l2_buffer  *dst;
++
++      struct rpivid_h265_run  h265;
++};
++
++struct rpivid_buffer {
++      struct v4l2_m2m_buffer          m2m_buf;
++};
++
++struct rpivid_dec_state;
++struct rpivid_dec_env;
++#define RPIVID_DEC_ENV_COUNT 3
++
++struct rpivid_gptr {
++      size_t size;
++      __u8 *ptr;
++      dma_addr_t addr;
++      unsigned long attrs;
++};
++
++struct rpivid_dev;
++typedef void (*rpivid_irq_callback)(struct rpivid_dev *dev, void *ctx);
++
++struct rpivid_q_aux;
++#define RPIVID_AUX_ENT_COUNT VB2_MAX_FRAME
++
++#define RPIVID_P2BUF_COUNT 2
++
++struct rpivid_ctx {
++      struct v4l2_fh                  fh;
++      struct rpivid_dev               *dev;
++
++      struct v4l2_pix_format          src_fmt;
++      struct v4l2_pix_format          dst_fmt;
++      int dst_fmt_set;
++
++      struct v4l2_ctrl_handler        hdl;
++      struct v4l2_ctrl                **ctrls;
++
++      /* Decode state - stateless decoder my *** */
++      /* state contains stuff that is only needed in phase0
++       * it could be held in dec_env but that would be wasteful
++       */
++      struct rpivid_dec_state *state;
++      struct rpivid_dec_env *dec0;
++
++      /* Spinlock protecting dec_free */
++      spinlock_t dec_lock;
++      struct rpivid_dec_env *dec_free;
++
++      struct rpivid_dec_env *dec_pool;
++
++      /* Some of these should be in dev */
++      struct rpivid_gptr bitbufs[1];  /* Will be 2 */
++      struct rpivid_gptr cmdbufs[1];  /* Will be 2 */
++      unsigned int p2idx;
++      atomic_t p2out;
++      struct rpivid_gptr pu_bufs[RPIVID_P2BUF_COUNT];
++      struct rpivid_gptr coeff_bufs[RPIVID_P2BUF_COUNT];
++
++      /* Spinlock protecting aux_free */
++      spinlock_t aux_lock;
++      struct rpivid_q_aux *aux_free;
++
++      struct rpivid_q_aux *aux_ents[RPIVID_AUX_ENT_COUNT];
++
++      unsigned int colmv_stride;
++      unsigned int colmv_picsize;
++};
++
++struct rpivid_dec_ops {
++      void (*setup)(struct rpivid_ctx *ctx, struct rpivid_run *run);
++      int (*start)(struct rpivid_ctx *ctx);
++      void (*stop)(struct rpivid_ctx *ctx);
++      void (*trigger)(struct rpivid_ctx *ctx);
++};
++
++struct rpivid_variant {
++      unsigned int    capabilities;
++      unsigned int    quirks;
++      unsigned int    mod_rate;
++};
++
++struct rpivid_hw_irq_ent;
++
++struct rpivid_hw_irq_ctrl {
++      /* Spinlock protecting claim and tail */
++      spinlock_t lock;
++      struct rpivid_hw_irq_ent *claim;
++      struct rpivid_hw_irq_ent *tail;
++
++      /* Ent for pending irq - also prevents sched */
++      struct rpivid_hw_irq_ent *irq;
++      /* Non-zero => do not start a new job - outer layer sched pending */
++      int no_sched;
++      /* Thread CB requested */
++      bool thread_reqed;
++};
++
++struct rpivid_dev {
++      struct v4l2_device      v4l2_dev;
++      struct video_device     vfd;
++      struct media_device     mdev;
++      struct media_pad        pad[2];
++      struct platform_device  *pdev;
++      struct device           *dev;
++      struct v4l2_m2m_dev     *m2m_dev;
++      struct rpivid_dec_ops   *dec_ops;
++
++      /* Device file mutex */
++      struct mutex            dev_mutex;
++
++      void __iomem            *base_irq;
++      void __iomem            *base_h265;
++
++      struct clk              *clock;
++
++      struct rpivid_hw_irq_ctrl ic_active1;
++      struct rpivid_hw_irq_ctrl ic_active2;
++};
++
++extern struct rpivid_dec_ops rpivid_dec_ops_h265;
++
++void *rpivid_find_control_data(struct rpivid_ctx *ctx, u32 id);
++
++#endif
+--- /dev/null
++++ b/drivers/staging/media/rpivid/rpivid_dec.c
+@@ -0,0 +1,79 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * Raspberry Pi HEVC driver
++ *
++ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
++ *
++ * Based on the Cedrus VPU driver, that is:
++ *
++ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
++ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
++ * Copyright (C) 2018 Bootlin
++ */
++
++#include <media/v4l2-device.h>
++#include <media/v4l2-ioctl.h>
++#include <media/v4l2-event.h>
++#include <media/v4l2-mem2mem.h>
++
++#include "rpivid.h"
++#include "rpivid_dec.h"
++
++void rpivid_device_run(void *priv)
++{
++      struct rpivid_ctx *ctx = priv;
++      struct rpivid_dev *dev = ctx->dev;
++      struct rpivid_run run = {};
++      struct media_request *src_req;
++
++      run.src = v4l2_m2m_next_src_buf(ctx->fh.m2m_ctx);
++      run.dst = v4l2_m2m_next_dst_buf(ctx->fh.m2m_ctx);
++
++      if (!run.src || !run.dst) {
++              v4l2_err(&dev->v4l2_dev, "%s: Missing buffer: src=%p, dst=%p\n",
++                       __func__, run.src, run.dst);
++              /* We are stuffed - this probably won't dig us out of our
++               * current situation but it is better than nothing
++               */
++              v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
++                                               VB2_BUF_STATE_ERROR);
++              return;
++      }
++
++      /* Apply request(s) controls if needed. */
++      src_req = run.src->vb2_buf.req_obj.req;
++
++      if (src_req)
++              v4l2_ctrl_request_setup(src_req, &ctx->hdl);
++
++      switch (ctx->src_fmt.pixelformat) {
++      case V4L2_PIX_FMT_HEVC_SLICE:
++              run.h265.sps =
++                      rpivid_find_control_data(ctx,
++                                               V4L2_CID_MPEG_VIDEO_HEVC_SPS);
++              run.h265.pps =
++                      rpivid_find_control_data(ctx,
++                                               V4L2_CID_MPEG_VIDEO_HEVC_PPS);
++              run.h265.slice_params =
++                      rpivid_find_control_data(ctx,
++                                               V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS);
++              run.h265.scaling_matrix =
++                      rpivid_find_control_data(ctx,
++                                               V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX);
++              break;
++
++      default:
++              break;
++      }
++
++      v4l2_m2m_buf_copy_metadata(run.src, run.dst, true);
++
++      dev->dec_ops->setup(ctx, &run);
++
++      /* Complete request(s) controls if needed. */
++
++      if (src_req)
++              v4l2_ctrl_request_complete(src_req, &ctx->hdl);
++
++      dev->dec_ops->trigger(ctx);
++}
+--- /dev/null
++++ b/drivers/staging/media/rpivid/rpivid_dec.h
+@@ -0,0 +1,19 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Raspberry Pi HEVC driver
++ *
++ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
++ *
++ * Based on the Cedrus VPU driver, that is:
++ *
++ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
++ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
++ * Copyright (C) 2018 Bootlin
++ */
++
++#ifndef _RPIVID_DEC_H_
++#define _RPIVID_DEC_H_
++
++void rpivid_device_run(void *priv);
++
++#endif
+--- /dev/null
++++ b/drivers/staging/media/rpivid/rpivid_h265.c
+@@ -0,0 +1,2275 @@
++// SPDX-License-Identifier: GPL-2.0-or-later
++/*
++ * Raspberry Pi HEVC driver
++ *
++ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
++ *
++ * Based on the Cedrus VPU driver, that is:
++ *
++ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
++ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
++ * Copyright (C) 2018 Bootlin
++ */
++
++#include <linux/delay.h>
++#include <linux/types.h>
++
++#include <media/videobuf2-dma-contig.h>
++
++#include "rpivid.h"
++#include "rpivid_hw.h"
++
++#define DEBUG_TRACE_P1_CMD 0
++#define DEBUG_TRACE_EXECUTION 0
++
++#if DEBUG_TRACE_EXECUTION
++#define xtrace_in(dev_, de_)\
++      v4l2_info(&(dev_)->v4l2_dev, "%s[%d]: in\n",   __func__,\
++                (de_) == NULL ? -1 : (de_)->decode_order)
++#define xtrace_ok(dev_, de_)\
++      v4l2_info(&(dev_)->v4l2_dev, "%s[%d]: ok\n",   __func__,\
++                (de_) == NULL ? -1 : (de_)->decode_order)
++#define xtrace_fin(dev_, de_)\
++      v4l2_info(&(dev_)->v4l2_dev, "%s[%d]: finish\n", __func__,\
++                (de_) == NULL ? -1 : (de_)->decode_order)
++#define xtrace_fail(dev_, de_)\
++      v4l2_info(&(dev_)->v4l2_dev, "%s[%d]: FAIL\n", __func__,\
++                (de_) == NULL ? -1 : (de_)->decode_order)
++#else
++#define xtrace_in(dev_, de_)
++#define xtrace_ok(dev_, de_)
++#define xtrace_fin(dev_, de_)
++#define xtrace_fail(dev_, de_)
++#endif
++
++enum hevc_slice_type {
++      HEVC_SLICE_B = 0,
++      HEVC_SLICE_P = 1,
++      HEVC_SLICE_I = 2,
++};
++
++enum hevc_layer { L0 = 0, L1 = 1 };
++
++static int gptr_alloc(struct rpivid_dev *const dev, struct rpivid_gptr *gptr,
++                    size_t size, unsigned long attrs)
++{
++      gptr->size = size;
++      gptr->attrs = attrs;
++      gptr->addr = 0;
++      gptr->ptr = dma_alloc_attrs(dev->dev, gptr->size, &gptr->addr,
++                                  GFP_KERNEL, gptr->attrs);
++      return !gptr->ptr ? -ENOMEM : 0;
++}
++
++static void gptr_free(struct rpivid_dev *const dev,
++                    struct rpivid_gptr *const gptr)
++{
++      if (gptr->ptr)
++              dma_free_attrs(dev->dev, gptr->size, gptr->ptr, gptr->addr,
++                             gptr->attrs);
++      gptr->size = 0;
++      gptr->ptr = NULL;
++      gptr->addr = 0;
++      gptr->attrs = 0;
++}
++
++/* Realloc but do not copy */
++static int gptr_realloc_new(struct rpivid_dev * const dev,
++                          struct rpivid_gptr * const gptr, size_t size)
++{
++      if (size == gptr->size)
++              return 0;
++
++      if (gptr->ptr)
++              dma_free_attrs(dev->dev, gptr->size, gptr->ptr,
++                             gptr->addr, gptr->attrs);
++
++      gptr->addr = 0;
++      gptr->size = size;
++      gptr->ptr = dma_alloc_attrs(dev->dev, gptr->size,
++                                  &gptr->addr, GFP_KERNEL, gptr->attrs);
++      return gptr->ptr ? 0 : -ENOMEM;
++}
++
++/* floor(log2(x)) */
++static unsigned int log2_size(size_t x)
++{
++      unsigned int n = 0;
++
++      if (x & ~0xffff) {
++              n += 16;
++              x >>= 16;
++      }
++      if (x & ~0xff) {
++              n += 8;
++              x >>= 8;
++      }
++      if (x & ~0xf) {
++              n += 4;
++              x >>= 4;
++      }
++      if (x & ~3) {
++              n += 2;
++              x >>= 2;
++      }
++      return (x & ~1) ? n + 1 : n;
++}
++
++static size_t round_up_size(const size_t x)
++{
++      /* Admit no size < 256 */
++      const unsigned int n = x < 256 ? 8 : log2_size(x) - 1;
++
++      return x >= (3 << n) ? 4 << n : (3 << n);
++}
++
++static size_t next_size(const size_t x)
++{
++      return round_up_size(x + 1);
++}
++
++#define NUM_SCALING_FACTORS 4064 /* Not a typo = 0xbe0 + 0x400 */
++
++#define AXI_BASE64 0
++
++#define PROB_BACKUP ((20 << 12) + (20 << 6) + (0 << 0))
++#define PROB_RELOAD ((20 << 12) + (20 << 0) + (0 << 6))
++
++#define HEVC_MAX_REFS V4L2_HEVC_DPB_ENTRIES_NUM_MAX
++
++//////////////////////////////////////////////////////////////////////////////
++
++struct rpi_cmd {
++      u32 addr;
++      u32 data;
++} __packed;
++
++struct rpivid_q_aux {
++      unsigned int refcount;
++      unsigned int q_index;
++      struct rpivid_q_aux *next;
++      struct rpivid_gptr col;
++};
++
++//////////////////////////////////////////////////////////////////////////////
++
++enum rpivid_decode_state {
++      RPIVID_DECODE_SLICE_START,
++      RPIVID_DECODE_SLICE_CONTINUE,
++      RPIVID_DECODE_ERROR_CONTINUE,
++      RPIVID_DECODE_ERROR_DONE,
++      RPIVID_DECODE_PHASE1,
++      RPIVID_DECODE_END,
++};
++
++struct rpivid_dec_env {
++      struct rpivid_ctx *ctx;
++      struct rpivid_dec_env *next;
++
++      enum rpivid_decode_state state;
++      unsigned int decode_order;
++      int p1_status;          /* P1 status - what to realloc */
++
++      struct rpivid_dec_env *phase_wait_q_next;
++
++      struct rpi_cmd *cmd_fifo;
++      unsigned int cmd_len, cmd_max;
++      unsigned int num_slice_msgs;
++      unsigned int pic_width_in_ctbs_y;
++      unsigned int pic_height_in_ctbs_y;
++      unsigned int dpbno_col;
++      u32 reg_slicestart;
++      int collocated_from_l0_flag;
++      unsigned int wpp_entry_x;
++      unsigned int wpp_entry_y;
++
++      u32 rpi_config2;
++      u32 rpi_framesize;
++      u32 rpi_currpoc;
++
++      struct vb2_v4l2_buffer *frame_buf; // Detached dest buffer
++      unsigned int frame_c_offset;
++      unsigned int frame_stride;
++      dma_addr_t frame_addr;
++      dma_addr_t ref_addrs[16];
++      struct rpivid_q_aux *frame_aux;
++      struct rpivid_q_aux *col_aux;
++
++      dma_addr_t pu_base_vc;
++      dma_addr_t coeff_base_vc;
++      u32 pu_stride;
++      u32 coeff_stride;
++
++      struct rpivid_gptr *bit_copy_gptr;
++      size_t bit_copy_len;
++      struct rpivid_gptr *cmd_copy_gptr;
++
++      u16 slice_msgs[2 * HEVC_MAX_REFS * 8 + 3];
++      u8 scaling_factors[NUM_SCALING_FACTORS];
++
++      struct rpivid_hw_irq_ent irq_ent;
++};
++
++#define member_size(type, member) sizeof(((type *)0)->member)
++
++struct rpivid_dec_state {
++      struct v4l2_ctrl_hevc_sps sps;
++      struct v4l2_ctrl_hevc_pps pps;
++
++      // Helper vars & tables derived from sps/pps
++      unsigned int log2_ctb_size; /* log2 width of a CTB */
++      unsigned int ctb_width; /* Width in CTBs */
++      unsigned int ctb_height; /* Height in CTBs */
++      unsigned int ctb_size; /* Pic area in CTBs */
++      unsigned int num_tile_columns;
++      unsigned int num_tile_rows;
++      u8 column_width[member_size(struct v4l2_ctrl_hevc_pps,
++                                  column_width_minus1)];
++      u8 row_height[member_size(struct v4l2_ctrl_hevc_pps,
++                                row_height_minus1)];
++
++      int *col_bd;
++      int *row_bd;
++      int *ctb_addr_rs_to_ts;
++      int *ctb_addr_ts_to_rs;
++      int *tile_id;
++
++      // Aux starage for DPB
++      // Hold refs
++      struct rpivid_q_aux *ref_aux[HEVC_MAX_REFS];
++      struct rpivid_q_aux *frame_aux;
++
++      // Slice vars
++      unsigned int slice_idx;
++      bool frame_end;
++      bool slice_temporal_mvp;  /* Slice flag but constant for frame */
++
++      // Temp vars per run - don't actually need to persist
++      u8 *src_buf;
++      dma_addr_t src_addr;
++      const struct v4l2_ctrl_hevc_slice_params *sh;
++      unsigned int nb_refs[2];
++      unsigned int slice_qp;
++      unsigned int max_num_merge_cand; // 0 if I-slice
++      bool dependent_slice_segment_flag;
++};
++
++static inline int clip_int(const int x, const int lo, const int hi)
++{
++      return x < lo ? lo : x > hi ? hi : x;
++}
++
++//////////////////////////////////////////////////////////////////////////////
++// Phase 1 command and bit FIFOs
++
++#if DEBUG_TRACE_P1_CMD
++static int p1_z;
++#endif
++
++// ???? u16 addr - put in u32
++static int p1_apb_write(struct rpivid_dec_env *const de, const u16 addr,
++                      const u32 data)
++{
++      if (de->cmd_len == de->cmd_max)
++              de->cmd_fifo =
++                      krealloc(de->cmd_fifo,
++                               (de->cmd_max *= 2) * sizeof(struct rpi_cmd),
++                               GFP_KERNEL);
++      de->cmd_fifo[de->cmd_len].addr = addr;
++      de->cmd_fifo[de->cmd_len].data = data;
++
++#if DEBUG_TRACE_P1_CMD
++      if (++p1_z < 256) {
++              v4l2_info(&de->ctx->dev->v4l2_dev, "[%02x] %x %x\n",
++                        de->cmd_len, addr, data);
++      }
++#endif
++
++      return de->cmd_len++;
++}
++
++static int ctb_to_tile(unsigned int ctb, unsigned int *bd, int num)
++{
++      int i;
++
++      for (i = 1; ctb >= bd[i]; i++)
++              ; // bd[] has num+1 elements; bd[0]=0;
++      return i - 1;
++}
++
++static int ctb_to_slice_w_h(unsigned int ctb, int ctb_size, int width,
++                          unsigned int *bd, int num)
++{
++      if (ctb < bd[num - 1])
++              return ctb_size;
++      else if (width % ctb_size)
++              return width % ctb_size;
++      else
++              return ctb_size;
++}
++
++static void aux_q_free(struct rpivid_ctx *const ctx,
++                     struct rpivid_q_aux *const aq)
++{
++      struct rpivid_dev *const dev = ctx->dev;
++
++      gptr_free(dev, &aq->col);
++      kfree(aq);
++}
++
++static struct rpivid_q_aux *aux_q_alloc(struct rpivid_ctx *const ctx)
++{
++      struct rpivid_dev *const dev = ctx->dev;
++      struct rpivid_q_aux *const aq = kzalloc(sizeof(*aq), GFP_KERNEL);
++
++      if (!aq)
++              return NULL;
++
++      aq->refcount = 1;
++      if (gptr_alloc(dev, &aq->col, ctx->colmv_picsize,
++                     DMA_ATTR_FORCE_CONTIGUOUS | DMA_ATTR_NO_KERNEL_MAPPING))
++              goto fail;
++
++      return aq;
++
++fail:
++      kfree(aq);
++      return NULL;
++}
++
++static struct rpivid_q_aux *aux_q_new(struct rpivid_ctx *const ctx,
++                                    const unsigned int q_index)
++{
++      struct rpivid_q_aux *aq;
++      unsigned long lockflags;
++
++      spin_lock_irqsave(&ctx->aux_lock, lockflags);
++      aq = ctx->aux_free;
++      if (aq) {
++              ctx->aux_free = aq->next;
++              aq->next = NULL;
++              aq->refcount = 1;
++      }
++      spin_unlock_irqrestore(&ctx->aux_lock, lockflags);
++
++      if (!aq) {
++              aq = aux_q_alloc(ctx);
++              if (!aq)
++                      return NULL;
++      }
++
++      aq->q_index = q_index;
++      ctx->aux_ents[q_index] = aq;
++      return aq;
++}
++
++static struct rpivid_q_aux *aux_q_ref(struct rpivid_ctx *const ctx,
++                                    struct rpivid_q_aux *const aq)
++{
++      if (aq) {
++              unsigned long lockflags;
++
++              spin_lock_irqsave(&ctx->aux_lock, lockflags);
++
++              ++aq->refcount;
++
++              spin_unlock_irqrestore(&ctx->aux_lock, lockflags);
++      }
++      return aq;
++}
++
++static void aux_q_release(struct rpivid_ctx *const ctx,
++                        struct rpivid_q_aux **const paq)
++{
++      struct rpivid_q_aux *const aq = *paq;
++      *paq = NULL;
++
++      if (aq) {
++              unsigned long lockflags;
++
++              spin_lock_irqsave(&ctx->aux_lock, lockflags);
++
++              if (--aq->refcount == 0) {
++                      aq->next = ctx->aux_free;
++                      ctx->aux_free = aq;
++                      ctx->aux_ents[aq->q_index] = NULL;
++              }
++
++              spin_unlock_irqrestore(&ctx->aux_lock, lockflags);
++      }
++}
++
++static void aux_q_init(struct rpivid_ctx *const ctx)
++{
++      spin_lock_init(&ctx->aux_lock);
++      ctx->aux_free = NULL;
++}
++
++static void aux_q_uninit(struct rpivid_ctx *const ctx)
++{
++      struct rpivid_q_aux *aq;
++
++      ctx->colmv_picsize = 0;
++      ctx->colmv_stride = 0;
++      while ((aq = ctx->aux_free) != NULL) {
++              ctx->aux_free = aq->next;
++              aux_q_free(ctx, aq);
++      }
++}
++
++//////////////////////////////////////////////////////////////////////////////
++
++/*
++ * Initialisation process for context variables (CABAC init)
++ * see H.265 9.3.2.2
++ *
++ * N.B. If comparing with FFmpeg note that this h/w uses slightly different
++ * offsets to FFmpegs array
++ */
++
++/* Actual number of values */
++#define RPI_PROB_VALS 154U
++/* Rounded up as we copy words */
++#define RPI_PROB_ARRAY_SIZE ((154 + 3) & ~3)
++
++/* Initialiser values - see tables H.265 9-4 through 9-42 */
++static const u8 prob_init[3][156] = {
++      {
++              153, 200, 139, 141, 157, 154, 154, 154, 154, 154, 184, 154, 154,
++              154, 184, 63,  154, 154, 154, 154, 154, 154, 154, 154, 154, 154,
++              154, 154, 154, 153, 138, 138, 111, 141, 94,  138, 182, 154, 154,
++              154, 140, 92,  137, 138, 140, 152, 138, 139, 153, 74,  149, 92,
++              139, 107, 122, 152, 140, 179, 166, 182, 140, 227, 122, 197, 110,
++              110, 124, 125, 140, 153, 125, 127, 140, 109, 111, 143, 127, 111,
++              79,  108, 123, 63,  110, 110, 124, 125, 140, 153, 125, 127, 140,
++              109, 111, 143, 127, 111, 79,  108, 123, 63,  91,  171, 134, 141,
++              138, 153, 136, 167, 152, 152, 139, 139, 111, 111, 125, 110, 110,
++              94,  124, 108, 124, 107, 125, 141, 179, 153, 125, 107, 125, 141,
++              179, 153, 125, 107, 125, 141, 179, 153, 125, 140, 139, 182, 182,
++              152, 136, 152, 136, 153, 136, 139, 111, 136, 139, 111, 0,   0,
++      },
++      {
++              153, 185, 107, 139, 126, 197, 185, 201, 154, 149, 154, 139, 154,
++              154, 154, 152, 110, 122, 95,  79,  63,  31,  31,  153, 153, 168,
++              140, 198, 79,  124, 138, 94,  153, 111, 149, 107, 167, 154, 154,
++              154, 154, 196, 196, 167, 154, 152, 167, 182, 182, 134, 149, 136,
++              153, 121, 136, 137, 169, 194, 166, 167, 154, 167, 137, 182, 125,
++              110, 94,  110, 95,  79,  125, 111, 110, 78,  110, 111, 111, 95,
++              94,  108, 123, 108, 125, 110, 94,  110, 95,  79,  125, 111, 110,
++              78,  110, 111, 111, 95,  94,  108, 123, 108, 121, 140, 61,  154,
++              107, 167, 91,  122, 107, 167, 139, 139, 155, 154, 139, 153, 139,
++              123, 123, 63,  153, 166, 183, 140, 136, 153, 154, 166, 183, 140,
++              136, 153, 154, 166, 183, 140, 136, 153, 154, 170, 153, 123, 123,
++              107, 121, 107, 121, 167, 151, 183, 140, 151, 183, 140, 0,   0,
++      },
++      {
++              153, 160, 107, 139, 126, 197, 185, 201, 154, 134, 154, 139, 154,
++              154, 183, 152, 154, 137, 95,  79,  63,  31,  31,  153, 153, 168,
++              169, 198, 79,  224, 167, 122, 153, 111, 149, 92,  167, 154, 154,
++              154, 154, 196, 167, 167, 154, 152, 167, 182, 182, 134, 149, 136,
++              153, 121, 136, 122, 169, 208, 166, 167, 154, 152, 167, 182, 125,
++              110, 124, 110, 95,  94,  125, 111, 111, 79,  125, 126, 111, 111,
++              79,  108, 123, 93,  125, 110, 124, 110, 95,  94,  125, 111, 111,
++              79,  125, 126, 111, 111, 79,  108, 123, 93,  121, 140, 61,  154,
++              107, 167, 91,  107, 107, 167, 139, 139, 170, 154, 139, 153, 139,
++              123, 123, 63,  124, 166, 183, 140, 136, 153, 154, 166, 183, 140,
++              136, 153, 154, 166, 183, 140, 136, 153, 154, 170, 153, 138, 138,
++              122, 121, 122, 121, 167, 151, 183, 140, 151, 183, 140, 0,   0,
++      },
++};
++
++static void write_prob(struct rpivid_dec_env *const de,
++                     const struct rpivid_dec_state *const s)
++{
++      u8 dst[RPI_PROB_ARRAY_SIZE];
++
++      const unsigned int init_type =
++              ((s->sh->flags & V4L2_HEVC_SLICE_PARAMS_FLAG_CABAC_INIT) != 0 &&
++               s->sh->slice_type != HEVC_SLICE_I) ?
++                      s->sh->slice_type + 1 :
++                      2 - s->sh->slice_type;
++      const u8 *p = prob_init[init_type];
++      const int q = clip_int(s->slice_qp, 0, 51);
++      unsigned int i;
++
++      for (i = 0; i < RPI_PROB_VALS; i++) {
++              int init_value = p[i];
++              int m = (init_value >> 4) * 5 - 45;
++              int n = ((init_value & 15) << 3) - 16;
++              int pre = 2 * (((m * q) >> 4) + n) - 127;
++
++              pre ^= pre >> 31;
++              if (pre > 124)
++                      pre = 124 + (pre & 1);
++              dst[i] = pre;
++      }
++      for (i = RPI_PROB_VALS; i != RPI_PROB_ARRAY_SIZE; ++i)
++              dst[i] = 0;
++
++      for (i = 0; i < RPI_PROB_ARRAY_SIZE; i += 4)
++              p1_apb_write(de, 0x1000 + i,
++                           dst[i] + (dst[i + 1] << 8) + (dst[i + 2] << 16) +
++                                   (dst[i + 3] << 24));
++}
++
++static void write_scaling_factors(struct rpivid_dec_env *const de)
++{
++      int i;
++      const u8 *p = (u8 *)de->scaling_factors;
++
++      for (i = 0; i < NUM_SCALING_FACTORS; i += 4, p += 4)
++              p1_apb_write(de, 0x2000 + i,
++                           p[0] + (p[1] << 8) + (p[2] << 16) + (p[3] << 24));
++}
++
++static inline __u32 dma_to_axi_addr(dma_addr_t a)
++{
++      return (__u32)(a >> 6);
++}
++
++static void write_bitstream(struct rpivid_dec_env *const de,
++                          const struct rpivid_dec_state *const s)
++{
++      // Note that FFmpeg removes emulation prevention bytes, so this is
++      // matched in the configuration here.
++      // Whether that is the correct behaviour or not is not clear in the
++      // spec.
++      const int rpi_use_emu = 1;
++      unsigned int offset = s->sh->data_bit_offset / 8 + 1;
++      const unsigned int len = (s->sh->bit_size + 7) / 8 - offset;
++      dma_addr_t addr;
++
++      if (s->src_addr != 0) {
++              addr = s->src_addr + offset;
++      } else {
++              memcpy(de->bit_copy_gptr->ptr + de->bit_copy_len,
++                     s->src_buf + offset, len);
++              addr = de->bit_copy_gptr->addr + de->bit_copy_len;
++              de->bit_copy_len += (len + 63) & ~63;
++      }
++      offset = addr & 63;
++
++      p1_apb_write(de, RPI_BFBASE, dma_to_axi_addr(addr));
++      p1_apb_write(de, RPI_BFNUM, len);
++      p1_apb_write(de, RPI_BFCONTROL, offset + (1 << 7)); // Stop
++      p1_apb_write(de, RPI_BFCONTROL, offset + (rpi_use_emu << 6));
++}
++
++//////////////////////////////////////////////////////////////////////////////
++
++static void write_slice(struct rpivid_dec_env *const de,
++                      const struct rpivid_dec_state *const s,
++                      const unsigned int slice_w,
++                      const unsigned int slice_h)
++{
++      u32 u32 = (s->sh->slice_type << 12) +
++                (((s->sh->flags &
++                   V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_SAO_LUMA) != 0)
++                 << 14) +
++                (((s->sh->flags &
++                   V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_SAO_CHROMA) != 0)
++                 << 15) +
++                (slice_w << 17) + (slice_h << 24);
++
++      u32 |= (s->max_num_merge_cand << 0) + (s->nb_refs[L0] << 4) +
++             (s->nb_refs[L1] << 8);
++
++      if (s->sh->slice_type == HEVC_SLICE_B)
++              u32 |= ((s->sh->flags &
++                       V4L2_HEVC_SLICE_PARAMS_FLAG_MVD_L1_ZERO) != 0)
++                     << 16;
++      p1_apb_write(de, RPI_SLICE, u32);
++}
++
++//////////////////////////////////////////////////////////////////////////////
++// Tiles mode
++
++static void new_entry_point(struct rpivid_dec_env *const de,
++                          const struct rpivid_dec_state *const s,
++                          const int do_bte,
++                          const int reset_qp_y, const int ctb_addr_ts)
++{
++      int ctb_col = s->ctb_addr_ts_to_rs[ctb_addr_ts] %
++                                                      de->pic_width_in_ctbs_y;
++      int ctb_row = s->ctb_addr_ts_to_rs[ctb_addr_ts] /
++                                                      de->pic_width_in_ctbs_y;
++
++      int tile_x = ctb_to_tile(ctb_col, s->col_bd, s->num_tile_columns);
++      int tile_y = ctb_to_tile(ctb_row, s->row_bd, s->num_tile_rows);
++
++      int endx = s->col_bd[tile_x + 1] - 1;
++      int endy = s->row_bd[tile_y + 1] - 1;
++
++      u8 slice_w = ctb_to_slice_w_h(ctb_col, 1 << s->log2_ctb_size,
++                                    s->sps.pic_width_in_luma_samples,
++                                    s->col_bd, s->num_tile_columns);
++      u8 slice_h = ctb_to_slice_w_h(ctb_row, 1 << s->log2_ctb_size,
++                                    s->sps.pic_height_in_luma_samples,
++                                    s->row_bd, s->num_tile_rows);
++
++      p1_apb_write(de, RPI_TILESTART,
++                   s->col_bd[tile_x] + (s->row_bd[tile_y] << 16));
++      p1_apb_write(de, RPI_TILEEND, endx + (endy << 16));
++
++      if (do_bte)
++              p1_apb_write(de, RPI_BEGINTILEEND, endx + (endy << 16));
++
++      write_slice(de, s, slice_w, slice_h);
++
++      if (reset_qp_y) {
++              unsigned int sps_qp_bd_offset =
++                      6 * s->sps.bit_depth_luma_minus8;
++
++              p1_apb_write(de, RPI_QP, sps_qp_bd_offset + s->slice_qp);
++      }
++
++      p1_apb_write(de, RPI_MODE,
++                   (0xFFFF << 0) + (0x0 << 16) +
++                           ((tile_x == s->num_tile_columns - 1) << 17) +
++                           ((tile_y == s->num_tile_rows - 1) << 18));
++
++      p1_apb_write(de, RPI_CONTROL, (ctb_col << 0) + (ctb_row << 16));
++}
++
++//////////////////////////////////////////////////////////////////////////////
++
++static void new_slice_segment(struct rpivid_dec_env *const de,
++                            const struct rpivid_dec_state *const s)
++{
++      const struct v4l2_ctrl_hevc_sps *const sps = &s->sps;
++      const struct v4l2_ctrl_hevc_pps *const pps = &s->pps;
++
++      p1_apb_write(de,
++                   RPI_SPS0,
++                   ((sps->log2_min_luma_coding_block_size_minus3 + 3) << 0) |
++                   (s->log2_ctb_size << 4) |
++                   ((sps->log2_min_luma_transform_block_size_minus2 + 2)
++                                                      << 8) |
++                   ((sps->log2_min_luma_transform_block_size_minus2 + 2 +
++                     sps->log2_diff_max_min_luma_transform_block_size)
++                                              << 12) |
++                   ((sps->bit_depth_luma_minus8 + 8) << 16) |
++                   ((sps->bit_depth_chroma_minus8 + 8) << 20) |
++                   (sps->max_transform_hierarchy_depth_intra << 24) |
++                   (sps->max_transform_hierarchy_depth_inter << 28));
++
++      p1_apb_write(de,
++                   RPI_SPS1,
++                   ((sps->pcm_sample_bit_depth_luma_minus1 + 1) << 0) |
++                   ((sps->pcm_sample_bit_depth_chroma_minus1 + 1) << 4) |
++                   ((sps->log2_min_pcm_luma_coding_block_size_minus3 + 3)
++                                              << 8) |
++                   ((sps->log2_min_pcm_luma_coding_block_size_minus3 + 3 +
++                     sps->log2_diff_max_min_pcm_luma_coding_block_size)
++                                              << 12) |
++                   (((sps->flags & V4L2_HEVC_SPS_FLAG_SEPARATE_COLOUR_PLANE) ?
++                              0 : sps->chroma_format_idc) << 16) |
++                   ((!!(sps->flags & V4L2_HEVC_SPS_FLAG_AMP_ENABLED)) << 18) |
++                   ((!!(sps->flags & V4L2_HEVC_SPS_FLAG_PCM_ENABLED)) << 19) |
++                   ((!!(sps->flags & V4L2_HEVC_SPS_FLAG_SCALING_LIST_ENABLED))
++                                              << 20) |
++                   ((!!(sps->flags &
++                         V4L2_HEVC_SPS_FLAG_STRONG_INTRA_SMOOTHING_ENABLED))
++                                              << 21));
++
++      p1_apb_write(de,
++                   RPI_PPS,
++                   ((s->log2_ctb_size - pps->diff_cu_qp_delta_depth) << 0) |
++                   ((!!(pps->flags & V4L2_HEVC_PPS_FLAG_CU_QP_DELTA_ENABLED))
++                                               << 4) |
++                   ((!!(pps->flags &
++                              V4L2_HEVC_PPS_FLAG_TRANSQUANT_BYPASS_ENABLED))
++                                               << 5) |
++                   ((!!(pps->flags & V4L2_HEVC_PPS_FLAG_TRANSFORM_SKIP_ENABLED))
++                                               << 6) |
++                   ((!!(pps->flags &
++                              V4L2_HEVC_PPS_FLAG_SIGN_DATA_HIDING_ENABLED))
++                                              << 7) |
++                   (((pps->pps_cb_qp_offset + s->sh->slice_cb_qp_offset) & 255)
++                                              << 8) |
++                   (((pps->pps_cr_qp_offset + s->sh->slice_cr_qp_offset) & 255)
++                                              << 16) |
++                   ((!!(pps->flags &
++                              V4L2_HEVC_PPS_FLAG_CONSTRAINED_INTRA_PRED))
++                                              << 24));
++
++      if ((sps->flags & V4L2_HEVC_SPS_FLAG_SCALING_LIST_ENABLED) != 0)
++              write_scaling_factors(de);
++
++      if (!s->dependent_slice_segment_flag) {
++              int ctb_col = s->sh->slice_segment_addr %
++                                                      de->pic_width_in_ctbs_y;
++              int ctb_row = s->sh->slice_segment_addr /
++                                                      de->pic_width_in_ctbs_y;
++
++              de->reg_slicestart = (ctb_col << 0) + (ctb_row << 16);
++      }
++
++      p1_apb_write(de, RPI_SLICESTART, de->reg_slicestart);
++}
++
++//////////////////////////////////////////////////////////////////////////////
++// Slice messages
++
++static void msg_slice(struct rpivid_dec_env *const de, const u16 msg)
++{
++      de->slice_msgs[de->num_slice_msgs++] = msg;
++}
++
++static void program_slicecmds(struct rpivid_dec_env *const de,
++                            const int sliceid)
++{
++      int i;
++
++      p1_apb_write(de, RPI_SLICECMDS, de->num_slice_msgs + (sliceid << 8));
++
++      for (i = 0; i < de->num_slice_msgs; i++)
++              p1_apb_write(de, 0x4000 + 4 * i, de->slice_msgs[i] & 0xffff);
++}
++
++// NoBackwardPredictionFlag 8.3.5
++// Simply checks POCs
++static int has_backward(const struct v4l2_hevc_dpb_entry *const dpb,
++                      const __u8 *const idx, const unsigned int n,
++                      const unsigned int cur_poc)
++{
++      unsigned int i;
++
++      for (i = 0; i < n; ++i) {
++              // Compare mod 2^16
++              // We only get u16 pocs & 8.3.1 says
++              // "The bitstream shall not contain data that result in values
++              //  of DiffPicOrderCnt( picA, picB ) used in the decoding
++              //  process that are not in the range of −2^15 to 2^15 − 1,
++              //  inclusive."
++              if (((cur_poc - dpb[idx[i]].pic_order_cnt[0]) & 0x8000) != 0)
++                      return 0;
++      }
++      return 1;
++}
++
++static void pre_slice_decode(struct rpivid_dec_env *const de,
++                           const struct rpivid_dec_state *const s)
++{
++      const struct v4l2_ctrl_hevc_slice_params *const sh = s->sh;
++      int weighted_pred_flag, idx;
++      u16 cmd_slice;
++      unsigned int collocated_from_l0_flag;
++
++      de->num_slice_msgs = 0;
++
++      cmd_slice = 0;
++      if (sh->slice_type == HEVC_SLICE_I)
++              cmd_slice = 1;
++      if (sh->slice_type == HEVC_SLICE_P)
++              cmd_slice = 2;
++      if (sh->slice_type == HEVC_SLICE_B)
++              cmd_slice = 3;
++
++      cmd_slice |= (s->nb_refs[L0] << 2) | (s->nb_refs[L1] << 6) |
++                   (s->max_num_merge_cand << 11);
++
++      collocated_from_l0_flag =
++              !s->slice_temporal_mvp ||
++              sh->slice_type != HEVC_SLICE_B ||
++              (sh->flags & V4L2_HEVC_SLICE_PARAMS_FLAG_COLLOCATED_FROM_L0);
++      cmd_slice |= collocated_from_l0_flag << 14;
++
++      if (sh->slice_type == HEVC_SLICE_P || sh->slice_type == HEVC_SLICE_B) {
++              // Flag to say all reference pictures are from the past
++              const int no_backward_pred_flag =
++                      has_backward(sh->dpb, sh->ref_idx_l0, s->nb_refs[L0],
++                                   sh->slice_pic_order_cnt) &&
++                      has_backward(sh->dpb, sh->ref_idx_l1, s->nb_refs[L1],
++                                   sh->slice_pic_order_cnt);
++              cmd_slice |= no_backward_pred_flag << 10;
++              msg_slice(de, cmd_slice);
++
++              if (s->slice_temporal_mvp) {
++                      const __u8 *const rpl = collocated_from_l0_flag ?
++                                              sh->ref_idx_l0 : sh->ref_idx_l1;
++                      de->dpbno_col = rpl[sh->collocated_ref_idx];
++                      //v4l2_info(&de->ctx->dev->v4l2_dev,
++                      //          "L0=%d col_ref_idx=%d,
++                      //          dpb_no=%d\n", collocated_from_l0_flag,
++                      //          sh->collocated_ref_idx, de->dpbno_col);
++              }
++
++              // Write reference picture descriptions
++              weighted_pred_flag =
++                      sh->slice_type == HEVC_SLICE_P ?
++                              !!(s->pps.flags & V4L2_HEVC_PPS_FLAG_WEIGHTED_PRED) :
++                              !!(s->pps.flags & V4L2_HEVC_PPS_FLAG_WEIGHTED_BIPRED);
++
++              for (idx = 0; idx < s->nb_refs[L0]; ++idx) {
++                      unsigned int dpb_no = sh->ref_idx_l0[idx];
++                      //v4l2_info(&de->ctx->dev->v4l2_dev,
++                      //        "L0[%d]=dpb[%d]\n", idx, dpb_no);
++
++                      msg_slice(de,
++                                dpb_no |
++                                (sh->dpb[dpb_no].rps ==
++                                      V4L2_HEVC_DPB_ENTRY_RPS_LT_CURR ?
++                                               (1 << 4) : 0) |
++                                (weighted_pred_flag ? (3 << 5) : 0));
++                      msg_slice(de, sh->dpb[dpb_no].pic_order_cnt[0]);
++
++                      if (weighted_pred_flag) {
++                              const struct v4l2_hevc_pred_weight_table
++                                      *const w = &sh->pred_weight_table;
++                              const int luma_weight_denom =
++                                      (1 << w->luma_log2_weight_denom);
++                              const unsigned int chroma_log2_weight_denom =
++                                      (w->luma_log2_weight_denom +
++                                       w->delta_chroma_log2_weight_denom);
++                              const int chroma_weight_denom =
++                                      (1 << chroma_log2_weight_denom);
++
++                              msg_slice(de,
++                                        w->luma_log2_weight_denom |
++                                        (((w->delta_luma_weight_l0[idx] +
++                                           luma_weight_denom) & 0x1ff)
++                                               << 3));
++                              msg_slice(de, w->luma_offset_l0[idx] & 0xff);
++                              msg_slice(de,
++                                        chroma_log2_weight_denom |
++                                        (((w->delta_chroma_weight_l0[idx][0] +
++                                           chroma_weight_denom) & 0x1ff)
++                                                 << 3));
++                              msg_slice(de,
++                                        w->chroma_offset_l0[idx][0] & 0xff);
++                              msg_slice(de,
++                                        chroma_log2_weight_denom |
++                                        (((w->delta_chroma_weight_l0[idx][1] +
++                                           chroma_weight_denom) & 0x1ff)
++                                                 << 3));
++                              msg_slice(de,
++                                        w->chroma_offset_l0[idx][1] & 0xff);
++                      }
++              }
++
++              for (idx = 0; idx < s->nb_refs[L1]; ++idx) {
++                      unsigned int dpb_no = sh->ref_idx_l1[idx];
++                      //v4l2_info(&de->ctx->dev->v4l2_dev,
++                      //          "L1[%d]=dpb[%d]\n", idx, dpb_no);
++                      msg_slice(de,
++                                dpb_no |
++                                (sh->dpb[dpb_no].rps ==
++                                       V4L2_HEVC_DPB_ENTRY_RPS_LT_CURR ?
++                                               (1 << 4) : 0) |
++                                      (weighted_pred_flag ? (3 << 5) : 0));
++                      msg_slice(de, sh->dpb[dpb_no].pic_order_cnt[0]);
++                      if (weighted_pred_flag) {
++                              const struct v4l2_hevc_pred_weight_table
++                                      *const w = &sh->pred_weight_table;
++                              const int luma_weight_denom =
++                                      (1 << w->luma_log2_weight_denom);
++                              const unsigned int chroma_log2_weight_denom =
++                                      (w->luma_log2_weight_denom +
++                                       w->delta_chroma_log2_weight_denom);
++                              const int chroma_weight_denom =
++                                      (1 << chroma_log2_weight_denom);
++
++                              msg_slice(de,
++                                        w->luma_log2_weight_denom |
++                                        (((w->delta_luma_weight_l1[idx] +
++                                           luma_weight_denom) & 0x1ff) << 3));
++                              msg_slice(de, w->luma_offset_l1[idx] & 0xff);
++                              msg_slice(de,
++                                        chroma_log2_weight_denom |
++                                        (((w->delta_chroma_weight_l1[idx][0] +
++                                           chroma_weight_denom) & 0x1ff)
++                                                      << 3));
++                              msg_slice(de,
++                                        w->chroma_offset_l1[idx][0] & 0xff);
++                              msg_slice(de,
++                                        chroma_log2_weight_denom |
++                                        (((w->delta_chroma_weight_l1[idx][1] +
++                                           chroma_weight_denom) & 0x1ff)
++                                                 << 3));
++                              msg_slice(de,
++                                        w->chroma_offset_l1[idx][1] & 0xff);
++                      }
++              }
++      } else {
++              msg_slice(de, cmd_slice);
++      }
++
++      msg_slice(de,
++                (sh->slice_beta_offset_div2 & 15) |
++                ((sh->slice_tc_offset_div2 & 15) << 4) |
++                ((sh->flags &
++                  V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_DEBLOCKING_FILTER_DISABLED) ?
++                                              1 << 8 : 0) |
++                ((sh->flags &
++                        V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_LOOP_FILTER_ACROSS_SLICES_ENABLED) ?
++                                              1 << 9 : 0) |
++                ((s->pps.flags &
++                        V4L2_HEVC_PPS_FLAG_LOOP_FILTER_ACROSS_TILES_ENABLED) ?
++                                              1 << 10 : 0));
++
++      msg_slice(de, ((sh->slice_cr_qp_offset & 31) << 5) +
++                     (sh->slice_cb_qp_offset & 31)); // CMD_QPOFF
++}
++
++//////////////////////////////////////////////////////////////////////////////
++// Write STATUS register with expected end CTU address of previous slice
++
++static void end_previous_slice(struct rpivid_dec_env *const de,
++                             const struct rpivid_dec_state *const s,
++                             const int ctb_addr_ts)
++{
++      int last_x =
++              s->ctb_addr_ts_to_rs[ctb_addr_ts - 1] % de->pic_width_in_ctbs_y;
++      int last_y =
++              s->ctb_addr_ts_to_rs[ctb_addr_ts - 1] / de->pic_width_in_ctbs_y;
++
++      p1_apb_write(de, RPI_STATUS, 1 + (last_x << 5) + (last_y << 18));
++}
++
++static void wpp_pause(struct rpivid_dec_env *const de, int ctb_row)
++{
++      p1_apb_write(de, RPI_STATUS, (ctb_row << 18) + 0x25);
++      p1_apb_write(de, RPI_TRANSFER, PROB_BACKUP);
++      p1_apb_write(de, RPI_MODE,
++                   ctb_row == de->pic_height_in_ctbs_y - 1 ?
++                                                      0x70000 : 0x30000);
++      p1_apb_write(de, RPI_CONTROL, (ctb_row << 16) + 2);
++}
++
++static void wpp_end_previous_slice(struct rpivid_dec_env *const de,
++                                 const struct rpivid_dec_state *const s,
++                                 int ctb_addr_ts)
++{
++      int new_x = s->sh->slice_segment_addr % de->pic_width_in_ctbs_y;
++      int new_y = s->sh->slice_segment_addr / de->pic_width_in_ctbs_y;
++      int last_x =
++              s->ctb_addr_ts_to_rs[ctb_addr_ts - 1] % de->pic_width_in_ctbs_y;
++      int last_y =
++              s->ctb_addr_ts_to_rs[ctb_addr_ts - 1] / de->pic_width_in_ctbs_y;
++
++      if (de->wpp_entry_x < 2 && (de->wpp_entry_y < new_y || new_x > 2) &&
++          de->pic_width_in_ctbs_y > 2)
++              wpp_pause(de, last_y);
++      p1_apb_write(de, RPI_STATUS, 1 + (last_x << 5) + (last_y << 18));
++      if (new_x == 2 || (de->pic_width_in_ctbs_y == 2 &&
++                         de->wpp_entry_y < new_y))
++              p1_apb_write(de, RPI_TRANSFER, PROB_BACKUP);
++}
++
++//////////////////////////////////////////////////////////////////////////////
++// Wavefront mode
++
++static void wpp_entry_point(struct rpivid_dec_env *const de,
++                          const struct rpivid_dec_state *const s,
++                          const int do_bte,
++                          const int reset_qp_y, const int ctb_addr_ts)
++{
++      int ctb_size = 1 << s->log2_ctb_size;
++      int ctb_addr_rs = s->ctb_addr_ts_to_rs[ctb_addr_ts];
++
++      int ctb_col = de->wpp_entry_x = ctb_addr_rs % de->pic_width_in_ctbs_y;
++      int ctb_row = de->wpp_entry_y = ctb_addr_rs / de->pic_width_in_ctbs_y;
++
++      int endx = de->pic_width_in_ctbs_y - 1;
++      int endy = ctb_row;
++
++      u8 slice_w = ctb_to_slice_w_h(ctb_col, ctb_size,
++                                    s->sps.pic_width_in_luma_samples,
++                                    s->col_bd, s->num_tile_columns);
++      u8 slice_h = ctb_to_slice_w_h(ctb_row, ctb_size,
++                                    s->sps.pic_height_in_luma_samples,
++                                    s->row_bd, s->num_tile_rows);
++
++      p1_apb_write(de, RPI_TILESTART, 0);
++      p1_apb_write(de, RPI_TILEEND, endx + (endy << 16));
++
++      if (do_bte)
++              p1_apb_write(de, RPI_BEGINTILEEND, endx + (endy << 16));
++
++      write_slice(de, s, slice_w,
++                  ctb_row == de->pic_height_in_ctbs_y - 1 ?
++                                                      slice_h : ctb_size);
++
++      if (reset_qp_y) {
++              unsigned int sps_qp_bd_offset =
++                      6 * s->sps.bit_depth_luma_minus8;
++
++              p1_apb_write(de, RPI_QP, sps_qp_bd_offset + s->slice_qp);
++      }
++
++      p1_apb_write(de, RPI_MODE,
++                   ctb_row == de->pic_height_in_ctbs_y - 1 ?
++                                                      0x60001 : 0x20001);
++      p1_apb_write(de, RPI_CONTROL, (ctb_col << 0) + (ctb_row << 16));
++}
++
++//////////////////////////////////////////////////////////////////////////////
++// Wavefront mode
++
++static void wpp_decode_slice(struct rpivid_dec_env *const de,
++                           const struct rpivid_dec_state *const s,
++                           const struct v4l2_ctrl_hevc_slice_params *sh,
++                           int ctb_addr_ts)
++{
++      int i, reset_qp_y = 1;
++      int indep = !s->dependent_slice_segment_flag;
++      int ctb_col = s->sh->slice_segment_addr % de->pic_width_in_ctbs_y;
++
++      if (ctb_addr_ts)
++              wpp_end_previous_slice(de, s, ctb_addr_ts);
++      pre_slice_decode(de, s);
++      write_bitstream(de, s);
++      if (ctb_addr_ts == 0 || indep || de->pic_width_in_ctbs_y == 1)
++              write_prob(de, s);
++      else if (ctb_col == 0)
++              p1_apb_write(de, RPI_TRANSFER, PROB_RELOAD);
++      else
++              reset_qp_y = 0;
++      program_slicecmds(de, s->slice_idx);
++      new_slice_segment(de, s);
++      wpp_entry_point(de, s, indep, reset_qp_y, ctb_addr_ts);
++
++      for (i = 0; i < s->sh->num_entry_point_offsets; i++) {
++              int ctb_addr_rs = s->ctb_addr_ts_to_rs[ctb_addr_ts];
++              int ctb_row = ctb_addr_rs / de->pic_width_in_ctbs_y;
++              int last_x = de->pic_width_in_ctbs_y - 1;
++
++              if (de->pic_width_in_ctbs_y > 2)
++                      wpp_pause(de, ctb_row);
++              p1_apb_write(de, RPI_STATUS,
++                           (ctb_row << 18) + (last_x << 5) + 2);
++              if (de->pic_width_in_ctbs_y == 2)
++                      p1_apb_write(de, RPI_TRANSFER, PROB_BACKUP);
++              if (de->pic_width_in_ctbs_y == 1)
++                      write_prob(de, s);
++              else
++                      p1_apb_write(de, RPI_TRANSFER, PROB_RELOAD);
++              ctb_addr_ts += s->column_width[0];
++              wpp_entry_point(de, s, 0, 1, ctb_addr_ts);
++      }
++}
++
++//////////////////////////////////////////////////////////////////////////////
++// Tiles mode
++
++static void decode_slice(struct rpivid_dec_env *const de,
++                       const struct rpivid_dec_state *const s,
++                       const struct v4l2_ctrl_hevc_slice_params *const sh,
++                       int ctb_addr_ts)
++{
++      int i, reset_qp_y;
++
++      if (ctb_addr_ts)
++              end_previous_slice(de, s, ctb_addr_ts);
++
++      pre_slice_decode(de, s);
++      write_bitstream(de, s);
++
++#if DEBUG_TRACE_P1_CMD
++      if (p1_z < 256) {
++              v4l2_info(&de->ctx->dev->v4l2_dev,
++                        "TS=%d, tile=%d/%d, dss=%d, flags=%#llx\n",
++                        ctb_addr_ts, s->tile_id[ctb_addr_ts],
++                        s->tile_id[ctb_addr_ts - 1],
++                        s->dependent_slice_segment_flag, sh->flags);
++      }
++#endif
++
++      reset_qp_y = ctb_addr_ts == 0 ||
++                 s->tile_id[ctb_addr_ts] != s->tile_id[ctb_addr_ts - 1] ||
++                 !s->dependent_slice_segment_flag;
++      if (reset_qp_y)
++              write_prob(de, s);
++
++      program_slicecmds(de, s->slice_idx);
++      new_slice_segment(de, s);
++      new_entry_point(de, s, !s->dependent_slice_segment_flag, reset_qp_y,
++                      ctb_addr_ts);
++
++      for (i = 0; i < s->sh->num_entry_point_offsets; i++) {
++              int ctb_addr_rs = s->ctb_addr_ts_to_rs[ctb_addr_ts];
++              int ctb_col = ctb_addr_rs % de->pic_width_in_ctbs_y;
++              int ctb_row = ctb_addr_rs / de->pic_width_in_ctbs_y;
++              int tile_x = ctb_to_tile(ctb_col, s->col_bd,
++                                       s->num_tile_columns - 1);
++              int tile_y =
++                      ctb_to_tile(ctb_row, s->row_bd, s->num_tile_rows - 1);
++              int last_x = s->col_bd[tile_x + 1] - 1;
++              int last_y = s->row_bd[tile_y + 1] - 1;
++
++              p1_apb_write(de, RPI_STATUS,
++                           2 + (last_x << 5) + (last_y << 18));
++              write_prob(de, s);
++              ctb_addr_ts += s->column_width[tile_x] * s->row_height[tile_y];
++              new_entry_point(de, s, 0, 1, ctb_addr_ts);
++      }
++}
++
++//////////////////////////////////////////////////////////////////////////////
++// Scaling factors
++
++static void expand_scaling_list(const unsigned int size_id,
++                              const unsigned int matrix_id, u8 *const dst0,
++                              const u8 *const src0, uint8_t dc)
++{
++      u8 *d;
++      unsigned int x, y;
++
++      // FIXME: matrix_id is unused ?
++      switch (size_id) {
++      case 0:
++              memcpy(dst0, src0, 16);
++              break;
++      case 1:
++              memcpy(dst0, src0, 64);
++              break;
++      case 2:
++              d = dst0;
++
++              for (y = 0; y != 16; y++) {
++                      const u8 *s = src0 + (y >> 1) * 8;
++
++                      for (x = 0; x != 8; ++x) {
++                              *d++ = *s;
++                              *d++ = *s++;
++                      }
++              }
++              dst0[0] = dc;
++              break;
++      default:
++              d = dst0;
++
++              for (y = 0; y != 32; y++) {
++                      const u8 *s = src0 + (y >> 2) * 8;
++
++                      for (x = 0; x != 8; ++x) {
++                              *d++ = *s;
++                              *d++ = *s;
++                              *d++ = *s;
++                              *d++ = *s++;
++                      }
++              }
++              dst0[0] = dc;
++              break;
++      }
++}
++
++static void populate_scaling_factors(const struct rpivid_run *const run,
++                                   struct rpivid_dec_env *const de,
++                                   const struct rpivid_dec_state *const s)
++{
++      const struct v4l2_ctrl_hevc_scaling_matrix *const sl =
++              run->h265.scaling_matrix;
++      // Array of constants for scaling factors
++      static const u32 scaling_factor_offsets[4][6] = {
++              // MID0    MID1    MID2    MID3    MID4    MID5
++              // SID0 (4x4)
++              { 0x0000, 0x0010, 0x0020, 0x0030, 0x0040, 0x0050 },
++              // SID1 (8x8)
++              { 0x0060, 0x00A0, 0x00E0, 0x0120, 0x0160, 0x01A0 },
++              // SID2 (16x16)
++              { 0x01E0, 0x02E0, 0x03E0, 0x04E0, 0x05E0, 0x06E0 },
++              // SID3 (32x32)
++              { 0x07E0, 0x0BE0, 0x0000, 0x0000, 0x0000, 0x0000 }
++      };
++
++      unsigned int mid;
++
++      for (mid = 0; mid < 6; mid++)
++              expand_scaling_list(0, mid,
++                                  de->scaling_factors +
++                                          scaling_factor_offsets[0][mid],
++                                  sl->scaling_list_4x4[mid], 0);
++      for (mid = 0; mid < 6; mid++)
++              expand_scaling_list(1, mid,
++                                  de->scaling_factors +
++                                          scaling_factor_offsets[1][mid],
++                                  sl->scaling_list_8x8[mid], 0);
++      for (mid = 0; mid < 6; mid++)
++              expand_scaling_list(2, mid,
++                                  de->scaling_factors +
++                                          scaling_factor_offsets[2][mid],
++                                  sl->scaling_list_16x16[mid],
++                                  sl->scaling_list_dc_coef_16x16[mid]);
++      for (mid = 0; mid < 2; mid += 1)
++              expand_scaling_list(3, mid,
++                                  de->scaling_factors +
++                                          scaling_factor_offsets[3][mid],
++                                  sl->scaling_list_32x32[mid],
++                                  sl->scaling_list_dc_coef_32x32[mid]);
++}
++
++static void free_ps_info(struct rpivid_dec_state *const s)
++{
++      kfree(s->ctb_addr_rs_to_ts);
++      s->ctb_addr_rs_to_ts = NULL;
++      kfree(s->ctb_addr_ts_to_rs);
++      s->ctb_addr_ts_to_rs = NULL;
++      kfree(s->tile_id);
++      s->tile_id = NULL;
++
++      kfree(s->col_bd);
++      s->col_bd = NULL;
++      kfree(s->row_bd);
++      s->row_bd = NULL;
++}
++
++static int updated_ps(struct rpivid_dec_state *const s)
++{
++      unsigned int ctb_addr_rs;
++      int j, x, y, tile_id;
++      unsigned int i;
++
++      free_ps_info(s);
++
++      // Inferred parameters
++      s->log2_ctb_size = s->sps.log2_min_luma_coding_block_size_minus3 + 3 +
++                         s->sps.log2_diff_max_min_luma_coding_block_size;
++
++      s->ctb_width = (s->sps.pic_width_in_luma_samples +
++                      (1 << s->log2_ctb_size) - 1) >>
++                     s->log2_ctb_size;
++      s->ctb_height = (s->sps.pic_height_in_luma_samples +
++                       (1 << s->log2_ctb_size) - 1) >>
++                      s->log2_ctb_size;
++      s->ctb_size = s->ctb_width * s->ctb_height;
++
++      // Inferred parameters
++
++      if (!(s->pps.flags & V4L2_HEVC_PPS_FLAG_TILES_ENABLED)) {
++              s->num_tile_columns = 1;
++              s->num_tile_rows = 1;
++              s->column_width[0] = s->ctb_width;
++              s->row_height[0] = s->ctb_height;
++      } else {
++              s->num_tile_columns = s->pps.num_tile_columns_minus1 + 1;
++              s->num_tile_rows = s->pps.num_tile_rows_minus1 + 1;
++              for (i = 0; i < s->num_tile_columns; ++i)
++                      s->column_width[i] = s->pps.column_width_minus1[i] + 1;
++              for (i = 0; i < s->num_tile_rows; ++i)
++                      s->row_height[i] = s->pps.row_height_minus1[i] + 1;
++      }
++
++      s->col_bd = kmalloc((s->num_tile_columns + 1) * sizeof(*s->col_bd),
++                          GFP_KERNEL);
++      s->row_bd = kmalloc((s->num_tile_rows + 1) * sizeof(*s->row_bd),
++                          GFP_KERNEL);
++
++      s->col_bd[0] = 0;
++      for (i = 0; i < s->num_tile_columns; i++)
++              s->col_bd[i + 1] = s->col_bd[i] + s->column_width[i];
++
++      s->row_bd[0] = 0;
++      for (i = 0; i < s->num_tile_rows; i++)
++              s->row_bd[i + 1] = s->row_bd[i] + s->row_height[i];
++
++      s->ctb_addr_rs_to_ts = kmalloc_array(s->ctb_size,
++                                           sizeof(*s->ctb_addr_rs_to_ts),
++                                           GFP_KERNEL);
++      s->ctb_addr_ts_to_rs = kmalloc_array(s->ctb_size,
++                                           sizeof(*s->ctb_addr_ts_to_rs),
++                                           GFP_KERNEL);
++      s->tile_id = kmalloc_array(s->ctb_size, sizeof(*s->tile_id),
++                                 GFP_KERNEL);
++
++      for (ctb_addr_rs = 0; ctb_addr_rs < s->ctb_size; ctb_addr_rs++) {
++              int tb_x = ctb_addr_rs % s->ctb_width;
++              int tb_y = ctb_addr_rs / s->ctb_width;
++              int tile_x = 0;
++              int tile_y = 0;
++              int val = 0;
++
++              for (i = 0; i < s->num_tile_columns; i++) {
++                      if (tb_x < s->col_bd[i + 1]) {
++                              tile_x = i;
++                              break;
++                      }
++              }
++
++              for (i = 0; i < s->num_tile_rows; i++) {
++                      if (tb_y < s->row_bd[i + 1]) {
++                              tile_y = i;
++                              break;
++                      }
++              }
++
++              for (i = 0; i < tile_x; i++)
++                      val += s->row_height[tile_y] * s->column_width[i];
++              for (i = 0; i < tile_y; i++)
++                      val += s->ctb_width * s->row_height[i];
++
++              val += (tb_y - s->row_bd[tile_y]) * s->column_width[tile_x] +
++                     tb_x - s->col_bd[tile_x];
++
++              s->ctb_addr_rs_to_ts[ctb_addr_rs] = val;
++              s->ctb_addr_ts_to_rs[val] = ctb_addr_rs;
++      }
++
++      for (j = 0, tile_id = 0; j < s->num_tile_rows; j++)
++              for (i = 0; i < s->num_tile_columns; i++, tile_id++)
++                      for (y = s->row_bd[j]; y < s->row_bd[j + 1]; y++)
++                              for (x = s->col_bd[i];
++                                   x < s->col_bd[i + 1];
++                                   x++)
++                                      s->tile_id[s->ctb_addr_rs_to_ts
++                                                         [y * s->ctb_width +
++                                                          x]] = tile_id;
++
++      return 0;
++}
++
++static int frame_end(struct rpivid_dev *const dev,
++                   struct rpivid_dec_env *const de,
++                   const struct rpivid_dec_state *const s)
++{
++      const unsigned int last_x = s->col_bd[s->num_tile_columns] - 1;
++      const unsigned int last_y = s->row_bd[s->num_tile_rows] - 1;
++      size_t cmd_size;
++
++      if (s->pps.flags & V4L2_HEVC_PPS_FLAG_ENTROPY_CODING_SYNC_ENABLED) {
++              if (de->wpp_entry_x < 2 && de->pic_width_in_ctbs_y > 2)
++                      wpp_pause(de, last_y);
++      }
++      p1_apb_write(de, RPI_STATUS, 1 + (last_x << 5) + (last_y << 18));
++
++      // Copy commands out to dma buf
++      cmd_size = de->cmd_len * sizeof(de->cmd_fifo[0]);
++
++      if (!de->cmd_copy_gptr->ptr || cmd_size > de->cmd_copy_gptr->size) {
++              size_t cmd_alloc = round_up_size(cmd_size);
++
++              if (gptr_realloc_new(dev, de->cmd_copy_gptr, cmd_alloc)) {
++                      v4l2_err(&dev->v4l2_dev,
++                               "Alloc cmd buffer (%d): FAILED\n", cmd_alloc);
++                      return -ENOMEM;
++              }
++              v4l2_info(&dev->v4l2_dev, "Alloc cmd buffer (%d): OK\n",
++                        cmd_alloc);
++      }
++
++      memcpy(de->cmd_copy_gptr->ptr, de->cmd_fifo, cmd_size);
++      return 0;
++}
++
++static void setup_colmv(struct rpivid_ctx *const ctx, struct rpivid_run *run,
++                      struct rpivid_dec_state *const s)
++{
++      ctx->colmv_stride = ALIGN(s->sps.pic_width_in_luma_samples, 64);
++      ctx->colmv_picsize = ctx->colmv_stride *
++              (ALIGN(s->sps.pic_height_in_luma_samples, 64) >> 4);
++}
++
++// Can be called from irq context
++static struct rpivid_dec_env *dec_env_new(struct rpivid_ctx *const ctx)
++{
++      struct rpivid_dec_env *de;
++      unsigned long lock_flags;
++
++      spin_lock_irqsave(&ctx->dec_lock, lock_flags);
++
++      de = ctx->dec_free;
++      if (de) {
++              ctx->dec_free = de->next;
++              de->next = NULL;
++              de->state = RPIVID_DECODE_SLICE_START;
++      }
++
++      spin_unlock_irqrestore(&ctx->dec_lock, lock_flags);
++      return de;
++}
++
++// Can be called from irq context
++static void dec_env_delete(struct rpivid_dec_env *const de)
++{
++      struct rpivid_ctx * const ctx = de->ctx;
++      unsigned long lock_flags;
++
++      aux_q_release(ctx, &de->frame_aux);
++      aux_q_release(ctx, &de->col_aux);
++
++      spin_lock_irqsave(&ctx->dec_lock, lock_flags);
++
++      de->state = RPIVID_DECODE_END;
++      de->next = ctx->dec_free;
++      ctx->dec_free = de;
++
++      spin_unlock_irqrestore(&ctx->dec_lock, lock_flags);
++}
++
++static void dec_env_uninit(struct rpivid_ctx *const ctx)
++{
++      unsigned int i;
++
++      if (ctx->dec_pool) {
++              for (i = 0; i != RPIVID_DEC_ENV_COUNT; ++i) {
++                      struct rpivid_dec_env *const de = ctx->dec_pool + i;
++
++                      kfree(de->cmd_fifo);
++              }
++
++              kfree(ctx->dec_pool);
++      }
++
++      ctx->dec_pool = NULL;
++      ctx->dec_free = NULL;
++}
++
++static int dec_env_init(struct rpivid_ctx *const ctx)
++{
++      unsigned int i;
++
++      ctx->dec_pool = kzalloc(sizeof(*ctx->dec_pool) * RPIVID_DEC_ENV_COUNT,
++                              GFP_KERNEL);
++      if (!ctx->dec_pool)
++              return -1;
++
++      spin_lock_init(&ctx->dec_lock);
++
++      // Build free chain
++      ctx->dec_free = ctx->dec_pool;
++      for (i = 0; i != RPIVID_DEC_ENV_COUNT - 1; ++i)
++              ctx->dec_pool[i].next = ctx->dec_pool + i + 1;
++
++      // Fill in other bits
++      for (i = 0; i != RPIVID_DEC_ENV_COUNT; ++i) {
++              struct rpivid_dec_env *const de = ctx->dec_pool + i;
++
++              de->ctx = ctx;
++              de->decode_order = i;
++              de->cmd_max = 1024;
++              de->cmd_fifo = kmalloc_array(de->cmd_max,
++                                           sizeof(struct rpi_cmd),
++                                           GFP_KERNEL);
++              if (!de->cmd_fifo)
++                      goto fail;
++      }
++
++      return 0;
++
++fail:
++      dec_env_uninit(ctx);
++      return -1;
++}
++
++// Assume that we get exactly the same DPB for every slice
++// it makes no real sense otherwise
++#if V4L2_HEVC_DPB_ENTRIES_NUM_MAX > 16
++#error HEVC_DPB_ENTRIES > h/w slots
++#endif
++
++static u32 mk_config2(const struct rpivid_dec_state *const s)
++{
++      const struct v4l2_ctrl_hevc_sps *const sps = &s->sps;
++      const struct v4l2_ctrl_hevc_pps *const pps = &s->pps;
++      u32 c;
++      // BitDepthY
++      c = (sps->bit_depth_luma_minus8 + 8) << 0;
++       // BitDepthC
++      c |= (sps->bit_depth_chroma_minus8 + 8) << 4;
++       // BitDepthY
++      if (sps->bit_depth_luma_minus8)
++              c |= BIT(8);
++      // BitDepthC
++      if (sps->bit_depth_chroma_minus8)
++              c |= BIT(9);
++      c |= s->log2_ctb_size << 10;
++      if (pps->flags & V4L2_HEVC_PPS_FLAG_CONSTRAINED_INTRA_PRED)
++              c |= BIT(13);
++      if (sps->flags & V4L2_HEVC_SPS_FLAG_STRONG_INTRA_SMOOTHING_ENABLED)
++              c |= BIT(14);
++      if (sps->flags & V4L2_HEVC_SPS_FLAG_SPS_TEMPORAL_MVP_ENABLED)
++              c |= BIT(15); /* Write motion vectors to external memory */
++      c |= (pps->log2_parallel_merge_level_minus2 + 2) << 16;
++      if (s->slice_temporal_mvp)
++              c |= BIT(19);
++      if (sps->flags & V4L2_HEVC_SPS_FLAG_PCM_LOOP_FILTER_DISABLED)
++              c |= BIT(20);
++      c |= (pps->pps_cb_qp_offset & 31) << 21;
++      c |= (pps->pps_cr_qp_offset & 31) << 26;
++      return c;
++}
++
++static void rpivid_h265_setup(struct rpivid_ctx *ctx, struct rpivid_run *run)
++{
++      struct rpivid_dev *const dev = ctx->dev;
++      const struct v4l2_ctrl_hevc_slice_params *const sh =
++                                              run->h265.slice_params;
++      const struct v4l2_hevc_pred_weight_table *pred_weight_table;
++      struct rpivid_q_aux *dpb_q_aux[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
++      struct rpivid_dec_state *const s = ctx->state;
++      struct vb2_queue *vq;
++      struct rpivid_dec_env *de;
++      int ctb_addr_ts;
++      unsigned int i;
++      int use_aux;
++      bool slice_temporal_mvp;
++
++      pred_weight_table = &sh->pred_weight_table;
++
++      s->frame_end =
++              ((run->src->flags & V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF) == 0);
++
++      de = ctx->dec0;
++      slice_temporal_mvp = (sh->flags &
++                 V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_TEMPORAL_MVP_ENABLED);
++
++      if (de && de->state != RPIVID_DECODE_END) {
++              ++s->slice_idx;
++
++              switch (de->state) {
++              case RPIVID_DECODE_SLICE_CONTINUE:
++                      // Expected state
++                      break;
++              default:
++                      v4l2_err(&dev->v4l2_dev, "%s: Unexpected state: %d\n",
++                               __func__, de->state);
++              /* FALLTHRU */
++              case RPIVID_DECODE_ERROR_CONTINUE:
++                      // Uncleared error - fail now
++                      goto fail;
++              }
++
++              if (s->slice_temporal_mvp != slice_temporal_mvp) {
++                      v4l2_warn(&dev->v4l2_dev,
++                                "Slice Temporal MVP non-constant\n");
++                      goto fail;
++              }
++      } else {
++              /* Frame start */
++              unsigned int ctb_size_y;
++              bool sps_changed = false;
++
++              if (memcmp(&s->sps, run->h265.sps, sizeof(s->sps)) != 0) {
++                      /* SPS changed */
++                      v4l2_info(&dev->v4l2_dev, "SPS changed\n");
++                      memcpy(&s->sps, run->h265.sps, sizeof(s->sps));
++                      sps_changed = true;
++              }
++              if (sps_changed ||
++                  memcmp(&s->pps, run->h265.pps, sizeof(s->pps)) != 0) {
++                      /* SPS changed */
++                      v4l2_info(&dev->v4l2_dev, "PPS changed\n");
++                      memcpy(&s->pps, run->h265.pps, sizeof(s->pps));
++
++                      /* Recalc stuff as required */
++                      updated_ps(s);
++              }
++
++              de = dec_env_new(ctx);
++              if (!de) {
++                      v4l2_err(&dev->v4l2_dev,
++                               "Failed to find free decode env\n");
++                      goto fail;
++              }
++              ctx->dec0 = de;
++
++              ctb_size_y =
++                      1U << (s->sps.log2_min_luma_coding_block_size_minus3 +
++                             3 +
++                             s->sps.log2_diff_max_min_luma_coding_block_size);
++
++              de->pic_width_in_ctbs_y =
++                      (s->sps.pic_width_in_luma_samples + ctb_size_y - 1) /
++                              ctb_size_y; // 7-15
++              de->pic_height_in_ctbs_y =
++                      (s->sps.pic_height_in_luma_samples + ctb_size_y - 1) /
++                              ctb_size_y; // 7-17
++              de->cmd_len = 0;
++              de->dpbno_col = ~0U;
++
++              de->bit_copy_gptr = ctx->bitbufs + 0;
++              de->bit_copy_len = 0;
++              de->cmd_copy_gptr = ctx->cmdbufs + 0;
++
++              de->frame_c_offset = ctx->dst_fmt.height * 128;
++              de->frame_stride = ctx->dst_fmt.bytesperline * 128;
++              de->frame_addr =
++                      vb2_dma_contig_plane_dma_addr(&run->dst->vb2_buf, 0);
++              de->frame_aux = NULL;
++
++              if (s->sps.bit_depth_luma_minus8 !=
++                  s->sps.bit_depth_chroma_minus8) {
++                      v4l2_warn(&dev->v4l2_dev,
++                                "Chroma depth (%d) != Luma depth (%d)\n",
++                                s->sps.bit_depth_chroma_minus8 + 8,
++                                s->sps.bit_depth_luma_minus8 + 8);
++                      goto fail;
++              }
++              if (s->sps.bit_depth_luma_minus8 == 0) {
++                      if (ctx->dst_fmt.pixelformat !=
++                                              V4L2_PIX_FMT_NV12_COL128) {
++                              v4l2_err(&dev->v4l2_dev,
++                                       "Pixel format %#x != NV12_COL128 for 8-bit output",
++                                       ctx->dst_fmt.pixelformat);
++                              goto fail;
++                      }
++              } else if (s->sps.bit_depth_luma_minus8 == 2) {
++                      if (ctx->dst_fmt.pixelformat !=
++                                              V4L2_PIX_FMT_NV12_10_COL128) {
++                              v4l2_err(&dev->v4l2_dev,
++                                       "Pixel format %#x != NV12_10_COL128 for 10-bit output",
++                                       ctx->dst_fmt.pixelformat);
++                              goto fail;
++                      }
++              } else {
++                      v4l2_warn(&dev->v4l2_dev,
++                                "Luma depth (%d) unsupported\n",
++                                s->sps.bit_depth_luma_minus8 + 8);
++                      goto fail;
++              }
++              if (run->dst->vb2_buf.num_planes != 1) {
++                      v4l2_warn(&dev->v4l2_dev, "Capture planes (%d) != 1\n",
++                                run->dst->vb2_buf.num_planes);
++                      goto fail;
++              }
++              if (run->dst->planes[0].length <
++                  ctx->dst_fmt.sizeimage) {
++                      v4l2_warn(&dev->v4l2_dev,
++                                "Capture plane[0] length (%d) < sizeimage (%d)\n",
++                                run->dst->planes[0].length,
++                                ctx->dst_fmt.sizeimage);
++                      goto fail;
++              }
++
++              if (s->sps.pic_width_in_luma_samples > 4096 ||
++                  s->sps.pic_height_in_luma_samples > 4096) {
++                      v4l2_warn(&dev->v4l2_dev,
++                                "Pic dimension (%dx%d) exeeds 4096\n",
++                                s->sps.pic_width_in_luma_samples,
++                                s->sps.pic_height_in_luma_samples);
++                      goto fail;
++              }
++
++              // Fill in ref planes with our address s.t. if we mess
++              // up refs somehow then we still have a valid address
++              // entry
++              for (i = 0; i != 16; ++i)
++                      de->ref_addrs[i] = de->frame_addr;
++
++              /*
++               * Stash initial temporal_mvp flag
++               * This must be the same for all pic slices (7.4.7.1)
++               */
++              s->slice_temporal_mvp = slice_temporal_mvp;
++
++              // Phase 2 reg pre-calc
++              de->rpi_config2 = mk_config2(s);
++              de->rpi_framesize = (s->sps.pic_height_in_luma_samples << 16) |
++                                  s->sps.pic_width_in_luma_samples;
++              de->rpi_currpoc = sh->slice_pic_order_cnt;
++
++              if (s->sps.flags &
++                  V4L2_HEVC_SPS_FLAG_SPS_TEMPORAL_MVP_ENABLED) {
++                      setup_colmv(ctx, run, s);
++              }
++
++              s->slice_idx = 0;
++
++              if (sh->slice_segment_addr != 0) {
++                      v4l2_warn(&dev->v4l2_dev,
++                                "New frame but segment_addr=%d\n",
++                                sh->slice_segment_addr);
++                      goto fail;
++              }
++
++              /* Allocate a bitbuf if we need one - don't need one if single
++               * slice as we can use the src buf directly
++               */
++              if (!s->frame_end && !de->bit_copy_gptr->ptr) {
++                      const size_t wxh = s->sps.pic_width_in_luma_samples *
++                              s->sps.pic_height_in_luma_samples;
++                      size_t bits_alloc;
++
++                      /* Annex A gives a min compression of 2 @ lvl 3.1
++                       * (wxh <= 983040) and min 4 thereafter but avoid
++                       * the odity of 983041 having a lower limit than
++                       * 983040.
++                       * Multiply by 3/2 for 4:2:0
++                       */
++                      bits_alloc = wxh < 983040 ? wxh * 3 / 4 :
++                              wxh < 983040 * 2 ? 983040 * 3 / 4 :
++                              wxh * 3 / 8;
++                      bits_alloc = round_up_size(bits_alloc);
++
++                      if (gptr_alloc(dev, de->bit_copy_gptr,
++                                     bits_alloc,
++                                     DMA_ATTR_FORCE_CONTIGUOUS) != 0) {
++                              v4l2_err(&dev->v4l2_dev,
++                                       "Unable to alloc buf (%d) for bit copy\n",
++                                       bits_alloc);
++                              goto fail;
++                      }
++                      v4l2_info(&dev->v4l2_dev,
++                                "Alloc buf (%d) for bit copy OK\n",
++                                bits_alloc);
++              }
++      }
++
++      // Pre calc a few things
++      s->src_addr =
++              !s->frame_end ?
++                      0 :
++                      vb2_dma_contig_plane_dma_addr(&run->src->vb2_buf, 0);
++      s->src_buf = s->src_addr != 0 ? NULL :
++                                      vb2_plane_vaddr(&run->src->vb2_buf, 0);
++      if (!s->src_addr && !s->src_buf) {
++              v4l2_err(&dev->v4l2_dev, "Failed to map src buffer\n");
++              goto fail;
++      }
++
++      s->sh = sh;
++      s->slice_qp = 26 + s->pps.init_qp_minus26 + s->sh->slice_qp_delta;
++      s->max_num_merge_cand = sh->slice_type == HEVC_SLICE_I ?
++                                      0 :
++                                      (5 - sh->five_minus_max_num_merge_cand);
++      // * SH DSS flag invented by me - but clearly needed
++      s->dependent_slice_segment_flag =
++              ((sh->flags &
++                V4L2_HEVC_SLICE_PARAMS_FLAG_DEPENDENT_SLICE_SEGMENT) != 0);
++
++      s->nb_refs[0] = (sh->slice_type == HEVC_SLICE_I) ?
++                              0 :
++                              sh->num_ref_idx_l0_active_minus1 + 1;
++      s->nb_refs[1] = (sh->slice_type != HEVC_SLICE_B) ?
++                              0 :
++                              sh->num_ref_idx_l1_active_minus1 + 1;
++
++      if (s->sps.flags & V4L2_HEVC_SPS_FLAG_SCALING_LIST_ENABLED)
++              populate_scaling_factors(run, de, s);
++
++      ctb_addr_ts = s->ctb_addr_rs_to_ts[sh->slice_segment_addr];
++
++      if ((s->pps.flags & V4L2_HEVC_PPS_FLAG_ENTROPY_CODING_SYNC_ENABLED))
++              wpp_decode_slice(de, s, sh, ctb_addr_ts);
++      else
++              decode_slice(de, s, sh, ctb_addr_ts);
++
++      if (!s->frame_end)
++              return;
++
++      // Frame end
++      memset(dpb_q_aux, 0,
++             sizeof(*dpb_q_aux) * V4L2_HEVC_DPB_ENTRIES_NUM_MAX);
++      /*
++       * Need Aux ents for all (ref) DPB ents if temporal MV could
++       * be enabled for any pic
++       * ** At the moment we have aux ents for all pics whether or not
++       *    they are ref
++       */
++      use_aux = ((s->sps.flags &
++                V4L2_HEVC_SPS_FLAG_SPS_TEMPORAL_MVP_ENABLED) != 0);
++
++      // Locate ref frames
++      // At least in the current implementation this is constant across all
++      // slices. If this changes we will need idx mapping code.
++      // Uses sh so here rather than trigger
++
++      vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, V4L2_BUF_TYPE_VIDEO_CAPTURE);
++
++      if (!vq) {
++              v4l2_err(&dev->v4l2_dev, "VQ gone!\n");
++              goto fail;
++      }
++
++      //        v4l2_info(&dev->v4l2_dev, "rpivid_h265_end of frame\n");
++      if (frame_end(dev, de, s))
++              goto fail;
++
++      for (i = 0; i < sh->num_active_dpb_entries; ++i) {
++              int buffer_index =
++                      vb2_find_timestamp(vq, sh->dpb[i].timestamp, 0);
++              struct vb2_buffer *buf = buffer_index < 0 ?
++                                      NULL :
++                                      vb2_get_buffer(vq, buffer_index);
++
++              if (!buf) {
++                      v4l2_warn(&dev->v4l2_dev,
++                                "Missing DPB ent %d, timestamp=%lld, index=%d\n",
++                                i, (long long)sh->dpb[i].timestamp,
++                                buffer_index);
++                      continue;
++              }
++
++              if (use_aux) {
++                      dpb_q_aux[i] = aux_q_ref(ctx,
++                                               ctx->aux_ents[buffer_index]);
++                      if (!dpb_q_aux[i])
++                              v4l2_warn(&dev->v4l2_dev,
++                                        "Missing DPB AUX ent %d index=%d\n",
++                                        i, buffer_index);
++              }
++
++              de->ref_addrs[i] =
++                      vb2_dma_contig_plane_dma_addr(buf, 0);
++      }
++
++      // Move DPB from temp
++      for (i = 0; i != V4L2_HEVC_DPB_ENTRIES_NUM_MAX; ++i) {
++              aux_q_release(ctx, &s->ref_aux[i]);
++              s->ref_aux[i] = dpb_q_aux[i];
++      }
++      // Unref the old frame aux too - it is either in the DPB or not
++      // now
++      aux_q_release(ctx, &s->frame_aux);
++
++      if (use_aux) {
++              // New frame so new aux ent
++              // ??? Do we need this if non-ref ??? can we tell
++              s->frame_aux = aux_q_new(ctx, run->dst->vb2_buf.index);
++
++              if (!s->frame_aux) {
++                      v4l2_err(&dev->v4l2_dev,
++                               "Failed to obtain aux storage for frame\n");
++                      goto fail;
++              }
++
++              de->frame_aux = aux_q_ref(ctx, s->frame_aux);
++      }
++
++      if (de->dpbno_col != ~0U) {
++              if (de->dpbno_col >= sh->num_active_dpb_entries) {
++                      v4l2_err(&dev->v4l2_dev,
++                               "Col ref index %d >= %d\n",
++                               de->dpbno_col,
++                               sh->num_active_dpb_entries);
++              } else {
++                      // Standard requires that the col pic is
++                      // constant for the duration of the pic
++                      // (text of collocated_ref_idx in H265-2 2018
++                      // 7.4.7.1)
++
++                      // Spot the collocated ref in passing
++                      de->col_aux = aux_q_ref(ctx,
++                                              dpb_q_aux[de->dpbno_col]);
++
++                      if (!de->col_aux) {
++                              v4l2_warn(&dev->v4l2_dev,
++                                        "Missing DPB ent for col\n");
++                              // Probably need to abort if this fails
++                              // as P2 may explode on bad data
++                              goto fail;
++                      }
++              }
++      }
++
++      de->state = RPIVID_DECODE_PHASE1;
++      return;
++
++fail:
++      if (de)
++              // Actual error reporting happens in Trigger
++              de->state = s->frame_end ? RPIVID_DECODE_ERROR_DONE :
++                                         RPIVID_DECODE_ERROR_CONTINUE;
++}
++
++//////////////////////////////////////////////////////////////////////////////
++// Handle PU and COEFF stream overflow
++
++// Returns:
++// -1  Phase 1 decode error
++//  0  OK
++// >0  Out of space (bitmask)
++
++#define STATUS_COEFF_EXHAUSTED        8
++#define STATUS_PU_EXHAUSTED   16
++
++static int check_status(const struct rpivid_dev *const dev)
++{
++      const u32 cfstatus = apb_read(dev, RPI_CFSTATUS);
++      const u32 cfnum = apb_read(dev, RPI_CFNUM);
++      u32 status = apb_read(dev, RPI_STATUS);
++
++      // Handle PU and COEFF stream overflow
++
++      // this is the definition of successful completion of phase 1
++      // it assures that status register is zero and all blocks in each tile
++      // have completed
++      if (cfstatus == cfnum)
++              return 0;       //No error
++
++      status &= (STATUS_PU_EXHAUSTED | STATUS_COEFF_EXHAUSTED);
++      if (status)
++              return status;
++
++      return -1;
++}
++
++static void cb_phase2(struct rpivid_dev *const dev, void *v)
++{
++      struct rpivid_dec_env *const de = v;
++      struct rpivid_ctx *const ctx = de->ctx;
++
++      xtrace_in(dev, de);
++
++      v4l2_m2m_cap_buf_return(dev->m2m_dev, ctx->fh.m2m_ctx, de->frame_buf,
++                              VB2_BUF_STATE_DONE);
++      de->frame_buf = NULL;
++
++      /* Delete de before finish as finish might immediately trigger a reuse
++       * of de
++       */
++      dec_env_delete(de);
++
++      if (atomic_add_return(-1, &ctx->p2out) >= RPIVID_P2BUF_COUNT - 1) {
++              xtrace_fin(dev, de);
++              v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
++                                               VB2_BUF_STATE_DONE);
++      }
++
++      xtrace_ok(dev, de);
++}
++
++static void phase2_claimed(struct rpivid_dev *const dev, void *v)
++{
++      struct rpivid_dec_env *const de = v;
++      unsigned int i;
++
++      xtrace_in(dev, de);
++
++      apb_write_vc_addr(dev, RPI_PURBASE, de->pu_base_vc);
++      apb_write_vc_len(dev, RPI_PURSTRIDE, de->pu_stride);
++      apb_write_vc_addr(dev, RPI_COEFFRBASE, de->coeff_base_vc);
++      apb_write_vc_len(dev, RPI_COEFFRSTRIDE, de->coeff_stride);
++
++      apb_write_vc_addr(dev, RPI_OUTYBASE, de->frame_addr);
++      apb_write_vc_addr(dev, RPI_OUTCBASE,
++                        de->frame_addr + de->frame_c_offset);
++      apb_write_vc_len(dev, RPI_OUTYSTRIDE, de->frame_stride);
++      apb_write_vc_len(dev, RPI_OUTCSTRIDE, de->frame_stride);
++
++      //    v4l2_info(&dev->v4l2_dev, "Frame: Y=%llx, C=%llx, Stride=%x\n",
++      //              de->frame_addr, de->frame_addr + de->frame_c_offset,
++      //              de->frame_stride);
++
++      for (i = 0; i < 16; i++) {
++              // Strides are in fact unused but fill in anyway
++              apb_write_vc_addr(dev, 0x9000 + 16 * i, de->ref_addrs[i]);
++              apb_write_vc_len(dev, 0x9004 + 16 * i, de->frame_stride);
++              apb_write_vc_addr(dev, 0x9008 + 16 * i,
++                                de->ref_addrs[i] + de->frame_c_offset);
++              apb_write_vc_len(dev, 0x900C + 16 * i, de->frame_stride);
++      }
++
++      apb_write(dev, RPI_CONFIG2, de->rpi_config2);
++      apb_write(dev, RPI_FRAMESIZE, de->rpi_framesize);
++      apb_write(dev, RPI_CURRPOC, de->rpi_currpoc);
++      //    v4l2_info(&dev->v4l2_dev, "Config2=%#x, FrameSize=%#x, POC=%#x\n",
++      //    de->rpi_config2, de->rpi_framesize, de->rpi_currpoc);
++
++      // collocated reads/writes
++      apb_write_vc_len(dev, RPI_COLSTRIDE,
++                       de->ctx->colmv_stride); // Read vals
++      apb_write_vc_len(dev, RPI_MVSTRIDE,
++                       de->ctx->colmv_stride); // Write vals
++      apb_write_vc_addr(dev, RPI_MVBASE,
++                        !de->frame_aux ? 0 : de->frame_aux->col.addr);
++      apb_write_vc_addr(dev, RPI_COLBASE,
++                        !de->col_aux ? 0 : de->col_aux->col.addr);
++
++      //v4l2_info(&dev->v4l2_dev,
++      //         "Mv=%llx, Col=%llx, Stride=%x, Buf=%llx->%llx\n",
++      //         de->rpi_mvbase, de->rpi_colbase, de->ctx->colmv_stride,
++      //         de->ctx->colmvbuf.addr, de->ctx->colmvbuf.addr +
++      //         de->ctx->colmvbuf.size);
++
++      rpivid_hw_irq_active2_irq(dev, &de->irq_ent, cb_phase2, de);
++
++      apb_write_final(dev, RPI_NUMROWS, de->pic_height_in_ctbs_y);
++
++      xtrace_ok(dev, de);
++}
++
++static void phase1_claimed(struct rpivid_dev *const dev, void *v);
++
++static void phase1_thread(struct rpivid_dev *const dev, void *v)
++{
++      struct rpivid_dec_env *const de = v;
++      struct rpivid_ctx *const ctx = de->ctx;
++
++      struct rpivid_gptr *const pu_gptr = ctx->pu_bufs + ctx->p2idx;
++      struct rpivid_gptr *const coeff_gptr = ctx->coeff_bufs + ctx->p2idx;
++
++      xtrace_in(dev, de);
++
++      if (de->p1_status & STATUS_PU_EXHAUSTED) {
++              if (gptr_realloc_new(dev, pu_gptr, next_size(pu_gptr->size))) {
++                      v4l2_err(&dev->v4l2_dev,
++                               "%s: PU realloc (%#x) failed\n",
++                               __func__, pu_gptr->size);
++                      goto fail;
++              }
++              v4l2_info(&dev->v4l2_dev, "%s: PU realloc (%#x) OK\n",
++                        __func__, pu_gptr->size);
++      }
++
++      if (de->p1_status & STATUS_COEFF_EXHAUSTED) {
++              if (gptr_realloc_new(dev, coeff_gptr,
++                                   next_size(coeff_gptr->size))) {
++                      v4l2_err(&dev->v4l2_dev,
++                               "%s: Coeff realloc (%#x) failed\n",
++                               __func__, coeff_gptr->size);
++                      goto fail;
++              }
++              v4l2_info(&dev->v4l2_dev, "%s: Coeff realloc (%#x) OK\n",
++                        __func__, coeff_gptr->size);
++      }
++
++      phase1_claimed(dev, de);
++      xtrace_ok(dev, de);
++      return;
++
++fail:
++      dec_env_delete(de);
++      xtrace_fin(dev, de);
++      v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
++                                       VB2_BUF_STATE_ERROR);
++      xtrace_fail(dev, de);
++}
++
++/* Always called in irq context (this is good) */
++static void cb_phase1(struct rpivid_dev *const dev, void *v)
++{
++      struct rpivid_dec_env *const de = v;
++      struct rpivid_ctx *const ctx = de->ctx;
++
++      xtrace_in(dev, de);
++
++      de->p1_status = check_status(dev);
++      if (de->p1_status != 0) {
++              v4l2_info(&dev->v4l2_dev, "%s: Post wait: %#x\n",
++                        __func__, de->p1_status);
++
++              if (de->p1_status < 0)
++                      goto fail;
++
++              /* Need to realloc - push onto a thread rather than IRQ */
++              rpivid_hw_irq_active1_thread(dev, &de->irq_ent,
++                                           phase1_thread, de);
++              return;
++      }
++
++      /* After the frame-buf is detached it must be returned but from
++       * this point onward (phase2_claimed, cb_phase2) there are no error
++       * paths so the return at the end of cb_phase2 is all that is needed
++       */
++      de->frame_buf = v4l2_m2m_cap_buf_detach(dev->m2m_dev, ctx->fh.m2m_ctx);
++      if (!de->frame_buf) {
++              v4l2_err(&dev->v4l2_dev, "%s: No detached buffer\n", __func__);
++              goto fail;
++      }
++
++      ctx->p2idx =
++              (ctx->p2idx + 1 >= RPIVID_P2BUF_COUNT) ? 0 : ctx->p2idx + 1;
++
++      // Enable the next setup if our Q isn't too big
++      if (atomic_add_return(1, &ctx->p2out) < RPIVID_P2BUF_COUNT) {
++              xtrace_fin(dev, de);
++              v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
++                                               VB2_BUF_STATE_DONE);
++      }
++
++      rpivid_hw_irq_active2_claim(dev, &de->irq_ent, phase2_claimed, de);
++
++      xtrace_ok(dev, de);
++      return;
++
++fail:
++      dec_env_delete(de);
++      xtrace_fin(dev, de);
++      v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
++                                       VB2_BUF_STATE_ERROR);
++      xtrace_fail(dev, de);
++}
++
++static void phase1_claimed(struct rpivid_dev *const dev, void *v)
++{
++      struct rpivid_dec_env *const de = v;
++      struct rpivid_ctx *const ctx = de->ctx;
++
++      const struct rpivid_gptr * const pu_gptr = ctx->pu_bufs + ctx->p2idx;
++      const struct rpivid_gptr * const coeff_gptr = ctx->coeff_bufs +
++                                                    ctx->p2idx;
++
++      xtrace_in(dev, de);
++
++      de->pu_base_vc = pu_gptr->addr;
++      de->pu_stride =
++              ALIGN_DOWN(pu_gptr->size / de->pic_height_in_ctbs_y, 64);
++
++      de->coeff_base_vc = coeff_gptr->addr;
++      de->coeff_stride =
++              ALIGN_DOWN(coeff_gptr->size / de->pic_height_in_ctbs_y, 64);
++
++      apb_write_vc_addr(dev, RPI_PUWBASE, de->pu_base_vc);
++      apb_write_vc_len(dev, RPI_PUWSTRIDE, de->pu_stride);
++      apb_write_vc_addr(dev, RPI_COEFFWBASE, de->coeff_base_vc);
++      apb_write_vc_len(dev, RPI_COEFFWSTRIDE, de->coeff_stride);
++
++      // Trigger command FIFO
++      apb_write(dev, RPI_CFNUM, de->cmd_len);
++
++      // Claim irq
++      rpivid_hw_irq_active1_irq(dev, &de->irq_ent, cb_phase1, de);
++
++      // And start the h/w
++      apb_write_vc_addr_final(dev, RPI_CFBASE, de->cmd_copy_gptr->addr);
++
++      xtrace_ok(dev, de);
++}
++
++static void dec_state_delete(struct rpivid_ctx *const ctx)
++{
++      unsigned int i;
++      struct rpivid_dec_state *const s = ctx->state;
++
++      if (!s)
++              return;
++      ctx->state = NULL;
++
++      free_ps_info(s);
++
++      for (i = 0; i != HEVC_MAX_REFS; ++i)
++              aux_q_release(ctx, &s->ref_aux[i]);
++      aux_q_release(ctx, &s->frame_aux);
++
++      kfree(s);
++}
++
++static void rpivid_h265_stop(struct rpivid_ctx *ctx)
++{
++      struct rpivid_dev *const dev = ctx->dev;
++      unsigned int i;
++
++      v4l2_info(&dev->v4l2_dev, "%s\n", __func__);
++
++      dec_env_uninit(ctx);
++      dec_state_delete(ctx);
++
++      // dec_env & state must be killed before this to release the buffer to
++      // the free pool
++      aux_q_uninit(ctx);
++
++      for (i = 0; i != ARRAY_SIZE(ctx->bitbufs); ++i)
++              gptr_free(dev, ctx->bitbufs + i);
++      for (i = 0; i != ARRAY_SIZE(ctx->cmdbufs); ++i)
++              gptr_free(dev, ctx->cmdbufs + i);
++      for (i = 0; i != ARRAY_SIZE(ctx->pu_bufs); ++i)
++              gptr_free(dev, ctx->pu_bufs + i);
++      for (i = 0; i != ARRAY_SIZE(ctx->coeff_bufs); ++i)
++              gptr_free(dev, ctx->coeff_bufs + i);
++}
++
++static int rpivid_h265_start(struct rpivid_ctx *ctx)
++{
++      struct rpivid_dev *const dev = ctx->dev;
++      unsigned int i;
++
++      unsigned int w = ctx->dst_fmt.width;
++      unsigned int h = ctx->dst_fmt.height;
++      unsigned int wxh;
++      size_t pu_alloc;
++      size_t coeff_alloc;
++
++      // Generate a sanitised WxH for memory alloc
++      // Assume HD if unset
++      if (w == 0)
++              w = 1920;
++      if (w > 4096)
++              w = 4096;
++      if (h == 0)
++              w = 1088;
++      if (h > 4096)
++              h = 4096;
++      wxh = w * h;
++
++      v4l2_info(&dev->v4l2_dev, "%s: (%dx%d)\n", __func__,
++                ctx->dst_fmt.width, ctx->dst_fmt.height);
++
++      ctx->dec0 = NULL;
++      ctx->state = kzalloc(sizeof(*ctx->state), GFP_KERNEL);
++      if (!ctx->state) {
++              v4l2_err(&dev->v4l2_dev, "Failed to allocate decode state\n");
++              goto fail;
++      }
++
++      if (dec_env_init(ctx) != 0) {
++              v4l2_err(&dev->v4l2_dev, "Failed to allocate decode envs\n");
++              goto fail;
++      }
++
++      // 16k is plenty for most purposes but we will realloc if needed
++      for (i = 0; i != ARRAY_SIZE(ctx->cmdbufs); ++i) {
++              if (gptr_alloc(dev, ctx->cmdbufs + i, 0x4000,
++                             DMA_ATTR_FORCE_CONTIGUOUS))
++                      goto fail;
++      }
++
++      // Finger in the air PU & Coeff alloc
++      // Will be realloced if too small
++      coeff_alloc = round_up_size(wxh);
++      pu_alloc = round_up_size(wxh / 4);
++      for (i = 0; i != ARRAY_SIZE(ctx->pu_bufs); ++i) {
++              // Don't actually need a kernel mapping here
++              if (gptr_alloc(dev, ctx->pu_bufs + i, pu_alloc,
++                             DMA_ATTR_FORCE_CONTIGUOUS |
++                                      DMA_ATTR_NO_KERNEL_MAPPING))
++                      goto fail;
++              if (gptr_alloc(dev, ctx->coeff_bufs + i, coeff_alloc,
++                             DMA_ATTR_FORCE_CONTIGUOUS |
++                                      DMA_ATTR_NO_KERNEL_MAPPING))
++                      goto fail;
++      }
++      aux_q_init(ctx);
++
++      return 0;
++
++fail:
++      rpivid_h265_stop(ctx);
++      return -ENOMEM;
++}
++
++static void rpivid_h265_trigger(struct rpivid_ctx *ctx)
++{
++      struct rpivid_dev *const dev = ctx->dev;
++      struct rpivid_dec_env *const de = ctx->dec0;
++
++      xtrace_in(dev, de);
++
++      switch (!de ? RPIVID_DECODE_ERROR_CONTINUE : de->state) {
++      case RPIVID_DECODE_SLICE_START:
++              de->state = RPIVID_DECODE_SLICE_CONTINUE;
++      /* FALLTHRU */
++      case RPIVID_DECODE_SLICE_CONTINUE:
++              v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
++                                               VB2_BUF_STATE_DONE);
++              break;
++      default:
++              v4l2_err(&dev->v4l2_dev, "%s: Unexpected state: %d\n", __func__,
++                       de->state);
++      /* FALLTHRU */
++      case RPIVID_DECODE_ERROR_DONE:
++              ctx->dec0 = NULL;
++              dec_env_delete(de);
++      /* FALLTHRU */
++      case RPIVID_DECODE_ERROR_CONTINUE:
++              xtrace_fin(dev, de);
++              v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
++                                               VB2_BUF_STATE_ERROR);
++              break;
++      case RPIVID_DECODE_PHASE1:
++              ctx->dec0 = NULL;
++              rpivid_hw_irq_active1_claim(dev, &de->irq_ent, phase1_claimed,
++                                          de);
++              break;
++      }
++
++      xtrace_ok(dev, de);
++}
++
++struct rpivid_dec_ops rpivid_dec_ops_h265 = {
++      .setup = rpivid_h265_setup,
++      .start = rpivid_h265_start,
++      .stop = rpivid_h265_stop,
++      .trigger = rpivid_h265_trigger,
++};
+--- /dev/null
++++ b/drivers/staging/media/rpivid/rpivid_hw.c
+@@ -0,0 +1,321 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * Raspberry Pi HEVC driver
++ *
++ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
++ *
++ * Based on the Cedrus VPU driver, that is:
++ *
++ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
++ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
++ * Copyright (C) 2018 Bootlin
++ */
++#include <linux/clk.h>
++#include <linux/component.h>
++#include <linux/dma-mapping.h>
++#include <linux/interrupt.h>
++#include <linux/io.h>
++#include <linux/of_reserved_mem.h>
++#include <linux/of_device.h>
++#include <linux/of_platform.h>
++#include <linux/platform_device.h>
++#include <linux/regmap.h>
++#include <linux/reset.h>
++
++#include <media/videobuf2-core.h>
++#include <media/v4l2-mem2mem.h>
++
++#include "rpivid.h"
++#include "rpivid_hw.h"
++
++static void pre_irq(struct rpivid_dev *dev, struct rpivid_hw_irq_ent *ient,
++                  rpivid_irq_callback cb, void *v,
++                  struct rpivid_hw_irq_ctrl *ictl)
++{
++      unsigned long flags;
++
++      if (ictl->irq) {
++              v4l2_err(&dev->v4l2_dev, "Attempt to claim IRQ when already claimed\n");
++              return;
++      }
++
++      ient->cb = cb;
++      ient->v = v;
++
++      // Not sure this lock is actually required
++      spin_lock_irqsave(&ictl->lock, flags);
++      ictl->irq = ient;
++      spin_unlock_irqrestore(&ictl->lock, flags);
++}
++
++static void sched_claim(struct rpivid_dev * const dev,
++                      struct rpivid_hw_irq_ctrl * const ictl)
++{
++      for (;;) {
++              struct rpivid_hw_irq_ent *ient = NULL;
++              unsigned long flags;
++
++              spin_lock_irqsave(&ictl->lock, flags);
++
++              if (--ictl->no_sched <= 0) {
++                      ient = ictl->claim;
++                      if (!ictl->irq && ient) {
++                              ictl->claim = ient->next;
++                              ictl->no_sched = 1;
++                      }
++              }
++
++              spin_unlock_irqrestore(&ictl->lock, flags);
++
++              if (!ient)
++                      break;
++
++              ient->cb(dev, ient->v);
++      }
++}
++
++/* Should only ever be called from its own IRQ cb so no lock required */
++static void pre_thread(struct rpivid_dev *dev,
++                     struct rpivid_hw_irq_ent *ient,
++                     rpivid_irq_callback cb, void *v,
++                     struct rpivid_hw_irq_ctrl *ictl)
++{
++      ient->cb = cb;
++      ient->v = v;
++      ictl->irq = ient;
++      ictl->thread_reqed = true;
++      ictl->no_sched++;
++}
++
++// Called in irq context
++static void do_irq(struct rpivid_dev * const dev,
++                 struct rpivid_hw_irq_ctrl * const ictl)
++{
++      struct rpivid_hw_irq_ent *ient;
++      unsigned long flags;
++
++      spin_lock_irqsave(&ictl->lock, flags);
++      ient = ictl->irq;
++      if (ient) {
++              ictl->no_sched++;
++              ictl->irq = NULL;
++      }
++      spin_unlock_irqrestore(&ictl->lock, flags);
++
++      if (ient) {
++              ient->cb(dev, ient->v);
++
++              sched_claim(dev, ictl);
++      }
++}
++
++static void do_claim(struct rpivid_dev * const dev,
++                   struct rpivid_hw_irq_ent *ient,
++                   const rpivid_irq_callback cb, void * const v,
++                   struct rpivid_hw_irq_ctrl * const ictl)
++{
++      unsigned long flags;
++
++      ient->next = NULL;
++      ient->cb = cb;
++      ient->v = v;
++
++      spin_lock_irqsave(&ictl->lock, flags);
++
++      if (ictl->claim) {
++              // If we have a Q then add to end
++              ictl->tail->next = ient;
++              ictl->tail = ient;
++              ient = NULL;
++      } else if (ictl->no_sched || ictl->irq) {
++              // Empty Q but other activity in progress so Q
++              ictl->claim = ient;
++              ictl->tail = ient;
++              ient = NULL;
++      } else {
++              // Nothing else going on - schedule immediately and
++              // prevent anything else scheduling claims
++              ictl->no_sched = 1;
++      }
++
++      spin_unlock_irqrestore(&ictl->lock, flags);
++
++      if (ient) {
++              ient->cb(dev, ient->v);
++
++              sched_claim(dev, ictl);
++      }
++}
++
++static void ictl_init(struct rpivid_hw_irq_ctrl * const ictl)
++{
++      spin_lock_init(&ictl->lock);
++      ictl->claim = NULL;
++      ictl->tail = NULL;
++      ictl->irq = NULL;
++      ictl->no_sched = 0;
++}
++
++static void ictl_uninit(struct rpivid_hw_irq_ctrl * const ictl)
++{
++      // Nothing to do
++}
++
++#if !OPT_DEBUG_POLL_IRQ
++static irqreturn_t rpivid_irq_irq(int irq, void *data)
++{
++      struct rpivid_dev * const dev = data;
++      __u32 ictrl;
++
++      ictrl = irq_read(dev, ARG_IC_ICTRL);
++      if (!(ictrl & ARG_IC_ICTRL_ALL_IRQ_MASK)) {
++              v4l2_warn(&dev->v4l2_dev, "IRQ but no IRQ bits set\n");
++              return IRQ_NONE;
++      }
++
++      // Cancel any/all irqs
++      irq_write(dev, ARG_IC_ICTRL, ictrl & ~ARG_IC_ICTRL_SET_ZERO_MASK);
++
++      // Service Active2 before Active1 so Phase 1 can transition to Phase 2
++      // without delay
++      if (ictrl & ARG_IC_ICTRL_ACTIVE2_INT_SET)
++              do_irq(dev, &dev->ic_active2);
++      if (ictrl & ARG_IC_ICTRL_ACTIVE1_INT_SET)
++              do_irq(dev, &dev->ic_active1);
++
++      return dev->ic_active1.thread_reqed || dev->ic_active2.thread_reqed ?
++              IRQ_WAKE_THREAD : IRQ_HANDLED;
++}
++
++static void do_thread(struct rpivid_dev * const dev,
++                    struct rpivid_hw_irq_ctrl *const ictl)
++{
++      unsigned long flags;
++      struct rpivid_hw_irq_ent *ient = NULL;
++
++      spin_lock_irqsave(&ictl->lock, flags);
++
++      if (ictl->thread_reqed) {
++              ient = ictl->irq;
++              ictl->thread_reqed = false;
++              ictl->irq = NULL;
++      }
++
++      spin_unlock_irqrestore(&ictl->lock, flags);
++
++      if (ient) {
++              ient->cb(dev, ient->v);
++
++              sched_claim(dev, ictl);
++      }
++}
++
++static irqreturn_t rpivid_irq_thread(int irq, void *data)
++{
++      struct rpivid_dev * const dev = data;
++
++      do_thread(dev, &dev->ic_active1);
++      do_thread(dev, &dev->ic_active2);
++
++      return IRQ_HANDLED;
++}
++#endif
++
++/* May only be called from Active1 CB
++ * IRQs should not be expected until execution continues in the cb
++ */
++void rpivid_hw_irq_active1_thread(struct rpivid_dev *dev,
++                                struct rpivid_hw_irq_ent *ient,
++                                rpivid_irq_callback thread_cb, void *ctx)
++{
++      pre_thread(dev, ient, thread_cb, ctx, &dev->ic_active1);
++}
++
++void rpivid_hw_irq_active1_claim(struct rpivid_dev *dev,
++                               struct rpivid_hw_irq_ent *ient,
++                               rpivid_irq_callback ready_cb, void *ctx)
++{
++      do_claim(dev, ient, ready_cb, ctx, &dev->ic_active1);
++}
++
++void rpivid_hw_irq_active1_irq(struct rpivid_dev *dev,
++                             struct rpivid_hw_irq_ent *ient,
++                             rpivid_irq_callback irq_cb, void *ctx)
++{
++      pre_irq(dev, ient, irq_cb, ctx, &dev->ic_active1);
++}
++
++void rpivid_hw_irq_active2_claim(struct rpivid_dev *dev,
++                               struct rpivid_hw_irq_ent *ient,
++                               rpivid_irq_callback ready_cb, void *ctx)
++{
++      do_claim(dev, ient, ready_cb, ctx, &dev->ic_active2);
++}
++
++void rpivid_hw_irq_active2_irq(struct rpivid_dev *dev,
++                             struct rpivid_hw_irq_ent *ient,
++                             rpivid_irq_callback irq_cb, void *ctx)
++{
++      pre_irq(dev, ient, irq_cb, ctx, &dev->ic_active2);
++}
++
++int rpivid_hw_probe(struct rpivid_dev *dev)
++{
++      struct resource *res;
++      __u32 irq_stat;
++      int irq_dec;
++      int ret = 0;
++
++      ictl_init(&dev->ic_active1);
++      ictl_init(&dev->ic_active2);
++
++      res = platform_get_resource_byname(dev->pdev, IORESOURCE_MEM, "intc");
++      if (!res)
++              return -ENODEV;
++
++      dev->base_irq = devm_ioremap(dev->dev, res->start, resource_size(res));
++      if (IS_ERR(dev->base_irq))
++              return PTR_ERR(dev->base_irq);
++
++      res = platform_get_resource_byname(dev->pdev, IORESOURCE_MEM, "hevc");
++      if (!res)
++              return -ENODEV;
++
++      dev->base_h265 = devm_ioremap(dev->dev, res->start, resource_size(res));
++      if (IS_ERR(dev->base_h265))
++              return PTR_ERR(dev->base_h265);
++
++      dev->clock = devm_clk_get(&dev->pdev->dev, "hevc");
++      if (IS_ERR(dev->clock))
++              return PTR_ERR(dev->clock);
++
++      // Disable IRQs & reset anything pending
++      irq_write(dev, 0,
++                ARG_IC_ICTRL_ACTIVE1_EN_SET | ARG_IC_ICTRL_ACTIVE2_EN_SET);
++      irq_stat = irq_read(dev, 0);
++      irq_write(dev, 0, irq_stat);
++
++#if !OPT_DEBUG_POLL_IRQ
++      irq_dec = platform_get_irq(dev->pdev, 0);
++      if (irq_dec <= 0)
++              return irq_dec;
++      ret = devm_request_threaded_irq(dev->dev, irq_dec,
++                                      rpivid_irq_irq,
++                                      rpivid_irq_thread,
++                                      0, dev_name(dev->dev), dev);
++      if (ret) {
++              dev_err(dev->dev, "Failed to request IRQ - %d\n", ret);
++
++              return ret;
++      }
++#endif
++      return ret;
++}
++
++void rpivid_hw_remove(struct rpivid_dev *dev)
++{
++      // IRQ auto freed on unload so no need to do it here
++      ictl_uninit(&dev->ic_active1);
++      ictl_uninit(&dev->ic_active2);
++}
++
+--- /dev/null
++++ b/drivers/staging/media/rpivid/rpivid_hw.h
+@@ -0,0 +1,300 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Raspberry Pi HEVC driver
++ *
++ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
++ *
++ * Based on the Cedrus VPU driver, that is:
++ *
++ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
++ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
++ * Copyright (C) 2018 Bootlin
++ */
++
++#ifndef _RPIVID_HW_H_
++#define _RPIVID_HW_H_
++
++struct rpivid_hw_irq_ent {
++      struct rpivid_hw_irq_ent *next;
++      rpivid_irq_callback cb;
++      void *v;
++};
++
++/* Phase 1 Register offsets */
++
++#define RPI_SPS0 0
++#define RPI_SPS1 4
++#define RPI_PPS 8
++#define RPI_SLICE 12
++#define RPI_TILESTART 16
++#define RPI_TILEEND 20
++#define RPI_SLICESTART 24
++#define RPI_MODE 28
++#define RPI_LEFT0 32
++#define RPI_LEFT1 36
++#define RPI_LEFT2 40
++#define RPI_LEFT3 44
++#define RPI_QP 48
++#define RPI_CONTROL 52
++#define RPI_STATUS 56
++#define RPI_VERSION 60
++#define RPI_BFBASE 64
++#define RPI_BFNUM 68
++#define RPI_BFCONTROL 72
++#define RPI_BFSTATUS 76
++#define RPI_PUWBASE 80
++#define RPI_PUWSTRIDE 84
++#define RPI_COEFFWBASE 88
++#define RPI_COEFFWSTRIDE 92
++#define RPI_SLICECMDS 96
++#define RPI_BEGINTILEEND 100
++#define RPI_TRANSFER 104
++#define RPI_CFBASE 108
++#define RPI_CFNUM 112
++#define RPI_CFSTATUS 116
++
++/* Phase 2 Register offsets */
++
++#define RPI_PURBASE 0x8000
++#define RPI_PURSTRIDE 0x8004
++#define RPI_COEFFRBASE 0x8008
++#define RPI_COEFFRSTRIDE 0x800C
++#define RPI_NUMROWS 0x8010
++#define RPI_CONFIG2 0x8014
++#define RPI_OUTYBASE 0x8018
++#define RPI_OUTYSTRIDE 0x801C
++#define RPI_OUTCBASE 0x8020
++#define RPI_OUTCSTRIDE 0x8024
++#define RPI_STATUS2 0x8028
++#define RPI_FRAMESIZE 0x802C
++#define RPI_MVBASE 0x8030
++#define RPI_MVSTRIDE 0x8034
++#define RPI_COLBASE 0x8038
++#define RPI_COLSTRIDE 0x803C
++#define RPI_CURRPOC 0x8040
++
++/*
++ * Write a general register value
++ * Order is unimportant
++ */
++static inline void apb_write(const struct rpivid_dev * const dev,
++                           const unsigned int offset, const u32 val)
++{
++      writel_relaxed(val, dev->base_h265 + offset);
++}
++
++/* Write the final register value that actually starts the phase */
++static inline void apb_write_final(const struct rpivid_dev * const dev,
++                                 const unsigned int offset, const u32 val)
++{
++      writel(val, dev->base_h265 + offset);
++}
++
++static inline u32 apb_read(const struct rpivid_dev * const dev,
++                         const unsigned int offset)
++{
++      return readl(dev->base_h265 + offset);
++}
++
++static inline void irq_write(const struct rpivid_dev * const dev,
++                           const unsigned int offset, const u32 val)
++{
++      writel(val, dev->base_irq + offset);
++}
++
++static inline u32 irq_read(const struct rpivid_dev * const dev,
++                         const unsigned int offset)
++{
++      return readl(dev->base_irq + offset);
++}
++
++static inline void apb_write_vc_addr(const struct rpivid_dev * const dev,
++                                   const unsigned int offset,
++                                   const dma_addr_t a)
++{
++      apb_write(dev, offset, (u32)(a >> 6));
++}
++
++static inline void apb_write_vc_addr_final(const struct rpivid_dev * const dev,
++                                         const unsigned int offset,
++                                         const dma_addr_t a)
++{
++      apb_write_final(dev, offset, (u32)(a >> 6));
++}
++
++static inline void apb_write_vc_len(const struct rpivid_dev * const dev,
++                                  const unsigned int offset,
++                                  const unsigned int x)
++{
++      apb_write(dev, offset, (x + 63) >> 6);
++}
++
++/* *ARG_IC_ICTRL - Interrupt control for ARGON Core*
++ * Offset (byte space) = 40'h2b10000
++ * Physical Address (byte space) = 40'h7eb10000
++ * Verilog Macro Address = `ARG_IC_REG_START + `ARGON_INTCTRL_ICTRL
++ * Reset Value = 32'b100x100x_100xxxxx_xxxxxxx0_x100x100
++ * Access = RW (32-bit only)
++ * Interrupt control logic for ARGON Core.
++ */
++#define ARG_IC_ICTRL 0
++
++/* acc=LWC ACTIVE1_INT FIELD ACCESS: LWC
++ *
++ * Interrupt 1
++ * This is set and held when an hevc_active1 interrupt edge is detected
++ * The polarity of the edge is set by the ACTIVE1_EDGE field
++ * Write a 1 to this bit to clear down the latched interrupt
++ * The latched interrupt is only enabled out onto the interrupt line if
++ * ACTIVE1_EN is set
++ * Reset value is *0* decimal.
++ */
++#define ARG_IC_ICTRL_ACTIVE1_INT_SET          BIT(0)
++
++/* ACTIVE1_EDGE Sets the polarity of the interrupt edge detection logic
++ * This logic detects edges of the hevc_active1 line from the argon core
++ * 0 = negedge, 1 = posedge
++ * Reset value is *0* decimal.
++ */
++#define ARG_IC_ICTRL_ACTIVE1_EDGE_SET         BIT(1)
++
++/* ACTIVE1_EN Enables ACTIVE1_INT out onto the argon interrupt line.
++ * If this isn't set, the interrupt logic will work but no interrupt will be
++ * set to the interrupt controller
++ * Reset value is *1* decimal.
++ *
++ * [JC] The above appears to be a lie - if unset then b0 is never set
++ */
++#define ARG_IC_ICTRL_ACTIVE1_EN_SET           BIT(2)
++
++/* acc=RO ACTIVE1_STATUS FIELD ACCESS: RO
++ *
++ * The current status of the hevc_active1 signal
++ */
++#define ARG_IC_ICTRL_ACTIVE1_STATUS_SET               BIT(3)
++
++/* acc=LWC ACTIVE2_INT FIELD ACCESS: LWC
++ *
++ * Interrupt 2
++ * This is set and held when an hevc_active2 interrupt edge is detected
++ * The polarity of the edge is set by the ACTIVE2_EDGE field
++ * Write a 1 to this bit to clear down the latched interrupt
++ * The latched interrupt is only enabled out onto the interrupt line if
++ * ACTIVE2_EN is set
++ * Reset value is *0* decimal.
++ */
++#define ARG_IC_ICTRL_ACTIVE2_INT_SET          BIT(4)
++
++/* ACTIVE2_EDGE Sets the polarity of the interrupt edge detection logic
++ * This logic detects edges of the hevc_active2 line from the argon core
++ * 0 = negedge, 1 = posedge
++ * Reset value is *0* decimal.
++ */
++#define ARG_IC_ICTRL_ACTIVE2_EDGE_SET         BIT(5)
++
++/* ACTIVE2_EN Enables ACTIVE2_INT out onto the argon interrupt line.
++ * If this isn't set, the interrupt logic will work but no interrupt will be
++ * set to the interrupt controller
++ * Reset value is *1* decimal.
++ */
++#define ARG_IC_ICTRL_ACTIVE2_EN_SET           BIT(6)
++
++/* acc=RO ACTIVE2_STATUS FIELD ACCESS: RO
++ *
++ * The current status of the hevc_active2 signal
++ */
++#define ARG_IC_ICTRL_ACTIVE2_STATUS_SET               BIT(7)
++
++/* TEST_INT Forces the argon int high for test purposes.
++ * Reset value is *0* decimal.
++ */
++#define ARG_IC_ICTRL_TEST_INT                 BIT(8)
++#define ARG_IC_ICTRL_SPARE                    BIT(9)
++
++/* acc=RO VP9_INTERRUPT_STATUS FIELD ACCESS: RO
++ *
++ * The current status of the vp9_interrupt signal
++ */
++#define ARG_IC_ICTRL_VP9_INTERRUPT_STATUS     BIT(10)
++
++/* AIO_INT_ENABLE 1 = Or the AIO int in with the Argon int so the VPU can see
++ * it
++ * 0 = the AIO int is masked. (It should still be connected to the GIC though).
++ */
++#define ARG_IC_ICTRL_AIO_INT_ENABLE           BIT(20)
++#define ARG_IC_ICTRL_H264_ACTIVE_INT          BIT(21)
++#define ARG_IC_ICTRL_H264_ACTIVE_EDGE         BIT(22)
++#define ARG_IC_ICTRL_H264_ACTIVE_EN           BIT(23)
++#define ARG_IC_ICTRL_H264_ACTIVE_STATUS               BIT(24)
++#define ARG_IC_ICTRL_H264_INTERRUPT_INT               BIT(25)
++#define ARG_IC_ICTRL_H264_INTERRUPT_EDGE      BIT(26)
++#define ARG_IC_ICTRL_H264_INTERRUPT_EN                BIT(27)
++
++/* acc=RO H264_INTERRUPT_STATUS FIELD ACCESS: RO
++ *
++ * The current status of the h264_interrupt signal
++ */
++#define ARG_IC_ICTRL_H264_INTERRUPT_STATUS    BIT(28)
++
++/* acc=LWC VP9_INTERRUPT_INT FIELD ACCESS: LWC
++ *
++ * Interrupt 1
++ * This is set and held when an vp9_interrupt interrupt edge is detected
++ * The polarity of the edge is set by the VP9_INTERRUPT_EDGE field
++ * Write a 1 to this bit to clear down the latched interrupt
++ * The latched interrupt is only enabled out onto the interrupt line if
++ * VP9_INTERRUPT_EN is set
++ * Reset value is *0* decimal.
++ */
++#define ARG_IC_ICTRL_VP9_INTERRUPT_INT                BIT(29)
++
++/* VP9_INTERRUPT_EDGE Sets the polarity of the interrupt edge detection logic
++ * This logic detects edges of the vp9_interrupt line from the argon h264 core
++ * 0 = negedge, 1 = posedge
++ * Reset value is *0* decimal.
++ */
++#define ARG_IC_ICTRL_VP9_INTERRUPT_EDGE               BIT(30)
++
++/* VP9_INTERRUPT_EN Enables VP9_INTERRUPT_INT out onto the argon interrupt line.
++ * If this isn't set, the interrupt logic will work but no interrupt will be
++ * set to the interrupt controller
++ * Reset value is *1* decimal.
++ */
++#define ARG_IC_ICTRL_VP9_INTERRUPT_EN         BIT(31)
++
++/* Bits 19:12, 11 reserved - read ?, write 0 */
++#define ARG_IC_ICTRL_SET_ZERO_MASK            ((0xff << 12) | BIT(11))
++
++/* All IRQ bits */
++#define ARG_IC_ICTRL_ALL_IRQ_MASK   (\
++              ARG_IC_ICTRL_VP9_INTERRUPT_INT  |\
++              ARG_IC_ICTRL_H264_INTERRUPT_INT |\
++              ARG_IC_ICTRL_ACTIVE1_INT_SET    |\
++              ARG_IC_ICTRL_ACTIVE2_INT_SET)
++
++/* Auto release once all CBs called */
++void rpivid_hw_irq_active1_claim(struct rpivid_dev *dev,
++                               struct rpivid_hw_irq_ent *ient,
++                               rpivid_irq_callback ready_cb, void *ctx);
++/* May only be called in claim cb */
++void rpivid_hw_irq_active1_irq(struct rpivid_dev *dev,
++                             struct rpivid_hw_irq_ent *ient,
++                             rpivid_irq_callback irq_cb, void *ctx);
++/* May only be called in irq cb */
++void rpivid_hw_irq_active1_thread(struct rpivid_dev *dev,
++                                struct rpivid_hw_irq_ent *ient,
++                                rpivid_irq_callback thread_cb, void *ctx);
++
++/* Auto release once all CBs called */
++void rpivid_hw_irq_active2_claim(struct rpivid_dev *dev,
++                               struct rpivid_hw_irq_ent *ient,
++                               rpivid_irq_callback ready_cb, void *ctx);
++/* May only be called in claim cb */
++void rpivid_hw_irq_active2_irq(struct rpivid_dev *dev,
++                             struct rpivid_hw_irq_ent *ient,
++                             rpivid_irq_callback irq_cb, void *ctx);
++
++int rpivid_hw_probe(struct rpivid_dev *dev);
++void rpivid_hw_remove(struct rpivid_dev *dev);
++
++#endif
+--- /dev/null
++++ b/drivers/staging/media/rpivid/rpivid_video.c
+@@ -0,0 +1,593 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * Raspberry Pi HEVC driver
++ *
++ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
++ *
++ * Based on the Cedrus VPU driver, that is:
++ *
++ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
++ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
++ * Copyright (C) 2018 Bootlin
++ */
++
++#include <media/videobuf2-dma-contig.h>
++#include <media/v4l2-device.h>
++#include <media/v4l2-ioctl.h>
++#include <media/v4l2-event.h>
++#include <media/v4l2-mem2mem.h>
++
++#include "rpivid.h"
++#include "rpivid_video.h"
++#include "rpivid_dec.h"
++
++#define RPIVID_DECODE_SRC     BIT(0)
++#define RPIVID_DECODE_DST     BIT(1)
++
++#define RPIVID_MIN_WIDTH      16U
++#define RPIVID_MIN_HEIGHT     16U
++#define RPIVID_MAX_WIDTH      4096U
++#define RPIVID_MAX_HEIGHT     4096U
++
++static inline struct rpivid_ctx *rpivid_file2ctx(struct file *file)
++{
++      return container_of(file->private_data, struct rpivid_ctx, fh);
++}
++
++/* constrain x to y,y*2 */
++static inline unsigned int constrain2x(unsigned int x, unsigned int y)
++{
++      return (x < y) ?
++                      y :
++                      (x > y * 2) ? y : x;
++}
++
++int rpivid_prepare_src_format(struct v4l2_pix_format *pix_fmt)
++{
++      if (pix_fmt->pixelformat != V4L2_PIX_FMT_HEVC_SLICE)
++              return -EINVAL;
++
++      /* Zero bytes per line for encoded source. */
++      pix_fmt->bytesperline = 0;
++      /* Choose some minimum size since this can't be 0 */
++      pix_fmt->sizeimage = max_t(u32, SZ_1K, pix_fmt->sizeimage);
++      pix_fmt->field = V4L2_FIELD_NONE;
++      return 0;
++}
++
++int rpivid_prepare_dst_format(struct v4l2_pix_format *pix_fmt)
++{
++      unsigned int width = pix_fmt->width;
++      unsigned int height = pix_fmt->height;
++      unsigned int sizeimage = pix_fmt->sizeimage;
++      unsigned int bytesperline = pix_fmt->bytesperline;
++
++      switch (pix_fmt->pixelformat) {
++      /* For column formats set bytesperline to column height (stride2) */
++      case V4L2_PIX_FMT_NV12_COL128:
++              /* Width rounds up to columns */
++              width = ALIGN(min(width, RPIVID_MAX_WIDTH), 128);
++
++              /* 16 aligned height - not sure we even need that */
++              height = ALIGN(height, 16);
++              /* column height
++               * Accept suggested shape if at least min & < 2 * min
++               */
++              bytesperline = constrain2x(bytesperline, height * 3 / 2);
++
++              /* image size
++               * Again allow plausible variation in case added padding is
++               * required
++               */
++              sizeimage = constrain2x(sizeimage, bytesperline * width);
++              break;
++
++      case V4L2_PIX_FMT_NV12_10_COL128:
++              /* width in pixels (3 pels = 4 bytes) rounded to 128 byte
++               * columns
++               */
++              width = ALIGN(((min(width, RPIVID_MAX_WIDTH) + 2) / 3), 32) * 3;
++
++              /* 16-aligned height. */
++              height = ALIGN(height, 16);
++
++              /* column height
++               * Accept suggested shape if at least min & < 2 * min
++               */
++              bytesperline = constrain2x(bytesperline, height * 3 / 2);
++
++              /* image size
++               * Again allow plausible variation in case added padding is
++               * required
++               */
++              sizeimage = constrain2x(sizeimage,
++                                      bytesperline * width * 4 / 3);
++              break;
++
++      default:
++              return -EINVAL;
++      }
++
++      pix_fmt->width = width;
++      pix_fmt->height = height;
++
++      pix_fmt->field = V4L2_FIELD_NONE;
++      pix_fmt->bytesperline = bytesperline;
++      pix_fmt->sizeimage = sizeimage;
++      return 0;
++}
++
++static int rpivid_querycap(struct file *file, void *priv,
++                         struct v4l2_capability *cap)
++{
++      strscpy(cap->driver, RPIVID_NAME, sizeof(cap->driver));
++      strscpy(cap->card, RPIVID_NAME, sizeof(cap->card));
++      snprintf(cap->bus_info, sizeof(cap->bus_info),
++               "platform:%s", RPIVID_NAME);
++
++      return 0;
++}
++
++static int rpivid_enum_fmt_vid_out(struct file *file, void *priv,
++                                 struct v4l2_fmtdesc *f)
++{
++      // Input formats
++
++      // H.265 Slice only currently
++      if (f->index == 0) {
++              f->pixelformat = V4L2_PIX_FMT_HEVC_SLICE;
++              return 0;
++      }
++
++      return -EINVAL;
++}
++
++static int rpivid_hevc_validate_sps(const struct v4l2_ctrl_hevc_sps * const sps)
++{
++      const unsigned int ctb_log2_size_y =
++                      sps->log2_min_luma_coding_block_size_minus3 + 3 +
++                      sps->log2_diff_max_min_luma_coding_block_size;
++      const unsigned int min_tb_log2_size_y =
++                      sps->log2_min_luma_transform_block_size_minus2 + 2;
++      const unsigned int max_tb_log2_size_y = min_tb_log2_size_y +
++                      sps->log2_diff_max_min_luma_transform_block_size;
++
++      /* Local limitations */
++      if (sps->pic_width_in_luma_samples < 32 ||
++          sps->pic_width_in_luma_samples > 4096)
++              return 0;
++      if (sps->pic_height_in_luma_samples < 32 ||
++          sps->pic_height_in_luma_samples > 4096)
++              return 0;
++      if (!(sps->bit_depth_luma_minus8 == 0 ||
++            sps->bit_depth_luma_minus8 == 2))
++              return 0;
++      if (sps->bit_depth_luma_minus8 != sps->bit_depth_chroma_minus8)
++              return 0;
++      if (sps->chroma_format_idc != 1)
++              return 0;
++
++      /*  Limits from H.265 7.4.3.2.1 */
++      if (sps->log2_max_pic_order_cnt_lsb_minus4 > 12)
++              return 0;
++      if (sps->sps_max_dec_pic_buffering_minus1 > 15)
++              return 0;
++      if (sps->sps_max_num_reorder_pics >
++                              sps->sps_max_dec_pic_buffering_minus1)
++              return 0;
++      if (ctb_log2_size_y > 6)
++              return 0;
++      if (max_tb_log2_size_y > 5)
++              return 0;
++      if (max_tb_log2_size_y > ctb_log2_size_y)
++              return 0;
++      if (sps->max_transform_hierarchy_depth_inter >
++                              (ctb_log2_size_y - min_tb_log2_size_y))
++              return 0;
++      if (sps->max_transform_hierarchy_depth_intra >
++                              (ctb_log2_size_y - min_tb_log2_size_y))
++              return 0;
++      /* Check pcm stuff */
++      if (sps->num_short_term_ref_pic_sets > 64)
++              return 0;
++      if (sps->num_long_term_ref_pics_sps > 32)
++              return 0;
++      return 1;
++}
++
++static inline int is_sps_set(const struct v4l2_ctrl_hevc_sps * const sps)
++{
++      return sps && sps->pic_width_in_luma_samples != 0;
++}
++
++static u32 pixelformat_from_sps(const struct v4l2_ctrl_hevc_sps * const sps,
++                              const int index)
++{
++      u32 pf = 0;
++
++      // Use width 0 as a signifier of unsetness
++      if (!is_sps_set(sps)) {
++              /* Treat this as an error? For now return both */
++              if (index == 0)
++                      pf = V4L2_PIX_FMT_NV12_COL128;
++              else if (index == 1)
++                      pf = V4L2_PIX_FMT_NV12_10_COL128;
++      } else if (index == 0 && rpivid_hevc_validate_sps(sps)) {
++              if (sps->bit_depth_luma_minus8 == 0)
++                      pf = V4L2_PIX_FMT_NV12_COL128;
++              else if (sps->bit_depth_luma_minus8 == 2)
++                      pf = V4L2_PIX_FMT_NV12_10_COL128;
++      }
++
++      return pf;
++}
++
++static struct v4l2_pix_format
++rpivid_hevc_default_dst_fmt(struct rpivid_ctx * const ctx)
++{
++      const struct v4l2_ctrl_hevc_sps * const sps =
++              rpivid_find_control_data(ctx, V4L2_CID_MPEG_VIDEO_HEVC_SPS);
++      struct v4l2_pix_format pix_fmt = {
++              .width = sps->pic_width_in_luma_samples,
++              .height = sps->pic_height_in_luma_samples,
++              .pixelformat = pixelformat_from_sps(sps, 0)
++      };
++
++      rpivid_prepare_dst_format(&pix_fmt);
++      return pix_fmt;
++}
++
++static u32 rpivid_hevc_get_dst_pixelformat(struct rpivid_ctx * const ctx,
++                                         const int index)
++{
++      const struct v4l2_ctrl_hevc_sps * const sps =
++              rpivid_find_control_data(ctx, V4L2_CID_MPEG_VIDEO_HEVC_SPS);
++
++      return pixelformat_from_sps(sps, index);
++}
++
++static int rpivid_enum_fmt_vid_cap(struct file *file, void *priv,
++                                 struct v4l2_fmtdesc *f)
++{
++      struct rpivid_ctx * const ctx = rpivid_file2ctx(file);
++
++      const u32 pf = rpivid_hevc_get_dst_pixelformat(ctx, f->index);
++
++      if (pf == 0)
++              return -EINVAL;
++
++      f->pixelformat = pf;
++      return 0;
++}
++
++static int rpivid_g_fmt_vid_cap(struct file *file, void *priv,
++                              struct v4l2_format *f)
++{
++      struct rpivid_ctx *ctx = rpivid_file2ctx(file);
++
++      if (!ctx->dst_fmt_set)
++              ctx->dst_fmt = rpivid_hevc_default_dst_fmt(ctx);
++      f->fmt.pix = ctx->dst_fmt;
++      return 0;
++}
++
++static int rpivid_g_fmt_vid_out(struct file *file, void *priv,
++                              struct v4l2_format *f)
++{
++      struct rpivid_ctx *ctx = rpivid_file2ctx(file);
++
++      f->fmt.pix = ctx->src_fmt;
++      return 0;
++}
++
++static inline void copy_color(struct v4l2_pix_format *d,
++                            const struct v4l2_pix_format *s)
++{
++      d->colorspace   = s->colorspace;
++      d->xfer_func    = s->xfer_func;
++      d->ycbcr_enc    = s->ycbcr_enc;
++      d->quantization = s->quantization;
++}
++
++static int rpivid_try_fmt_vid_cap(struct file *file, void *priv,
++                                struct v4l2_format *f)
++{
++      struct rpivid_ctx *ctx = rpivid_file2ctx(file);
++      const struct v4l2_ctrl_hevc_sps * const sps =
++              rpivid_find_control_data(ctx, V4L2_CID_MPEG_VIDEO_HEVC_SPS);
++      u32 pixelformat;
++      int i;
++
++      /* Reject format types we don't support */
++      if (f->type != V4L2_BUF_TYPE_VIDEO_CAPTURE)
++              return -EINVAL;
++
++      for (i = 0; (pixelformat = pixelformat_from_sps(sps, i)) != 0; i++) {
++              if (f->fmt.pix.pixelformat == pixelformat)
++                      break;
++      }
++
++      // If we can't use requested fmt then set to default
++      if (pixelformat == 0) {
++              pixelformat = pixelformat_from_sps(sps, 0);
++              // If we don't have a default then give up
++              if (pixelformat == 0)
++                      return -EINVAL;
++      }
++
++      // We don't have any way of finding out colourspace so believe
++      // anything we are told - take anything set in src as a default
++      if (f->fmt.pix.colorspace == V4L2_COLORSPACE_DEFAULT)
++              copy_color(&f->fmt.pix, &ctx->src_fmt);
++
++      f->fmt.pix.pixelformat = pixelformat;
++      return rpivid_prepare_dst_format(&f->fmt.pix);
++}
++
++static int rpivid_try_fmt_vid_out(struct file *file, void *priv,
++                                struct v4l2_format *f)
++{
++      if (f->type != V4L2_BUF_TYPE_VIDEO_OUTPUT)
++              return -EINVAL;
++
++      if (rpivid_prepare_src_format(&f->fmt.pix)) {
++              // Set default src format
++              f->fmt.pix.pixelformat = RPIVID_SRC_PIXELFORMAT_DEFAULT;
++              rpivid_prepare_src_format(&f->fmt.pix);
++      }
++      return 0;
++}
++
++static int rpivid_s_fmt_vid_cap(struct file *file, void *priv,
++                              struct v4l2_format *f)
++{
++      struct rpivid_ctx *ctx = rpivid_file2ctx(file);
++      struct vb2_queue *vq;
++      int ret;
++
++      vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, f->type);
++      if (vb2_is_busy(vq))
++              return -EBUSY;
++
++      ret = rpivid_try_fmt_vid_cap(file, priv, f);
++      if (ret)
++              return ret;
++
++      ctx->dst_fmt = f->fmt.pix;
++      ctx->dst_fmt_set = 1;
++
++      return 0;
++}
++
++static int rpivid_s_fmt_vid_out(struct file *file, void *priv,
++                              struct v4l2_format *f)
++{
++      struct rpivid_ctx *ctx = rpivid_file2ctx(file);
++      struct vb2_queue *vq;
++      int ret;
++
++      vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, f->type);
++      if (vb2_is_busy(vq))
++              return -EBUSY;
++
++      ret = rpivid_try_fmt_vid_out(file, priv, f);
++      if (ret)
++              return ret;
++
++      ctx->src_fmt = f->fmt.pix;
++      ctx->dst_fmt_set = 0;  // Setting src invalidates dst
++
++      vq->subsystem_flags |=
++              VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF;
++
++      /* Propagate colorspace information to capture. */
++      copy_color(&ctx->dst_fmt, &f->fmt.pix);
++      return 0;
++}
++
++const struct v4l2_ioctl_ops rpivid_ioctl_ops = {
++      .vidioc_querycap                = rpivid_querycap,
++
++      .vidioc_enum_fmt_vid_cap        = rpivid_enum_fmt_vid_cap,
++      .vidioc_g_fmt_vid_cap           = rpivid_g_fmt_vid_cap,
++      .vidioc_try_fmt_vid_cap         = rpivid_try_fmt_vid_cap,
++      .vidioc_s_fmt_vid_cap           = rpivid_s_fmt_vid_cap,
++
++      .vidioc_enum_fmt_vid_out        = rpivid_enum_fmt_vid_out,
++      .vidioc_g_fmt_vid_out           = rpivid_g_fmt_vid_out,
++      .vidioc_try_fmt_vid_out         = rpivid_try_fmt_vid_out,
++      .vidioc_s_fmt_vid_out           = rpivid_s_fmt_vid_out,
++
++      .vidioc_reqbufs                 = v4l2_m2m_ioctl_reqbufs,
++      .vidioc_querybuf                = v4l2_m2m_ioctl_querybuf,
++      .vidioc_qbuf                    = v4l2_m2m_ioctl_qbuf,
++      .vidioc_dqbuf                   = v4l2_m2m_ioctl_dqbuf,
++      .vidioc_prepare_buf             = v4l2_m2m_ioctl_prepare_buf,
++      .vidioc_create_bufs             = v4l2_m2m_ioctl_create_bufs,
++      .vidioc_expbuf                  = v4l2_m2m_ioctl_expbuf,
++
++      .vidioc_streamon                = v4l2_m2m_ioctl_streamon,
++      .vidioc_streamoff               = v4l2_m2m_ioctl_streamoff,
++
++      .vidioc_try_decoder_cmd         = v4l2_m2m_ioctl_stateless_try_decoder_cmd,
++      .vidioc_decoder_cmd             = v4l2_m2m_ioctl_stateless_decoder_cmd,
++
++      .vidioc_subscribe_event         = v4l2_ctrl_subscribe_event,
++      .vidioc_unsubscribe_event       = v4l2_event_unsubscribe,
++};
++
++static int rpivid_queue_setup(struct vb2_queue *vq, unsigned int *nbufs,
++                            unsigned int *nplanes, unsigned int sizes[],
++                            struct device *alloc_devs[])
++{
++      struct rpivid_ctx *ctx = vb2_get_drv_priv(vq);
++      struct v4l2_pix_format *pix_fmt;
++
++      if (V4L2_TYPE_IS_OUTPUT(vq->type))
++              pix_fmt = &ctx->src_fmt;
++      else
++              pix_fmt = &ctx->dst_fmt;
++
++      if (*nplanes) {
++              if (sizes[0] < pix_fmt->sizeimage)
++                      return -EINVAL;
++      } else {
++              sizes[0] = pix_fmt->sizeimage;
++              *nplanes = 1;
++      }
++
++      return 0;
++}
++
++static void rpivid_queue_cleanup(struct vb2_queue *vq, u32 state)
++{
++      struct rpivid_ctx *ctx = vb2_get_drv_priv(vq);
++      struct vb2_v4l2_buffer *vbuf;
++
++      for (;;) {
++              if (V4L2_TYPE_IS_OUTPUT(vq->type))
++                      vbuf = v4l2_m2m_src_buf_remove(ctx->fh.m2m_ctx);
++              else
++                      vbuf = v4l2_m2m_dst_buf_remove(ctx->fh.m2m_ctx);
++
++              if (!vbuf)
++                      return;
++
++              v4l2_ctrl_request_complete(vbuf->vb2_buf.req_obj.req,
++                                         &ctx->hdl);
++              v4l2_m2m_buf_done(vbuf, state);
++      }
++}
++
++static int rpivid_buf_out_validate(struct vb2_buffer *vb)
++{
++      struct vb2_v4l2_buffer *vbuf = to_vb2_v4l2_buffer(vb);
++
++      vbuf->field = V4L2_FIELD_NONE;
++      return 0;
++}
++
++static int rpivid_buf_prepare(struct vb2_buffer *vb)
++{
++      struct vb2_queue *vq = vb->vb2_queue;
++      struct rpivid_ctx *ctx = vb2_get_drv_priv(vq);
++      struct v4l2_pix_format *pix_fmt;
++
++      if (V4L2_TYPE_IS_OUTPUT(vq->type))
++              pix_fmt = &ctx->src_fmt;
++      else
++              pix_fmt = &ctx->dst_fmt;
++
++      if (vb2_plane_size(vb, 0) < pix_fmt->sizeimage)
++              return -EINVAL;
++
++      vb2_set_plane_payload(vb, 0, pix_fmt->sizeimage);
++
++      return 0;
++}
++
++static int rpivid_start_streaming(struct vb2_queue *vq, unsigned int count)
++{
++      struct rpivid_ctx *ctx = vb2_get_drv_priv(vq);
++      struct rpivid_dev *dev = ctx->dev;
++      int ret = 0;
++
++      if (ctx->src_fmt.pixelformat != V4L2_PIX_FMT_HEVC_SLICE)
++              return -EINVAL;
++
++      if (V4L2_TYPE_IS_OUTPUT(vq->type) && dev->dec_ops->start)
++              ret = dev->dec_ops->start(ctx);
++
++      ret = clk_set_rate(dev->clock, 500 * 1000 * 1000);
++      if (ret) {
++              dev_err(dev->dev, "Failed to set clock rate\n");
++              goto out;
++      }
++
++      ret = clk_prepare_enable(dev->clock);
++      if (ret)
++              dev_err(dev->dev, "Failed to enable clock\n");
++
++out:
++      if (ret)
++              rpivid_queue_cleanup(vq, VB2_BUF_STATE_QUEUED);
++
++      return ret;
++}
++
++static void rpivid_stop_streaming(struct vb2_queue *vq)
++{
++      struct rpivid_ctx *ctx = vb2_get_drv_priv(vq);
++      struct rpivid_dev *dev = ctx->dev;
++
++      if (V4L2_TYPE_IS_OUTPUT(vq->type) && dev->dec_ops->stop)
++              dev->dec_ops->stop(ctx);
++
++      rpivid_queue_cleanup(vq, VB2_BUF_STATE_ERROR);
++
++      clk_disable_unprepare(dev->clock);
++}
++
++static void rpivid_buf_queue(struct vb2_buffer *vb)
++{
++      struct vb2_v4l2_buffer *vbuf = to_vb2_v4l2_buffer(vb);
++      struct rpivid_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
++
++      v4l2_m2m_buf_queue(ctx->fh.m2m_ctx, vbuf);
++}
++
++static void rpivid_buf_request_complete(struct vb2_buffer *vb)
++{
++      struct rpivid_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
++
++      v4l2_ctrl_request_complete(vb->req_obj.req, &ctx->hdl);
++}
++
++static struct vb2_ops rpivid_qops = {
++      .queue_setup            = rpivid_queue_setup,
++      .buf_prepare            = rpivid_buf_prepare,
++      .buf_queue              = rpivid_buf_queue,
++      .buf_out_validate       = rpivid_buf_out_validate,
++      .buf_request_complete   = rpivid_buf_request_complete,
++      .start_streaming        = rpivid_start_streaming,
++      .stop_streaming         = rpivid_stop_streaming,
++      .wait_prepare           = vb2_ops_wait_prepare,
++      .wait_finish            = vb2_ops_wait_finish,
++};
++
++int rpivid_queue_init(void *priv, struct vb2_queue *src_vq,
++                    struct vb2_queue *dst_vq)
++{
++      struct rpivid_ctx *ctx = priv;
++      int ret;
++
++      src_vq->type = V4L2_BUF_TYPE_VIDEO_OUTPUT;
++      src_vq->io_modes = VB2_MMAP | VB2_DMABUF;
++      src_vq->drv_priv = ctx;
++      src_vq->buf_struct_size = sizeof(struct rpivid_buffer);
++      src_vq->min_buffers_needed = 1;
++      src_vq->ops = &rpivid_qops;
++      src_vq->mem_ops = &vb2_dma_contig_memops;
++      src_vq->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_COPY;
++      src_vq->lock = &ctx->dev->dev_mutex;
++      src_vq->dev = ctx->dev->dev;
++      src_vq->supports_requests = true;
++      src_vq->requires_requests = true;
++
++      ret = vb2_queue_init(src_vq);
++      if (ret)
++              return ret;
++
++      dst_vq->type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
++      dst_vq->io_modes = VB2_MMAP | VB2_DMABUF;
++      dst_vq->drv_priv = ctx;
++      dst_vq->buf_struct_size = sizeof(struct rpivid_buffer);
++      dst_vq->min_buffers_needed = 1;
++      dst_vq->ops = &rpivid_qops;
++      dst_vq->mem_ops = &vb2_dma_contig_memops;
++      dst_vq->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_COPY;
++      dst_vq->lock = &ctx->dev->dev_mutex;
++      dst_vq->dev = ctx->dev->dev;
++
++      return vb2_queue_init(dst_vq);
++}
+--- /dev/null
++++ b/drivers/staging/media/rpivid/rpivid_video.h
+@@ -0,0 +1,30 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Raspberry Pi HEVC driver
++ *
++ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
++ *
++ * Based on the Cedrus VPU driver, that is:
++ *
++ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
++ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
++ * Copyright (C) 2018 Bootlin
++ */
++
++#ifndef _RPIVID_VIDEO_H_
++#define _RPIVID_VIDEO_H_
++
++struct rpivid_format {
++      u32             pixelformat;
++      u32             directions;
++      unsigned int    capabilities;
++};
++
++extern const struct v4l2_ioctl_ops rpivid_ioctl_ops;
++
++int rpivid_queue_init(void *priv, struct vb2_queue *src_vq,
++                    struct vb2_queue *dst_vq);
++int rpivid_prepare_src_format(struct v4l2_pix_format *pix_fmt);
++int rpivid_prepare_dst_format(struct v4l2_pix_format *pix_fmt);
++
++#endif
diff --git a/target/linux/bcm27xx/patches-5.4/950-0503-dtoverlays-Add-overlay-to-enable-the-HEVC-V4L2-drive.patch b/target/linux/bcm27xx/patches-5.4/950-0503-dtoverlays-Add-overlay-to-enable-the-HEVC-V4L2-drive.patch
new file mode 100644 (file)
index 0000000..ee92ada
--- /dev/null
@@ -0,0 +1,102 @@
+From b1d6499e00b6061ecc7061335199acf86f54d31a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 13 Mar 2020 16:52:55 +0000
+Subject: [PATCH] dtoverlays: Add overlay to enable the HEVC V4L2
+ driver
+
+This replaces the rpivid_mem register mapping driver.
+When the driver is complete, these DT changes should be
+merged into the base DT instead of being an overlay.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  1 +
+ arch/arm/boot/dts/overlays/README             |  7 +++
+ .../boot/dts/overlays/rpivid-v4l2-overlay.dts | 55 +++++++++++++++++++
+ 4 files changed, 63 insertions(+), 2 deletions(-)
+ create mode 100644 arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -140,6 +140,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       rpi-proto.dtbo \
+       rpi-sense.dtbo \
+       rpi-tv.dtbo \
++      rpivid-v4l2.dtbo \
+       rra-digidac1-wm8741-audio.dtbo \
+       sc16is750-i2c.dtbo \
+       sc16is752-i2c.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2064,6 +2064,13 @@ Load:   dtoverlay=rpi-tv
+ Params: <None>
++Name:   rpivid-v4l2
++Info:   Load the V4L2 stateless video decoder driver for the HEVC block,
++        disabling the memory mapped devices in the process.
++Load:   dtoverlay=rpivid-v4l2
++Params: <None>
++
++
+ Name:   rra-digidac1-wm8741-audio
+ Info:   Configures the Red Rocks Audio DigiDAC1 soundcard
+ Load:   dtoverlay=rra-digidac1-wm8741-audio
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts
+@@ -0,0 +1,55 @@
++// SPDX-License-Identifier: GPL-2.0-only
++// Definitions for Raspberry Pi video decode engine
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/interrupt-controller/arm-gic.h>
++
++/{
++      compatible = "brcm,bcm2711";
++
++      fragment@0 {
++              target = <&scb>;
++              __overlay__ {
++                      /* needed to avoid dtc warning */
++                      #address-cells = <2>;
++                      #size-cells = <1>;
++                      codec@7eb10000 {
++                              compatible = "raspberrypi,rpivid-vid-decoder";
++                              reg = <0x0 0x7eb10000 0x1000>,  /* INTC */
++                                    <0x0 0x7eb00000 0x10000>; /* HEVC */
++                              reg-names = "intc",
++                                          "hevc";
++
++                              interrupts = <GIC_SPI 98 IRQ_TYPE_LEVEL_HIGH>;
++
++                              clocks = <&hevc_clk>;
++                              clock-names = "hevc";
++
++                              hevc_clk: hevc_clk {
++                                      compatible = "fixed-clock";
++                                      #clock-cells = <0>;
++                                      clock-frequency = <500000000>;
++                              };
++                      };
++              };
++      };
++
++      fragment@1 {
++              target = <&scb>;
++              __overlay__ {
++                      hevc-decoder@7eb00000 {
++                              status = "disabled";
++                      };
++                      rpivid-local-intc@7eb10000 {
++                              status = "disabled";
++                      };
++                      h264-decoder@7eb20000 {
++                              status = "disabled";
++                      };
++                      vp9-decoder@7eb30000 {
++                              status = "disabled";
++                      };
++              };
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0503-media-hevc_ctrls-Add-slice-param-dependent-slice-seg.patch b/target/linux/bcm27xx/patches-5.4/950-0503-media-hevc_ctrls-Add-slice-param-dependent-slice-seg.patch
deleted file mode 100644 (file)
index 1353480..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-From e8355c6b60adb6704c9fb863f380f2d7b457d82c Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Mon, 23 Mar 2020 18:34:01 +0000
-Subject: [PATCH] media: hevc_ctrls: Add slice param dependent slice
- segment
-
-Adds V4L2_HEVC_SLICE_PARAMS_FLAG_DEPENDENT_SLICE_SEGMENT define.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- include/media/hevc-ctrls.h | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/include/media/hevc-ctrls.h
-+++ b/include/media/hevc-ctrls.h
-@@ -162,6 +162,7 @@ struct v4l2_hevc_pred_weight_table {
- #define V4L2_HEVC_SLICE_PARAMS_FLAG_USE_INTEGER_MV            (1ULL << 6)
- #define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_DEBLOCKING_FILTER_DISABLED (1ULL << 7)
- #define V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_LOOP_FILTER_ACROSS_SLICES_ENABLED (1ULL << 8)
-+#define V4L2_HEVC_SLICE_PARAMS_FLAG_DEPENDENT_SLICE_SEGMENT   (1ULL << 9)
- struct v4l2_ctrl_hevc_slice_params {
-       __u32   bit_size;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0504-media-uapi-Add-hevc-ctrls-for-WPP-decoding.patch b/target/linux/bcm27xx/patches-5.4/950-0504-media-uapi-Add-hevc-ctrls-for-WPP-decoding.patch
deleted file mode 100644 (file)
index 234cb82..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-From 6a42d17668699234bfa2d459e29cc2732e59759b Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Mon, 23 Mar 2020 19:00:17 +0000
-Subject: [PATCH] media: uapi: Add hevc ctrls for WPP decoding
-
-WPP can allow greater parallelism within the decode, but needs
-offset information to be passed in.
-
-Adds num_entry_point_offsets and entry_point_offset_minus1 to
-v4l2_ctrl_hevc_slice_params.
-
-This is based on Jernej Skrabec's patches for cedrus which
-implement the same feature.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- include/media/hevc-ctrls.h | 5 ++++-
- 1 file changed, 4 insertions(+), 1 deletion(-)
-
---- a/include/media/hevc-ctrls.h
-+++ b/include/media/hevc-ctrls.h
-@@ -170,6 +170,7 @@ struct v4l2_ctrl_hevc_slice_params {
-       /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
-       __u32   slice_segment_addr;
-+      __u32   num_entry_point_offsets;
-       /* ISO/IEC 23008-2, ITU-T Rec. H.265: NAL unit header */
-       __u8    nal_unit_type;
-@@ -204,7 +205,9 @@ struct v4l2_ctrl_hevc_slice_params {
-       __u8    num_rps_poc_st_curr_after;
-       __u8    num_rps_poc_lt_curr;
--      __u8    padding[5];
-+      __u8    padding;
-+
-+      __u32   entry_point_offset_minus1[256];
-       /* ISO/IEC 23008-2, ITU-T Rec. H.265: General slice segment header */
-       struct v4l2_hevc_dpb_entry dpb[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
diff --git a/target/linux/bcm27xx/patches-5.4/950-0504-mmc-sdhci-Silence-MMC-warnings.patch b/target/linux/bcm27xx/patches-5.4/950-0504-mmc-sdhci-Silence-MMC-warnings.patch
new file mode 100644 (file)
index 0000000..3834928
--- /dev/null
@@ -0,0 +1,42 @@
+From c99941ee53a8c6fcc466a088f8bd7108f04824e5 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 6 Dec 2019 13:05:27 +0100
+Subject: [PATCH] mmc: sdhci: Silence MMC warnings
+
+When the MMC isn't plugged in, the driver will spam the console which is
+pretty annoying when using NFS.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/mmc/host/sdhci.c | 6 +++---
+ 1 file changed, 3 insertions(+), 3 deletions(-)
+
+--- a/drivers/mmc/host/sdhci.c
++++ b/drivers/mmc/host/sdhci.c
+@@ -39,7 +39,7 @@
+       pr_debug("%s: " DRIVER_NAME ": " f, mmc_hostname(host->mmc), ## x)
+ #define SDHCI_DUMP(f, x...) \
+-      pr_err("%s: " DRIVER_NAME ": " f, mmc_hostname(host->mmc), ## x)
++      pr_debug("%s: " DRIVER_NAME ": " f, mmc_hostname(host->mmc), ## x)
+ #define MAX_TUNING_LOOP 40
+@@ -2756,7 +2756,7 @@ static void sdhci_timeout_timer(struct t
+       spin_lock_irqsave(&host->lock, flags);
+       if (host->cmd && !sdhci_data_line_cmd(host->cmd)) {
+-              pr_err("%s: Timeout waiting for hardware cmd interrupt.\n",
++              pr_debug("%s: Timeout waiting for hardware cmd interrupt.\n",
+                      mmc_hostname(host->mmc));
+               sdhci_dumpregs(host);
+@@ -2778,7 +2778,7 @@ static void sdhci_timeout_data_timer(str
+       if (host->data || host->data_cmd ||
+           (host->cmd && sdhci_data_line_cmd(host->cmd))) {
+-              pr_err("%s: Timeout waiting for hardware interrupt.\n",
++              pr_debug("%s: Timeout waiting for hardware interrupt.\n",
+                      mmc_hostname(host->mmc));
+               sdhci_dumpregs(host);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0505-dt-bindings-i2c-brcmstb-Convert-the-BRCMSTB-binding-.patch b/target/linux/bcm27xx/patches-5.4/950-0505-dt-bindings-i2c-brcmstb-Convert-the-BRCMSTB-binding-.patch
new file mode 100644 (file)
index 0000000..01bdfee
--- /dev/null
@@ -0,0 +1,126 @@
+From 1a2a857af4fe6748fea53799e0007672faa7aa57 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 13 Feb 2020 16:55:01 +0100
+Subject: [PATCH] dt-bindings: i2c: brcmstb: Convert the BRCMSTB
+ binding to a schema
+
+Switch the DT binding to a YAML schema to enable the DT validation.
+
+Cc: Kamal Dasu <kdasu.kdev@gmail.com>
+Cc: Wolfram Sang <wsa@the-dreams.de>
+Cc: bcm-kernel-feedback-list@broadcom.com
+Cc: linux-i2c@vger.kernel.org
+Cc: devicetree@vger.kernel.org
+Acked-by: Florian Fainelli <f.fainelli@gmail.com>
+Reviewed-by: Rob Herring <robh+dt@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ .../bindings/i2c/brcm,brcmstb-i2c.yaml        | 59 +++++++++++++++++++
+ .../devicetree/bindings/i2c/i2c-brcmstb.txt   | 26 --------
+ MAINTAINERS                                   |  2 +-
+ 3 files changed, 60 insertions(+), 27 deletions(-)
+ create mode 100644 Documentation/devicetree/bindings/i2c/brcm,brcmstb-i2c.yaml
+ delete mode 100644 Documentation/devicetree/bindings/i2c/i2c-brcmstb.txt
+
+--- /dev/null
++++ b/Documentation/devicetree/bindings/i2c/brcm,brcmstb-i2c.yaml
+@@ -0,0 +1,59 @@
++# SPDX-License-Identifier: GPL-2.0
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/i2c/brcm,brcmstb-i2c.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Broadcom STB BSC IIC Master Controller
++
++maintainers:
++  - Kamal Dasu <kdasu.kdev@gmail.com>
++
++allOf:
++  - $ref: /schemas/i2c/i2c-controller.yaml#
++
++properties:
++  compatible:
++    enum:
++      - brcm,brcmstb-i2c
++      - brcm,brcmper-i2c
++
++  reg:
++    maxItems: 1
++
++  interrupts:
++    maxItems: 1
++
++  interrupt-names:
++    maxItems: 1
++
++  clock-frequency:
++    enum:
++      - 46875
++      - 50000
++      - 93750
++      - 97500
++      - 187500
++      - 200000
++      - 375000
++      - 390000
++
++required:
++  - compatible
++  - reg
++  - clock-frequency
++
++unevaluatedProperties: false
++
++examples:
++  - |
++      bsca: i2c@f0406200 {
++          clock-frequency = <390000>;
++          compatible = "brcm,brcmstb-i2c";
++          interrupt-parent = <&irq0_intc>;
++          reg = <0xf0406200 0x58>;
++          interrupts = <0x18>;
++          interrupt-names = "upg_bsca";
++      };
++
++...
+--- a/Documentation/devicetree/bindings/i2c/i2c-brcmstb.txt
++++ /dev/null
+@@ -1,26 +0,0 @@
+-Broadcom stb bsc iic master controller
+-
+-Required properties:
+-
+-- compatible: should be "brcm,brcmstb-i2c" or "brcm,brcmper-i2c"
+-- clock-frequency: 32-bit decimal value of iic master clock freqency in Hz
+-                 valid values are 375000, 390000, 187500, 200000
+-                 93750, 97500, 46875 and 50000
+-- reg: specifies the base physical address and size of the registers
+-
+-Optional properties :
+-
+-- interrupts: specifies the interrupt number, the irq line to be used
+-- interrupt-names: Interrupt name string
+-
+-Example:
+-
+-bsca: i2c@f0406200 {
+-      clock-frequency = <390000>;
+-      compatible = "brcm,brcmstb-i2c";
+-      interrupt-parent = <&irq0_intc>;
+-      reg = <0xf0406200 0x58>;
+-      interrupts = <0x18>;
+-      interrupt-names = "upg_bsca";
+-};
+-
+--- a/MAINTAINERS
++++ b/MAINTAINERS
+@@ -3349,7 +3349,7 @@ L:       linux-i2c@vger.kernel.org
+ L:    bcm-kernel-feedback-list@broadcom.com
+ S:    Supported
+ F:    drivers/i2c/busses/i2c-brcmstb.c
+-F:    Documentation/devicetree/bindings/i2c/i2c-brcmstb.txt
++F:    Documentation/devicetree/bindings/i2c/brcm,brcmstb-i2c.yaml
+ BROADCOM BRCMSTB USB2 and USB3 PHY DRIVER
+ M:    Al Cooper <alcooperx@gmail.com>
diff --git a/target/linux/bcm27xx/patches-5.4/950-0505-media-videodev2.h-Add-a-format-for-column-YUV4-2-0-m.patch b/target/linux/bcm27xx/patches-5.4/950-0505-media-videodev2.h-Add-a-format-for-column-YUV4-2-0-m.patch
deleted file mode 100644 (file)
index 5a30982..0000000
+++ /dev/null
@@ -1,302 +0,0 @@
-From a8f52dad0ed65192eb880a4a1ca90b236e99711e Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Fri, 24 Jan 2020 14:28:21 +0000
-Subject: [PATCH] media: videodev2.h: Add a format for column YUV4:2:0
- modes
-
-Some of the Broadcom codec blocks use a column based YUV4:2:0 image
-format, so add the documentation and defines for both 8 and 10 bit
-versions.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- .../media/uapi/v4l/pixfmt-nv12-col128.rst     | 215 ++++++++++++++++++
- Documentation/media/uapi/v4l/pixfmt-nv12.rst  |  14 +-
- Documentation/media/uapi/v4l/yuv-formats.rst  |   1 +
- drivers/media/v4l2-core/v4l2-ioctl.c          |   2 +
- include/uapi/linux/videodev2.h                |   4 +
- 5 files changed, 233 insertions(+), 3 deletions(-)
- create mode 100644 Documentation/media/uapi/v4l/pixfmt-nv12-col128.rst
-
---- /dev/null
-+++ b/Documentation/media/uapi/v4l/pixfmt-nv12-col128.rst
-@@ -0,0 +1,215 @@
-+.. Permission is granted to copy, distribute and/or modify this
-+.. document under the terms of the GNU Free Documentation License,
-+.. Version 1.1 or any later version published by the Free Software
-+.. Foundation, with no Invariant Sections, no Front-Cover Texts
-+.. and no Back-Cover Texts. A copy of the license is included at
-+.. Documentation/media/uapi/fdl-appendix.rst.
-+..
-+.. TODO: replace it to GFDL-1.1-or-later WITH no-invariant-sections
-+
-+.. _V4L2_PIX_FMT_NV12_COL128:
-+.. _V4L2_PIX_FMT_NV12_10_COL128:
-+
-+********************************************************************************
-+V4L2_PIX_FMT_NV12_COL128, V4L2_PIX_FMT_NV12_10_COL128
-+********************************************************************************
-+
-+
-+V4L2_PIX_FMT_NV21_COL128
-+Formats with ½ horizontal and vertical chroma resolution. This format
-+has two planes - one for luminance and one for chrominance. Chroma
-+samples are interleaved. The difference to ``V4L2_PIX_FMT_NV12`` is the
-+memory layout. The image is split into columns of 128 bytes wide rather than
-+being in raster order.
-+
-+V4L2_PIX_FMT_NV12_10_COL128
-+Follows the same pattern as ``V4L2_PIX_FMT_NV21_COL128`` with 128 byte, but is
-+a 10bit format with 3 10-bit samples being packed into 4 bytes. Each 128 byte
-+wide column therefore contains 96 samples.
-+
-+
-+Description
-+===========
-+
-+This is the two-plane versions of the YUV 4:2:0 format where data is
-+grouped into 128 byte wide columns. The three components are separated into
-+two sub-images or planes. The Y plane has one byte per pixel and pixels
-+are grouped into 128 byte wide columns. The CbCr plane has the same width,
-+in bytes, as the Y plane (and the image), but is half as tall in pixels.
-+The chroma plane is also in 128 byte columns, reflecting 64 Cb and 64 Cr
-+samples.
-+
-+The chroma samples for a column follow the luma samples. If there is any
-+paddding, then that will be reflected via the selection API.
-+The luma height must be a multiple of 2 lines.
-+
-+The normal bytesperline is effectively fixed at 128. However the format
-+requires knowledge of the stride between columns, therefore the bytesperline
-+value has been repurposed to denote the number of 128 byte long lines between
-+the start of each column.
-+
-+**Byte Order.**
-+
-+
-+.. flat-table::
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths: 12 12 12 12 12 4 12 12 12 12
-+
-+    * - start + 0:
-+      - Y'\ :sub:`0,0`
-+      - Y'\ :sub:`0,1`
-+      - Y'\ :sub:`0,2`
-+      - Y'\ :sub:`0,3`
-+      - ...
-+      - Y'\ :sub:`0,124`
-+      - Y'\ :sub:`0,125`
-+      - Y'\ :sub:`0,126`
-+      - Y'\ :sub:`0,127`
-+    * - start + 128:
-+      - Y'\ :sub:`1,0`
-+      - Y'\ :sub:`1,1`
-+      - Y'\ :sub:`1,2`
-+      - Y'\ :sub:`1,3`
-+      - ...
-+      - Y'\ :sub:`1,124`
-+      - Y'\ :sub:`1,125`
-+      - Y'\ :sub:`1,126`
-+      - Y'\ :sub:`1,127`
-+    * - start + 256:
-+      - Y'\ :sub:`2,0`
-+      - Y'\ :sub:`2,1`
-+      - Y'\ :sub:`2,2`
-+      - Y'\ :sub:`2,3`
-+      - ...
-+      - Y'\ :sub:`2,124`
-+      - Y'\ :sub:`2,125`
-+      - Y'\ :sub:`2,126`
-+      - Y'\ :sub:`2,127`
-+    * - ...
-+      - ...
-+      - ...
-+      - ...
-+      - ...
-+      - ...
-+      - ...
-+      - ...
-+    * - start + ((height-1) * 128):
-+      - Y'\ :sub:`height-1,0`
-+      - Y'\ :sub:`height-1,1`
-+      - Y'\ :sub:`height-1,2`
-+      - Y'\ :sub:`height-1,3`
-+      - ...
-+      - Y'\ :sub:`height-1,124`
-+      - Y'\ :sub:`height-1,125`
-+      - Y'\ :sub:`height-1,126`
-+      - Y'\ :sub:`height-1,127`
-+    * - start + ((height) * 128):
-+      - Cb\ :sub:`0,0`
-+      - Cr\ :sub:`0,0`
-+      - Cb\ :sub:`0,1`
-+      - Cr\ :sub:`0,1`
-+      - ...
-+      - Cb\ :sub:`0,62`
-+      - Cr\ :sub:`0,62`
-+      - Cb\ :sub:`0,63`
-+      - Cr\ :sub:`0,63`
-+    * - start + ((height+1) * 128):
-+      - Cb\ :sub:`1,0`
-+      - Cr\ :sub:`1,0`
-+      - Cb\ :sub:`1,1`
-+      - Cr\ :sub:`1,1`
-+      - ...
-+      - Cb\ :sub:`1,62`
-+      - Cr\ :sub:`1,62`
-+      - Cb\ :sub:`1,63`
-+      - Cr\ :sub:`1,63`
-+    * - ...
-+      - ...
-+      - ...
-+      - ...
-+      - ...
-+      - ...
-+      - ...
-+      - ...
-+    * - start + ((height+(height/2)-1) * 128):
-+      - Cb\ :sub:`(height/2)-1,0`
-+      - Cr\ :sub:`(height/2)-1,0`
-+      - Cb\ :sub:`(height/2)-1,1`
-+      - Cr\ :sub:`(height/2)-1,1`
-+      - ...
-+      - Cb\ :sub:`(height/2)-1,62`
-+      - Cr\ :sub:`(height/2)-1,62`
-+      - Cb\ :sub:`(height/2)-1,63`
-+      - Cr\ :sub:`(height/2)-1,63`
-+    * - start + (bytesperline * 128):
-+      - Y'\ :sub:`0,128`
-+      - Y'\ :sub:`0,129`
-+      - Y'\ :sub:`0,130`
-+      - Y'\ :sub:`0,131`
-+      - ...
-+      - Y'\ :sub:`0,252`
-+      - Y'\ :sub:`0,253`
-+      - Y'\ :sub:`0,254`
-+      - Y'\ :sub:`0,255`
-+    * - ...
-+      - ...
-+      - ...
-+      - ...
-+      - ...
-+      - ...
-+      - ...
-+      - ...
-+
-+V4L2_PIX_FMT_NV12_10_COL128 uses the same 128 byte column structure, but
-+encodes 10-bit YUV.
-+3 10-bit values are packed into 4 bytes as bits 9:0, 19:10, and 29:20, with
-+bits 30 & 31 unused. For the luma plane, bits 9:0 are Y0, 19:10 are Y1, and
-+29:20 are Y2. For the chroma plane the samples always come in pairs of Cr
-+and Cb, so it needs to be considered 6 values packed in 8 bytes.
-+
-+Bit-packed representation.
-+
-+.. raw:: latex
-+
-+    \small
-+
-+.. tabularcolumns:: |p{1.2cm}||p{1.2cm}||p{1.2cm}||p{1.2cm}|p{3.2cm}|p{3.2cm}|
-+
-+.. flat-table::
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths: 8 8 8 8
-+
-+    * - Y'\ :sub:`00[7:0]`
-+      - Y'\ :sub:`01[5:0] (bits 7--2)` Y'\ :sub:`00[9:8]`\ (bits 1--0)
-+      - Y'\ :sub:`02[3:0] (bits 7--4)` Y'\ :sub:`01[9:6]`\ (bits 3--0)
-+      - unused (bits 7--6)` Y'\ :sub:`02[9:4]`\ (bits 5--0)
-+
-+.. raw:: latex
-+
-+    \small
-+
-+.. tabularcolumns:: |p{1.2cm}||p{1.2cm}||p{1.2cm}||p{1.2cm}|p{3.2cm}|p{3.2cm}|
-+
-+.. flat-table::
-+    :header-rows:  0
-+    :stub-columns: 0
-+    :widths: 12 12 12 12 12 12 12 12
-+
-+    * - Cb\ :sub:`00[7:0]`
-+      - Cr\ :sub:`00[5:0]`\ (bits 7--2) Cb\ :sub:`00[9:8]`\ (bits 1--0)
-+      - Cb\ :sub:`01[3:0]`\ (bits 7--4) Cr\ :sub:`00[9:6]`\ (bits 3--0)
-+      - unused (bits 7--6) Cb\ :sub:`02[9:4]`\ (bits 5--0)
-+      - Cr\ :sub:`01[7:0]`
-+      - Cb\ :sub:`02[5:0]`\ (bits 7--2) Cr\ :sub:`01[9:8]`\ (bits 1--0)
-+      - Cr\ :sub:`02[3:0]`\ (bits 7--4) Cb\ :sub:`02[9:6]`\ (bits 3--0)
-+      - unused (bits 7--6) Cr\ :sub:`02[9:4]`\ (bits 5--0)
-+
-+.. raw:: latex
-+
-+    \normalsize
-+
-+
-+
-+
---- a/Documentation/media/uapi/v4l/pixfmt-nv12.rst
-+++ b/Documentation/media/uapi/v4l/pixfmt-nv12.rst
-@@ -10,9 +10,9 @@
- .. _V4L2-PIX-FMT-NV12:
- .. _V4L2-PIX-FMT-NV21:
--******************************************************
--V4L2_PIX_FMT_NV12 ('NV12'), V4L2_PIX_FMT_NV21 ('NV21')
--******************************************************
-+********************************************************************************
-+V4L2_PIX_FMT_NV12 ('NV12'), V4L2_PIX_FMT_NV21 ('NV21'), V4L2_PIX_FMT_NV12_COL128
-+********************************************************************************
- V4L2_PIX_FMT_NV21
-@@ -38,6 +38,14 @@ with a Cr byte.
- If the Y plane has pad bytes after each row, then the CbCr plane has as
- many pad bytes after its rows.
-+``V4L2_PIX_FMT_NV12_COL128`` is the tiled version of
-+``V4L2_PIX_FMT_NV12`` with the image broken down into 128 pixel wide columns of
-+Y followed by the associated combined CbCr plane.
-+The normal bytesperline is effectively fixed at 128. However the format
-+requires knowledge of the stride between columns, therefore the bytesperline
-+value has been repurposed to denote the number of 128 byte long lines between
-+the start of each column.
-+
- **Byte Order.**
- Each cell is one byte.
---- a/Documentation/media/uapi/v4l/yuv-formats.rst
-+++ b/Documentation/media/uapi/v4l/yuv-formats.rst
-@@ -57,6 +57,7 @@ to brightness information.
-     pixfmt-nv12
-     pixfmt-nv12m
-     pixfmt-nv12mt
-+    pixfmt-nv12-col128
-     pixfmt-nv16
-     pixfmt-nv16m
-     pixfmt-nv24
---- a/drivers/media/v4l2-core/v4l2-ioctl.c
-+++ b/drivers/media/v4l2-core/v4l2-ioctl.c
-@@ -1258,6 +1258,8 @@ static void v4l_fill_fmtdesc(struct v4l2
-       case V4L2_PIX_FMT_NV61M:        descr = "Y/CrCb 4:2:2 (N-C)"; break;
-       case V4L2_PIX_FMT_NV12MT:       descr = "Y/CbCr 4:2:0 (64x32 MB, N-C)"; break;
-       case V4L2_PIX_FMT_NV12MT_16X16: descr = "Y/CbCr 4:2:0 (16x16 MB, N-C)"; break;
-+      case V4L2_PIX_FMT_NV12_COL128:  descr = "Y/CbCr 4:2:0 (128b cols)"; break;
-+      case V4L2_PIX_FMT_NV12_10_COL128: descr = "10-bit Y/CbCr 4:2:0 (128b cols)"; break;
-       case V4L2_PIX_FMT_YUV420M:      descr = "Planar YUV 4:2:0 (N-C)"; break;
-       case V4L2_PIX_FMT_YVU420M:      descr = "Planar YVU 4:2:0 (N-C)"; break;
-       case V4L2_PIX_FMT_YUV422M:      descr = "Planar YUV 4:2:2 (N-C)"; break;
---- a/include/uapi/linux/videodev2.h
-+++ b/include/uapi/linux/videodev2.h
-@@ -736,6 +736,10 @@ struct v4l2_pix_format {
- #define V4L2_PIX_FMT_INZI     v4l2_fourcc('I', 'N', 'Z', 'I') /* Intel Planar Greyscale 10-bit and Depth 16-bit */
- #define V4L2_PIX_FMT_SUNXI_TILED_NV12 v4l2_fourcc('S', 'T', '1', '2') /* Sunxi Tiled NV12 Format */
- #define V4L2_PIX_FMT_CNF4     v4l2_fourcc('C', 'N', 'F', '4') /* Intel 4-bit packed depth confidence information */
-+#define V4L2_PIX_FMT_NV12_COL128 v4l2_fourcc('N', 'C', '1', '2') /* 12  Y/CbCr 4:2:0 128 pixel wide column */
-+#define V4L2_PIX_FMT_NV12_10_COL128 v4l2_fourcc('N', 'C', '3', '0')
-+                                                              /* Y/CbCr 4:2:0 10bpc, 3x10 packed as 4 bytes in
-+                                                               * a 128 bytes / 96 pixel wide column */
- /* 10bit raw bayer packed, 32 bytes for every 25 pixels, last LSB 6 bits unused */
- #define V4L2_PIX_FMT_IPU3_SBGGR10     v4l2_fourcc('i', 'p', '3', 'b') /* IPU3 packed 10-bit BGGR bayer */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0506-dt-bindings-i2c-brcmstb-Add-BCM2711-BSC-AUTO-I2C-bin.patch b/target/linux/bcm27xx/patches-5.4/950-0506-dt-bindings-i2c-brcmstb-Add-BCM2711-BSC-AUTO-I2C-bin.patch
new file mode 100644 (file)
index 0000000..2716a13
--- /dev/null
@@ -0,0 +1,96 @@
+From 16a6810e521eaf24249085b93b467f7bdf8e8a47 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 17 Dec 2019 09:58:34 +0100
+Subject: [PATCH] dt-bindings: i2c: brcmstb: Add BCM2711 BSC/AUTO-I2C
+ binding
+
+The HDMI blocks in the BCM2771 have an i2c controller to retrieve the
+EDID. This block is split into two parts, the BSC and the AUTO_I2C,
+lying in two separate register areas.
+
+The AUTO_I2C block has a mailbox-like interface and will take away the
+BSC control from the CPU if enabled. However, the BSC is the actually
+the same controller than the one supported by the brcmstb driver, and
+the AUTO_I2C doesn't really bring any immediate benefit.
+
+We can model it in the DT as a single device with two register range,
+which will allow us to use or or the other in the driver without
+changing anything in the DT.
+
+Cc: Kamal Dasu <kdasu.kdev@gmail.com>
+Cc: Wolfram Sang <wsa@the-dreams.de>
+Cc: bcm-kernel-feedback-list@broadcom.com
+Cc: linux-i2c@vger.kernel.org
+Cc: devicetree@vger.kernel.org
+Acked-by: Florian Fainelli <f.fainelli@gmail.com>
+Reviewed-by: Rob Herring <robh+dt@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ .../bindings/i2c/brcm,brcmstb-i2c.yaml        | 40 ++++++++++++++++++-
+ 1 file changed, 39 insertions(+), 1 deletion(-)
+
+--- a/Documentation/devicetree/bindings/i2c/brcm,brcmstb-i2c.yaml
++++ b/Documentation/devicetree/bindings/i2c/brcm,brcmstb-i2c.yaml
+@@ -15,11 +15,21 @@ allOf:
+ properties:
+   compatible:
+     enum:
++      - brcm,bcm2711-hdmi-i2c
+       - brcm,brcmstb-i2c
+       - brcm,brcmper-i2c
+   reg:
+-    maxItems: 1
++    minItems: 1
++    maxItems: 2
++    items:
++      - description: BSC register range
++      - description: Auto-I2C register range
++
++  reg-names:
++    items:
++      - const: bsc
++      - const: auto-i2c
+   interrupts:
+     maxItems: 1
+@@ -45,6 +55,26 @@ required:
+ unevaluatedProperties: false
++if:
++  properties:
++    compatible:
++      contains:
++        enum:
++          - brcm,bcm2711-hdmi-i2c
++
++then:
++  properties:
++    reg:
++      minItems: 2
++
++  required:
++    - reg-names
++
++else:
++  properties:
++    reg:
++      maxItems: 1
++
+ examples:
+   - |
+       bsca: i2c@f0406200 {
+@@ -56,4 +86,12 @@ examples:
+           interrupt-names = "upg_bsca";
+       };
++  - |
++      ddc0: i2c@7ef04500 {
++          compatible = "brcm,bcm2711-hdmi-i2c";
++          reg = <0x7ef04500 0x100>, <0x7ef00b00 0x300>;
++          reg-names = "bsc", "auto-i2c";
++          clock-frequency = <390000>;
++      };
++
+ ...
diff --git a/target/linux/bcm27xx/patches-5.4/950-0506-media-v4l2-mem2mem-allow-request-job-buffer-processi.patch b/target/linux/bcm27xx/patches-5.4/950-0506-media-v4l2-mem2mem-allow-request-job-buffer-processi.patch
deleted file mode 100644 (file)
index a3023ca..0000000
+++ /dev/null
@@ -1,274 +0,0 @@
-From b8ae9d55d468a9f55524296247dba93531c29c99 Mon Sep 17 00:00:00 2001
-From: John Cox <jc@kynesim.co.uk>
-Date: Thu, 5 Mar 2020 14:46:54 +0000
-Subject: [PATCH] media: v4l2-mem2mem: allow request job buffer
- processing after job finish
-
-Allow the capture buffer to be detached from a v4l2 request job such
-that another job can start before the capture buffer is returned. This
-allows h/w codecs that can process multiple requests at the same time
-to operate more efficiently.
-
-Signed-off-by: John Cox <jc@kynesim.co.uk>
----
- drivers/media/v4l2-core/v4l2-mem2mem.c | 105 +++++++++++++++++++++++--
- include/media/v4l2-mem2mem.h           |  47 +++++++++++
- include/media/videobuf2-v4l2.h         |   3 +
- 3 files changed, 149 insertions(+), 6 deletions(-)
-
---- a/drivers/media/v4l2-core/v4l2-mem2mem.c
-+++ b/drivers/media/v4l2-core/v4l2-mem2mem.c
-@@ -399,15 +399,18 @@ static void v4l2_m2m_cancel_job(struct v
- {
-       struct v4l2_m2m_dev *m2m_dev;
-       unsigned long flags;
-+      bool det_abort_req;
-       m2m_dev = m2m_ctx->m2m_dev;
-       spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
-+      det_abort_req = !list_empty(&m2m_ctx->det_list);
-       m2m_ctx->job_flags |= TRANS_ABORT;
-       if (m2m_ctx->job_flags & TRANS_RUNNING) {
-               spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
-               if (m2m_dev->m2m_ops->job_abort)
-                       m2m_dev->m2m_ops->job_abort(m2m_ctx->priv);
-+              det_abort_req = false;
-               dprintk("m2m_ctx %p running, will wait to complete\n", m2m_ctx);
-               wait_event(m2m_ctx->finished,
-                               !(m2m_ctx->job_flags & TRANS_RUNNING));
-@@ -421,6 +424,11 @@ static void v4l2_m2m_cancel_job(struct v
-               /* Do nothing, was not on queue/running */
-               spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
-       }
-+
-+      /* Wait for detached buffers to come back too */
-+      if (det_abort_req && m2m_dev->m2m_ops->job_abort)
-+              m2m_dev->m2m_ops->job_abort(m2m_ctx->priv);
-+      wait_event(m2m_ctx->det_empty, list_empty(&m2m_ctx->det_list));
- }
- /*
-@@ -458,6 +466,7 @@ static bool _v4l2_m2m_job_finish(struct
-       list_del(&m2m_dev->curr_ctx->queue);
-       m2m_dev->curr_ctx->job_flags &= ~(TRANS_QUEUED | TRANS_RUNNING);
-+      m2m_ctx->cap_detached = false;
-       wake_up(&m2m_dev->curr_ctx->finished);
-       m2m_dev->curr_ctx = NULL;
-       return true;
-@@ -485,6 +494,80 @@ void v4l2_m2m_job_finish(struct v4l2_m2m
- }
- EXPORT_SYMBOL(v4l2_m2m_job_finish);
-+struct vb2_v4l2_buffer *_v4l2_m2m_cap_buf_detach(struct v4l2_m2m_ctx *m2m_ctx)
-+{
-+      struct vb2_v4l2_buffer *buf;
-+
-+      buf = v4l2_m2m_dst_buf_remove(m2m_ctx);
-+      list_add_tail(&container_of(buf, struct v4l2_m2m_buffer, vb)->list,
-+                    &m2m_ctx->det_list);
-+      m2m_ctx->cap_detached = true;
-+      buf->is_held = true;
-+      buf->det_state = VB2_BUF_STATE_ACTIVE;
-+
-+      return buf;
-+}
-+
-+struct vb2_v4l2_buffer *v4l2_m2m_cap_buf_detach(struct v4l2_m2m_dev *m2m_dev,
-+                                              struct v4l2_m2m_ctx *m2m_ctx)
-+{
-+      unsigned long flags;
-+      struct vb2_v4l2_buffer *src_buf, *dst_buf;
-+
-+      spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
-+
-+      dst_buf = NULL;
-+      src_buf = v4l2_m2m_next_src_buf(m2m_ctx);
-+
-+      if (!(src_buf->flags & V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF) &&
-+          !m2m_ctx->cap_detached)
-+              dst_buf = _v4l2_m2m_cap_buf_detach(m2m_ctx);
-+
-+      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
-+      return dst_buf;
-+}
-+EXPORT_SYMBOL(v4l2_m2m_cap_buf_detach);
-+
-+static void _v4l2_m2m_cap_buf_return(struct v4l2_m2m_ctx *m2m_ctx,
-+                                   struct vb2_v4l2_buffer *buf,
-+                                   enum vb2_buffer_state state)
-+{
-+      buf->det_state = state;
-+
-+      /*
-+       * Always signal done in the order we got stuff
-+       * Stop if we find a buf that is still in use
-+       */
-+      while (!list_empty(&m2m_ctx->det_list)) {
-+              buf = &list_first_entry(&m2m_ctx->det_list,
-+                                      struct v4l2_m2m_buffer, list)->vb;
-+              state = buf->det_state;
-+              if (state != VB2_BUF_STATE_DONE &&
-+                  state != VB2_BUF_STATE_ERROR)
-+                      return;
-+              list_del(&container_of(buf, struct v4l2_m2m_buffer, vb)->list);
-+              buf->det_state = VB2_BUF_STATE_DEQUEUED;
-+              v4l2_m2m_buf_done(buf, state);
-+      }
-+      wake_up(&m2m_ctx->det_empty);
-+}
-+
-+void v4l2_m2m_cap_buf_return(struct v4l2_m2m_dev *m2m_dev,
-+                           struct v4l2_m2m_ctx *m2m_ctx,
-+                           struct vb2_v4l2_buffer *buf,
-+                           enum vb2_buffer_state state)
-+{
-+      unsigned long flags;
-+
-+      if (!buf)
-+              return;
-+
-+      spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
-+      _v4l2_m2m_cap_buf_return(m2m_ctx, buf, state);
-+      spin_unlock_irqrestore(&m2m_dev->job_spinlock, flags);
-+}
-+EXPORT_SYMBOL(v4l2_m2m_cap_buf_return);
-+
- void v4l2_m2m_buf_done_and_job_finish(struct v4l2_m2m_dev *m2m_dev,
-                                     struct v4l2_m2m_ctx *m2m_ctx,
-                                     enum vb2_buffer_state state)
-@@ -495,15 +578,23 @@ void v4l2_m2m_buf_done_and_job_finish(st
-       spin_lock_irqsave(&m2m_dev->job_spinlock, flags);
-       src_buf = v4l2_m2m_src_buf_remove(m2m_ctx);
--      dst_buf = v4l2_m2m_next_dst_buf(m2m_ctx);
--      if (WARN_ON(!src_buf || !dst_buf))
-+      if (WARN_ON(!src_buf))
-               goto unlock;
-       v4l2_m2m_buf_done(src_buf, state);
--      dst_buf->is_held = src_buf->flags & V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF;
--      if (!dst_buf->is_held) {
--              v4l2_m2m_dst_buf_remove(m2m_ctx);
--              v4l2_m2m_buf_done(dst_buf, state);
-+
-+      if (!m2m_ctx->cap_detached) {
-+              dst_buf = v4l2_m2m_next_dst_buf(m2m_ctx);
-+              if (WARN_ON(!dst_buf))
-+                      goto unlock;
-+
-+              dst_buf->is_held = src_buf->flags
-+                                  & V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF;
-+
-+              if (!dst_buf->is_held) {
-+                      dst_buf = _v4l2_m2m_cap_buf_detach(m2m_ctx);
-+                      _v4l2_m2m_cap_buf_return(m2m_ctx, dst_buf, state);
-+              }
-       }
-       schedule_next = _v4l2_m2m_job_finish(m2m_dev, m2m_ctx);
- unlock:
-@@ -983,12 +1074,14 @@ struct v4l2_m2m_ctx *v4l2_m2m_ctx_init(s
-       m2m_ctx->priv = drv_priv;
-       m2m_ctx->m2m_dev = m2m_dev;
-       init_waitqueue_head(&m2m_ctx->finished);
-+      init_waitqueue_head(&m2m_ctx->det_empty);
-       out_q_ctx = &m2m_ctx->out_q_ctx;
-       cap_q_ctx = &m2m_ctx->cap_q_ctx;
-       INIT_LIST_HEAD(&out_q_ctx->rdy_queue);
-       INIT_LIST_HEAD(&cap_q_ctx->rdy_queue);
-+      INIT_LIST_HEAD(&m2m_ctx->det_list);
-       spin_lock_init(&out_q_ctx->rdy_spinlock);
-       spin_lock_init(&cap_q_ctx->rdy_spinlock);
---- a/include/media/v4l2-mem2mem.h
-+++ b/include/media/v4l2-mem2mem.h
-@@ -88,6 +88,9 @@ struct v4l2_m2m_queue_ctx {
-  *            %TRANS_QUEUED, %TRANS_RUNNING and %TRANS_ABORT.
-  * @finished: Wait queue used to signalize when a job queue finished.
-  * @priv: Instance private data
-+ * @cap_detached: Current job's capture buffer has been detached
-+ * @det_list: List of detached (post-job but still in flight) capture buffers
-+ * @det_empty: Wait queue signalled when det_list goes empty
-  *
-  * The memory to memory context is specific to a file handle, NOT to e.g.
-  * a device.
-@@ -111,6 +114,11 @@ struct v4l2_m2m_ctx {
-       wait_queue_head_t               finished;
-       void                            *priv;
-+
-+      /* Detached buffer handling */
-+      bool    cap_detached;
-+      struct list_head                det_list;
-+      wait_queue_head_t               det_empty;
- };
- /**
-@@ -216,6 +224,45 @@ v4l2_m2m_buf_done(struct vb2_v4l2_buffer
- }
- /**
-+ * v4l2_m2m_cap_buf_detach() - detach the capture buffer from the job and
-+ * return it.
-+ *
-+ * @m2m_dev: opaque pointer to the internal data to handle M2M context
-+ * @m2m_ctx: m2m context assigned to the instance given by struct &v4l2_m2m_ctx
-+ *
-+ * This function is designed to be used in conjunction with
-+ * v4l2_m2m_buf_done_and_job_finish(). It allows the next job to start
-+ * execution before the capture buffer is returned to the user which can be
-+ * important if the underlying processing has multiple phases that are more
-+ * efficiently executed in parallel.
-+ *
-+ * If used then it must be called before v4l2_m2m_buf_done_and_job_finish()
-+ * as otherwise the buffer will have already gone.
-+ *
-+ * It is the callers reponsibilty to ensure that all detached buffers are
-+ * returned.
-+ */
-+struct vb2_v4l2_buffer *v4l2_m2m_cap_buf_detach(struct v4l2_m2m_dev *m2m_dev,
-+                                              struct v4l2_m2m_ctx *m2m_ctx);
-+
-+/**
-+ * v4l2_m2m_cap_buf_return() - return a capture buffer, previously detached
-+ * with v4l2_m2m_cap_buf_detach() to the user.
-+ *
-+ * @m2m_dev: opaque pointer to the internal data to handle M2M context
-+ * @m2m_ctx: m2m context assigned to the instance given by struct &v4l2_m2m_ctx
-+ * @buf: the buffer to return
-+ * @state: vb2 buffer state passed to v4l2_m2m_buf_done().
-+ *
-+ * Buffers returned by this function will be returned to the user in the order
-+ * of the original jobs rather than the order in which this function is called.
-+ */
-+void v4l2_m2m_cap_buf_return(struct v4l2_m2m_dev *m2m_dev,
-+                           struct v4l2_m2m_ctx *m2m_ctx,
-+                           struct vb2_v4l2_buffer *buf,
-+                           enum vb2_buffer_state state);
-+
-+/**
-  * v4l2_m2m_reqbufs() - multi-queue-aware REQBUFS multiplexer
-  *
-  * @file: pointer to struct &file
---- a/include/media/videobuf2-v4l2.h
-+++ b/include/media/videobuf2-v4l2.h
-@@ -35,6 +35,8 @@
-  * @request_fd:       the request_fd associated with this buffer
-  * @is_held:  if true, then this capture buffer was held
-  * @planes:   plane information (userptr/fd, length, bytesused, data_offset).
-+ * @det_state:        if a detached request capture buffer then this contains its
-+ *            current state
-  *
-  * Should contain enough information to be able to cover all the fields
-  * of &struct v4l2_buffer at ``videodev2.h``.
-@@ -49,6 +51,7 @@ struct vb2_v4l2_buffer {
-       __s32                   request_fd;
-       bool                    is_held;
-       struct vb2_plane        planes[VB2_MAX_PLANES];
-+      enum vb2_buffer_state   det_state;
- };
- /* VB2 V4L2 flags as set in vb2_queue.subsystem_flags */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0507-i2c-brcmstb-Support-BCM2711-HDMI-BSC-controllers.patch b/target/linux/bcm27xx/patches-5.4/950-0507-i2c-brcmstb-Support-BCM2711-HDMI-BSC-controllers.patch
new file mode 100644 (file)
index 0000000..76ce740
--- /dev/null
@@ -0,0 +1,87 @@
+From 4633a7bc5ffc15fe24c05e52f17a72c346baab6b Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 17 Dec 2019 09:58:34 +0100
+Subject: [PATCH] i2c: brcmstb: Support BCM2711 HDMI BSC controllers
+
+The HDMI blocks in the BCM2771 have an i2c controller to retrieve the
+EDID. This block is split into two parts, the BSC and the AUTO_I2C,
+lying in two separate register areas.
+
+The AUTO_I2C block has a mailbox-like interface and will take away the
+BSC control from the CPU if enabled. However, the BSC is the actually
+the same controller than the one supported by the brcmstb driver, and
+the AUTO_I2C doesn't really bring any immediate benefit.
+
+Let's use the BSC then, but let's also tie the AUTO_I2C registers with a
+separate compatible so that we can enable AUTO_I2C if needed in the
+future.
+
+The AUTO_I2C is enabled by default at boot though, so we first need to
+release the BSC from the AUTO_I2C control.
+
+Cc: Kamal Dasu <kdasu.kdev@gmail.com>
+Cc: Wolfram Sang <wsa@the-dreams.de>
+Cc: bcm-kernel-feedback-list@broadcom.com
+Cc: linux-i2c@vger.kernel.org
+Acked-by: Florian Fainelli <f.fainelli@gmail.com>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/i2c/busses/i2c-brcmstb.c | 33 ++++++++++++++++++++++++++++++++
+ 1 file changed, 33 insertions(+)
+
+--- a/drivers/i2c/busses/i2c-brcmstb.c
++++ b/drivers/i2c/busses/i2c-brcmstb.c
+@@ -580,6 +580,31 @@ static void brcmstb_i2c_set_bsc_reg_defa
+       brcmstb_i2c_set_bus_speed(dev);
+ }
++#define AUTOI2C_CTRL0         0x26c
++#define AUTOI2C_CTRL0_RELEASE_BSC     BIT(1)
++
++static int bcm2711_release_bsc(struct brcmstb_i2c_dev *dev)
++{
++      struct platform_device *pdev = to_platform_device(dev->device);
++      struct resource *iomem;
++      void __iomem *autoi2c;
++
++      /* Map hardware registers */
++      iomem = platform_get_resource_byname(pdev, IORESOURCE_MEM, "auto-i2c");
++      autoi2c = devm_ioremap_resource(&pdev->dev, iomem);
++      if (IS_ERR(autoi2c))
++              return PTR_ERR(autoi2c);
++
++      writel(AUTOI2C_CTRL0_RELEASE_BSC, autoi2c + AUTOI2C_CTRL0);
++      devm_iounmap(&pdev->dev, autoi2c);
++
++      /* We need to reset the controller after the release */
++      dev->bsc_regmap->iic_enable = 0;
++      bsc_writel(dev, dev->bsc_regmap->iic_enable, iic_enable);
++
++      return 0;
++}
++
+ static int brcmstb_i2c_probe(struct platform_device *pdev)
+ {
+       int rc = 0;
+@@ -609,6 +634,13 @@ static int brcmstb_i2c_probe(struct plat
+               goto probe_errorout;
+       }
++      if (of_device_is_compatible(dev->device->of_node,
++                                  "brcm,bcm2711-hdmi-i2c")) {
++              rc = bcm2711_release_bsc(dev);
++              if (rc)
++                      goto probe_errorout;
++      }
++
+       rc = of_property_read_string(dev->device->of_node, "interrupt-names",
+                                    &int_name);
+       if (rc < 0)
+@@ -705,6 +737,7 @@ static SIMPLE_DEV_PM_OPS(brcmstb_i2c_pm,
+ static const struct of_device_id brcmstb_i2c_of_match[] = {
+       {.compatible = "brcm,brcmstb-i2c"},
+       {.compatible = "brcm,brcmper-i2c"},
++      {.compatible = "brcm,bcm2711-hdmi-i2c"},
+       {},
+ };
+ MODULE_DEVICE_TABLE(of, brcmstb_i2c_of_match);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0507-media-dt-bindings-media-Add-binding-for-the-Raspberr.patch b/target/linux/bcm27xx/patches-5.4/950-0507-media-dt-bindings-media-Add-binding-for-the-Raspberr.patch
deleted file mode 100644 (file)
index 203e112..0000000
+++ /dev/null
@@ -1,106 +0,0 @@
-From 15b4e8fa2d5101b989856c42cdae6ec764c99db0 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Tue, 17 Mar 2020 10:53:16 +0000
-Subject: [PATCH] media: dt-bindings: media: Add binding for the
- Raspberry PI HEVC decoder
-
-Adds a binding for the HEVC decoder found on the BCM2711 / Raspberry Pi 4.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- .../bindings/media/rpivid_hevc.yaml           | 72 +++++++++++++++++++
- MAINTAINERS                                   |  7 ++
- 2 files changed, 79 insertions(+)
- create mode 100644 Documentation/devicetree/bindings/media/rpivid_hevc.yaml
-
---- /dev/null
-+++ b/Documentation/devicetree/bindings/media/rpivid_hevc.yaml
-@@ -0,0 +1,72 @@
-+# SPDX-License-Identifier: GPL-2.0-only
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/media/rpivid_hevc.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Raspberry Pi HEVC Decoder
-+
-+maintainers:
-+  - Raspberry Pi <kernel-list@raspberrypi.com>
-+
-+description: |-
-+  The Camera Adaptation Layer (CAL) is a key component for image capture
-+  applications. The capture module provides the system interface and the
-+  processing capability to connect CSI2 image-sensor modules to the
-+  DRA72x device.
-+
-+properties:
-+  compatible:
-+    enum:
-+      - raspberrypi,rpivid-vid-decoder
-+
-+  reg:
-+    minItems: 2
-+    items:
-+      - description: The HEVC main register region
-+      - description: The Interrupt controller register region
-+
-+  reg-names:
-+    minItems: 2
-+    items:
-+      - const: hevc
-+      - const: intc
-+
-+  interrupts:
-+    maxItems: 1
-+
-+  clocks:
-+    items:
-+      - description: The HEVC block clock
-+
-+  clock-names:
-+    items:
-+      - const: hevc
-+
-+required:
-+  - compatible
-+  - reg
-+  - reg-names
-+  - interrupts
-+  - clocks
-+
-+additionalProperties: false
-+
-+examples:
-+  - |
-+    #include <dt-bindings/interrupt-controller/arm-gic.h>
-+
-+    video-codec@7eb10000 {
-+        compatible = "raspberrypi,rpivid-vid-decoder";
-+        reg = <0x0 0x7eb10000 0x1000>,        /* INTC */
-+              <0x0 0x7eb00000 0x10000>; /* HEVC */
-+        reg-names = "intc",
-+                    "hevc";
-+
-+        interrupts = <GIC_SPI 98 IRQ_TYPE_LEVEL_HIGH>;
-+
-+        clocks = <&clk 0>;
-+        clock-names = "hevc";
-+    };
-+
-+...
---- a/MAINTAINERS
-+++ b/MAINTAINERS
-@@ -3198,6 +3198,13 @@ N:      bcm2711
- N:    bcm2835
- F:    drivers/staging/vc04_services
-+BROADCOM BCM2711 HEVC DECODER
-+M:    Raspberry Pi Kernel Maintenance <kernel-list@raspberrypi.com>
-+L:    linux-media@vger.kernel.org
-+S:    Maintained
-+F:    Documentation/devicetree/bindings/media/rpivid_hevc.jaml
-+F:    drivers/staging/media/rpivid
-+
- BROADCOM BCM2835 CAMERA DRIVER
- M:    Dave Stevenson <dave.stevenson@raspberrypi.org>
- L:    linux-media@vger.kernel.org
diff --git a/target/linux/bcm27xx/patches-5.4/950-0508-i2c-brcmstb-Allow-to-compile-it-on-BCM2835.patch b/target/linux/bcm27xx/patches-5.4/950-0508-i2c-brcmstb-Allow-to-compile-it-on-BCM2835.patch
new file mode 100644 (file)
index 0000000..a21035b
--- /dev/null
@@ -0,0 +1,31 @@
+From ec7414dd69a7ea701d0d5676fdb32332cd5f10ec Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 14 Jan 2020 13:36:42 +0100
+Subject: [PATCH] i2c: brcmstb: Allow to compile it on BCM2835
+
+The BCM2711, supported by ARCH_BCM2835, also has a controller by the
+brcmstb driver so let's allow it to be compiled on that platform.
+
+Cc: Kamal Dasu <kdasu.kdev@gmail.com>
+Cc: Wolfram Sang <wsa@the-dreams.de>
+Cc: bcm-kernel-feedback-list@broadcom.com
+Cc: linux-i2c@vger.kernel.org
+Acked-by: Florian Fainelli <f.fainelli@gmail.com>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/i2c/busses/Kconfig | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/i2c/busses/Kconfig
++++ b/drivers/i2c/busses/Kconfig
+@@ -491,8 +491,8 @@ config I2C_BCM_KONA
+ config I2C_BRCMSTB
+       tristate "BRCM Settop/DSL I2C controller"
+-      depends on ARCH_BRCMSTB || BMIPS_GENERIC || ARCH_BCM_63XX || \
+-                 COMPILE_TEST
++      depends on ARCH_BCM2835 || ARCH_BRCMSTB || BMIPS_GENERIC || \
++                 ARCH_BCM_63XX || COMPILE_TEST
+       default y
+       help
+         If you say yes to this option, support will be included for the
diff --git a/target/linux/bcm27xx/patches-5.4/950-0508-staging-media-Add-Raspberry-Pi-V4L2-H265-decoder.patch b/target/linux/bcm27xx/patches-5.4/950-0508-staging-media-Add-Raspberry-Pi-V4L2-H265-decoder.patch
deleted file mode 100644 (file)
index 134a685..0000000
+++ /dev/null
@@ -1,4341 +0,0 @@
-From 82bbd353e2dc364bf37e6f0b91890cb432b1a72f Mon Sep 17 00:00:00 2001
-From: John Cox <jc@kynesim.co.uk>
-Date: Thu, 5 Mar 2020 18:30:41 +0000
-Subject: [PATCH] staging: media: Add Raspberry Pi V4L2 H265 decoder
-
-This driver is for the HEVC/H265 decoder block on the Raspberry
-Pi 4, and conforms to the V4L2 stateless decoder API.
-
-Signed-off-by: John Cox <jc@kynesim.co.uk>
----
- drivers/staging/media/Kconfig               |    2 +
- drivers/staging/media/Makefile              |    1 +
- drivers/staging/media/rpivid/Kconfig        |   16 +
- drivers/staging/media/rpivid/Makefile       |    5 +
- drivers/staging/media/rpivid/rpivid.c       |  432 ++++
- drivers/staging/media/rpivid/rpivid.h       |  181 ++
- drivers/staging/media/rpivid/rpivid_dec.c   |   79 +
- drivers/staging/media/rpivid/rpivid_dec.h   |   19 +
- drivers/staging/media/rpivid/rpivid_h265.c  | 2275 +++++++++++++++++++
- drivers/staging/media/rpivid/rpivid_hw.c    |  321 +++
- drivers/staging/media/rpivid/rpivid_hw.h    |  300 +++
- drivers/staging/media/rpivid/rpivid_video.c |  593 +++++
- drivers/staging/media/rpivid/rpivid_video.h |   30 +
- 14 files changed, 4256 insertions(+)
- create mode 100644 drivers/staging/media/rpivid/Kconfig
- create mode 100644 drivers/staging/media/rpivid/Makefile
- create mode 100644 drivers/staging/media/rpivid/rpivid.c
- create mode 100644 drivers/staging/media/rpivid/rpivid.h
- create mode 100644 drivers/staging/media/rpivid/rpivid_dec.c
- create mode 100644 drivers/staging/media/rpivid/rpivid_dec.h
- create mode 100644 drivers/staging/media/rpivid/rpivid_h265.c
- create mode 100644 drivers/staging/media/rpivid/rpivid_hw.c
- create mode 100644 drivers/staging/media/rpivid/rpivid_hw.h
- create mode 100644 drivers/staging/media/rpivid/rpivid_video.c
- create mode 100644 drivers/staging/media/rpivid/rpivid_video.h
-
---- a/drivers/staging/media/Kconfig
-+++ b/drivers/staging/media/Kconfig
-@@ -30,6 +30,8 @@ source "drivers/staging/media/meson/vdec
- source "drivers/staging/media/omap4iss/Kconfig"
-+source "drivers/staging/media/rpivid/Kconfig"
-+
- source "drivers/staging/media/sunxi/Kconfig"
- source "drivers/staging/media/tegra-vde/Kconfig"
---- a/drivers/staging/media/Makefile
-+++ b/drivers/staging/media/Makefile
-@@ -3,6 +3,7 @@ obj-$(CONFIG_VIDEO_ALLEGRO_DVT)        += alleg
- obj-$(CONFIG_VIDEO_IMX_MEDIA) += imx/
- obj-$(CONFIG_VIDEO_MESON_VDEC)        += meson/vdec/
- obj-$(CONFIG_VIDEO_OMAP4)     += omap4iss/
-+obj-$(CONFIG_VIDEO_RPIVID)    += rpivid/
- obj-$(CONFIG_VIDEO_SUNXI)     += sunxi/
- obj-$(CONFIG_TEGRA_VDE)               += tegra-vde/
- obj-$(CONFIG_VIDEO_HANTRO)    += hantro/
---- /dev/null
-+++ b/drivers/staging/media/rpivid/Kconfig
-@@ -0,0 +1,16 @@
-+# SPDX-License-Identifier: GPL-2.0
-+
-+config VIDEO_RPIVID
-+      tristate "Rpi H265 driver"
-+      depends on VIDEO_DEV && VIDEO_V4L2
-+      depends on MEDIA_CONTROLLER
-+      depends on OF
-+      depends on MEDIA_CONTROLLER_REQUEST_API
-+      select VIDEOBUF2_DMA_CONTIG
-+      select V4L2_MEM2MEM_DEV
-+      help
-+        Support for the Rpi H265 h/w decoder.
-+
-+        To compile this driver as a module, choose M here: the module
-+        will be called rpivid-hevc.
-+
---- /dev/null
-+++ b/drivers/staging/media/rpivid/Makefile
-@@ -0,0 +1,5 @@
-+# SPDX-License-Identifier: GPL-2.0
-+obj-$(CONFIG_VIDEO_RPIVID) += rpivid-hevc.o
-+
-+rpivid-hevc-y = rpivid.o rpivid_video.o rpivid_dec.o \
-+               rpivid_hw.o rpivid_h265.o
---- /dev/null
-+++ b/drivers/staging/media/rpivid/rpivid.c
-@@ -0,0 +1,432 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * Raspberry Pi HEVC driver
-+ *
-+ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
-+ *
-+ * Based on the Cedrus VPU driver, that is:
-+ *
-+ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
-+ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
-+ * Copyright (C) 2018 Bootlin
-+ */
-+
-+#include <linux/platform_device.h>
-+#include <linux/module.h>
-+#include <linux/of.h>
-+
-+#include <media/v4l2-device.h>
-+#include <media/v4l2-ioctl.h>
-+#include <media/v4l2-ctrls.h>
-+#include <media/v4l2-mem2mem.h>
-+
-+#include "rpivid.h"
-+#include "rpivid_video.h"
-+#include "rpivid_hw.h"
-+#include "rpivid_dec.h"
-+
-+/*
-+ * Default /dev/videoN node number.
-+ * Deliberately avoid the very low numbers as these are often taken by webcams
-+ * etc, and simple apps tend to only go for /dev/video0.
-+ */
-+static int video_nr = 19;
-+module_param(video_nr, int, 0644);
-+MODULE_PARM_DESC(video_nr, "decoder video device number");
-+
-+static const struct rpivid_control rpivid_ctrls[] = {
-+      {
-+              .cfg = {
-+                      .id     = V4L2_CID_MPEG_VIDEO_HEVC_SPS,
-+              },
-+              .required       = true,
-+      },
-+      {
-+              .cfg = {
-+                      .id     = V4L2_CID_MPEG_VIDEO_HEVC_PPS,
-+              },
-+              .required       = true,
-+      },
-+      {
-+              .cfg = {
-+                      .id = V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX,
-+              },
-+              .required       = false,
-+      },
-+      {
-+              .cfg = {
-+                      .id     = V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS,
-+              },
-+              .required       = true,
-+      },
-+      {
-+              .cfg = {
-+                      .id     = V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE,
-+                      .max    = V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_SLICE_BASED,
-+                      .def    = V4L2_MPEG_VIDEO_HEVC_DECODE_MODE_SLICE_BASED,
-+              },
-+              .required       = false,
-+      },
-+      {
-+              .cfg = {
-+                      .id     = V4L2_CID_MPEG_VIDEO_HEVC_START_CODE,
-+                      .max    = V4L2_MPEG_VIDEO_HEVC_START_CODE_NONE,
-+                      .def    = V4L2_MPEG_VIDEO_HEVC_START_CODE_NONE,
-+              },
-+              .required       = false,
-+      },
-+};
-+
-+#define rpivid_ctrls_COUNT    ARRAY_SIZE(rpivid_ctrls)
-+
-+void *rpivid_find_control_data(struct rpivid_ctx *ctx, u32 id)
-+{
-+      unsigned int i;
-+
-+      for (i = 0; ctx->ctrls[i]; i++)
-+              if (ctx->ctrls[i]->id == id)
-+                      return ctx->ctrls[i]->p_cur.p;
-+
-+      return NULL;
-+}
-+
-+static int rpivid_init_ctrls(struct rpivid_dev *dev, struct rpivid_ctx *ctx)
-+{
-+      struct v4l2_ctrl_handler *hdl = &ctx->hdl;
-+      struct v4l2_ctrl *ctrl;
-+      unsigned int ctrl_size;
-+      unsigned int i;
-+
-+      v4l2_ctrl_handler_init(hdl, rpivid_ctrls_COUNT);
-+      if (hdl->error) {
-+              v4l2_err(&dev->v4l2_dev,
-+                       "Failed to initialize control handler\n");
-+              return hdl->error;
-+      }
-+
-+      ctrl_size = sizeof(ctrl) * rpivid_ctrls_COUNT + 1;
-+
-+      ctx->ctrls = kzalloc(ctrl_size, GFP_KERNEL);
-+      if (!ctx->ctrls)
-+              return -ENOMEM;
-+
-+      for (i = 0; i < rpivid_ctrls_COUNT; i++) {
-+              ctrl = v4l2_ctrl_new_custom(hdl, &rpivid_ctrls[i].cfg,
-+                                          NULL);
-+              if (hdl->error) {
-+                      v4l2_err(&dev->v4l2_dev,
-+                               "Failed to create new custom control id=%#x\n",
-+                               rpivid_ctrls[i].cfg.id);
-+
-+                      v4l2_ctrl_handler_free(hdl);
-+                      kfree(ctx->ctrls);
-+                      return hdl->error;
-+              }
-+
-+              ctx->ctrls[i] = ctrl;
-+      }
-+
-+      ctx->fh.ctrl_handler = hdl;
-+      v4l2_ctrl_handler_setup(hdl);
-+
-+      return 0;
-+}
-+
-+static int rpivid_request_validate(struct media_request *req)
-+{
-+      struct media_request_object *obj;
-+      struct v4l2_ctrl_handler *parent_hdl, *hdl;
-+      struct rpivid_ctx *ctx = NULL;
-+      struct v4l2_ctrl *ctrl_test;
-+      unsigned int count;
-+      unsigned int i;
-+
-+      list_for_each_entry(obj, &req->objects, list) {
-+              struct vb2_buffer *vb;
-+
-+              if (vb2_request_object_is_buffer(obj)) {
-+                      vb = container_of(obj, struct vb2_buffer, req_obj);
-+                      ctx = vb2_get_drv_priv(vb->vb2_queue);
-+
-+                      break;
-+              }
-+      }
-+
-+      if (!ctx)
-+              return -ENOENT;
-+
-+      count = vb2_request_buffer_cnt(req);
-+      if (!count) {
-+              v4l2_info(&ctx->dev->v4l2_dev,
-+                        "No buffer was provided with the request\n");
-+              return -ENOENT;
-+      } else if (count > 1) {
-+              v4l2_info(&ctx->dev->v4l2_dev,
-+                        "More than one buffer was provided with the request\n");
-+              return -EINVAL;
-+      }
-+
-+      parent_hdl = &ctx->hdl;
-+
-+      hdl = v4l2_ctrl_request_hdl_find(req, parent_hdl);
-+      if (!hdl) {
-+              v4l2_info(&ctx->dev->v4l2_dev, "Missing codec control(s)\n");
-+              return -ENOENT;
-+      }
-+
-+      for (i = 0; i < rpivid_ctrls_COUNT; i++) {
-+              if (!rpivid_ctrls[i].required)
-+                      continue;
-+
-+              ctrl_test =
-+                      v4l2_ctrl_request_hdl_ctrl_find(hdl,
-+                                                      rpivid_ctrls[i].cfg.id);
-+              if (!ctrl_test) {
-+                      v4l2_info(&ctx->dev->v4l2_dev,
-+                                "Missing required codec control\n");
-+                      return -ENOENT;
-+              }
-+      }
-+
-+      v4l2_ctrl_request_hdl_put(hdl);
-+
-+      return vb2_request_validate(req);
-+}
-+
-+static int rpivid_open(struct file *file)
-+{
-+      struct rpivid_dev *dev = video_drvdata(file);
-+      struct rpivid_ctx *ctx = NULL;
-+      int ret;
-+
-+      if (mutex_lock_interruptible(&dev->dev_mutex))
-+              return -ERESTARTSYS;
-+
-+      ctx = kzalloc(sizeof(*ctx), GFP_KERNEL);
-+      if (!ctx) {
-+              mutex_unlock(&dev->dev_mutex);
-+              return -ENOMEM;
-+      }
-+
-+      v4l2_fh_init(&ctx->fh, video_devdata(file));
-+      file->private_data = &ctx->fh;
-+      ctx->dev = dev;
-+
-+      ret = rpivid_init_ctrls(dev, ctx);
-+      if (ret)
-+              goto err_free;
-+
-+      ctx->fh.m2m_ctx = v4l2_m2m_ctx_init(dev->m2m_dev, ctx,
-+                                          &rpivid_queue_init);
-+      if (IS_ERR(ctx->fh.m2m_ctx)) {
-+              ret = PTR_ERR(ctx->fh.m2m_ctx);
-+              goto err_ctrls;
-+      }
-+
-+      /* The only bit of format info that we can guess now is H265 src
-+       * Everything else we need more info for
-+       */
-+      ctx->src_fmt.pixelformat = RPIVID_SRC_PIXELFORMAT_DEFAULT;
-+      rpivid_prepare_src_format(&ctx->src_fmt);
-+
-+      v4l2_fh_add(&ctx->fh);
-+
-+      mutex_unlock(&dev->dev_mutex);
-+
-+      return 0;
-+
-+err_ctrls:
-+      v4l2_ctrl_handler_free(&ctx->hdl);
-+err_free:
-+      kfree(ctx);
-+      mutex_unlock(&dev->dev_mutex);
-+
-+      return ret;
-+}
-+
-+static int rpivid_release(struct file *file)
-+{
-+      struct rpivid_dev *dev = video_drvdata(file);
-+      struct rpivid_ctx *ctx = container_of(file->private_data,
-+                                            struct rpivid_ctx, fh);
-+
-+      mutex_lock(&dev->dev_mutex);
-+
-+      v4l2_fh_del(&ctx->fh);
-+      v4l2_m2m_ctx_release(ctx->fh.m2m_ctx);
-+
-+      v4l2_ctrl_handler_free(&ctx->hdl);
-+      kfree(ctx->ctrls);
-+
-+      v4l2_fh_exit(&ctx->fh);
-+
-+      kfree(ctx);
-+
-+      mutex_unlock(&dev->dev_mutex);
-+
-+      return 0;
-+}
-+
-+static const struct v4l2_file_operations rpivid_fops = {
-+      .owner          = THIS_MODULE,
-+      .open           = rpivid_open,
-+      .release        = rpivid_release,
-+      .poll           = v4l2_m2m_fop_poll,
-+      .unlocked_ioctl = video_ioctl2,
-+      .mmap           = v4l2_m2m_fop_mmap,
-+};
-+
-+static const struct video_device rpivid_video_device = {
-+      .name           = RPIVID_NAME,
-+      .vfl_dir        = VFL_DIR_M2M,
-+      .fops           = &rpivid_fops,
-+      .ioctl_ops      = &rpivid_ioctl_ops,
-+      .minor          = -1,
-+      .release        = video_device_release_empty,
-+      .device_caps    = V4L2_CAP_VIDEO_M2M | V4L2_CAP_STREAMING,
-+};
-+
-+static const struct v4l2_m2m_ops rpivid_m2m_ops = {
-+      .device_run     = rpivid_device_run,
-+};
-+
-+static const struct media_device_ops rpivid_m2m_media_ops = {
-+      .req_validate   = rpivid_request_validate,
-+      .req_queue      = v4l2_m2m_request_queue,
-+};
-+
-+static int rpivid_probe(struct platform_device *pdev)
-+{
-+      struct rpivid_dev *dev;
-+      struct video_device *vfd;
-+      int ret;
-+
-+      dev = devm_kzalloc(&pdev->dev, sizeof(*dev), GFP_KERNEL);
-+      if (!dev)
-+              return -ENOMEM;
-+
-+      dev->vfd = rpivid_video_device;
-+      dev->dev = &pdev->dev;
-+      dev->pdev = pdev;
-+
-+      ret = 0;
-+      ret = rpivid_hw_probe(dev);
-+      if (ret) {
-+              dev_err(&pdev->dev, "Failed to probe hardware\n");
-+              return ret;
-+      }
-+
-+      dev->dec_ops = &rpivid_dec_ops_h265;
-+
-+      mutex_init(&dev->dev_mutex);
-+
-+      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
-+      if (ret) {
-+              dev_err(&pdev->dev, "Failed to register V4L2 device\n");
-+              return ret;
-+      }
-+
-+      vfd = &dev->vfd;
-+      vfd->lock = &dev->dev_mutex;
-+      vfd->v4l2_dev = &dev->v4l2_dev;
-+
-+      snprintf(vfd->name, sizeof(vfd->name), "%s", rpivid_video_device.name);
-+      video_set_drvdata(vfd, dev);
-+
-+      dev->m2m_dev = v4l2_m2m_init(&rpivid_m2m_ops);
-+      if (IS_ERR(dev->m2m_dev)) {
-+              v4l2_err(&dev->v4l2_dev,
-+                       "Failed to initialize V4L2 M2M device\n");
-+              ret = PTR_ERR(dev->m2m_dev);
-+
-+              goto err_v4l2;
-+      }
-+
-+      dev->mdev.dev = &pdev->dev;
-+      strscpy(dev->mdev.model, RPIVID_NAME, sizeof(dev->mdev.model));
-+      strscpy(dev->mdev.bus_info, "platform:" RPIVID_NAME,
-+              sizeof(dev->mdev.bus_info));
-+
-+      media_device_init(&dev->mdev);
-+      dev->mdev.ops = &rpivid_m2m_media_ops;
-+      dev->v4l2_dev.mdev = &dev->mdev;
-+
-+      ret = video_register_device(vfd, VFL_TYPE_GRABBER, video_nr);
-+      if (ret) {
-+              v4l2_err(&dev->v4l2_dev, "Failed to register video device\n");
-+              goto err_m2m;
-+      }
-+
-+      v4l2_info(&dev->v4l2_dev,
-+                "Device registered as /dev/video%d\n", vfd->num);
-+
-+      ret = v4l2_m2m_register_media_controller(dev->m2m_dev, vfd,
-+                                               MEDIA_ENT_F_PROC_VIDEO_DECODER);
-+      if (ret) {
-+              v4l2_err(&dev->v4l2_dev,
-+                       "Failed to initialize V4L2 M2M media controller\n");
-+              goto err_video;
-+      }
-+
-+      ret = media_device_register(&dev->mdev);
-+      if (ret) {
-+              v4l2_err(&dev->v4l2_dev, "Failed to register media device\n");
-+              goto err_m2m_mc;
-+      }
-+
-+      platform_set_drvdata(pdev, dev);
-+
-+      return 0;
-+
-+err_m2m_mc:
-+      v4l2_m2m_unregister_media_controller(dev->m2m_dev);
-+err_video:
-+      video_unregister_device(&dev->vfd);
-+err_m2m:
-+      v4l2_m2m_release(dev->m2m_dev);
-+err_v4l2:
-+      v4l2_device_unregister(&dev->v4l2_dev);
-+
-+      return ret;
-+}
-+
-+static int rpivid_remove(struct platform_device *pdev)
-+{
-+      struct rpivid_dev *dev = platform_get_drvdata(pdev);
-+
-+      if (media_devnode_is_registered(dev->mdev.devnode)) {
-+              media_device_unregister(&dev->mdev);
-+              v4l2_m2m_unregister_media_controller(dev->m2m_dev);
-+              media_device_cleanup(&dev->mdev);
-+      }
-+
-+      v4l2_m2m_release(dev->m2m_dev);
-+      video_unregister_device(&dev->vfd);
-+      v4l2_device_unregister(&dev->v4l2_dev);
-+
-+      rpivid_hw_remove(dev);
-+
-+      return 0;
-+}
-+
-+static const struct of_device_id rpivid_dt_match[] = {
-+      {
-+              .compatible = "raspberrypi,rpivid-vid-decoder",
-+      },
-+      { /* sentinel */ }
-+};
-+MODULE_DEVICE_TABLE(of, rpivid_dt_match);
-+
-+static struct platform_driver rpivid_driver = {
-+      .probe          = rpivid_probe,
-+      .remove         = rpivid_remove,
-+      .driver         = {
-+              .name = RPIVID_NAME,
-+              .of_match_table = of_match_ptr(rpivid_dt_match),
-+      },
-+};
-+module_platform_driver(rpivid_driver);
-+
-+MODULE_LICENSE("GPL v2");
-+MODULE_AUTHOR("John Cox <jc@kynesim.co.uk>");
-+MODULE_DESCRIPTION("Raspberry Pi HEVC V4L2 driver");
---- /dev/null
-+++ b/drivers/staging/media/rpivid/rpivid.h
-@@ -0,0 +1,181 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Raspberry Pi HEVC driver
-+ *
-+ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
-+ *
-+ * Based on the Cedrus VPU driver, that is:
-+ *
-+ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
-+ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
-+ * Copyright (C) 2018 Bootlin
-+ */
-+
-+#ifndef _RPIVID_H_
-+#define _RPIVID_H_
-+
-+#include <linux/clk.h>
-+#include <linux/platform_device.h>
-+#include <media/v4l2-ctrls.h>
-+#include <media/v4l2-device.h>
-+#include <media/v4l2-mem2mem.h>
-+#include <media/videobuf2-v4l2.h>
-+#include <media/videobuf2-dma-contig.h>
-+
-+#define OPT_DEBUG_POLL_IRQ  0
-+
-+#define RPIVID_NAME                   "rpivid"
-+
-+#define RPIVID_CAPABILITY_UNTILED     BIT(0)
-+#define RPIVID_CAPABILITY_H265_DEC    BIT(1)
-+
-+#define RPIVID_QUIRK_NO_DMA_OFFSET    BIT(0)
-+
-+#define RPIVID_SRC_PIXELFORMAT_DEFAULT        V4L2_PIX_FMT_HEVC_SLICE
-+
-+enum rpivid_irq_status {
-+      RPIVID_IRQ_NONE,
-+      RPIVID_IRQ_ERROR,
-+      RPIVID_IRQ_OK,
-+};
-+
-+struct rpivid_control {
-+      struct v4l2_ctrl_config cfg;
-+      unsigned char           required:1;
-+};
-+
-+struct rpivid_h265_run {
-+      const struct v4l2_ctrl_hevc_sps                 *sps;
-+      const struct v4l2_ctrl_hevc_pps                 *pps;
-+      const struct v4l2_ctrl_hevc_slice_params        *slice_params;
-+      const struct v4l2_ctrl_hevc_scaling_matrix      *scaling_matrix;
-+};
-+
-+struct rpivid_run {
-+      struct vb2_v4l2_buffer  *src;
-+      struct vb2_v4l2_buffer  *dst;
-+
-+      struct rpivid_h265_run  h265;
-+};
-+
-+struct rpivid_buffer {
-+      struct v4l2_m2m_buffer          m2m_buf;
-+};
-+
-+struct rpivid_dec_state;
-+struct rpivid_dec_env;
-+#define RPIVID_DEC_ENV_COUNT 3
-+
-+struct rpivid_gptr {
-+      size_t size;
-+      __u8 *ptr;
-+      dma_addr_t addr;
-+      unsigned long attrs;
-+};
-+
-+struct rpivid_dev;
-+typedef void (*rpivid_irq_callback)(struct rpivid_dev *dev, void *ctx);
-+
-+struct rpivid_q_aux;
-+#define RPIVID_AUX_ENT_COUNT VB2_MAX_FRAME
-+
-+#define RPIVID_P2BUF_COUNT 2
-+
-+struct rpivid_ctx {
-+      struct v4l2_fh                  fh;
-+      struct rpivid_dev               *dev;
-+
-+      struct v4l2_pix_format          src_fmt;
-+      struct v4l2_pix_format          dst_fmt;
-+      int dst_fmt_set;
-+
-+      struct v4l2_ctrl_handler        hdl;
-+      struct v4l2_ctrl                **ctrls;
-+
-+      /* Decode state - stateless decoder my *** */
-+      /* state contains stuff that is only needed in phase0
-+       * it could be held in dec_env but that would be wasteful
-+       */
-+      struct rpivid_dec_state *state;
-+      struct rpivid_dec_env *dec0;
-+
-+      /* Spinlock protecting dec_free */
-+      spinlock_t dec_lock;
-+      struct rpivid_dec_env *dec_free;
-+
-+      struct rpivid_dec_env *dec_pool;
-+
-+      /* Some of these should be in dev */
-+      struct rpivid_gptr bitbufs[1];  /* Will be 2 */
-+      struct rpivid_gptr cmdbufs[1];  /* Will be 2 */
-+      unsigned int p2idx;
-+      atomic_t p2out;
-+      struct rpivid_gptr pu_bufs[RPIVID_P2BUF_COUNT];
-+      struct rpivid_gptr coeff_bufs[RPIVID_P2BUF_COUNT];
-+
-+      /* Spinlock protecting aux_free */
-+      spinlock_t aux_lock;
-+      struct rpivid_q_aux *aux_free;
-+
-+      struct rpivid_q_aux *aux_ents[RPIVID_AUX_ENT_COUNT];
-+
-+      unsigned int colmv_stride;
-+      unsigned int colmv_picsize;
-+};
-+
-+struct rpivid_dec_ops {
-+      void (*setup)(struct rpivid_ctx *ctx, struct rpivid_run *run);
-+      int (*start)(struct rpivid_ctx *ctx);
-+      void (*stop)(struct rpivid_ctx *ctx);
-+      void (*trigger)(struct rpivid_ctx *ctx);
-+};
-+
-+struct rpivid_variant {
-+      unsigned int    capabilities;
-+      unsigned int    quirks;
-+      unsigned int    mod_rate;
-+};
-+
-+struct rpivid_hw_irq_ent;
-+
-+struct rpivid_hw_irq_ctrl {
-+      /* Spinlock protecting claim and tail */
-+      spinlock_t lock;
-+      struct rpivid_hw_irq_ent *claim;
-+      struct rpivid_hw_irq_ent *tail;
-+
-+      /* Ent for pending irq - also prevents sched */
-+      struct rpivid_hw_irq_ent *irq;
-+      /* Non-zero => do not start a new job - outer layer sched pending */
-+      int no_sched;
-+      /* Thread CB requested */
-+      bool thread_reqed;
-+};
-+
-+struct rpivid_dev {
-+      struct v4l2_device      v4l2_dev;
-+      struct video_device     vfd;
-+      struct media_device     mdev;
-+      struct media_pad        pad[2];
-+      struct platform_device  *pdev;
-+      struct device           *dev;
-+      struct v4l2_m2m_dev     *m2m_dev;
-+      struct rpivid_dec_ops   *dec_ops;
-+
-+      /* Device file mutex */
-+      struct mutex            dev_mutex;
-+
-+      void __iomem            *base_irq;
-+      void __iomem            *base_h265;
-+
-+      struct clk              *clock;
-+
-+      struct rpivid_hw_irq_ctrl ic_active1;
-+      struct rpivid_hw_irq_ctrl ic_active2;
-+};
-+
-+extern struct rpivid_dec_ops rpivid_dec_ops_h265;
-+
-+void *rpivid_find_control_data(struct rpivid_ctx *ctx, u32 id);
-+
-+#endif
---- /dev/null
-+++ b/drivers/staging/media/rpivid/rpivid_dec.c
-@@ -0,0 +1,79 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * Raspberry Pi HEVC driver
-+ *
-+ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
-+ *
-+ * Based on the Cedrus VPU driver, that is:
-+ *
-+ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
-+ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
-+ * Copyright (C) 2018 Bootlin
-+ */
-+
-+#include <media/v4l2-device.h>
-+#include <media/v4l2-ioctl.h>
-+#include <media/v4l2-event.h>
-+#include <media/v4l2-mem2mem.h>
-+
-+#include "rpivid.h"
-+#include "rpivid_dec.h"
-+
-+void rpivid_device_run(void *priv)
-+{
-+      struct rpivid_ctx *ctx = priv;
-+      struct rpivid_dev *dev = ctx->dev;
-+      struct rpivid_run run = {};
-+      struct media_request *src_req;
-+
-+      run.src = v4l2_m2m_next_src_buf(ctx->fh.m2m_ctx);
-+      run.dst = v4l2_m2m_next_dst_buf(ctx->fh.m2m_ctx);
-+
-+      if (!run.src || !run.dst) {
-+              v4l2_err(&dev->v4l2_dev, "%s: Missing buffer: src=%p, dst=%p\n",
-+                       __func__, run.src, run.dst);
-+              /* We are stuffed - this probably won't dig us out of our
-+               * current situation but it is better than nothing
-+               */
-+              v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
-+                                               VB2_BUF_STATE_ERROR);
-+              return;
-+      }
-+
-+      /* Apply request(s) controls if needed. */
-+      src_req = run.src->vb2_buf.req_obj.req;
-+
-+      if (src_req)
-+              v4l2_ctrl_request_setup(src_req, &ctx->hdl);
-+
-+      switch (ctx->src_fmt.pixelformat) {
-+      case V4L2_PIX_FMT_HEVC_SLICE:
-+              run.h265.sps =
-+                      rpivid_find_control_data(ctx,
-+                                               V4L2_CID_MPEG_VIDEO_HEVC_SPS);
-+              run.h265.pps =
-+                      rpivid_find_control_data(ctx,
-+                                               V4L2_CID_MPEG_VIDEO_HEVC_PPS);
-+              run.h265.slice_params =
-+                      rpivid_find_control_data(ctx,
-+                                               V4L2_CID_MPEG_VIDEO_HEVC_SLICE_PARAMS);
-+              run.h265.scaling_matrix =
-+                      rpivid_find_control_data(ctx,
-+                                               V4L2_CID_MPEG_VIDEO_HEVC_SCALING_MATRIX);
-+              break;
-+
-+      default:
-+              break;
-+      }
-+
-+      v4l2_m2m_buf_copy_metadata(run.src, run.dst, true);
-+
-+      dev->dec_ops->setup(ctx, &run);
-+
-+      /* Complete request(s) controls if needed. */
-+
-+      if (src_req)
-+              v4l2_ctrl_request_complete(src_req, &ctx->hdl);
-+
-+      dev->dec_ops->trigger(ctx);
-+}
---- /dev/null
-+++ b/drivers/staging/media/rpivid/rpivid_dec.h
-@@ -0,0 +1,19 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Raspberry Pi HEVC driver
-+ *
-+ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
-+ *
-+ * Based on the Cedrus VPU driver, that is:
-+ *
-+ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
-+ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
-+ * Copyright (C) 2018 Bootlin
-+ */
-+
-+#ifndef _RPIVID_DEC_H_
-+#define _RPIVID_DEC_H_
-+
-+void rpivid_device_run(void *priv);
-+
-+#endif
---- /dev/null
-+++ b/drivers/staging/media/rpivid/rpivid_h265.c
-@@ -0,0 +1,2275 @@
-+// SPDX-License-Identifier: GPL-2.0-or-later
-+/*
-+ * Raspberry Pi HEVC driver
-+ *
-+ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
-+ *
-+ * Based on the Cedrus VPU driver, that is:
-+ *
-+ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
-+ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
-+ * Copyright (C) 2018 Bootlin
-+ */
-+
-+#include <linux/delay.h>
-+#include <linux/types.h>
-+
-+#include <media/videobuf2-dma-contig.h>
-+
-+#include "rpivid.h"
-+#include "rpivid_hw.h"
-+
-+#define DEBUG_TRACE_P1_CMD 0
-+#define DEBUG_TRACE_EXECUTION 0
-+
-+#if DEBUG_TRACE_EXECUTION
-+#define xtrace_in(dev_, de_)\
-+      v4l2_info(&(dev_)->v4l2_dev, "%s[%d]: in\n",   __func__,\
-+                (de_) == NULL ? -1 : (de_)->decode_order)
-+#define xtrace_ok(dev_, de_)\
-+      v4l2_info(&(dev_)->v4l2_dev, "%s[%d]: ok\n",   __func__,\
-+                (de_) == NULL ? -1 : (de_)->decode_order)
-+#define xtrace_fin(dev_, de_)\
-+      v4l2_info(&(dev_)->v4l2_dev, "%s[%d]: finish\n", __func__,\
-+                (de_) == NULL ? -1 : (de_)->decode_order)
-+#define xtrace_fail(dev_, de_)\
-+      v4l2_info(&(dev_)->v4l2_dev, "%s[%d]: FAIL\n", __func__,\
-+                (de_) == NULL ? -1 : (de_)->decode_order)
-+#else
-+#define xtrace_in(dev_, de_)
-+#define xtrace_ok(dev_, de_)
-+#define xtrace_fin(dev_, de_)
-+#define xtrace_fail(dev_, de_)
-+#endif
-+
-+enum hevc_slice_type {
-+      HEVC_SLICE_B = 0,
-+      HEVC_SLICE_P = 1,
-+      HEVC_SLICE_I = 2,
-+};
-+
-+enum hevc_layer { L0 = 0, L1 = 1 };
-+
-+static int gptr_alloc(struct rpivid_dev *const dev, struct rpivid_gptr *gptr,
-+                    size_t size, unsigned long attrs)
-+{
-+      gptr->size = size;
-+      gptr->attrs = attrs;
-+      gptr->addr = 0;
-+      gptr->ptr = dma_alloc_attrs(dev->dev, gptr->size, &gptr->addr,
-+                                  GFP_KERNEL, gptr->attrs);
-+      return !gptr->ptr ? -ENOMEM : 0;
-+}
-+
-+static void gptr_free(struct rpivid_dev *const dev,
-+                    struct rpivid_gptr *const gptr)
-+{
-+      if (gptr->ptr)
-+              dma_free_attrs(dev->dev, gptr->size, gptr->ptr, gptr->addr,
-+                             gptr->attrs);
-+      gptr->size = 0;
-+      gptr->ptr = NULL;
-+      gptr->addr = 0;
-+      gptr->attrs = 0;
-+}
-+
-+/* Realloc but do not copy */
-+static int gptr_realloc_new(struct rpivid_dev * const dev,
-+                          struct rpivid_gptr * const gptr, size_t size)
-+{
-+      if (size == gptr->size)
-+              return 0;
-+
-+      if (gptr->ptr)
-+              dma_free_attrs(dev->dev, gptr->size, gptr->ptr,
-+                             gptr->addr, gptr->attrs);
-+
-+      gptr->addr = 0;
-+      gptr->size = size;
-+      gptr->ptr = dma_alloc_attrs(dev->dev, gptr->size,
-+                                  &gptr->addr, GFP_KERNEL, gptr->attrs);
-+      return gptr->ptr ? 0 : -ENOMEM;
-+}
-+
-+/* floor(log2(x)) */
-+static unsigned int log2_size(size_t x)
-+{
-+      unsigned int n = 0;
-+
-+      if (x & ~0xffff) {
-+              n += 16;
-+              x >>= 16;
-+      }
-+      if (x & ~0xff) {
-+              n += 8;
-+              x >>= 8;
-+      }
-+      if (x & ~0xf) {
-+              n += 4;
-+              x >>= 4;
-+      }
-+      if (x & ~3) {
-+              n += 2;
-+              x >>= 2;
-+      }
-+      return (x & ~1) ? n + 1 : n;
-+}
-+
-+static size_t round_up_size(const size_t x)
-+{
-+      /* Admit no size < 256 */
-+      const unsigned int n = x < 256 ? 8 : log2_size(x) - 1;
-+
-+      return x >= (3 << n) ? 4 << n : (3 << n);
-+}
-+
-+static size_t next_size(const size_t x)
-+{
-+      return round_up_size(x + 1);
-+}
-+
-+#define NUM_SCALING_FACTORS 4064 /* Not a typo = 0xbe0 + 0x400 */
-+
-+#define AXI_BASE64 0
-+
-+#define PROB_BACKUP ((20 << 12) + (20 << 6) + (0 << 0))
-+#define PROB_RELOAD ((20 << 12) + (20 << 0) + (0 << 6))
-+
-+#define HEVC_MAX_REFS V4L2_HEVC_DPB_ENTRIES_NUM_MAX
-+
-+//////////////////////////////////////////////////////////////////////////////
-+
-+struct rpi_cmd {
-+      u32 addr;
-+      u32 data;
-+} __packed;
-+
-+struct rpivid_q_aux {
-+      unsigned int refcount;
-+      unsigned int q_index;
-+      struct rpivid_q_aux *next;
-+      struct rpivid_gptr col;
-+};
-+
-+//////////////////////////////////////////////////////////////////////////////
-+
-+enum rpivid_decode_state {
-+      RPIVID_DECODE_SLICE_START,
-+      RPIVID_DECODE_SLICE_CONTINUE,
-+      RPIVID_DECODE_ERROR_CONTINUE,
-+      RPIVID_DECODE_ERROR_DONE,
-+      RPIVID_DECODE_PHASE1,
-+      RPIVID_DECODE_END,
-+};
-+
-+struct rpivid_dec_env {
-+      struct rpivid_ctx *ctx;
-+      struct rpivid_dec_env *next;
-+
-+      enum rpivid_decode_state state;
-+      unsigned int decode_order;
-+      int p1_status;          /* P1 status - what to realloc */
-+
-+      struct rpivid_dec_env *phase_wait_q_next;
-+
-+      struct rpi_cmd *cmd_fifo;
-+      unsigned int cmd_len, cmd_max;
-+      unsigned int num_slice_msgs;
-+      unsigned int pic_width_in_ctbs_y;
-+      unsigned int pic_height_in_ctbs_y;
-+      unsigned int dpbno_col;
-+      u32 reg_slicestart;
-+      int collocated_from_l0_flag;
-+      unsigned int wpp_entry_x;
-+      unsigned int wpp_entry_y;
-+
-+      u32 rpi_config2;
-+      u32 rpi_framesize;
-+      u32 rpi_currpoc;
-+
-+      struct vb2_v4l2_buffer *frame_buf; // Detached dest buffer
-+      unsigned int frame_c_offset;
-+      unsigned int frame_stride;
-+      dma_addr_t frame_addr;
-+      dma_addr_t ref_addrs[16];
-+      struct rpivid_q_aux *frame_aux;
-+      struct rpivid_q_aux *col_aux;
-+
-+      dma_addr_t pu_base_vc;
-+      dma_addr_t coeff_base_vc;
-+      u32 pu_stride;
-+      u32 coeff_stride;
-+
-+      struct rpivid_gptr *bit_copy_gptr;
-+      size_t bit_copy_len;
-+      struct rpivid_gptr *cmd_copy_gptr;
-+
-+      u16 slice_msgs[2 * HEVC_MAX_REFS * 8 + 3];
-+      u8 scaling_factors[NUM_SCALING_FACTORS];
-+
-+      struct rpivid_hw_irq_ent irq_ent;
-+};
-+
-+#define member_size(type, member) sizeof(((type *)0)->member)
-+
-+struct rpivid_dec_state {
-+      struct v4l2_ctrl_hevc_sps sps;
-+      struct v4l2_ctrl_hevc_pps pps;
-+
-+      // Helper vars & tables derived from sps/pps
-+      unsigned int log2_ctb_size; /* log2 width of a CTB */
-+      unsigned int ctb_width; /* Width in CTBs */
-+      unsigned int ctb_height; /* Height in CTBs */
-+      unsigned int ctb_size; /* Pic area in CTBs */
-+      unsigned int num_tile_columns;
-+      unsigned int num_tile_rows;
-+      u8 column_width[member_size(struct v4l2_ctrl_hevc_pps,
-+                                  column_width_minus1)];
-+      u8 row_height[member_size(struct v4l2_ctrl_hevc_pps,
-+                                row_height_minus1)];
-+
-+      int *col_bd;
-+      int *row_bd;
-+      int *ctb_addr_rs_to_ts;
-+      int *ctb_addr_ts_to_rs;
-+      int *tile_id;
-+
-+      // Aux starage for DPB
-+      // Hold refs
-+      struct rpivid_q_aux *ref_aux[HEVC_MAX_REFS];
-+      struct rpivid_q_aux *frame_aux;
-+
-+      // Slice vars
-+      unsigned int slice_idx;
-+      bool frame_end;
-+      bool slice_temporal_mvp;  /* Slice flag but constant for frame */
-+
-+      // Temp vars per run - don't actually need to persist
-+      u8 *src_buf;
-+      dma_addr_t src_addr;
-+      const struct v4l2_ctrl_hevc_slice_params *sh;
-+      unsigned int nb_refs[2];
-+      unsigned int slice_qp;
-+      unsigned int max_num_merge_cand; // 0 if I-slice
-+      bool dependent_slice_segment_flag;
-+};
-+
-+static inline int clip_int(const int x, const int lo, const int hi)
-+{
-+      return x < lo ? lo : x > hi ? hi : x;
-+}
-+
-+//////////////////////////////////////////////////////////////////////////////
-+// Phase 1 command and bit FIFOs
-+
-+#if DEBUG_TRACE_P1_CMD
-+static int p1_z;
-+#endif
-+
-+// ???? u16 addr - put in u32
-+static int p1_apb_write(struct rpivid_dec_env *const de, const u16 addr,
-+                      const u32 data)
-+{
-+      if (de->cmd_len == de->cmd_max)
-+              de->cmd_fifo =
-+                      krealloc(de->cmd_fifo,
-+                               (de->cmd_max *= 2) * sizeof(struct rpi_cmd),
-+                               GFP_KERNEL);
-+      de->cmd_fifo[de->cmd_len].addr = addr;
-+      de->cmd_fifo[de->cmd_len].data = data;
-+
-+#if DEBUG_TRACE_P1_CMD
-+      if (++p1_z < 256) {
-+              v4l2_info(&de->ctx->dev->v4l2_dev, "[%02x] %x %x\n",
-+                        de->cmd_len, addr, data);
-+      }
-+#endif
-+
-+      return de->cmd_len++;
-+}
-+
-+static int ctb_to_tile(unsigned int ctb, unsigned int *bd, int num)
-+{
-+      int i;
-+
-+      for (i = 1; ctb >= bd[i]; i++)
-+              ; // bd[] has num+1 elements; bd[0]=0;
-+      return i - 1;
-+}
-+
-+static int ctb_to_slice_w_h(unsigned int ctb, int ctb_size, int width,
-+                          unsigned int *bd, int num)
-+{
-+      if (ctb < bd[num - 1])
-+              return ctb_size;
-+      else if (width % ctb_size)
-+              return width % ctb_size;
-+      else
-+              return ctb_size;
-+}
-+
-+static void aux_q_free(struct rpivid_ctx *const ctx,
-+                     struct rpivid_q_aux *const aq)
-+{
-+      struct rpivid_dev *const dev = ctx->dev;
-+
-+      gptr_free(dev, &aq->col);
-+      kfree(aq);
-+}
-+
-+static struct rpivid_q_aux *aux_q_alloc(struct rpivid_ctx *const ctx)
-+{
-+      struct rpivid_dev *const dev = ctx->dev;
-+      struct rpivid_q_aux *const aq = kzalloc(sizeof(*aq), GFP_KERNEL);
-+
-+      if (!aq)
-+              return NULL;
-+
-+      aq->refcount = 1;
-+      if (gptr_alloc(dev, &aq->col, ctx->colmv_picsize,
-+                     DMA_ATTR_FORCE_CONTIGUOUS | DMA_ATTR_NO_KERNEL_MAPPING))
-+              goto fail;
-+
-+      return aq;
-+
-+fail:
-+      kfree(aq);
-+      return NULL;
-+}
-+
-+static struct rpivid_q_aux *aux_q_new(struct rpivid_ctx *const ctx,
-+                                    const unsigned int q_index)
-+{
-+      struct rpivid_q_aux *aq;
-+      unsigned long lockflags;
-+
-+      spin_lock_irqsave(&ctx->aux_lock, lockflags);
-+      aq = ctx->aux_free;
-+      if (aq) {
-+              ctx->aux_free = aq->next;
-+              aq->next = NULL;
-+              aq->refcount = 1;
-+      }
-+      spin_unlock_irqrestore(&ctx->aux_lock, lockflags);
-+
-+      if (!aq) {
-+              aq = aux_q_alloc(ctx);
-+              if (!aq)
-+                      return NULL;
-+      }
-+
-+      aq->q_index = q_index;
-+      ctx->aux_ents[q_index] = aq;
-+      return aq;
-+}
-+
-+static struct rpivid_q_aux *aux_q_ref(struct rpivid_ctx *const ctx,
-+                                    struct rpivid_q_aux *const aq)
-+{
-+      if (aq) {
-+              unsigned long lockflags;
-+
-+              spin_lock_irqsave(&ctx->aux_lock, lockflags);
-+
-+              ++aq->refcount;
-+
-+              spin_unlock_irqrestore(&ctx->aux_lock, lockflags);
-+      }
-+      return aq;
-+}
-+
-+static void aux_q_release(struct rpivid_ctx *const ctx,
-+                        struct rpivid_q_aux **const paq)
-+{
-+      struct rpivid_q_aux *const aq = *paq;
-+      *paq = NULL;
-+
-+      if (aq) {
-+              unsigned long lockflags;
-+
-+              spin_lock_irqsave(&ctx->aux_lock, lockflags);
-+
-+              if (--aq->refcount == 0) {
-+                      aq->next = ctx->aux_free;
-+                      ctx->aux_free = aq;
-+                      ctx->aux_ents[aq->q_index] = NULL;
-+              }
-+
-+              spin_unlock_irqrestore(&ctx->aux_lock, lockflags);
-+      }
-+}
-+
-+static void aux_q_init(struct rpivid_ctx *const ctx)
-+{
-+      spin_lock_init(&ctx->aux_lock);
-+      ctx->aux_free = NULL;
-+}
-+
-+static void aux_q_uninit(struct rpivid_ctx *const ctx)
-+{
-+      struct rpivid_q_aux *aq;
-+
-+      ctx->colmv_picsize = 0;
-+      ctx->colmv_stride = 0;
-+      while ((aq = ctx->aux_free) != NULL) {
-+              ctx->aux_free = aq->next;
-+              aux_q_free(ctx, aq);
-+      }
-+}
-+
-+//////////////////////////////////////////////////////////////////////////////
-+
-+/*
-+ * Initialisation process for context variables (CABAC init)
-+ * see H.265 9.3.2.2
-+ *
-+ * N.B. If comparing with FFmpeg note that this h/w uses slightly different
-+ * offsets to FFmpegs array
-+ */
-+
-+/* Actual number of values */
-+#define RPI_PROB_VALS 154U
-+/* Rounded up as we copy words */
-+#define RPI_PROB_ARRAY_SIZE ((154 + 3) & ~3)
-+
-+/* Initialiser values - see tables H.265 9-4 through 9-42 */
-+static const u8 prob_init[3][156] = {
-+      {
-+              153, 200, 139, 141, 157, 154, 154, 154, 154, 154, 184, 154, 154,
-+              154, 184, 63,  154, 154, 154, 154, 154, 154, 154, 154, 154, 154,
-+              154, 154, 154, 153, 138, 138, 111, 141, 94,  138, 182, 154, 154,
-+              154, 140, 92,  137, 138, 140, 152, 138, 139, 153, 74,  149, 92,
-+              139, 107, 122, 152, 140, 179, 166, 182, 140, 227, 122, 197, 110,
-+              110, 124, 125, 140, 153, 125, 127, 140, 109, 111, 143, 127, 111,
-+              79,  108, 123, 63,  110, 110, 124, 125, 140, 153, 125, 127, 140,
-+              109, 111, 143, 127, 111, 79,  108, 123, 63,  91,  171, 134, 141,
-+              138, 153, 136, 167, 152, 152, 139, 139, 111, 111, 125, 110, 110,
-+              94,  124, 108, 124, 107, 125, 141, 179, 153, 125, 107, 125, 141,
-+              179, 153, 125, 107, 125, 141, 179, 153, 125, 140, 139, 182, 182,
-+              152, 136, 152, 136, 153, 136, 139, 111, 136, 139, 111, 0,   0,
-+      },
-+      {
-+              153, 185, 107, 139, 126, 197, 185, 201, 154, 149, 154, 139, 154,
-+              154, 154, 152, 110, 122, 95,  79,  63,  31,  31,  153, 153, 168,
-+              140, 198, 79,  124, 138, 94,  153, 111, 149, 107, 167, 154, 154,
-+              154, 154, 196, 196, 167, 154, 152, 167, 182, 182, 134, 149, 136,
-+              153, 121, 136, 137, 169, 194, 166, 167, 154, 167, 137, 182, 125,
-+              110, 94,  110, 95,  79,  125, 111, 110, 78,  110, 111, 111, 95,
-+              94,  108, 123, 108, 125, 110, 94,  110, 95,  79,  125, 111, 110,
-+              78,  110, 111, 111, 95,  94,  108, 123, 108, 121, 140, 61,  154,
-+              107, 167, 91,  122, 107, 167, 139, 139, 155, 154, 139, 153, 139,
-+              123, 123, 63,  153, 166, 183, 140, 136, 153, 154, 166, 183, 140,
-+              136, 153, 154, 166, 183, 140, 136, 153, 154, 170, 153, 123, 123,
-+              107, 121, 107, 121, 167, 151, 183, 140, 151, 183, 140, 0,   0,
-+      },
-+      {
-+              153, 160, 107, 139, 126, 197, 185, 201, 154, 134, 154, 139, 154,
-+              154, 183, 152, 154, 137, 95,  79,  63,  31,  31,  153, 153, 168,
-+              169, 198, 79,  224, 167, 122, 153, 111, 149, 92,  167, 154, 154,
-+              154, 154, 196, 167, 167, 154, 152, 167, 182, 182, 134, 149, 136,
-+              153, 121, 136, 122, 169, 208, 166, 167, 154, 152, 167, 182, 125,
-+              110, 124, 110, 95,  94,  125, 111, 111, 79,  125, 126, 111, 111,
-+              79,  108, 123, 93,  125, 110, 124, 110, 95,  94,  125, 111, 111,
-+              79,  125, 126, 111, 111, 79,  108, 123, 93,  121, 140, 61,  154,
-+              107, 167, 91,  107, 107, 167, 139, 139, 170, 154, 139, 153, 139,
-+              123, 123, 63,  124, 166, 183, 140, 136, 153, 154, 166, 183, 140,
-+              136, 153, 154, 166, 183, 140, 136, 153, 154, 170, 153, 138, 138,
-+              122, 121, 122, 121, 167, 151, 183, 140, 151, 183, 140, 0,   0,
-+      },
-+};
-+
-+static void write_prob(struct rpivid_dec_env *const de,
-+                     const struct rpivid_dec_state *const s)
-+{
-+      u8 dst[RPI_PROB_ARRAY_SIZE];
-+
-+      const unsigned int init_type =
-+              ((s->sh->flags & V4L2_HEVC_SLICE_PARAMS_FLAG_CABAC_INIT) != 0 &&
-+               s->sh->slice_type != HEVC_SLICE_I) ?
-+                      s->sh->slice_type + 1 :
-+                      2 - s->sh->slice_type;
-+      const u8 *p = prob_init[init_type];
-+      const int q = clip_int(s->slice_qp, 0, 51);
-+      unsigned int i;
-+
-+      for (i = 0; i < RPI_PROB_VALS; i++) {
-+              int init_value = p[i];
-+              int m = (init_value >> 4) * 5 - 45;
-+              int n = ((init_value & 15) << 3) - 16;
-+              int pre = 2 * (((m * q) >> 4) + n) - 127;
-+
-+              pre ^= pre >> 31;
-+              if (pre > 124)
-+                      pre = 124 + (pre & 1);
-+              dst[i] = pre;
-+      }
-+      for (i = RPI_PROB_VALS; i != RPI_PROB_ARRAY_SIZE; ++i)
-+              dst[i] = 0;
-+
-+      for (i = 0; i < RPI_PROB_ARRAY_SIZE; i += 4)
-+              p1_apb_write(de, 0x1000 + i,
-+                           dst[i] + (dst[i + 1] << 8) + (dst[i + 2] << 16) +
-+                                   (dst[i + 3] << 24));
-+}
-+
-+static void write_scaling_factors(struct rpivid_dec_env *const de)
-+{
-+      int i;
-+      const u8 *p = (u8 *)de->scaling_factors;
-+
-+      for (i = 0; i < NUM_SCALING_FACTORS; i += 4, p += 4)
-+              p1_apb_write(de, 0x2000 + i,
-+                           p[0] + (p[1] << 8) + (p[2] << 16) + (p[3] << 24));
-+}
-+
-+static inline __u32 dma_to_axi_addr(dma_addr_t a)
-+{
-+      return (__u32)(a >> 6);
-+}
-+
-+static void write_bitstream(struct rpivid_dec_env *const de,
-+                          const struct rpivid_dec_state *const s)
-+{
-+      // Note that FFmpeg removes emulation prevention bytes, so this is
-+      // matched in the configuration here.
-+      // Whether that is the correct behaviour or not is not clear in the
-+      // spec.
-+      const int rpi_use_emu = 1;
-+      unsigned int offset = s->sh->data_bit_offset / 8 + 1;
-+      const unsigned int len = (s->sh->bit_size + 7) / 8 - offset;
-+      dma_addr_t addr;
-+
-+      if (s->src_addr != 0) {
-+              addr = s->src_addr + offset;
-+      } else {
-+              memcpy(de->bit_copy_gptr->ptr + de->bit_copy_len,
-+                     s->src_buf + offset, len);
-+              addr = de->bit_copy_gptr->addr + de->bit_copy_len;
-+              de->bit_copy_len += (len + 63) & ~63;
-+      }
-+      offset = addr & 63;
-+
-+      p1_apb_write(de, RPI_BFBASE, dma_to_axi_addr(addr));
-+      p1_apb_write(de, RPI_BFNUM, len);
-+      p1_apb_write(de, RPI_BFCONTROL, offset + (1 << 7)); // Stop
-+      p1_apb_write(de, RPI_BFCONTROL, offset + (rpi_use_emu << 6));
-+}
-+
-+//////////////////////////////////////////////////////////////////////////////
-+
-+static void write_slice(struct rpivid_dec_env *const de,
-+                      const struct rpivid_dec_state *const s,
-+                      const unsigned int slice_w,
-+                      const unsigned int slice_h)
-+{
-+      u32 u32 = (s->sh->slice_type << 12) +
-+                (((s->sh->flags &
-+                   V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_SAO_LUMA) != 0)
-+                 << 14) +
-+                (((s->sh->flags &
-+                   V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_SAO_CHROMA) != 0)
-+                 << 15) +
-+                (slice_w << 17) + (slice_h << 24);
-+
-+      u32 |= (s->max_num_merge_cand << 0) + (s->nb_refs[L0] << 4) +
-+             (s->nb_refs[L1] << 8);
-+
-+      if (s->sh->slice_type == HEVC_SLICE_B)
-+              u32 |= ((s->sh->flags &
-+                       V4L2_HEVC_SLICE_PARAMS_FLAG_MVD_L1_ZERO) != 0)
-+                     << 16;
-+      p1_apb_write(de, RPI_SLICE, u32);
-+}
-+
-+//////////////////////////////////////////////////////////////////////////////
-+// Tiles mode
-+
-+static void new_entry_point(struct rpivid_dec_env *const de,
-+                          const struct rpivid_dec_state *const s,
-+                          const int do_bte,
-+                          const int reset_qp_y, const int ctb_addr_ts)
-+{
-+      int ctb_col = s->ctb_addr_ts_to_rs[ctb_addr_ts] %
-+                                                      de->pic_width_in_ctbs_y;
-+      int ctb_row = s->ctb_addr_ts_to_rs[ctb_addr_ts] /
-+                                                      de->pic_width_in_ctbs_y;
-+
-+      int tile_x = ctb_to_tile(ctb_col, s->col_bd, s->num_tile_columns);
-+      int tile_y = ctb_to_tile(ctb_row, s->row_bd, s->num_tile_rows);
-+
-+      int endx = s->col_bd[tile_x + 1] - 1;
-+      int endy = s->row_bd[tile_y + 1] - 1;
-+
-+      u8 slice_w = ctb_to_slice_w_h(ctb_col, 1 << s->log2_ctb_size,
-+                                    s->sps.pic_width_in_luma_samples,
-+                                    s->col_bd, s->num_tile_columns);
-+      u8 slice_h = ctb_to_slice_w_h(ctb_row, 1 << s->log2_ctb_size,
-+                                    s->sps.pic_height_in_luma_samples,
-+                                    s->row_bd, s->num_tile_rows);
-+
-+      p1_apb_write(de, RPI_TILESTART,
-+                   s->col_bd[tile_x] + (s->row_bd[tile_y] << 16));
-+      p1_apb_write(de, RPI_TILEEND, endx + (endy << 16));
-+
-+      if (do_bte)
-+              p1_apb_write(de, RPI_BEGINTILEEND, endx + (endy << 16));
-+
-+      write_slice(de, s, slice_w, slice_h);
-+
-+      if (reset_qp_y) {
-+              unsigned int sps_qp_bd_offset =
-+                      6 * s->sps.bit_depth_luma_minus8;
-+
-+              p1_apb_write(de, RPI_QP, sps_qp_bd_offset + s->slice_qp);
-+      }
-+
-+      p1_apb_write(de, RPI_MODE,
-+                   (0xFFFF << 0) + (0x0 << 16) +
-+                           ((tile_x == s->num_tile_columns - 1) << 17) +
-+                           ((tile_y == s->num_tile_rows - 1) << 18));
-+
-+      p1_apb_write(de, RPI_CONTROL, (ctb_col << 0) + (ctb_row << 16));
-+}
-+
-+//////////////////////////////////////////////////////////////////////////////
-+
-+static void new_slice_segment(struct rpivid_dec_env *const de,
-+                            const struct rpivid_dec_state *const s)
-+{
-+      const struct v4l2_ctrl_hevc_sps *const sps = &s->sps;
-+      const struct v4l2_ctrl_hevc_pps *const pps = &s->pps;
-+
-+      p1_apb_write(de,
-+                   RPI_SPS0,
-+                   ((sps->log2_min_luma_coding_block_size_minus3 + 3) << 0) |
-+                   (s->log2_ctb_size << 4) |
-+                   ((sps->log2_min_luma_transform_block_size_minus2 + 2)
-+                                                      << 8) |
-+                   ((sps->log2_min_luma_transform_block_size_minus2 + 2 +
-+                     sps->log2_diff_max_min_luma_transform_block_size)
-+                                              << 12) |
-+                   ((sps->bit_depth_luma_minus8 + 8) << 16) |
-+                   ((sps->bit_depth_chroma_minus8 + 8) << 20) |
-+                   (sps->max_transform_hierarchy_depth_intra << 24) |
-+                   (sps->max_transform_hierarchy_depth_inter << 28));
-+
-+      p1_apb_write(de,
-+                   RPI_SPS1,
-+                   ((sps->pcm_sample_bit_depth_luma_minus1 + 1) << 0) |
-+                   ((sps->pcm_sample_bit_depth_chroma_minus1 + 1) << 4) |
-+                   ((sps->log2_min_pcm_luma_coding_block_size_minus3 + 3)
-+                                              << 8) |
-+                   ((sps->log2_min_pcm_luma_coding_block_size_minus3 + 3 +
-+                     sps->log2_diff_max_min_pcm_luma_coding_block_size)
-+                                              << 12) |
-+                   (((sps->flags & V4L2_HEVC_SPS_FLAG_SEPARATE_COLOUR_PLANE) ?
-+                              0 : sps->chroma_format_idc) << 16) |
-+                   ((!!(sps->flags & V4L2_HEVC_SPS_FLAG_AMP_ENABLED)) << 18) |
-+                   ((!!(sps->flags & V4L2_HEVC_SPS_FLAG_PCM_ENABLED)) << 19) |
-+                   ((!!(sps->flags & V4L2_HEVC_SPS_FLAG_SCALING_LIST_ENABLED))
-+                                              << 20) |
-+                   ((!!(sps->flags &
-+                         V4L2_HEVC_SPS_FLAG_STRONG_INTRA_SMOOTHING_ENABLED))
-+                                              << 21));
-+
-+      p1_apb_write(de,
-+                   RPI_PPS,
-+                   ((s->log2_ctb_size - pps->diff_cu_qp_delta_depth) << 0) |
-+                   ((!!(pps->flags & V4L2_HEVC_PPS_FLAG_CU_QP_DELTA_ENABLED))
-+                                               << 4) |
-+                   ((!!(pps->flags &
-+                              V4L2_HEVC_PPS_FLAG_TRANSQUANT_BYPASS_ENABLED))
-+                                               << 5) |
-+                   ((!!(pps->flags & V4L2_HEVC_PPS_FLAG_TRANSFORM_SKIP_ENABLED))
-+                                               << 6) |
-+                   ((!!(pps->flags &
-+                              V4L2_HEVC_PPS_FLAG_SIGN_DATA_HIDING_ENABLED))
-+                                              << 7) |
-+                   (((pps->pps_cb_qp_offset + s->sh->slice_cb_qp_offset) & 255)
-+                                              << 8) |
-+                   (((pps->pps_cr_qp_offset + s->sh->slice_cr_qp_offset) & 255)
-+                                              << 16) |
-+                   ((!!(pps->flags &
-+                              V4L2_HEVC_PPS_FLAG_CONSTRAINED_INTRA_PRED))
-+                                              << 24));
-+
-+      if ((sps->flags & V4L2_HEVC_SPS_FLAG_SCALING_LIST_ENABLED) != 0)
-+              write_scaling_factors(de);
-+
-+      if (!s->dependent_slice_segment_flag) {
-+              int ctb_col = s->sh->slice_segment_addr %
-+                                                      de->pic_width_in_ctbs_y;
-+              int ctb_row = s->sh->slice_segment_addr /
-+                                                      de->pic_width_in_ctbs_y;
-+
-+              de->reg_slicestart = (ctb_col << 0) + (ctb_row << 16);
-+      }
-+
-+      p1_apb_write(de, RPI_SLICESTART, de->reg_slicestart);
-+}
-+
-+//////////////////////////////////////////////////////////////////////////////
-+// Slice messages
-+
-+static void msg_slice(struct rpivid_dec_env *const de, const u16 msg)
-+{
-+      de->slice_msgs[de->num_slice_msgs++] = msg;
-+}
-+
-+static void program_slicecmds(struct rpivid_dec_env *const de,
-+                            const int sliceid)
-+{
-+      int i;
-+
-+      p1_apb_write(de, RPI_SLICECMDS, de->num_slice_msgs + (sliceid << 8));
-+
-+      for (i = 0; i < de->num_slice_msgs; i++)
-+              p1_apb_write(de, 0x4000 + 4 * i, de->slice_msgs[i] & 0xffff);
-+}
-+
-+// NoBackwardPredictionFlag 8.3.5
-+// Simply checks POCs
-+static int has_backward(const struct v4l2_hevc_dpb_entry *const dpb,
-+                      const __u8 *const idx, const unsigned int n,
-+                      const unsigned int cur_poc)
-+{
-+      unsigned int i;
-+
-+      for (i = 0; i < n; ++i) {
-+              // Compare mod 2^16
-+              // We only get u16 pocs & 8.3.1 says
-+              // "The bitstream shall not contain data that result in values
-+              //  of DiffPicOrderCnt( picA, picB ) used in the decoding
-+              //  process that are not in the range of −2^15 to 2^15 − 1,
-+              //  inclusive."
-+              if (((cur_poc - dpb[idx[i]].pic_order_cnt[0]) & 0x8000) != 0)
-+                      return 0;
-+      }
-+      return 1;
-+}
-+
-+static void pre_slice_decode(struct rpivid_dec_env *const de,
-+                           const struct rpivid_dec_state *const s)
-+{
-+      const struct v4l2_ctrl_hevc_slice_params *const sh = s->sh;
-+      int weighted_pred_flag, idx;
-+      u16 cmd_slice;
-+      unsigned int collocated_from_l0_flag;
-+
-+      de->num_slice_msgs = 0;
-+
-+      cmd_slice = 0;
-+      if (sh->slice_type == HEVC_SLICE_I)
-+              cmd_slice = 1;
-+      if (sh->slice_type == HEVC_SLICE_P)
-+              cmd_slice = 2;
-+      if (sh->slice_type == HEVC_SLICE_B)
-+              cmd_slice = 3;
-+
-+      cmd_slice |= (s->nb_refs[L0] << 2) | (s->nb_refs[L1] << 6) |
-+                   (s->max_num_merge_cand << 11);
-+
-+      collocated_from_l0_flag =
-+              !s->slice_temporal_mvp ||
-+              sh->slice_type != HEVC_SLICE_B ||
-+              (sh->flags & V4L2_HEVC_SLICE_PARAMS_FLAG_COLLOCATED_FROM_L0);
-+      cmd_slice |= collocated_from_l0_flag << 14;
-+
-+      if (sh->slice_type == HEVC_SLICE_P || sh->slice_type == HEVC_SLICE_B) {
-+              // Flag to say all reference pictures are from the past
-+              const int no_backward_pred_flag =
-+                      has_backward(sh->dpb, sh->ref_idx_l0, s->nb_refs[L0],
-+                                   sh->slice_pic_order_cnt) &&
-+                      has_backward(sh->dpb, sh->ref_idx_l1, s->nb_refs[L1],
-+                                   sh->slice_pic_order_cnt);
-+              cmd_slice |= no_backward_pred_flag << 10;
-+              msg_slice(de, cmd_slice);
-+
-+              if (s->slice_temporal_mvp) {
-+                      const __u8 *const rpl = collocated_from_l0_flag ?
-+                                              sh->ref_idx_l0 : sh->ref_idx_l1;
-+                      de->dpbno_col = rpl[sh->collocated_ref_idx];
-+                      //v4l2_info(&de->ctx->dev->v4l2_dev,
-+                      //          "L0=%d col_ref_idx=%d,
-+                      //          dpb_no=%d\n", collocated_from_l0_flag,
-+                      //          sh->collocated_ref_idx, de->dpbno_col);
-+              }
-+
-+              // Write reference picture descriptions
-+              weighted_pred_flag =
-+                      sh->slice_type == HEVC_SLICE_P ?
-+                              !!(s->pps.flags & V4L2_HEVC_PPS_FLAG_WEIGHTED_PRED) :
-+                              !!(s->pps.flags & V4L2_HEVC_PPS_FLAG_WEIGHTED_BIPRED);
-+
-+              for (idx = 0; idx < s->nb_refs[L0]; ++idx) {
-+                      unsigned int dpb_no = sh->ref_idx_l0[idx];
-+                      //v4l2_info(&de->ctx->dev->v4l2_dev,
-+                      //        "L0[%d]=dpb[%d]\n", idx, dpb_no);
-+
-+                      msg_slice(de,
-+                                dpb_no |
-+                                (sh->dpb[dpb_no].rps ==
-+                                      V4L2_HEVC_DPB_ENTRY_RPS_LT_CURR ?
-+                                               (1 << 4) : 0) |
-+                                (weighted_pred_flag ? (3 << 5) : 0));
-+                      msg_slice(de, sh->dpb[dpb_no].pic_order_cnt[0]);
-+
-+                      if (weighted_pred_flag) {
-+                              const struct v4l2_hevc_pred_weight_table
-+                                      *const w = &sh->pred_weight_table;
-+                              const int luma_weight_denom =
-+                                      (1 << w->luma_log2_weight_denom);
-+                              const unsigned int chroma_log2_weight_denom =
-+                                      (w->luma_log2_weight_denom +
-+                                       w->delta_chroma_log2_weight_denom);
-+                              const int chroma_weight_denom =
-+                                      (1 << chroma_log2_weight_denom);
-+
-+                              msg_slice(de,
-+                                        w->luma_log2_weight_denom |
-+                                        (((w->delta_luma_weight_l0[idx] +
-+                                           luma_weight_denom) & 0x1ff)
-+                                               << 3));
-+                              msg_slice(de, w->luma_offset_l0[idx] & 0xff);
-+                              msg_slice(de,
-+                                        chroma_log2_weight_denom |
-+                                        (((w->delta_chroma_weight_l0[idx][0] +
-+                                           chroma_weight_denom) & 0x1ff)
-+                                                 << 3));
-+                              msg_slice(de,
-+                                        w->chroma_offset_l0[idx][0] & 0xff);
-+                              msg_slice(de,
-+                                        chroma_log2_weight_denom |
-+                                        (((w->delta_chroma_weight_l0[idx][1] +
-+                                           chroma_weight_denom) & 0x1ff)
-+                                                 << 3));
-+                              msg_slice(de,
-+                                        w->chroma_offset_l0[idx][1] & 0xff);
-+                      }
-+              }
-+
-+              for (idx = 0; idx < s->nb_refs[L1]; ++idx) {
-+                      unsigned int dpb_no = sh->ref_idx_l1[idx];
-+                      //v4l2_info(&de->ctx->dev->v4l2_dev,
-+                      //          "L1[%d]=dpb[%d]\n", idx, dpb_no);
-+                      msg_slice(de,
-+                                dpb_no |
-+                                (sh->dpb[dpb_no].rps ==
-+                                       V4L2_HEVC_DPB_ENTRY_RPS_LT_CURR ?
-+                                               (1 << 4) : 0) |
-+                                      (weighted_pred_flag ? (3 << 5) : 0));
-+                      msg_slice(de, sh->dpb[dpb_no].pic_order_cnt[0]);
-+                      if (weighted_pred_flag) {
-+                              const struct v4l2_hevc_pred_weight_table
-+                                      *const w = &sh->pred_weight_table;
-+                              const int luma_weight_denom =
-+                                      (1 << w->luma_log2_weight_denom);
-+                              const unsigned int chroma_log2_weight_denom =
-+                                      (w->luma_log2_weight_denom +
-+                                       w->delta_chroma_log2_weight_denom);
-+                              const int chroma_weight_denom =
-+                                      (1 << chroma_log2_weight_denom);
-+
-+                              msg_slice(de,
-+                                        w->luma_log2_weight_denom |
-+                                        (((w->delta_luma_weight_l1[idx] +
-+                                           luma_weight_denom) & 0x1ff) << 3));
-+                              msg_slice(de, w->luma_offset_l1[idx] & 0xff);
-+                              msg_slice(de,
-+                                        chroma_log2_weight_denom |
-+                                        (((w->delta_chroma_weight_l1[idx][0] +
-+                                           chroma_weight_denom) & 0x1ff)
-+                                                      << 3));
-+                              msg_slice(de,
-+                                        w->chroma_offset_l1[idx][0] & 0xff);
-+                              msg_slice(de,
-+                                        chroma_log2_weight_denom |
-+                                        (((w->delta_chroma_weight_l1[idx][1] +
-+                                           chroma_weight_denom) & 0x1ff)
-+                                                 << 3));
-+                              msg_slice(de,
-+                                        w->chroma_offset_l1[idx][1] & 0xff);
-+                      }
-+              }
-+      } else {
-+              msg_slice(de, cmd_slice);
-+      }
-+
-+      msg_slice(de,
-+                (sh->slice_beta_offset_div2 & 15) |
-+                ((sh->slice_tc_offset_div2 & 15) << 4) |
-+                ((sh->flags &
-+                  V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_DEBLOCKING_FILTER_DISABLED) ?
-+                                              1 << 8 : 0) |
-+                ((sh->flags &
-+                        V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_LOOP_FILTER_ACROSS_SLICES_ENABLED) ?
-+                                              1 << 9 : 0) |
-+                ((s->pps.flags &
-+                        V4L2_HEVC_PPS_FLAG_LOOP_FILTER_ACROSS_TILES_ENABLED) ?
-+                                              1 << 10 : 0));
-+
-+      msg_slice(de, ((sh->slice_cr_qp_offset & 31) << 5) +
-+                     (sh->slice_cb_qp_offset & 31)); // CMD_QPOFF
-+}
-+
-+//////////////////////////////////////////////////////////////////////////////
-+// Write STATUS register with expected end CTU address of previous slice
-+
-+static void end_previous_slice(struct rpivid_dec_env *const de,
-+                             const struct rpivid_dec_state *const s,
-+                             const int ctb_addr_ts)
-+{
-+      int last_x =
-+              s->ctb_addr_ts_to_rs[ctb_addr_ts - 1] % de->pic_width_in_ctbs_y;
-+      int last_y =
-+              s->ctb_addr_ts_to_rs[ctb_addr_ts - 1] / de->pic_width_in_ctbs_y;
-+
-+      p1_apb_write(de, RPI_STATUS, 1 + (last_x << 5) + (last_y << 18));
-+}
-+
-+static void wpp_pause(struct rpivid_dec_env *const de, int ctb_row)
-+{
-+      p1_apb_write(de, RPI_STATUS, (ctb_row << 18) + 0x25);
-+      p1_apb_write(de, RPI_TRANSFER, PROB_BACKUP);
-+      p1_apb_write(de, RPI_MODE,
-+                   ctb_row == de->pic_height_in_ctbs_y - 1 ?
-+                                                      0x70000 : 0x30000);
-+      p1_apb_write(de, RPI_CONTROL, (ctb_row << 16) + 2);
-+}
-+
-+static void wpp_end_previous_slice(struct rpivid_dec_env *const de,
-+                                 const struct rpivid_dec_state *const s,
-+                                 int ctb_addr_ts)
-+{
-+      int new_x = s->sh->slice_segment_addr % de->pic_width_in_ctbs_y;
-+      int new_y = s->sh->slice_segment_addr / de->pic_width_in_ctbs_y;
-+      int last_x =
-+              s->ctb_addr_ts_to_rs[ctb_addr_ts - 1] % de->pic_width_in_ctbs_y;
-+      int last_y =
-+              s->ctb_addr_ts_to_rs[ctb_addr_ts - 1] / de->pic_width_in_ctbs_y;
-+
-+      if (de->wpp_entry_x < 2 && (de->wpp_entry_y < new_y || new_x > 2) &&
-+          de->pic_width_in_ctbs_y > 2)
-+              wpp_pause(de, last_y);
-+      p1_apb_write(de, RPI_STATUS, 1 + (last_x << 5) + (last_y << 18));
-+      if (new_x == 2 || (de->pic_width_in_ctbs_y == 2 &&
-+                         de->wpp_entry_y < new_y))
-+              p1_apb_write(de, RPI_TRANSFER, PROB_BACKUP);
-+}
-+
-+//////////////////////////////////////////////////////////////////////////////
-+// Wavefront mode
-+
-+static void wpp_entry_point(struct rpivid_dec_env *const de,
-+                          const struct rpivid_dec_state *const s,
-+                          const int do_bte,
-+                          const int reset_qp_y, const int ctb_addr_ts)
-+{
-+      int ctb_size = 1 << s->log2_ctb_size;
-+      int ctb_addr_rs = s->ctb_addr_ts_to_rs[ctb_addr_ts];
-+
-+      int ctb_col = de->wpp_entry_x = ctb_addr_rs % de->pic_width_in_ctbs_y;
-+      int ctb_row = de->wpp_entry_y = ctb_addr_rs / de->pic_width_in_ctbs_y;
-+
-+      int endx = de->pic_width_in_ctbs_y - 1;
-+      int endy = ctb_row;
-+
-+      u8 slice_w = ctb_to_slice_w_h(ctb_col, ctb_size,
-+                                    s->sps.pic_width_in_luma_samples,
-+                                    s->col_bd, s->num_tile_columns);
-+      u8 slice_h = ctb_to_slice_w_h(ctb_row, ctb_size,
-+                                    s->sps.pic_height_in_luma_samples,
-+                                    s->row_bd, s->num_tile_rows);
-+
-+      p1_apb_write(de, RPI_TILESTART, 0);
-+      p1_apb_write(de, RPI_TILEEND, endx + (endy << 16));
-+
-+      if (do_bte)
-+              p1_apb_write(de, RPI_BEGINTILEEND, endx + (endy << 16));
-+
-+      write_slice(de, s, slice_w,
-+                  ctb_row == de->pic_height_in_ctbs_y - 1 ?
-+                                                      slice_h : ctb_size);
-+
-+      if (reset_qp_y) {
-+              unsigned int sps_qp_bd_offset =
-+                      6 * s->sps.bit_depth_luma_minus8;
-+
-+              p1_apb_write(de, RPI_QP, sps_qp_bd_offset + s->slice_qp);
-+      }
-+
-+      p1_apb_write(de, RPI_MODE,
-+                   ctb_row == de->pic_height_in_ctbs_y - 1 ?
-+                                                      0x60001 : 0x20001);
-+      p1_apb_write(de, RPI_CONTROL, (ctb_col << 0) + (ctb_row << 16));
-+}
-+
-+//////////////////////////////////////////////////////////////////////////////
-+// Wavefront mode
-+
-+static void wpp_decode_slice(struct rpivid_dec_env *const de,
-+                           const struct rpivid_dec_state *const s,
-+                           const struct v4l2_ctrl_hevc_slice_params *sh,
-+                           int ctb_addr_ts)
-+{
-+      int i, reset_qp_y = 1;
-+      int indep = !s->dependent_slice_segment_flag;
-+      int ctb_col = s->sh->slice_segment_addr % de->pic_width_in_ctbs_y;
-+
-+      if (ctb_addr_ts)
-+              wpp_end_previous_slice(de, s, ctb_addr_ts);
-+      pre_slice_decode(de, s);
-+      write_bitstream(de, s);
-+      if (ctb_addr_ts == 0 || indep || de->pic_width_in_ctbs_y == 1)
-+              write_prob(de, s);
-+      else if (ctb_col == 0)
-+              p1_apb_write(de, RPI_TRANSFER, PROB_RELOAD);
-+      else
-+              reset_qp_y = 0;
-+      program_slicecmds(de, s->slice_idx);
-+      new_slice_segment(de, s);
-+      wpp_entry_point(de, s, indep, reset_qp_y, ctb_addr_ts);
-+
-+      for (i = 0; i < s->sh->num_entry_point_offsets; i++) {
-+              int ctb_addr_rs = s->ctb_addr_ts_to_rs[ctb_addr_ts];
-+              int ctb_row = ctb_addr_rs / de->pic_width_in_ctbs_y;
-+              int last_x = de->pic_width_in_ctbs_y - 1;
-+
-+              if (de->pic_width_in_ctbs_y > 2)
-+                      wpp_pause(de, ctb_row);
-+              p1_apb_write(de, RPI_STATUS,
-+                           (ctb_row << 18) + (last_x << 5) + 2);
-+              if (de->pic_width_in_ctbs_y == 2)
-+                      p1_apb_write(de, RPI_TRANSFER, PROB_BACKUP);
-+              if (de->pic_width_in_ctbs_y == 1)
-+                      write_prob(de, s);
-+              else
-+                      p1_apb_write(de, RPI_TRANSFER, PROB_RELOAD);
-+              ctb_addr_ts += s->column_width[0];
-+              wpp_entry_point(de, s, 0, 1, ctb_addr_ts);
-+      }
-+}
-+
-+//////////////////////////////////////////////////////////////////////////////
-+// Tiles mode
-+
-+static void decode_slice(struct rpivid_dec_env *const de,
-+                       const struct rpivid_dec_state *const s,
-+                       const struct v4l2_ctrl_hevc_slice_params *const sh,
-+                       int ctb_addr_ts)
-+{
-+      int i, reset_qp_y;
-+
-+      if (ctb_addr_ts)
-+              end_previous_slice(de, s, ctb_addr_ts);
-+
-+      pre_slice_decode(de, s);
-+      write_bitstream(de, s);
-+
-+#if DEBUG_TRACE_P1_CMD
-+      if (p1_z < 256) {
-+              v4l2_info(&de->ctx->dev->v4l2_dev,
-+                        "TS=%d, tile=%d/%d, dss=%d, flags=%#llx\n",
-+                        ctb_addr_ts, s->tile_id[ctb_addr_ts],
-+                        s->tile_id[ctb_addr_ts - 1],
-+                        s->dependent_slice_segment_flag, sh->flags);
-+      }
-+#endif
-+
-+      reset_qp_y = ctb_addr_ts == 0 ||
-+                 s->tile_id[ctb_addr_ts] != s->tile_id[ctb_addr_ts - 1] ||
-+                 !s->dependent_slice_segment_flag;
-+      if (reset_qp_y)
-+              write_prob(de, s);
-+
-+      program_slicecmds(de, s->slice_idx);
-+      new_slice_segment(de, s);
-+      new_entry_point(de, s, !s->dependent_slice_segment_flag, reset_qp_y,
-+                      ctb_addr_ts);
-+
-+      for (i = 0; i < s->sh->num_entry_point_offsets; i++) {
-+              int ctb_addr_rs = s->ctb_addr_ts_to_rs[ctb_addr_ts];
-+              int ctb_col = ctb_addr_rs % de->pic_width_in_ctbs_y;
-+              int ctb_row = ctb_addr_rs / de->pic_width_in_ctbs_y;
-+              int tile_x = ctb_to_tile(ctb_col, s->col_bd,
-+                                       s->num_tile_columns - 1);
-+              int tile_y =
-+                      ctb_to_tile(ctb_row, s->row_bd, s->num_tile_rows - 1);
-+              int last_x = s->col_bd[tile_x + 1] - 1;
-+              int last_y = s->row_bd[tile_y + 1] - 1;
-+
-+              p1_apb_write(de, RPI_STATUS,
-+                           2 + (last_x << 5) + (last_y << 18));
-+              write_prob(de, s);
-+              ctb_addr_ts += s->column_width[tile_x] * s->row_height[tile_y];
-+              new_entry_point(de, s, 0, 1, ctb_addr_ts);
-+      }
-+}
-+
-+//////////////////////////////////////////////////////////////////////////////
-+// Scaling factors
-+
-+static void expand_scaling_list(const unsigned int size_id,
-+                              const unsigned int matrix_id, u8 *const dst0,
-+                              const u8 *const src0, uint8_t dc)
-+{
-+      u8 *d;
-+      unsigned int x, y;
-+
-+      // FIXME: matrix_id is unused ?
-+      switch (size_id) {
-+      case 0:
-+              memcpy(dst0, src0, 16);
-+              break;
-+      case 1:
-+              memcpy(dst0, src0, 64);
-+              break;
-+      case 2:
-+              d = dst0;
-+
-+              for (y = 0; y != 16; y++) {
-+                      const u8 *s = src0 + (y >> 1) * 8;
-+
-+                      for (x = 0; x != 8; ++x) {
-+                              *d++ = *s;
-+                              *d++ = *s++;
-+                      }
-+              }
-+              dst0[0] = dc;
-+              break;
-+      default:
-+              d = dst0;
-+
-+              for (y = 0; y != 32; y++) {
-+                      const u8 *s = src0 + (y >> 2) * 8;
-+
-+                      for (x = 0; x != 8; ++x) {
-+                              *d++ = *s;
-+                              *d++ = *s;
-+                              *d++ = *s;
-+                              *d++ = *s++;
-+                      }
-+              }
-+              dst0[0] = dc;
-+              break;
-+      }
-+}
-+
-+static void populate_scaling_factors(const struct rpivid_run *const run,
-+                                   struct rpivid_dec_env *const de,
-+                                   const struct rpivid_dec_state *const s)
-+{
-+      const struct v4l2_ctrl_hevc_scaling_matrix *const sl =
-+              run->h265.scaling_matrix;
-+      // Array of constants for scaling factors
-+      static const u32 scaling_factor_offsets[4][6] = {
-+              // MID0    MID1    MID2    MID3    MID4    MID5
-+              // SID0 (4x4)
-+              { 0x0000, 0x0010, 0x0020, 0x0030, 0x0040, 0x0050 },
-+              // SID1 (8x8)
-+              { 0x0060, 0x00A0, 0x00E0, 0x0120, 0x0160, 0x01A0 },
-+              // SID2 (16x16)
-+              { 0x01E0, 0x02E0, 0x03E0, 0x04E0, 0x05E0, 0x06E0 },
-+              // SID3 (32x32)
-+              { 0x07E0, 0x0BE0, 0x0000, 0x0000, 0x0000, 0x0000 }
-+      };
-+
-+      unsigned int mid;
-+
-+      for (mid = 0; mid < 6; mid++)
-+              expand_scaling_list(0, mid,
-+                                  de->scaling_factors +
-+                                          scaling_factor_offsets[0][mid],
-+                                  sl->scaling_list_4x4[mid], 0);
-+      for (mid = 0; mid < 6; mid++)
-+              expand_scaling_list(1, mid,
-+                                  de->scaling_factors +
-+                                          scaling_factor_offsets[1][mid],
-+                                  sl->scaling_list_8x8[mid], 0);
-+      for (mid = 0; mid < 6; mid++)
-+              expand_scaling_list(2, mid,
-+                                  de->scaling_factors +
-+                                          scaling_factor_offsets[2][mid],
-+                                  sl->scaling_list_16x16[mid],
-+                                  sl->scaling_list_dc_coef_16x16[mid]);
-+      for (mid = 0; mid < 2; mid += 1)
-+              expand_scaling_list(3, mid,
-+                                  de->scaling_factors +
-+                                          scaling_factor_offsets[3][mid],
-+                                  sl->scaling_list_32x32[mid],
-+                                  sl->scaling_list_dc_coef_32x32[mid]);
-+}
-+
-+static void free_ps_info(struct rpivid_dec_state *const s)
-+{
-+      kfree(s->ctb_addr_rs_to_ts);
-+      s->ctb_addr_rs_to_ts = NULL;
-+      kfree(s->ctb_addr_ts_to_rs);
-+      s->ctb_addr_ts_to_rs = NULL;
-+      kfree(s->tile_id);
-+      s->tile_id = NULL;
-+
-+      kfree(s->col_bd);
-+      s->col_bd = NULL;
-+      kfree(s->row_bd);
-+      s->row_bd = NULL;
-+}
-+
-+static int updated_ps(struct rpivid_dec_state *const s)
-+{
-+      unsigned int ctb_addr_rs;
-+      int j, x, y, tile_id;
-+      unsigned int i;
-+
-+      free_ps_info(s);
-+
-+      // Inferred parameters
-+      s->log2_ctb_size = s->sps.log2_min_luma_coding_block_size_minus3 + 3 +
-+                         s->sps.log2_diff_max_min_luma_coding_block_size;
-+
-+      s->ctb_width = (s->sps.pic_width_in_luma_samples +
-+                      (1 << s->log2_ctb_size) - 1) >>
-+                     s->log2_ctb_size;
-+      s->ctb_height = (s->sps.pic_height_in_luma_samples +
-+                       (1 << s->log2_ctb_size) - 1) >>
-+                      s->log2_ctb_size;
-+      s->ctb_size = s->ctb_width * s->ctb_height;
-+
-+      // Inferred parameters
-+
-+      if (!(s->pps.flags & V4L2_HEVC_PPS_FLAG_TILES_ENABLED)) {
-+              s->num_tile_columns = 1;
-+              s->num_tile_rows = 1;
-+              s->column_width[0] = s->ctb_width;
-+              s->row_height[0] = s->ctb_height;
-+      } else {
-+              s->num_tile_columns = s->pps.num_tile_columns_minus1 + 1;
-+              s->num_tile_rows = s->pps.num_tile_rows_minus1 + 1;
-+              for (i = 0; i < s->num_tile_columns; ++i)
-+                      s->column_width[i] = s->pps.column_width_minus1[i] + 1;
-+              for (i = 0; i < s->num_tile_rows; ++i)
-+                      s->row_height[i] = s->pps.row_height_minus1[i] + 1;
-+      }
-+
-+      s->col_bd = kmalloc((s->num_tile_columns + 1) * sizeof(*s->col_bd),
-+                          GFP_KERNEL);
-+      s->row_bd = kmalloc((s->num_tile_rows + 1) * sizeof(*s->row_bd),
-+                          GFP_KERNEL);
-+
-+      s->col_bd[0] = 0;
-+      for (i = 0; i < s->num_tile_columns; i++)
-+              s->col_bd[i + 1] = s->col_bd[i] + s->column_width[i];
-+
-+      s->row_bd[0] = 0;
-+      for (i = 0; i < s->num_tile_rows; i++)
-+              s->row_bd[i + 1] = s->row_bd[i] + s->row_height[i];
-+
-+      s->ctb_addr_rs_to_ts = kmalloc_array(s->ctb_size,
-+                                           sizeof(*s->ctb_addr_rs_to_ts),
-+                                           GFP_KERNEL);
-+      s->ctb_addr_ts_to_rs = kmalloc_array(s->ctb_size,
-+                                           sizeof(*s->ctb_addr_ts_to_rs),
-+                                           GFP_KERNEL);
-+      s->tile_id = kmalloc_array(s->ctb_size, sizeof(*s->tile_id),
-+                                 GFP_KERNEL);
-+
-+      for (ctb_addr_rs = 0; ctb_addr_rs < s->ctb_size; ctb_addr_rs++) {
-+              int tb_x = ctb_addr_rs % s->ctb_width;
-+              int tb_y = ctb_addr_rs / s->ctb_width;
-+              int tile_x = 0;
-+              int tile_y = 0;
-+              int val = 0;
-+
-+              for (i = 0; i < s->num_tile_columns; i++) {
-+                      if (tb_x < s->col_bd[i + 1]) {
-+                              tile_x = i;
-+                              break;
-+                      }
-+              }
-+
-+              for (i = 0; i < s->num_tile_rows; i++) {
-+                      if (tb_y < s->row_bd[i + 1]) {
-+                              tile_y = i;
-+                              break;
-+                      }
-+              }
-+
-+              for (i = 0; i < tile_x; i++)
-+                      val += s->row_height[tile_y] * s->column_width[i];
-+              for (i = 0; i < tile_y; i++)
-+                      val += s->ctb_width * s->row_height[i];
-+
-+              val += (tb_y - s->row_bd[tile_y]) * s->column_width[tile_x] +
-+                     tb_x - s->col_bd[tile_x];
-+
-+              s->ctb_addr_rs_to_ts[ctb_addr_rs] = val;
-+              s->ctb_addr_ts_to_rs[val] = ctb_addr_rs;
-+      }
-+
-+      for (j = 0, tile_id = 0; j < s->num_tile_rows; j++)
-+              for (i = 0; i < s->num_tile_columns; i++, tile_id++)
-+                      for (y = s->row_bd[j]; y < s->row_bd[j + 1]; y++)
-+                              for (x = s->col_bd[i];
-+                                   x < s->col_bd[i + 1];
-+                                   x++)
-+                                      s->tile_id[s->ctb_addr_rs_to_ts
-+                                                         [y * s->ctb_width +
-+                                                          x]] = tile_id;
-+
-+      return 0;
-+}
-+
-+static int frame_end(struct rpivid_dev *const dev,
-+                   struct rpivid_dec_env *const de,
-+                   const struct rpivid_dec_state *const s)
-+{
-+      const unsigned int last_x = s->col_bd[s->num_tile_columns] - 1;
-+      const unsigned int last_y = s->row_bd[s->num_tile_rows] - 1;
-+      size_t cmd_size;
-+
-+      if (s->pps.flags & V4L2_HEVC_PPS_FLAG_ENTROPY_CODING_SYNC_ENABLED) {
-+              if (de->wpp_entry_x < 2 && de->pic_width_in_ctbs_y > 2)
-+                      wpp_pause(de, last_y);
-+      }
-+      p1_apb_write(de, RPI_STATUS, 1 + (last_x << 5) + (last_y << 18));
-+
-+      // Copy commands out to dma buf
-+      cmd_size = de->cmd_len * sizeof(de->cmd_fifo[0]);
-+
-+      if (!de->cmd_copy_gptr->ptr || cmd_size > de->cmd_copy_gptr->size) {
-+              size_t cmd_alloc = round_up_size(cmd_size);
-+
-+              if (gptr_realloc_new(dev, de->cmd_copy_gptr, cmd_alloc)) {
-+                      v4l2_err(&dev->v4l2_dev,
-+                               "Alloc cmd buffer (%d): FAILED\n", cmd_alloc);
-+                      return -ENOMEM;
-+              }
-+              v4l2_info(&dev->v4l2_dev, "Alloc cmd buffer (%d): OK\n",
-+                        cmd_alloc);
-+      }
-+
-+      memcpy(de->cmd_copy_gptr->ptr, de->cmd_fifo, cmd_size);
-+      return 0;
-+}
-+
-+static void setup_colmv(struct rpivid_ctx *const ctx, struct rpivid_run *run,
-+                      struct rpivid_dec_state *const s)
-+{
-+      ctx->colmv_stride = ALIGN(s->sps.pic_width_in_luma_samples, 64);
-+      ctx->colmv_picsize = ctx->colmv_stride *
-+              (ALIGN(s->sps.pic_height_in_luma_samples, 64) >> 4);
-+}
-+
-+// Can be called from irq context
-+static struct rpivid_dec_env *dec_env_new(struct rpivid_ctx *const ctx)
-+{
-+      struct rpivid_dec_env *de;
-+      unsigned long lock_flags;
-+
-+      spin_lock_irqsave(&ctx->dec_lock, lock_flags);
-+
-+      de = ctx->dec_free;
-+      if (de) {
-+              ctx->dec_free = de->next;
-+              de->next = NULL;
-+              de->state = RPIVID_DECODE_SLICE_START;
-+      }
-+
-+      spin_unlock_irqrestore(&ctx->dec_lock, lock_flags);
-+      return de;
-+}
-+
-+// Can be called from irq context
-+static void dec_env_delete(struct rpivid_dec_env *const de)
-+{
-+      struct rpivid_ctx * const ctx = de->ctx;
-+      unsigned long lock_flags;
-+
-+      aux_q_release(ctx, &de->frame_aux);
-+      aux_q_release(ctx, &de->col_aux);
-+
-+      spin_lock_irqsave(&ctx->dec_lock, lock_flags);
-+
-+      de->state = RPIVID_DECODE_END;
-+      de->next = ctx->dec_free;
-+      ctx->dec_free = de;
-+
-+      spin_unlock_irqrestore(&ctx->dec_lock, lock_flags);
-+}
-+
-+static void dec_env_uninit(struct rpivid_ctx *const ctx)
-+{
-+      unsigned int i;
-+
-+      if (ctx->dec_pool) {
-+              for (i = 0; i != RPIVID_DEC_ENV_COUNT; ++i) {
-+                      struct rpivid_dec_env *const de = ctx->dec_pool + i;
-+
-+                      kfree(de->cmd_fifo);
-+              }
-+
-+              kfree(ctx->dec_pool);
-+      }
-+
-+      ctx->dec_pool = NULL;
-+      ctx->dec_free = NULL;
-+}
-+
-+static int dec_env_init(struct rpivid_ctx *const ctx)
-+{
-+      unsigned int i;
-+
-+      ctx->dec_pool = kzalloc(sizeof(*ctx->dec_pool) * RPIVID_DEC_ENV_COUNT,
-+                              GFP_KERNEL);
-+      if (!ctx->dec_pool)
-+              return -1;
-+
-+      spin_lock_init(&ctx->dec_lock);
-+
-+      // Build free chain
-+      ctx->dec_free = ctx->dec_pool;
-+      for (i = 0; i != RPIVID_DEC_ENV_COUNT - 1; ++i)
-+              ctx->dec_pool[i].next = ctx->dec_pool + i + 1;
-+
-+      // Fill in other bits
-+      for (i = 0; i != RPIVID_DEC_ENV_COUNT; ++i) {
-+              struct rpivid_dec_env *const de = ctx->dec_pool + i;
-+
-+              de->ctx = ctx;
-+              de->decode_order = i;
-+              de->cmd_max = 1024;
-+              de->cmd_fifo = kmalloc_array(de->cmd_max,
-+                                           sizeof(struct rpi_cmd),
-+                                           GFP_KERNEL);
-+              if (!de->cmd_fifo)
-+                      goto fail;
-+      }
-+
-+      return 0;
-+
-+fail:
-+      dec_env_uninit(ctx);
-+      return -1;
-+}
-+
-+// Assume that we get exactly the same DPB for every slice
-+// it makes no real sense otherwise
-+#if V4L2_HEVC_DPB_ENTRIES_NUM_MAX > 16
-+#error HEVC_DPB_ENTRIES > h/w slots
-+#endif
-+
-+static u32 mk_config2(const struct rpivid_dec_state *const s)
-+{
-+      const struct v4l2_ctrl_hevc_sps *const sps = &s->sps;
-+      const struct v4l2_ctrl_hevc_pps *const pps = &s->pps;
-+      u32 c;
-+      // BitDepthY
-+      c = (sps->bit_depth_luma_minus8 + 8) << 0;
-+       // BitDepthC
-+      c |= (sps->bit_depth_chroma_minus8 + 8) << 4;
-+       // BitDepthY
-+      if (sps->bit_depth_luma_minus8)
-+              c |= BIT(8);
-+      // BitDepthC
-+      if (sps->bit_depth_chroma_minus8)
-+              c |= BIT(9);
-+      c |= s->log2_ctb_size << 10;
-+      if (pps->flags & V4L2_HEVC_PPS_FLAG_CONSTRAINED_INTRA_PRED)
-+              c |= BIT(13);
-+      if (sps->flags & V4L2_HEVC_SPS_FLAG_STRONG_INTRA_SMOOTHING_ENABLED)
-+              c |= BIT(14);
-+      if (sps->flags & V4L2_HEVC_SPS_FLAG_SPS_TEMPORAL_MVP_ENABLED)
-+              c |= BIT(15); /* Write motion vectors to external memory */
-+      c |= (pps->log2_parallel_merge_level_minus2 + 2) << 16;
-+      if (s->slice_temporal_mvp)
-+              c |= BIT(19);
-+      if (sps->flags & V4L2_HEVC_SPS_FLAG_PCM_LOOP_FILTER_DISABLED)
-+              c |= BIT(20);
-+      c |= (pps->pps_cb_qp_offset & 31) << 21;
-+      c |= (pps->pps_cr_qp_offset & 31) << 26;
-+      return c;
-+}
-+
-+static void rpivid_h265_setup(struct rpivid_ctx *ctx, struct rpivid_run *run)
-+{
-+      struct rpivid_dev *const dev = ctx->dev;
-+      const struct v4l2_ctrl_hevc_slice_params *const sh =
-+                                              run->h265.slice_params;
-+      const struct v4l2_hevc_pred_weight_table *pred_weight_table;
-+      struct rpivid_q_aux *dpb_q_aux[V4L2_HEVC_DPB_ENTRIES_NUM_MAX];
-+      struct rpivid_dec_state *const s = ctx->state;
-+      struct vb2_queue *vq;
-+      struct rpivid_dec_env *de;
-+      int ctb_addr_ts;
-+      unsigned int i;
-+      int use_aux;
-+      bool slice_temporal_mvp;
-+
-+      pred_weight_table = &sh->pred_weight_table;
-+
-+      s->frame_end =
-+              ((run->src->flags & V4L2_BUF_FLAG_M2M_HOLD_CAPTURE_BUF) == 0);
-+
-+      de = ctx->dec0;
-+      slice_temporal_mvp = (sh->flags &
-+                 V4L2_HEVC_SLICE_PARAMS_FLAG_SLICE_TEMPORAL_MVP_ENABLED);
-+
-+      if (de && de->state != RPIVID_DECODE_END) {
-+              ++s->slice_idx;
-+
-+              switch (de->state) {
-+              case RPIVID_DECODE_SLICE_CONTINUE:
-+                      // Expected state
-+                      break;
-+              default:
-+                      v4l2_err(&dev->v4l2_dev, "%s: Unexpected state: %d\n",
-+                               __func__, de->state);
-+              /* FALLTHRU */
-+              case RPIVID_DECODE_ERROR_CONTINUE:
-+                      // Uncleared error - fail now
-+                      goto fail;
-+              }
-+
-+              if (s->slice_temporal_mvp != slice_temporal_mvp) {
-+                      v4l2_warn(&dev->v4l2_dev,
-+                                "Slice Temporal MVP non-constant\n");
-+                      goto fail;
-+              }
-+      } else {
-+              /* Frame start */
-+              unsigned int ctb_size_y;
-+              bool sps_changed = false;
-+
-+              if (memcmp(&s->sps, run->h265.sps, sizeof(s->sps)) != 0) {
-+                      /* SPS changed */
-+                      v4l2_info(&dev->v4l2_dev, "SPS changed\n");
-+                      memcpy(&s->sps, run->h265.sps, sizeof(s->sps));
-+                      sps_changed = true;
-+              }
-+              if (sps_changed ||
-+                  memcmp(&s->pps, run->h265.pps, sizeof(s->pps)) != 0) {
-+                      /* SPS changed */
-+                      v4l2_info(&dev->v4l2_dev, "PPS changed\n");
-+                      memcpy(&s->pps, run->h265.pps, sizeof(s->pps));
-+
-+                      /* Recalc stuff as required */
-+                      updated_ps(s);
-+              }
-+
-+              de = dec_env_new(ctx);
-+              if (!de) {
-+                      v4l2_err(&dev->v4l2_dev,
-+                               "Failed to find free decode env\n");
-+                      goto fail;
-+              }
-+              ctx->dec0 = de;
-+
-+              ctb_size_y =
-+                      1U << (s->sps.log2_min_luma_coding_block_size_minus3 +
-+                             3 +
-+                             s->sps.log2_diff_max_min_luma_coding_block_size);
-+
-+              de->pic_width_in_ctbs_y =
-+                      (s->sps.pic_width_in_luma_samples + ctb_size_y - 1) /
-+                              ctb_size_y; // 7-15
-+              de->pic_height_in_ctbs_y =
-+                      (s->sps.pic_height_in_luma_samples + ctb_size_y - 1) /
-+                              ctb_size_y; // 7-17
-+              de->cmd_len = 0;
-+              de->dpbno_col = ~0U;
-+
-+              de->bit_copy_gptr = ctx->bitbufs + 0;
-+              de->bit_copy_len = 0;
-+              de->cmd_copy_gptr = ctx->cmdbufs + 0;
-+
-+              de->frame_c_offset = ctx->dst_fmt.height * 128;
-+              de->frame_stride = ctx->dst_fmt.bytesperline * 128;
-+              de->frame_addr =
-+                      vb2_dma_contig_plane_dma_addr(&run->dst->vb2_buf, 0);
-+              de->frame_aux = NULL;
-+
-+              if (s->sps.bit_depth_luma_minus8 !=
-+                  s->sps.bit_depth_chroma_minus8) {
-+                      v4l2_warn(&dev->v4l2_dev,
-+                                "Chroma depth (%d) != Luma depth (%d)\n",
-+                                s->sps.bit_depth_chroma_minus8 + 8,
-+                                s->sps.bit_depth_luma_minus8 + 8);
-+                      goto fail;
-+              }
-+              if (s->sps.bit_depth_luma_minus8 == 0) {
-+                      if (ctx->dst_fmt.pixelformat !=
-+                                              V4L2_PIX_FMT_NV12_COL128) {
-+                              v4l2_err(&dev->v4l2_dev,
-+                                       "Pixel format %#x != NV12_COL128 for 8-bit output",
-+                                       ctx->dst_fmt.pixelformat);
-+                              goto fail;
-+                      }
-+              } else if (s->sps.bit_depth_luma_minus8 == 2) {
-+                      if (ctx->dst_fmt.pixelformat !=
-+                                              V4L2_PIX_FMT_NV12_10_COL128) {
-+                              v4l2_err(&dev->v4l2_dev,
-+                                       "Pixel format %#x != NV12_10_COL128 for 10-bit output",
-+                                       ctx->dst_fmt.pixelformat);
-+                              goto fail;
-+                      }
-+              } else {
-+                      v4l2_warn(&dev->v4l2_dev,
-+                                "Luma depth (%d) unsupported\n",
-+                                s->sps.bit_depth_luma_minus8 + 8);
-+                      goto fail;
-+              }
-+              if (run->dst->vb2_buf.num_planes != 1) {
-+                      v4l2_warn(&dev->v4l2_dev, "Capture planes (%d) != 1\n",
-+                                run->dst->vb2_buf.num_planes);
-+                      goto fail;
-+              }
-+              if (run->dst->planes[0].length <
-+                  ctx->dst_fmt.sizeimage) {
-+                      v4l2_warn(&dev->v4l2_dev,
-+                                "Capture plane[0] length (%d) < sizeimage (%d)\n",
-+                                run->dst->planes[0].length,
-+                                ctx->dst_fmt.sizeimage);
-+                      goto fail;
-+              }
-+
-+              if (s->sps.pic_width_in_luma_samples > 4096 ||
-+                  s->sps.pic_height_in_luma_samples > 4096) {
-+                      v4l2_warn(&dev->v4l2_dev,
-+                                "Pic dimension (%dx%d) exeeds 4096\n",
-+                                s->sps.pic_width_in_luma_samples,
-+                                s->sps.pic_height_in_luma_samples);
-+                      goto fail;
-+              }
-+
-+              // Fill in ref planes with our address s.t. if we mess
-+              // up refs somehow then we still have a valid address
-+              // entry
-+              for (i = 0; i != 16; ++i)
-+                      de->ref_addrs[i] = de->frame_addr;
-+
-+              /*
-+               * Stash initial temporal_mvp flag
-+               * This must be the same for all pic slices (7.4.7.1)
-+               */
-+              s->slice_temporal_mvp = slice_temporal_mvp;
-+
-+              // Phase 2 reg pre-calc
-+              de->rpi_config2 = mk_config2(s);
-+              de->rpi_framesize = (s->sps.pic_height_in_luma_samples << 16) |
-+                                  s->sps.pic_width_in_luma_samples;
-+              de->rpi_currpoc = sh->slice_pic_order_cnt;
-+
-+              if (s->sps.flags &
-+                  V4L2_HEVC_SPS_FLAG_SPS_TEMPORAL_MVP_ENABLED) {
-+                      setup_colmv(ctx, run, s);
-+              }
-+
-+              s->slice_idx = 0;
-+
-+              if (sh->slice_segment_addr != 0) {
-+                      v4l2_warn(&dev->v4l2_dev,
-+                                "New frame but segment_addr=%d\n",
-+                                sh->slice_segment_addr);
-+                      goto fail;
-+              }
-+
-+              /* Allocate a bitbuf if we need one - don't need one if single
-+               * slice as we can use the src buf directly
-+               */
-+              if (!s->frame_end && !de->bit_copy_gptr->ptr) {
-+                      const size_t wxh = s->sps.pic_width_in_luma_samples *
-+                              s->sps.pic_height_in_luma_samples;
-+                      size_t bits_alloc;
-+
-+                      /* Annex A gives a min compression of 2 @ lvl 3.1
-+                       * (wxh <= 983040) and min 4 thereafter but avoid
-+                       * the odity of 983041 having a lower limit than
-+                       * 983040.
-+                       * Multiply by 3/2 for 4:2:0
-+                       */
-+                      bits_alloc = wxh < 983040 ? wxh * 3 / 4 :
-+                              wxh < 983040 * 2 ? 983040 * 3 / 4 :
-+                              wxh * 3 / 8;
-+                      bits_alloc = round_up_size(bits_alloc);
-+
-+                      if (gptr_alloc(dev, de->bit_copy_gptr,
-+                                     bits_alloc,
-+                                     DMA_ATTR_FORCE_CONTIGUOUS) != 0) {
-+                              v4l2_err(&dev->v4l2_dev,
-+                                       "Unable to alloc buf (%d) for bit copy\n",
-+                                       bits_alloc);
-+                              goto fail;
-+                      }
-+                      v4l2_info(&dev->v4l2_dev,
-+                                "Alloc buf (%d) for bit copy OK\n",
-+                                bits_alloc);
-+              }
-+      }
-+
-+      // Pre calc a few things
-+      s->src_addr =
-+              !s->frame_end ?
-+                      0 :
-+                      vb2_dma_contig_plane_dma_addr(&run->src->vb2_buf, 0);
-+      s->src_buf = s->src_addr != 0 ? NULL :
-+                                      vb2_plane_vaddr(&run->src->vb2_buf, 0);
-+      if (!s->src_addr && !s->src_buf) {
-+              v4l2_err(&dev->v4l2_dev, "Failed to map src buffer\n");
-+              goto fail;
-+      }
-+
-+      s->sh = sh;
-+      s->slice_qp = 26 + s->pps.init_qp_minus26 + s->sh->slice_qp_delta;
-+      s->max_num_merge_cand = sh->slice_type == HEVC_SLICE_I ?
-+                                      0 :
-+                                      (5 - sh->five_minus_max_num_merge_cand);
-+      // * SH DSS flag invented by me - but clearly needed
-+      s->dependent_slice_segment_flag =
-+              ((sh->flags &
-+                V4L2_HEVC_SLICE_PARAMS_FLAG_DEPENDENT_SLICE_SEGMENT) != 0);
-+
-+      s->nb_refs[0] = (sh->slice_type == HEVC_SLICE_I) ?
-+                              0 :
-+                              sh->num_ref_idx_l0_active_minus1 + 1;
-+      s->nb_refs[1] = (sh->slice_type != HEVC_SLICE_B) ?
-+                              0 :
-+                              sh->num_ref_idx_l1_active_minus1 + 1;
-+
-+      if (s->sps.flags & V4L2_HEVC_SPS_FLAG_SCALING_LIST_ENABLED)
-+              populate_scaling_factors(run, de, s);
-+
-+      ctb_addr_ts = s->ctb_addr_rs_to_ts[sh->slice_segment_addr];
-+
-+      if ((s->pps.flags & V4L2_HEVC_PPS_FLAG_ENTROPY_CODING_SYNC_ENABLED))
-+              wpp_decode_slice(de, s, sh, ctb_addr_ts);
-+      else
-+              decode_slice(de, s, sh, ctb_addr_ts);
-+
-+      if (!s->frame_end)
-+              return;
-+
-+      // Frame end
-+      memset(dpb_q_aux, 0,
-+             sizeof(*dpb_q_aux) * V4L2_HEVC_DPB_ENTRIES_NUM_MAX);
-+      /*
-+       * Need Aux ents for all (ref) DPB ents if temporal MV could
-+       * be enabled for any pic
-+       * ** At the moment we have aux ents for all pics whether or not
-+       *    they are ref
-+       */
-+      use_aux = ((s->sps.flags &
-+                V4L2_HEVC_SPS_FLAG_SPS_TEMPORAL_MVP_ENABLED) != 0);
-+
-+      // Locate ref frames
-+      // At least in the current implementation this is constant across all
-+      // slices. If this changes we will need idx mapping code.
-+      // Uses sh so here rather than trigger
-+
-+      vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, V4L2_BUF_TYPE_VIDEO_CAPTURE);
-+
-+      if (!vq) {
-+              v4l2_err(&dev->v4l2_dev, "VQ gone!\n");
-+              goto fail;
-+      }
-+
-+      //        v4l2_info(&dev->v4l2_dev, "rpivid_h265_end of frame\n");
-+      if (frame_end(dev, de, s))
-+              goto fail;
-+
-+      for (i = 0; i < sh->num_active_dpb_entries; ++i) {
-+              int buffer_index =
-+                      vb2_find_timestamp(vq, sh->dpb[i].timestamp, 0);
-+              struct vb2_buffer *buf = buffer_index < 0 ?
-+                                      NULL :
-+                                      vb2_get_buffer(vq, buffer_index);
-+
-+              if (!buf) {
-+                      v4l2_warn(&dev->v4l2_dev,
-+                                "Missing DPB ent %d, timestamp=%lld, index=%d\n",
-+                                i, (long long)sh->dpb[i].timestamp,
-+                                buffer_index);
-+                      continue;
-+              }
-+
-+              if (use_aux) {
-+                      dpb_q_aux[i] = aux_q_ref(ctx,
-+                                               ctx->aux_ents[buffer_index]);
-+                      if (!dpb_q_aux[i])
-+                              v4l2_warn(&dev->v4l2_dev,
-+                                        "Missing DPB AUX ent %d index=%d\n",
-+                                        i, buffer_index);
-+              }
-+
-+              de->ref_addrs[i] =
-+                      vb2_dma_contig_plane_dma_addr(buf, 0);
-+      }
-+
-+      // Move DPB from temp
-+      for (i = 0; i != V4L2_HEVC_DPB_ENTRIES_NUM_MAX; ++i) {
-+              aux_q_release(ctx, &s->ref_aux[i]);
-+              s->ref_aux[i] = dpb_q_aux[i];
-+      }
-+      // Unref the old frame aux too - it is either in the DPB or not
-+      // now
-+      aux_q_release(ctx, &s->frame_aux);
-+
-+      if (use_aux) {
-+              // New frame so new aux ent
-+              // ??? Do we need this if non-ref ??? can we tell
-+              s->frame_aux = aux_q_new(ctx, run->dst->vb2_buf.index);
-+
-+              if (!s->frame_aux) {
-+                      v4l2_err(&dev->v4l2_dev,
-+                               "Failed to obtain aux storage for frame\n");
-+                      goto fail;
-+              }
-+
-+              de->frame_aux = aux_q_ref(ctx, s->frame_aux);
-+      }
-+
-+      if (de->dpbno_col != ~0U) {
-+              if (de->dpbno_col >= sh->num_active_dpb_entries) {
-+                      v4l2_err(&dev->v4l2_dev,
-+                               "Col ref index %d >= %d\n",
-+                               de->dpbno_col,
-+                               sh->num_active_dpb_entries);
-+              } else {
-+                      // Standard requires that the col pic is
-+                      // constant for the duration of the pic
-+                      // (text of collocated_ref_idx in H265-2 2018
-+                      // 7.4.7.1)
-+
-+                      // Spot the collocated ref in passing
-+                      de->col_aux = aux_q_ref(ctx,
-+                                              dpb_q_aux[de->dpbno_col]);
-+
-+                      if (!de->col_aux) {
-+                              v4l2_warn(&dev->v4l2_dev,
-+                                        "Missing DPB ent for col\n");
-+                              // Probably need to abort if this fails
-+                              // as P2 may explode on bad data
-+                              goto fail;
-+                      }
-+              }
-+      }
-+
-+      de->state = RPIVID_DECODE_PHASE1;
-+      return;
-+
-+fail:
-+      if (de)
-+              // Actual error reporting happens in Trigger
-+              de->state = s->frame_end ? RPIVID_DECODE_ERROR_DONE :
-+                                         RPIVID_DECODE_ERROR_CONTINUE;
-+}
-+
-+//////////////////////////////////////////////////////////////////////////////
-+// Handle PU and COEFF stream overflow
-+
-+// Returns:
-+// -1  Phase 1 decode error
-+//  0  OK
-+// >0  Out of space (bitmask)
-+
-+#define STATUS_COEFF_EXHAUSTED        8
-+#define STATUS_PU_EXHAUSTED   16
-+
-+static int check_status(const struct rpivid_dev *const dev)
-+{
-+      const u32 cfstatus = apb_read(dev, RPI_CFSTATUS);
-+      const u32 cfnum = apb_read(dev, RPI_CFNUM);
-+      u32 status = apb_read(dev, RPI_STATUS);
-+
-+      // Handle PU and COEFF stream overflow
-+
-+      // this is the definition of successful completion of phase 1
-+      // it assures that status register is zero and all blocks in each tile
-+      // have completed
-+      if (cfstatus == cfnum)
-+              return 0;       //No error
-+
-+      status &= (STATUS_PU_EXHAUSTED | STATUS_COEFF_EXHAUSTED);
-+      if (status)
-+              return status;
-+
-+      return -1;
-+}
-+
-+static void cb_phase2(struct rpivid_dev *const dev, void *v)
-+{
-+      struct rpivid_dec_env *const de = v;
-+      struct rpivid_ctx *const ctx = de->ctx;
-+
-+      xtrace_in(dev, de);
-+
-+      v4l2_m2m_cap_buf_return(dev->m2m_dev, ctx->fh.m2m_ctx, de->frame_buf,
-+                              VB2_BUF_STATE_DONE);
-+      de->frame_buf = NULL;
-+
-+      /* Delete de before finish as finish might immediately trigger a reuse
-+       * of de
-+       */
-+      dec_env_delete(de);
-+
-+      if (atomic_add_return(-1, &ctx->p2out) >= RPIVID_P2BUF_COUNT - 1) {
-+              xtrace_fin(dev, de);
-+              v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
-+                                               VB2_BUF_STATE_DONE);
-+      }
-+
-+      xtrace_ok(dev, de);
-+}
-+
-+static void phase2_claimed(struct rpivid_dev *const dev, void *v)
-+{
-+      struct rpivid_dec_env *const de = v;
-+      unsigned int i;
-+
-+      xtrace_in(dev, de);
-+
-+      apb_write_vc_addr(dev, RPI_PURBASE, de->pu_base_vc);
-+      apb_write_vc_len(dev, RPI_PURSTRIDE, de->pu_stride);
-+      apb_write_vc_addr(dev, RPI_COEFFRBASE, de->coeff_base_vc);
-+      apb_write_vc_len(dev, RPI_COEFFRSTRIDE, de->coeff_stride);
-+
-+      apb_write_vc_addr(dev, RPI_OUTYBASE, de->frame_addr);
-+      apb_write_vc_addr(dev, RPI_OUTCBASE,
-+                        de->frame_addr + de->frame_c_offset);
-+      apb_write_vc_len(dev, RPI_OUTYSTRIDE, de->frame_stride);
-+      apb_write_vc_len(dev, RPI_OUTCSTRIDE, de->frame_stride);
-+
-+      //    v4l2_info(&dev->v4l2_dev, "Frame: Y=%llx, C=%llx, Stride=%x\n",
-+      //              de->frame_addr, de->frame_addr + de->frame_c_offset,
-+      //              de->frame_stride);
-+
-+      for (i = 0; i < 16; i++) {
-+              // Strides are in fact unused but fill in anyway
-+              apb_write_vc_addr(dev, 0x9000 + 16 * i, de->ref_addrs[i]);
-+              apb_write_vc_len(dev, 0x9004 + 16 * i, de->frame_stride);
-+              apb_write_vc_addr(dev, 0x9008 + 16 * i,
-+                                de->ref_addrs[i] + de->frame_c_offset);
-+              apb_write_vc_len(dev, 0x900C + 16 * i, de->frame_stride);
-+      }
-+
-+      apb_write(dev, RPI_CONFIG2, de->rpi_config2);
-+      apb_write(dev, RPI_FRAMESIZE, de->rpi_framesize);
-+      apb_write(dev, RPI_CURRPOC, de->rpi_currpoc);
-+      //    v4l2_info(&dev->v4l2_dev, "Config2=%#x, FrameSize=%#x, POC=%#x\n",
-+      //    de->rpi_config2, de->rpi_framesize, de->rpi_currpoc);
-+
-+      // collocated reads/writes
-+      apb_write_vc_len(dev, RPI_COLSTRIDE,
-+                       de->ctx->colmv_stride); // Read vals
-+      apb_write_vc_len(dev, RPI_MVSTRIDE,
-+                       de->ctx->colmv_stride); // Write vals
-+      apb_write_vc_addr(dev, RPI_MVBASE,
-+                        !de->frame_aux ? 0 : de->frame_aux->col.addr);
-+      apb_write_vc_addr(dev, RPI_COLBASE,
-+                        !de->col_aux ? 0 : de->col_aux->col.addr);
-+
-+      //v4l2_info(&dev->v4l2_dev,
-+      //         "Mv=%llx, Col=%llx, Stride=%x, Buf=%llx->%llx\n",
-+      //         de->rpi_mvbase, de->rpi_colbase, de->ctx->colmv_stride,
-+      //         de->ctx->colmvbuf.addr, de->ctx->colmvbuf.addr +
-+      //         de->ctx->colmvbuf.size);
-+
-+      rpivid_hw_irq_active2_irq(dev, &de->irq_ent, cb_phase2, de);
-+
-+      apb_write_final(dev, RPI_NUMROWS, de->pic_height_in_ctbs_y);
-+
-+      xtrace_ok(dev, de);
-+}
-+
-+static void phase1_claimed(struct rpivid_dev *const dev, void *v);
-+
-+static void phase1_thread(struct rpivid_dev *const dev, void *v)
-+{
-+      struct rpivid_dec_env *const de = v;
-+      struct rpivid_ctx *const ctx = de->ctx;
-+
-+      struct rpivid_gptr *const pu_gptr = ctx->pu_bufs + ctx->p2idx;
-+      struct rpivid_gptr *const coeff_gptr = ctx->coeff_bufs + ctx->p2idx;
-+
-+      xtrace_in(dev, de);
-+
-+      if (de->p1_status & STATUS_PU_EXHAUSTED) {
-+              if (gptr_realloc_new(dev, pu_gptr, next_size(pu_gptr->size))) {
-+                      v4l2_err(&dev->v4l2_dev,
-+                               "%s: PU realloc (%#x) failed\n",
-+                               __func__, pu_gptr->size);
-+                      goto fail;
-+              }
-+              v4l2_info(&dev->v4l2_dev, "%s: PU realloc (%#x) OK\n",
-+                        __func__, pu_gptr->size);
-+      }
-+
-+      if (de->p1_status & STATUS_COEFF_EXHAUSTED) {
-+              if (gptr_realloc_new(dev, coeff_gptr,
-+                                   next_size(coeff_gptr->size))) {
-+                      v4l2_err(&dev->v4l2_dev,
-+                               "%s: Coeff realloc (%#x) failed\n",
-+                               __func__, coeff_gptr->size);
-+                      goto fail;
-+              }
-+              v4l2_info(&dev->v4l2_dev, "%s: Coeff realloc (%#x) OK\n",
-+                        __func__, coeff_gptr->size);
-+      }
-+
-+      phase1_claimed(dev, de);
-+      xtrace_ok(dev, de);
-+      return;
-+
-+fail:
-+      dec_env_delete(de);
-+      xtrace_fin(dev, de);
-+      v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
-+                                       VB2_BUF_STATE_ERROR);
-+      xtrace_fail(dev, de);
-+}
-+
-+/* Always called in irq context (this is good) */
-+static void cb_phase1(struct rpivid_dev *const dev, void *v)
-+{
-+      struct rpivid_dec_env *const de = v;
-+      struct rpivid_ctx *const ctx = de->ctx;
-+
-+      xtrace_in(dev, de);
-+
-+      de->p1_status = check_status(dev);
-+      if (de->p1_status != 0) {
-+              v4l2_info(&dev->v4l2_dev, "%s: Post wait: %#x\n",
-+                        __func__, de->p1_status);
-+
-+              if (de->p1_status < 0)
-+                      goto fail;
-+
-+              /* Need to realloc - push onto a thread rather than IRQ */
-+              rpivid_hw_irq_active1_thread(dev, &de->irq_ent,
-+                                           phase1_thread, de);
-+              return;
-+      }
-+
-+      /* After the frame-buf is detached it must be returned but from
-+       * this point onward (phase2_claimed, cb_phase2) there are no error
-+       * paths so the return at the end of cb_phase2 is all that is needed
-+       */
-+      de->frame_buf = v4l2_m2m_cap_buf_detach(dev->m2m_dev, ctx->fh.m2m_ctx);
-+      if (!de->frame_buf) {
-+              v4l2_err(&dev->v4l2_dev, "%s: No detached buffer\n", __func__);
-+              goto fail;
-+      }
-+
-+      ctx->p2idx =
-+              (ctx->p2idx + 1 >= RPIVID_P2BUF_COUNT) ? 0 : ctx->p2idx + 1;
-+
-+      // Enable the next setup if our Q isn't too big
-+      if (atomic_add_return(1, &ctx->p2out) < RPIVID_P2BUF_COUNT) {
-+              xtrace_fin(dev, de);
-+              v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
-+                                               VB2_BUF_STATE_DONE);
-+      }
-+
-+      rpivid_hw_irq_active2_claim(dev, &de->irq_ent, phase2_claimed, de);
-+
-+      xtrace_ok(dev, de);
-+      return;
-+
-+fail:
-+      dec_env_delete(de);
-+      xtrace_fin(dev, de);
-+      v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
-+                                       VB2_BUF_STATE_ERROR);
-+      xtrace_fail(dev, de);
-+}
-+
-+static void phase1_claimed(struct rpivid_dev *const dev, void *v)
-+{
-+      struct rpivid_dec_env *const de = v;
-+      struct rpivid_ctx *const ctx = de->ctx;
-+
-+      const struct rpivid_gptr * const pu_gptr = ctx->pu_bufs + ctx->p2idx;
-+      const struct rpivid_gptr * const coeff_gptr = ctx->coeff_bufs +
-+                                                    ctx->p2idx;
-+
-+      xtrace_in(dev, de);
-+
-+      de->pu_base_vc = pu_gptr->addr;
-+      de->pu_stride =
-+              ALIGN_DOWN(pu_gptr->size / de->pic_height_in_ctbs_y, 64);
-+
-+      de->coeff_base_vc = coeff_gptr->addr;
-+      de->coeff_stride =
-+              ALIGN_DOWN(coeff_gptr->size / de->pic_height_in_ctbs_y, 64);
-+
-+      apb_write_vc_addr(dev, RPI_PUWBASE, de->pu_base_vc);
-+      apb_write_vc_len(dev, RPI_PUWSTRIDE, de->pu_stride);
-+      apb_write_vc_addr(dev, RPI_COEFFWBASE, de->coeff_base_vc);
-+      apb_write_vc_len(dev, RPI_COEFFWSTRIDE, de->coeff_stride);
-+
-+      // Trigger command FIFO
-+      apb_write(dev, RPI_CFNUM, de->cmd_len);
-+
-+      // Claim irq
-+      rpivid_hw_irq_active1_irq(dev, &de->irq_ent, cb_phase1, de);
-+
-+      // And start the h/w
-+      apb_write_vc_addr_final(dev, RPI_CFBASE, de->cmd_copy_gptr->addr);
-+
-+      xtrace_ok(dev, de);
-+}
-+
-+static void dec_state_delete(struct rpivid_ctx *const ctx)
-+{
-+      unsigned int i;
-+      struct rpivid_dec_state *const s = ctx->state;
-+
-+      if (!s)
-+              return;
-+      ctx->state = NULL;
-+
-+      free_ps_info(s);
-+
-+      for (i = 0; i != HEVC_MAX_REFS; ++i)
-+              aux_q_release(ctx, &s->ref_aux[i]);
-+      aux_q_release(ctx, &s->frame_aux);
-+
-+      kfree(s);
-+}
-+
-+static void rpivid_h265_stop(struct rpivid_ctx *ctx)
-+{
-+      struct rpivid_dev *const dev = ctx->dev;
-+      unsigned int i;
-+
-+      v4l2_info(&dev->v4l2_dev, "%s\n", __func__);
-+
-+      dec_env_uninit(ctx);
-+      dec_state_delete(ctx);
-+
-+      // dec_env & state must be killed before this to release the buffer to
-+      // the free pool
-+      aux_q_uninit(ctx);
-+
-+      for (i = 0; i != ARRAY_SIZE(ctx->bitbufs); ++i)
-+              gptr_free(dev, ctx->bitbufs + i);
-+      for (i = 0; i != ARRAY_SIZE(ctx->cmdbufs); ++i)
-+              gptr_free(dev, ctx->cmdbufs + i);
-+      for (i = 0; i != ARRAY_SIZE(ctx->pu_bufs); ++i)
-+              gptr_free(dev, ctx->pu_bufs + i);
-+      for (i = 0; i != ARRAY_SIZE(ctx->coeff_bufs); ++i)
-+              gptr_free(dev, ctx->coeff_bufs + i);
-+}
-+
-+static int rpivid_h265_start(struct rpivid_ctx *ctx)
-+{
-+      struct rpivid_dev *const dev = ctx->dev;
-+      unsigned int i;
-+
-+      unsigned int w = ctx->dst_fmt.width;
-+      unsigned int h = ctx->dst_fmt.height;
-+      unsigned int wxh;
-+      size_t pu_alloc;
-+      size_t coeff_alloc;
-+
-+      // Generate a sanitised WxH for memory alloc
-+      // Assume HD if unset
-+      if (w == 0)
-+              w = 1920;
-+      if (w > 4096)
-+              w = 4096;
-+      if (h == 0)
-+              w = 1088;
-+      if (h > 4096)
-+              h = 4096;
-+      wxh = w * h;
-+
-+      v4l2_info(&dev->v4l2_dev, "%s: (%dx%d)\n", __func__,
-+                ctx->dst_fmt.width, ctx->dst_fmt.height);
-+
-+      ctx->dec0 = NULL;
-+      ctx->state = kzalloc(sizeof(*ctx->state), GFP_KERNEL);
-+      if (!ctx->state) {
-+              v4l2_err(&dev->v4l2_dev, "Failed to allocate decode state\n");
-+              goto fail;
-+      }
-+
-+      if (dec_env_init(ctx) != 0) {
-+              v4l2_err(&dev->v4l2_dev, "Failed to allocate decode envs\n");
-+              goto fail;
-+      }
-+
-+      // 16k is plenty for most purposes but we will realloc if needed
-+      for (i = 0; i != ARRAY_SIZE(ctx->cmdbufs); ++i) {
-+              if (gptr_alloc(dev, ctx->cmdbufs + i, 0x4000,
-+                             DMA_ATTR_FORCE_CONTIGUOUS))
-+                      goto fail;
-+      }
-+
-+      // Finger in the air PU & Coeff alloc
-+      // Will be realloced if too small
-+      coeff_alloc = round_up_size(wxh);
-+      pu_alloc = round_up_size(wxh / 4);
-+      for (i = 0; i != ARRAY_SIZE(ctx->pu_bufs); ++i) {
-+              // Don't actually need a kernel mapping here
-+              if (gptr_alloc(dev, ctx->pu_bufs + i, pu_alloc,
-+                             DMA_ATTR_FORCE_CONTIGUOUS |
-+                                      DMA_ATTR_NO_KERNEL_MAPPING))
-+                      goto fail;
-+              if (gptr_alloc(dev, ctx->coeff_bufs + i, coeff_alloc,
-+                             DMA_ATTR_FORCE_CONTIGUOUS |
-+                                      DMA_ATTR_NO_KERNEL_MAPPING))
-+                      goto fail;
-+      }
-+      aux_q_init(ctx);
-+
-+      return 0;
-+
-+fail:
-+      rpivid_h265_stop(ctx);
-+      return -ENOMEM;
-+}
-+
-+static void rpivid_h265_trigger(struct rpivid_ctx *ctx)
-+{
-+      struct rpivid_dev *const dev = ctx->dev;
-+      struct rpivid_dec_env *const de = ctx->dec0;
-+
-+      xtrace_in(dev, de);
-+
-+      switch (!de ? RPIVID_DECODE_ERROR_CONTINUE : de->state) {
-+      case RPIVID_DECODE_SLICE_START:
-+              de->state = RPIVID_DECODE_SLICE_CONTINUE;
-+      /* FALLTHRU */
-+      case RPIVID_DECODE_SLICE_CONTINUE:
-+              v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
-+                                               VB2_BUF_STATE_DONE);
-+              break;
-+      default:
-+              v4l2_err(&dev->v4l2_dev, "%s: Unexpected state: %d\n", __func__,
-+                       de->state);
-+      /* FALLTHRU */
-+      case RPIVID_DECODE_ERROR_DONE:
-+              ctx->dec0 = NULL;
-+              dec_env_delete(de);
-+      /* FALLTHRU */
-+      case RPIVID_DECODE_ERROR_CONTINUE:
-+              xtrace_fin(dev, de);
-+              v4l2_m2m_buf_done_and_job_finish(dev->m2m_dev, ctx->fh.m2m_ctx,
-+                                               VB2_BUF_STATE_ERROR);
-+              break;
-+      case RPIVID_DECODE_PHASE1:
-+              ctx->dec0 = NULL;
-+              rpivid_hw_irq_active1_claim(dev, &de->irq_ent, phase1_claimed,
-+                                          de);
-+              break;
-+      }
-+
-+      xtrace_ok(dev, de);
-+}
-+
-+struct rpivid_dec_ops rpivid_dec_ops_h265 = {
-+      .setup = rpivid_h265_setup,
-+      .start = rpivid_h265_start,
-+      .stop = rpivid_h265_stop,
-+      .trigger = rpivid_h265_trigger,
-+};
---- /dev/null
-+++ b/drivers/staging/media/rpivid/rpivid_hw.c
-@@ -0,0 +1,321 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * Raspberry Pi HEVC driver
-+ *
-+ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
-+ *
-+ * Based on the Cedrus VPU driver, that is:
-+ *
-+ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
-+ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
-+ * Copyright (C) 2018 Bootlin
-+ */
-+#include <linux/clk.h>
-+#include <linux/component.h>
-+#include <linux/dma-mapping.h>
-+#include <linux/interrupt.h>
-+#include <linux/io.h>
-+#include <linux/of_reserved_mem.h>
-+#include <linux/of_device.h>
-+#include <linux/of_platform.h>
-+#include <linux/platform_device.h>
-+#include <linux/regmap.h>
-+#include <linux/reset.h>
-+
-+#include <media/videobuf2-core.h>
-+#include <media/v4l2-mem2mem.h>
-+
-+#include "rpivid.h"
-+#include "rpivid_hw.h"
-+
-+static void pre_irq(struct rpivid_dev *dev, struct rpivid_hw_irq_ent *ient,
-+                  rpivid_irq_callback cb, void *v,
-+                  struct rpivid_hw_irq_ctrl *ictl)
-+{
-+      unsigned long flags;
-+
-+      if (ictl->irq) {
-+              v4l2_err(&dev->v4l2_dev, "Attempt to claim IRQ when already claimed\n");
-+              return;
-+      }
-+
-+      ient->cb = cb;
-+      ient->v = v;
-+
-+      // Not sure this lock is actually required
-+      spin_lock_irqsave(&ictl->lock, flags);
-+      ictl->irq = ient;
-+      spin_unlock_irqrestore(&ictl->lock, flags);
-+}
-+
-+static void sched_claim(struct rpivid_dev * const dev,
-+                      struct rpivid_hw_irq_ctrl * const ictl)
-+{
-+      for (;;) {
-+              struct rpivid_hw_irq_ent *ient = NULL;
-+              unsigned long flags;
-+
-+              spin_lock_irqsave(&ictl->lock, flags);
-+
-+              if (--ictl->no_sched <= 0) {
-+                      ient = ictl->claim;
-+                      if (!ictl->irq && ient) {
-+                              ictl->claim = ient->next;
-+                              ictl->no_sched = 1;
-+                      }
-+              }
-+
-+              spin_unlock_irqrestore(&ictl->lock, flags);
-+
-+              if (!ient)
-+                      break;
-+
-+              ient->cb(dev, ient->v);
-+      }
-+}
-+
-+/* Should only ever be called from its own IRQ cb so no lock required */
-+static void pre_thread(struct rpivid_dev *dev,
-+                     struct rpivid_hw_irq_ent *ient,
-+                     rpivid_irq_callback cb, void *v,
-+                     struct rpivid_hw_irq_ctrl *ictl)
-+{
-+      ient->cb = cb;
-+      ient->v = v;
-+      ictl->irq = ient;
-+      ictl->thread_reqed = true;
-+      ictl->no_sched++;
-+}
-+
-+// Called in irq context
-+static void do_irq(struct rpivid_dev * const dev,
-+                 struct rpivid_hw_irq_ctrl * const ictl)
-+{
-+      struct rpivid_hw_irq_ent *ient;
-+      unsigned long flags;
-+
-+      spin_lock_irqsave(&ictl->lock, flags);
-+      ient = ictl->irq;
-+      if (ient) {
-+              ictl->no_sched++;
-+              ictl->irq = NULL;
-+      }
-+      spin_unlock_irqrestore(&ictl->lock, flags);
-+
-+      if (ient) {
-+              ient->cb(dev, ient->v);
-+
-+              sched_claim(dev, ictl);
-+      }
-+}
-+
-+static void do_claim(struct rpivid_dev * const dev,
-+                   struct rpivid_hw_irq_ent *ient,
-+                   const rpivid_irq_callback cb, void * const v,
-+                   struct rpivid_hw_irq_ctrl * const ictl)
-+{
-+      unsigned long flags;
-+
-+      ient->next = NULL;
-+      ient->cb = cb;
-+      ient->v = v;
-+
-+      spin_lock_irqsave(&ictl->lock, flags);
-+
-+      if (ictl->claim) {
-+              // If we have a Q then add to end
-+              ictl->tail->next = ient;
-+              ictl->tail = ient;
-+              ient = NULL;
-+      } else if (ictl->no_sched || ictl->irq) {
-+              // Empty Q but other activity in progress so Q
-+              ictl->claim = ient;
-+              ictl->tail = ient;
-+              ient = NULL;
-+      } else {
-+              // Nothing else going on - schedule immediately and
-+              // prevent anything else scheduling claims
-+              ictl->no_sched = 1;
-+      }
-+
-+      spin_unlock_irqrestore(&ictl->lock, flags);
-+
-+      if (ient) {
-+              ient->cb(dev, ient->v);
-+
-+              sched_claim(dev, ictl);
-+      }
-+}
-+
-+static void ictl_init(struct rpivid_hw_irq_ctrl * const ictl)
-+{
-+      spin_lock_init(&ictl->lock);
-+      ictl->claim = NULL;
-+      ictl->tail = NULL;
-+      ictl->irq = NULL;
-+      ictl->no_sched = 0;
-+}
-+
-+static void ictl_uninit(struct rpivid_hw_irq_ctrl * const ictl)
-+{
-+      // Nothing to do
-+}
-+
-+#if !OPT_DEBUG_POLL_IRQ
-+static irqreturn_t rpivid_irq_irq(int irq, void *data)
-+{
-+      struct rpivid_dev * const dev = data;
-+      __u32 ictrl;
-+
-+      ictrl = irq_read(dev, ARG_IC_ICTRL);
-+      if (!(ictrl & ARG_IC_ICTRL_ALL_IRQ_MASK)) {
-+              v4l2_warn(&dev->v4l2_dev, "IRQ but no IRQ bits set\n");
-+              return IRQ_NONE;
-+      }
-+
-+      // Cancel any/all irqs
-+      irq_write(dev, ARG_IC_ICTRL, ictrl & ~ARG_IC_ICTRL_SET_ZERO_MASK);
-+
-+      // Service Active2 before Active1 so Phase 1 can transition to Phase 2
-+      // without delay
-+      if (ictrl & ARG_IC_ICTRL_ACTIVE2_INT_SET)
-+              do_irq(dev, &dev->ic_active2);
-+      if (ictrl & ARG_IC_ICTRL_ACTIVE1_INT_SET)
-+              do_irq(dev, &dev->ic_active1);
-+
-+      return dev->ic_active1.thread_reqed || dev->ic_active2.thread_reqed ?
-+              IRQ_WAKE_THREAD : IRQ_HANDLED;
-+}
-+
-+static void do_thread(struct rpivid_dev * const dev,
-+                    struct rpivid_hw_irq_ctrl *const ictl)
-+{
-+      unsigned long flags;
-+      struct rpivid_hw_irq_ent *ient = NULL;
-+
-+      spin_lock_irqsave(&ictl->lock, flags);
-+
-+      if (ictl->thread_reqed) {
-+              ient = ictl->irq;
-+              ictl->thread_reqed = false;
-+              ictl->irq = NULL;
-+      }
-+
-+      spin_unlock_irqrestore(&ictl->lock, flags);
-+
-+      if (ient) {
-+              ient->cb(dev, ient->v);
-+
-+              sched_claim(dev, ictl);
-+      }
-+}
-+
-+static irqreturn_t rpivid_irq_thread(int irq, void *data)
-+{
-+      struct rpivid_dev * const dev = data;
-+
-+      do_thread(dev, &dev->ic_active1);
-+      do_thread(dev, &dev->ic_active2);
-+
-+      return IRQ_HANDLED;
-+}
-+#endif
-+
-+/* May only be called from Active1 CB
-+ * IRQs should not be expected until execution continues in the cb
-+ */
-+void rpivid_hw_irq_active1_thread(struct rpivid_dev *dev,
-+                                struct rpivid_hw_irq_ent *ient,
-+                                rpivid_irq_callback thread_cb, void *ctx)
-+{
-+      pre_thread(dev, ient, thread_cb, ctx, &dev->ic_active1);
-+}
-+
-+void rpivid_hw_irq_active1_claim(struct rpivid_dev *dev,
-+                               struct rpivid_hw_irq_ent *ient,
-+                               rpivid_irq_callback ready_cb, void *ctx)
-+{
-+      do_claim(dev, ient, ready_cb, ctx, &dev->ic_active1);
-+}
-+
-+void rpivid_hw_irq_active1_irq(struct rpivid_dev *dev,
-+                             struct rpivid_hw_irq_ent *ient,
-+                             rpivid_irq_callback irq_cb, void *ctx)
-+{
-+      pre_irq(dev, ient, irq_cb, ctx, &dev->ic_active1);
-+}
-+
-+void rpivid_hw_irq_active2_claim(struct rpivid_dev *dev,
-+                               struct rpivid_hw_irq_ent *ient,
-+                               rpivid_irq_callback ready_cb, void *ctx)
-+{
-+      do_claim(dev, ient, ready_cb, ctx, &dev->ic_active2);
-+}
-+
-+void rpivid_hw_irq_active2_irq(struct rpivid_dev *dev,
-+                             struct rpivid_hw_irq_ent *ient,
-+                             rpivid_irq_callback irq_cb, void *ctx)
-+{
-+      pre_irq(dev, ient, irq_cb, ctx, &dev->ic_active2);
-+}
-+
-+int rpivid_hw_probe(struct rpivid_dev *dev)
-+{
-+      struct resource *res;
-+      __u32 irq_stat;
-+      int irq_dec;
-+      int ret = 0;
-+
-+      ictl_init(&dev->ic_active1);
-+      ictl_init(&dev->ic_active2);
-+
-+      res = platform_get_resource_byname(dev->pdev, IORESOURCE_MEM, "intc");
-+      if (!res)
-+              return -ENODEV;
-+
-+      dev->base_irq = devm_ioremap(dev->dev, res->start, resource_size(res));
-+      if (IS_ERR(dev->base_irq))
-+              return PTR_ERR(dev->base_irq);
-+
-+      res = platform_get_resource_byname(dev->pdev, IORESOURCE_MEM, "hevc");
-+      if (!res)
-+              return -ENODEV;
-+
-+      dev->base_h265 = devm_ioremap(dev->dev, res->start, resource_size(res));
-+      if (IS_ERR(dev->base_h265))
-+              return PTR_ERR(dev->base_h265);
-+
-+      dev->clock = devm_clk_get(&dev->pdev->dev, "hevc");
-+      if (IS_ERR(dev->clock))
-+              return PTR_ERR(dev->clock);
-+
-+      // Disable IRQs & reset anything pending
-+      irq_write(dev, 0,
-+                ARG_IC_ICTRL_ACTIVE1_EN_SET | ARG_IC_ICTRL_ACTIVE2_EN_SET);
-+      irq_stat = irq_read(dev, 0);
-+      irq_write(dev, 0, irq_stat);
-+
-+#if !OPT_DEBUG_POLL_IRQ
-+      irq_dec = platform_get_irq(dev->pdev, 0);
-+      if (irq_dec <= 0)
-+              return irq_dec;
-+      ret = devm_request_threaded_irq(dev->dev, irq_dec,
-+                                      rpivid_irq_irq,
-+                                      rpivid_irq_thread,
-+                                      0, dev_name(dev->dev), dev);
-+      if (ret) {
-+              dev_err(dev->dev, "Failed to request IRQ - %d\n", ret);
-+
-+              return ret;
-+      }
-+#endif
-+      return ret;
-+}
-+
-+void rpivid_hw_remove(struct rpivid_dev *dev)
-+{
-+      // IRQ auto freed on unload so no need to do it here
-+      ictl_uninit(&dev->ic_active1);
-+      ictl_uninit(&dev->ic_active2);
-+}
-+
---- /dev/null
-+++ b/drivers/staging/media/rpivid/rpivid_hw.h
-@@ -0,0 +1,300 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Raspberry Pi HEVC driver
-+ *
-+ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
-+ *
-+ * Based on the Cedrus VPU driver, that is:
-+ *
-+ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
-+ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
-+ * Copyright (C) 2018 Bootlin
-+ */
-+
-+#ifndef _RPIVID_HW_H_
-+#define _RPIVID_HW_H_
-+
-+struct rpivid_hw_irq_ent {
-+      struct rpivid_hw_irq_ent *next;
-+      rpivid_irq_callback cb;
-+      void *v;
-+};
-+
-+/* Phase 1 Register offsets */
-+
-+#define RPI_SPS0 0
-+#define RPI_SPS1 4
-+#define RPI_PPS 8
-+#define RPI_SLICE 12
-+#define RPI_TILESTART 16
-+#define RPI_TILEEND 20
-+#define RPI_SLICESTART 24
-+#define RPI_MODE 28
-+#define RPI_LEFT0 32
-+#define RPI_LEFT1 36
-+#define RPI_LEFT2 40
-+#define RPI_LEFT3 44
-+#define RPI_QP 48
-+#define RPI_CONTROL 52
-+#define RPI_STATUS 56
-+#define RPI_VERSION 60
-+#define RPI_BFBASE 64
-+#define RPI_BFNUM 68
-+#define RPI_BFCONTROL 72
-+#define RPI_BFSTATUS 76
-+#define RPI_PUWBASE 80
-+#define RPI_PUWSTRIDE 84
-+#define RPI_COEFFWBASE 88
-+#define RPI_COEFFWSTRIDE 92
-+#define RPI_SLICECMDS 96
-+#define RPI_BEGINTILEEND 100
-+#define RPI_TRANSFER 104
-+#define RPI_CFBASE 108
-+#define RPI_CFNUM 112
-+#define RPI_CFSTATUS 116
-+
-+/* Phase 2 Register offsets */
-+
-+#define RPI_PURBASE 0x8000
-+#define RPI_PURSTRIDE 0x8004
-+#define RPI_COEFFRBASE 0x8008
-+#define RPI_COEFFRSTRIDE 0x800C
-+#define RPI_NUMROWS 0x8010
-+#define RPI_CONFIG2 0x8014
-+#define RPI_OUTYBASE 0x8018
-+#define RPI_OUTYSTRIDE 0x801C
-+#define RPI_OUTCBASE 0x8020
-+#define RPI_OUTCSTRIDE 0x8024
-+#define RPI_STATUS2 0x8028
-+#define RPI_FRAMESIZE 0x802C
-+#define RPI_MVBASE 0x8030
-+#define RPI_MVSTRIDE 0x8034
-+#define RPI_COLBASE 0x8038
-+#define RPI_COLSTRIDE 0x803C
-+#define RPI_CURRPOC 0x8040
-+
-+/*
-+ * Write a general register value
-+ * Order is unimportant
-+ */
-+static inline void apb_write(const struct rpivid_dev * const dev,
-+                           const unsigned int offset, const u32 val)
-+{
-+      writel_relaxed(val, dev->base_h265 + offset);
-+}
-+
-+/* Write the final register value that actually starts the phase */
-+static inline void apb_write_final(const struct rpivid_dev * const dev,
-+                                 const unsigned int offset, const u32 val)
-+{
-+      writel(val, dev->base_h265 + offset);
-+}
-+
-+static inline u32 apb_read(const struct rpivid_dev * const dev,
-+                         const unsigned int offset)
-+{
-+      return readl(dev->base_h265 + offset);
-+}
-+
-+static inline void irq_write(const struct rpivid_dev * const dev,
-+                           const unsigned int offset, const u32 val)
-+{
-+      writel(val, dev->base_irq + offset);
-+}
-+
-+static inline u32 irq_read(const struct rpivid_dev * const dev,
-+                         const unsigned int offset)
-+{
-+      return readl(dev->base_irq + offset);
-+}
-+
-+static inline void apb_write_vc_addr(const struct rpivid_dev * const dev,
-+                                   const unsigned int offset,
-+                                   const dma_addr_t a)
-+{
-+      apb_write(dev, offset, (u32)(a >> 6));
-+}
-+
-+static inline void apb_write_vc_addr_final(const struct rpivid_dev * const dev,
-+                                         const unsigned int offset,
-+                                         const dma_addr_t a)
-+{
-+      apb_write_final(dev, offset, (u32)(a >> 6));
-+}
-+
-+static inline void apb_write_vc_len(const struct rpivid_dev * const dev,
-+                                  const unsigned int offset,
-+                                  const unsigned int x)
-+{
-+      apb_write(dev, offset, (x + 63) >> 6);
-+}
-+
-+/* *ARG_IC_ICTRL - Interrupt control for ARGON Core*
-+ * Offset (byte space) = 40'h2b10000
-+ * Physical Address (byte space) = 40'h7eb10000
-+ * Verilog Macro Address = `ARG_IC_REG_START + `ARGON_INTCTRL_ICTRL
-+ * Reset Value = 32'b100x100x_100xxxxx_xxxxxxx0_x100x100
-+ * Access = RW (32-bit only)
-+ * Interrupt control logic for ARGON Core.
-+ */
-+#define ARG_IC_ICTRL 0
-+
-+/* acc=LWC ACTIVE1_INT FIELD ACCESS: LWC
-+ *
-+ * Interrupt 1
-+ * This is set and held when an hevc_active1 interrupt edge is detected
-+ * The polarity of the edge is set by the ACTIVE1_EDGE field
-+ * Write a 1 to this bit to clear down the latched interrupt
-+ * The latched interrupt is only enabled out onto the interrupt line if
-+ * ACTIVE1_EN is set
-+ * Reset value is *0* decimal.
-+ */
-+#define ARG_IC_ICTRL_ACTIVE1_INT_SET          BIT(0)
-+
-+/* ACTIVE1_EDGE Sets the polarity of the interrupt edge detection logic
-+ * This logic detects edges of the hevc_active1 line from the argon core
-+ * 0 = negedge, 1 = posedge
-+ * Reset value is *0* decimal.
-+ */
-+#define ARG_IC_ICTRL_ACTIVE1_EDGE_SET         BIT(1)
-+
-+/* ACTIVE1_EN Enables ACTIVE1_INT out onto the argon interrupt line.
-+ * If this isn't set, the interrupt logic will work but no interrupt will be
-+ * set to the interrupt controller
-+ * Reset value is *1* decimal.
-+ *
-+ * [JC] The above appears to be a lie - if unset then b0 is never set
-+ */
-+#define ARG_IC_ICTRL_ACTIVE1_EN_SET           BIT(2)
-+
-+/* acc=RO ACTIVE1_STATUS FIELD ACCESS: RO
-+ *
-+ * The current status of the hevc_active1 signal
-+ */
-+#define ARG_IC_ICTRL_ACTIVE1_STATUS_SET               BIT(3)
-+
-+/* acc=LWC ACTIVE2_INT FIELD ACCESS: LWC
-+ *
-+ * Interrupt 2
-+ * This is set and held when an hevc_active2 interrupt edge is detected
-+ * The polarity of the edge is set by the ACTIVE2_EDGE field
-+ * Write a 1 to this bit to clear down the latched interrupt
-+ * The latched interrupt is only enabled out onto the interrupt line if
-+ * ACTIVE2_EN is set
-+ * Reset value is *0* decimal.
-+ */
-+#define ARG_IC_ICTRL_ACTIVE2_INT_SET          BIT(4)
-+
-+/* ACTIVE2_EDGE Sets the polarity of the interrupt edge detection logic
-+ * This logic detects edges of the hevc_active2 line from the argon core
-+ * 0 = negedge, 1 = posedge
-+ * Reset value is *0* decimal.
-+ */
-+#define ARG_IC_ICTRL_ACTIVE2_EDGE_SET         BIT(5)
-+
-+/* ACTIVE2_EN Enables ACTIVE2_INT out onto the argon interrupt line.
-+ * If this isn't set, the interrupt logic will work but no interrupt will be
-+ * set to the interrupt controller
-+ * Reset value is *1* decimal.
-+ */
-+#define ARG_IC_ICTRL_ACTIVE2_EN_SET           BIT(6)
-+
-+/* acc=RO ACTIVE2_STATUS FIELD ACCESS: RO
-+ *
-+ * The current status of the hevc_active2 signal
-+ */
-+#define ARG_IC_ICTRL_ACTIVE2_STATUS_SET               BIT(7)
-+
-+/* TEST_INT Forces the argon int high for test purposes.
-+ * Reset value is *0* decimal.
-+ */
-+#define ARG_IC_ICTRL_TEST_INT                 BIT(8)
-+#define ARG_IC_ICTRL_SPARE                    BIT(9)
-+
-+/* acc=RO VP9_INTERRUPT_STATUS FIELD ACCESS: RO
-+ *
-+ * The current status of the vp9_interrupt signal
-+ */
-+#define ARG_IC_ICTRL_VP9_INTERRUPT_STATUS     BIT(10)
-+
-+/* AIO_INT_ENABLE 1 = Or the AIO int in with the Argon int so the VPU can see
-+ * it
-+ * 0 = the AIO int is masked. (It should still be connected to the GIC though).
-+ */
-+#define ARG_IC_ICTRL_AIO_INT_ENABLE           BIT(20)
-+#define ARG_IC_ICTRL_H264_ACTIVE_INT          BIT(21)
-+#define ARG_IC_ICTRL_H264_ACTIVE_EDGE         BIT(22)
-+#define ARG_IC_ICTRL_H264_ACTIVE_EN           BIT(23)
-+#define ARG_IC_ICTRL_H264_ACTIVE_STATUS               BIT(24)
-+#define ARG_IC_ICTRL_H264_INTERRUPT_INT               BIT(25)
-+#define ARG_IC_ICTRL_H264_INTERRUPT_EDGE      BIT(26)
-+#define ARG_IC_ICTRL_H264_INTERRUPT_EN                BIT(27)
-+
-+/* acc=RO H264_INTERRUPT_STATUS FIELD ACCESS: RO
-+ *
-+ * The current status of the h264_interrupt signal
-+ */
-+#define ARG_IC_ICTRL_H264_INTERRUPT_STATUS    BIT(28)
-+
-+/* acc=LWC VP9_INTERRUPT_INT FIELD ACCESS: LWC
-+ *
-+ * Interrupt 1
-+ * This is set and held when an vp9_interrupt interrupt edge is detected
-+ * The polarity of the edge is set by the VP9_INTERRUPT_EDGE field
-+ * Write a 1 to this bit to clear down the latched interrupt
-+ * The latched interrupt is only enabled out onto the interrupt line if
-+ * VP9_INTERRUPT_EN is set
-+ * Reset value is *0* decimal.
-+ */
-+#define ARG_IC_ICTRL_VP9_INTERRUPT_INT                BIT(29)
-+
-+/* VP9_INTERRUPT_EDGE Sets the polarity of the interrupt edge detection logic
-+ * This logic detects edges of the vp9_interrupt line from the argon h264 core
-+ * 0 = negedge, 1 = posedge
-+ * Reset value is *0* decimal.
-+ */
-+#define ARG_IC_ICTRL_VP9_INTERRUPT_EDGE               BIT(30)
-+
-+/* VP9_INTERRUPT_EN Enables VP9_INTERRUPT_INT out onto the argon interrupt line.
-+ * If this isn't set, the interrupt logic will work but no interrupt will be
-+ * set to the interrupt controller
-+ * Reset value is *1* decimal.
-+ */
-+#define ARG_IC_ICTRL_VP9_INTERRUPT_EN         BIT(31)
-+
-+/* Bits 19:12, 11 reserved - read ?, write 0 */
-+#define ARG_IC_ICTRL_SET_ZERO_MASK            ((0xff << 12) | BIT(11))
-+
-+/* All IRQ bits */
-+#define ARG_IC_ICTRL_ALL_IRQ_MASK   (\
-+              ARG_IC_ICTRL_VP9_INTERRUPT_INT  |\
-+              ARG_IC_ICTRL_H264_INTERRUPT_INT |\
-+              ARG_IC_ICTRL_ACTIVE1_INT_SET    |\
-+              ARG_IC_ICTRL_ACTIVE2_INT_SET)
-+
-+/* Auto release once all CBs called */
-+void rpivid_hw_irq_active1_claim(struct rpivid_dev *dev,
-+                               struct rpivid_hw_irq_ent *ient,
-+                               rpivid_irq_callback ready_cb, void *ctx);
-+/* May only be called in claim cb */
-+void rpivid_hw_irq_active1_irq(struct rpivid_dev *dev,
-+                             struct rpivid_hw_irq_ent *ient,
-+                             rpivid_irq_callback irq_cb, void *ctx);
-+/* May only be called in irq cb */
-+void rpivid_hw_irq_active1_thread(struct rpivid_dev *dev,
-+                                struct rpivid_hw_irq_ent *ient,
-+                                rpivid_irq_callback thread_cb, void *ctx);
-+
-+/* Auto release once all CBs called */
-+void rpivid_hw_irq_active2_claim(struct rpivid_dev *dev,
-+                               struct rpivid_hw_irq_ent *ient,
-+                               rpivid_irq_callback ready_cb, void *ctx);
-+/* May only be called in claim cb */
-+void rpivid_hw_irq_active2_irq(struct rpivid_dev *dev,
-+                             struct rpivid_hw_irq_ent *ient,
-+                             rpivid_irq_callback irq_cb, void *ctx);
-+
-+int rpivid_hw_probe(struct rpivid_dev *dev);
-+void rpivid_hw_remove(struct rpivid_dev *dev);
-+
-+#endif
---- /dev/null
-+++ b/drivers/staging/media/rpivid/rpivid_video.c
-@@ -0,0 +1,593 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * Raspberry Pi HEVC driver
-+ *
-+ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
-+ *
-+ * Based on the Cedrus VPU driver, that is:
-+ *
-+ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
-+ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
-+ * Copyright (C) 2018 Bootlin
-+ */
-+
-+#include <media/videobuf2-dma-contig.h>
-+#include <media/v4l2-device.h>
-+#include <media/v4l2-ioctl.h>
-+#include <media/v4l2-event.h>
-+#include <media/v4l2-mem2mem.h>
-+
-+#include "rpivid.h"
-+#include "rpivid_video.h"
-+#include "rpivid_dec.h"
-+
-+#define RPIVID_DECODE_SRC     BIT(0)
-+#define RPIVID_DECODE_DST     BIT(1)
-+
-+#define RPIVID_MIN_WIDTH      16U
-+#define RPIVID_MIN_HEIGHT     16U
-+#define RPIVID_MAX_WIDTH      4096U
-+#define RPIVID_MAX_HEIGHT     4096U
-+
-+static inline struct rpivid_ctx *rpivid_file2ctx(struct file *file)
-+{
-+      return container_of(file->private_data, struct rpivid_ctx, fh);
-+}
-+
-+/* constrain x to y,y*2 */
-+static inline unsigned int constrain2x(unsigned int x, unsigned int y)
-+{
-+      return (x < y) ?
-+                      y :
-+                      (x > y * 2) ? y : x;
-+}
-+
-+int rpivid_prepare_src_format(struct v4l2_pix_format *pix_fmt)
-+{
-+      if (pix_fmt->pixelformat != V4L2_PIX_FMT_HEVC_SLICE)
-+              return -EINVAL;
-+
-+      /* Zero bytes per line for encoded source. */
-+      pix_fmt->bytesperline = 0;
-+      /* Choose some minimum size since this can't be 0 */
-+      pix_fmt->sizeimage = max_t(u32, SZ_1K, pix_fmt->sizeimage);
-+      pix_fmt->field = V4L2_FIELD_NONE;
-+      return 0;
-+}
-+
-+int rpivid_prepare_dst_format(struct v4l2_pix_format *pix_fmt)
-+{
-+      unsigned int width = pix_fmt->width;
-+      unsigned int height = pix_fmt->height;
-+      unsigned int sizeimage = pix_fmt->sizeimage;
-+      unsigned int bytesperline = pix_fmt->bytesperline;
-+
-+      switch (pix_fmt->pixelformat) {
-+      /* For column formats set bytesperline to column height (stride2) */
-+      case V4L2_PIX_FMT_NV12_COL128:
-+              /* Width rounds up to columns */
-+              width = ALIGN(min(width, RPIVID_MAX_WIDTH), 128);
-+
-+              /* 16 aligned height - not sure we even need that */
-+              height = ALIGN(height, 16);
-+              /* column height
-+               * Accept suggested shape if at least min & < 2 * min
-+               */
-+              bytesperline = constrain2x(bytesperline, height * 3 / 2);
-+
-+              /* image size
-+               * Again allow plausible variation in case added padding is
-+               * required
-+               */
-+              sizeimage = constrain2x(sizeimage, bytesperline * width);
-+              break;
-+
-+      case V4L2_PIX_FMT_NV12_10_COL128:
-+              /* width in pixels (3 pels = 4 bytes) rounded to 128 byte
-+               * columns
-+               */
-+              width = ALIGN(((min(width, RPIVID_MAX_WIDTH) + 2) / 3), 32) * 3;
-+
-+              /* 16-aligned height. */
-+              height = ALIGN(height, 16);
-+
-+              /* column height
-+               * Accept suggested shape if at least min & < 2 * min
-+               */
-+              bytesperline = constrain2x(bytesperline, height * 3 / 2);
-+
-+              /* image size
-+               * Again allow plausible variation in case added padding is
-+               * required
-+               */
-+              sizeimage = constrain2x(sizeimage,
-+                                      bytesperline * width * 4 / 3);
-+              break;
-+
-+      default:
-+              return -EINVAL;
-+      }
-+
-+      pix_fmt->width = width;
-+      pix_fmt->height = height;
-+
-+      pix_fmt->field = V4L2_FIELD_NONE;
-+      pix_fmt->bytesperline = bytesperline;
-+      pix_fmt->sizeimage = sizeimage;
-+      return 0;
-+}
-+
-+static int rpivid_querycap(struct file *file, void *priv,
-+                         struct v4l2_capability *cap)
-+{
-+      strscpy(cap->driver, RPIVID_NAME, sizeof(cap->driver));
-+      strscpy(cap->card, RPIVID_NAME, sizeof(cap->card));
-+      snprintf(cap->bus_info, sizeof(cap->bus_info),
-+               "platform:%s", RPIVID_NAME);
-+
-+      return 0;
-+}
-+
-+static int rpivid_enum_fmt_vid_out(struct file *file, void *priv,
-+                                 struct v4l2_fmtdesc *f)
-+{
-+      // Input formats
-+
-+      // H.265 Slice only currently
-+      if (f->index == 0) {
-+              f->pixelformat = V4L2_PIX_FMT_HEVC_SLICE;
-+              return 0;
-+      }
-+
-+      return -EINVAL;
-+}
-+
-+static int rpivid_hevc_validate_sps(const struct v4l2_ctrl_hevc_sps * const sps)
-+{
-+      const unsigned int ctb_log2_size_y =
-+                      sps->log2_min_luma_coding_block_size_minus3 + 3 +
-+                      sps->log2_diff_max_min_luma_coding_block_size;
-+      const unsigned int min_tb_log2_size_y =
-+                      sps->log2_min_luma_transform_block_size_minus2 + 2;
-+      const unsigned int max_tb_log2_size_y = min_tb_log2_size_y +
-+                      sps->log2_diff_max_min_luma_transform_block_size;
-+
-+      /* Local limitations */
-+      if (sps->pic_width_in_luma_samples < 32 ||
-+          sps->pic_width_in_luma_samples > 4096)
-+              return 0;
-+      if (sps->pic_height_in_luma_samples < 32 ||
-+          sps->pic_height_in_luma_samples > 4096)
-+              return 0;
-+      if (!(sps->bit_depth_luma_minus8 == 0 ||
-+            sps->bit_depth_luma_minus8 == 2))
-+              return 0;
-+      if (sps->bit_depth_luma_minus8 != sps->bit_depth_chroma_minus8)
-+              return 0;
-+      if (sps->chroma_format_idc != 1)
-+              return 0;
-+
-+      /*  Limits from H.265 7.4.3.2.1 */
-+      if (sps->log2_max_pic_order_cnt_lsb_minus4 > 12)
-+              return 0;
-+      if (sps->sps_max_dec_pic_buffering_minus1 > 15)
-+              return 0;
-+      if (sps->sps_max_num_reorder_pics >
-+                              sps->sps_max_dec_pic_buffering_minus1)
-+              return 0;
-+      if (ctb_log2_size_y > 6)
-+              return 0;
-+      if (max_tb_log2_size_y > 5)
-+              return 0;
-+      if (max_tb_log2_size_y > ctb_log2_size_y)
-+              return 0;
-+      if (sps->max_transform_hierarchy_depth_inter >
-+                              (ctb_log2_size_y - min_tb_log2_size_y))
-+              return 0;
-+      if (sps->max_transform_hierarchy_depth_intra >
-+                              (ctb_log2_size_y - min_tb_log2_size_y))
-+              return 0;
-+      /* Check pcm stuff */
-+      if (sps->num_short_term_ref_pic_sets > 64)
-+              return 0;
-+      if (sps->num_long_term_ref_pics_sps > 32)
-+              return 0;
-+      return 1;
-+}
-+
-+static inline int is_sps_set(const struct v4l2_ctrl_hevc_sps * const sps)
-+{
-+      return sps && sps->pic_width_in_luma_samples != 0;
-+}
-+
-+static u32 pixelformat_from_sps(const struct v4l2_ctrl_hevc_sps * const sps,
-+                              const int index)
-+{
-+      u32 pf = 0;
-+
-+      // Use width 0 as a signifier of unsetness
-+      if (!is_sps_set(sps)) {
-+              /* Treat this as an error? For now return both */
-+              if (index == 0)
-+                      pf = V4L2_PIX_FMT_NV12_COL128;
-+              else if (index == 1)
-+                      pf = V4L2_PIX_FMT_NV12_10_COL128;
-+      } else if (index == 0 && rpivid_hevc_validate_sps(sps)) {
-+              if (sps->bit_depth_luma_minus8 == 0)
-+                      pf = V4L2_PIX_FMT_NV12_COL128;
-+              else if (sps->bit_depth_luma_minus8 == 2)
-+                      pf = V4L2_PIX_FMT_NV12_10_COL128;
-+      }
-+
-+      return pf;
-+}
-+
-+static struct v4l2_pix_format
-+rpivid_hevc_default_dst_fmt(struct rpivid_ctx * const ctx)
-+{
-+      const struct v4l2_ctrl_hevc_sps * const sps =
-+              rpivid_find_control_data(ctx, V4L2_CID_MPEG_VIDEO_HEVC_SPS);
-+      struct v4l2_pix_format pix_fmt = {
-+              .width = sps->pic_width_in_luma_samples,
-+              .height = sps->pic_height_in_luma_samples,
-+              .pixelformat = pixelformat_from_sps(sps, 0)
-+      };
-+
-+      rpivid_prepare_dst_format(&pix_fmt);
-+      return pix_fmt;
-+}
-+
-+static u32 rpivid_hevc_get_dst_pixelformat(struct rpivid_ctx * const ctx,
-+                                         const int index)
-+{
-+      const struct v4l2_ctrl_hevc_sps * const sps =
-+              rpivid_find_control_data(ctx, V4L2_CID_MPEG_VIDEO_HEVC_SPS);
-+
-+      return pixelformat_from_sps(sps, index);
-+}
-+
-+static int rpivid_enum_fmt_vid_cap(struct file *file, void *priv,
-+                                 struct v4l2_fmtdesc *f)
-+{
-+      struct rpivid_ctx * const ctx = rpivid_file2ctx(file);
-+
-+      const u32 pf = rpivid_hevc_get_dst_pixelformat(ctx, f->index);
-+
-+      if (pf == 0)
-+              return -EINVAL;
-+
-+      f->pixelformat = pf;
-+      return 0;
-+}
-+
-+static int rpivid_g_fmt_vid_cap(struct file *file, void *priv,
-+                              struct v4l2_format *f)
-+{
-+      struct rpivid_ctx *ctx = rpivid_file2ctx(file);
-+
-+      if (!ctx->dst_fmt_set)
-+              ctx->dst_fmt = rpivid_hevc_default_dst_fmt(ctx);
-+      f->fmt.pix = ctx->dst_fmt;
-+      return 0;
-+}
-+
-+static int rpivid_g_fmt_vid_out(struct file *file, void *priv,
-+                              struct v4l2_format *f)
-+{
-+      struct rpivid_ctx *ctx = rpivid_file2ctx(file);
-+
-+      f->fmt.pix = ctx->src_fmt;
-+      return 0;
-+}
-+
-+static inline void copy_color(struct v4l2_pix_format *d,
-+                            const struct v4l2_pix_format *s)
-+{
-+      d->colorspace   = s->colorspace;
-+      d->xfer_func    = s->xfer_func;
-+      d->ycbcr_enc    = s->ycbcr_enc;
-+      d->quantization = s->quantization;
-+}
-+
-+static int rpivid_try_fmt_vid_cap(struct file *file, void *priv,
-+                                struct v4l2_format *f)
-+{
-+      struct rpivid_ctx *ctx = rpivid_file2ctx(file);
-+      const struct v4l2_ctrl_hevc_sps * const sps =
-+              rpivid_find_control_data(ctx, V4L2_CID_MPEG_VIDEO_HEVC_SPS);
-+      u32 pixelformat;
-+      int i;
-+
-+      /* Reject format types we don't support */
-+      if (f->type != V4L2_BUF_TYPE_VIDEO_CAPTURE)
-+              return -EINVAL;
-+
-+      for (i = 0; (pixelformat = pixelformat_from_sps(sps, i)) != 0; i++) {
-+              if (f->fmt.pix.pixelformat == pixelformat)
-+                      break;
-+      }
-+
-+      // If we can't use requested fmt then set to default
-+      if (pixelformat == 0) {
-+              pixelformat = pixelformat_from_sps(sps, 0);
-+              // If we don't have a default then give up
-+              if (pixelformat == 0)
-+                      return -EINVAL;
-+      }
-+
-+      // We don't have any way of finding out colourspace so believe
-+      // anything we are told - take anything set in src as a default
-+      if (f->fmt.pix.colorspace == V4L2_COLORSPACE_DEFAULT)
-+              copy_color(&f->fmt.pix, &ctx->src_fmt);
-+
-+      f->fmt.pix.pixelformat = pixelformat;
-+      return rpivid_prepare_dst_format(&f->fmt.pix);
-+}
-+
-+static int rpivid_try_fmt_vid_out(struct file *file, void *priv,
-+                                struct v4l2_format *f)
-+{
-+      if (f->type != V4L2_BUF_TYPE_VIDEO_OUTPUT)
-+              return -EINVAL;
-+
-+      if (rpivid_prepare_src_format(&f->fmt.pix)) {
-+              // Set default src format
-+              f->fmt.pix.pixelformat = RPIVID_SRC_PIXELFORMAT_DEFAULT;
-+              rpivid_prepare_src_format(&f->fmt.pix);
-+      }
-+      return 0;
-+}
-+
-+static int rpivid_s_fmt_vid_cap(struct file *file, void *priv,
-+                              struct v4l2_format *f)
-+{
-+      struct rpivid_ctx *ctx = rpivid_file2ctx(file);
-+      struct vb2_queue *vq;
-+      int ret;
-+
-+      vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, f->type);
-+      if (vb2_is_busy(vq))
-+              return -EBUSY;
-+
-+      ret = rpivid_try_fmt_vid_cap(file, priv, f);
-+      if (ret)
-+              return ret;
-+
-+      ctx->dst_fmt = f->fmt.pix;
-+      ctx->dst_fmt_set = 1;
-+
-+      return 0;
-+}
-+
-+static int rpivid_s_fmt_vid_out(struct file *file, void *priv,
-+                              struct v4l2_format *f)
-+{
-+      struct rpivid_ctx *ctx = rpivid_file2ctx(file);
-+      struct vb2_queue *vq;
-+      int ret;
-+
-+      vq = v4l2_m2m_get_vq(ctx->fh.m2m_ctx, f->type);
-+      if (vb2_is_busy(vq))
-+              return -EBUSY;
-+
-+      ret = rpivid_try_fmt_vid_out(file, priv, f);
-+      if (ret)
-+              return ret;
-+
-+      ctx->src_fmt = f->fmt.pix;
-+      ctx->dst_fmt_set = 0;  // Setting src invalidates dst
-+
-+      vq->subsystem_flags |=
-+              VB2_V4L2_FL_SUPPORTS_M2M_HOLD_CAPTURE_BUF;
-+
-+      /* Propagate colorspace information to capture. */
-+      copy_color(&ctx->dst_fmt, &f->fmt.pix);
-+      return 0;
-+}
-+
-+const struct v4l2_ioctl_ops rpivid_ioctl_ops = {
-+      .vidioc_querycap                = rpivid_querycap,
-+
-+      .vidioc_enum_fmt_vid_cap        = rpivid_enum_fmt_vid_cap,
-+      .vidioc_g_fmt_vid_cap           = rpivid_g_fmt_vid_cap,
-+      .vidioc_try_fmt_vid_cap         = rpivid_try_fmt_vid_cap,
-+      .vidioc_s_fmt_vid_cap           = rpivid_s_fmt_vid_cap,
-+
-+      .vidioc_enum_fmt_vid_out        = rpivid_enum_fmt_vid_out,
-+      .vidioc_g_fmt_vid_out           = rpivid_g_fmt_vid_out,
-+      .vidioc_try_fmt_vid_out         = rpivid_try_fmt_vid_out,
-+      .vidioc_s_fmt_vid_out           = rpivid_s_fmt_vid_out,
-+
-+      .vidioc_reqbufs                 = v4l2_m2m_ioctl_reqbufs,
-+      .vidioc_querybuf                = v4l2_m2m_ioctl_querybuf,
-+      .vidioc_qbuf                    = v4l2_m2m_ioctl_qbuf,
-+      .vidioc_dqbuf                   = v4l2_m2m_ioctl_dqbuf,
-+      .vidioc_prepare_buf             = v4l2_m2m_ioctl_prepare_buf,
-+      .vidioc_create_bufs             = v4l2_m2m_ioctl_create_bufs,
-+      .vidioc_expbuf                  = v4l2_m2m_ioctl_expbuf,
-+
-+      .vidioc_streamon                = v4l2_m2m_ioctl_streamon,
-+      .vidioc_streamoff               = v4l2_m2m_ioctl_streamoff,
-+
-+      .vidioc_try_decoder_cmd         = v4l2_m2m_ioctl_stateless_try_decoder_cmd,
-+      .vidioc_decoder_cmd             = v4l2_m2m_ioctl_stateless_decoder_cmd,
-+
-+      .vidioc_subscribe_event         = v4l2_ctrl_subscribe_event,
-+      .vidioc_unsubscribe_event       = v4l2_event_unsubscribe,
-+};
-+
-+static int rpivid_queue_setup(struct vb2_queue *vq, unsigned int *nbufs,
-+                            unsigned int *nplanes, unsigned int sizes[],
-+                            struct device *alloc_devs[])
-+{
-+      struct rpivid_ctx *ctx = vb2_get_drv_priv(vq);
-+      struct v4l2_pix_format *pix_fmt;
-+
-+      if (V4L2_TYPE_IS_OUTPUT(vq->type))
-+              pix_fmt = &ctx->src_fmt;
-+      else
-+              pix_fmt = &ctx->dst_fmt;
-+
-+      if (*nplanes) {
-+              if (sizes[0] < pix_fmt->sizeimage)
-+                      return -EINVAL;
-+      } else {
-+              sizes[0] = pix_fmt->sizeimage;
-+              *nplanes = 1;
-+      }
-+
-+      return 0;
-+}
-+
-+static void rpivid_queue_cleanup(struct vb2_queue *vq, u32 state)
-+{
-+      struct rpivid_ctx *ctx = vb2_get_drv_priv(vq);
-+      struct vb2_v4l2_buffer *vbuf;
-+
-+      for (;;) {
-+              if (V4L2_TYPE_IS_OUTPUT(vq->type))
-+                      vbuf = v4l2_m2m_src_buf_remove(ctx->fh.m2m_ctx);
-+              else
-+                      vbuf = v4l2_m2m_dst_buf_remove(ctx->fh.m2m_ctx);
-+
-+              if (!vbuf)
-+                      return;
-+
-+              v4l2_ctrl_request_complete(vbuf->vb2_buf.req_obj.req,
-+                                         &ctx->hdl);
-+              v4l2_m2m_buf_done(vbuf, state);
-+      }
-+}
-+
-+static int rpivid_buf_out_validate(struct vb2_buffer *vb)
-+{
-+      struct vb2_v4l2_buffer *vbuf = to_vb2_v4l2_buffer(vb);
-+
-+      vbuf->field = V4L2_FIELD_NONE;
-+      return 0;
-+}
-+
-+static int rpivid_buf_prepare(struct vb2_buffer *vb)
-+{
-+      struct vb2_queue *vq = vb->vb2_queue;
-+      struct rpivid_ctx *ctx = vb2_get_drv_priv(vq);
-+      struct v4l2_pix_format *pix_fmt;
-+
-+      if (V4L2_TYPE_IS_OUTPUT(vq->type))
-+              pix_fmt = &ctx->src_fmt;
-+      else
-+              pix_fmt = &ctx->dst_fmt;
-+
-+      if (vb2_plane_size(vb, 0) < pix_fmt->sizeimage)
-+              return -EINVAL;
-+
-+      vb2_set_plane_payload(vb, 0, pix_fmt->sizeimage);
-+
-+      return 0;
-+}
-+
-+static int rpivid_start_streaming(struct vb2_queue *vq, unsigned int count)
-+{
-+      struct rpivid_ctx *ctx = vb2_get_drv_priv(vq);
-+      struct rpivid_dev *dev = ctx->dev;
-+      int ret = 0;
-+
-+      if (ctx->src_fmt.pixelformat != V4L2_PIX_FMT_HEVC_SLICE)
-+              return -EINVAL;
-+
-+      if (V4L2_TYPE_IS_OUTPUT(vq->type) && dev->dec_ops->start)
-+              ret = dev->dec_ops->start(ctx);
-+
-+      ret = clk_set_rate(dev->clock, 500 * 1000 * 1000);
-+      if (ret) {
-+              dev_err(dev->dev, "Failed to set clock rate\n");
-+              goto out;
-+      }
-+
-+      ret = clk_prepare_enable(dev->clock);
-+      if (ret)
-+              dev_err(dev->dev, "Failed to enable clock\n");
-+
-+out:
-+      if (ret)
-+              rpivid_queue_cleanup(vq, VB2_BUF_STATE_QUEUED);
-+
-+      return ret;
-+}
-+
-+static void rpivid_stop_streaming(struct vb2_queue *vq)
-+{
-+      struct rpivid_ctx *ctx = vb2_get_drv_priv(vq);
-+      struct rpivid_dev *dev = ctx->dev;
-+
-+      if (V4L2_TYPE_IS_OUTPUT(vq->type) && dev->dec_ops->stop)
-+              dev->dec_ops->stop(ctx);
-+
-+      rpivid_queue_cleanup(vq, VB2_BUF_STATE_ERROR);
-+
-+      clk_disable_unprepare(dev->clock);
-+}
-+
-+static void rpivid_buf_queue(struct vb2_buffer *vb)
-+{
-+      struct vb2_v4l2_buffer *vbuf = to_vb2_v4l2_buffer(vb);
-+      struct rpivid_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
-+
-+      v4l2_m2m_buf_queue(ctx->fh.m2m_ctx, vbuf);
-+}
-+
-+static void rpivid_buf_request_complete(struct vb2_buffer *vb)
-+{
-+      struct rpivid_ctx *ctx = vb2_get_drv_priv(vb->vb2_queue);
-+
-+      v4l2_ctrl_request_complete(vb->req_obj.req, &ctx->hdl);
-+}
-+
-+static struct vb2_ops rpivid_qops = {
-+      .queue_setup            = rpivid_queue_setup,
-+      .buf_prepare            = rpivid_buf_prepare,
-+      .buf_queue              = rpivid_buf_queue,
-+      .buf_out_validate       = rpivid_buf_out_validate,
-+      .buf_request_complete   = rpivid_buf_request_complete,
-+      .start_streaming        = rpivid_start_streaming,
-+      .stop_streaming         = rpivid_stop_streaming,
-+      .wait_prepare           = vb2_ops_wait_prepare,
-+      .wait_finish            = vb2_ops_wait_finish,
-+};
-+
-+int rpivid_queue_init(void *priv, struct vb2_queue *src_vq,
-+                    struct vb2_queue *dst_vq)
-+{
-+      struct rpivid_ctx *ctx = priv;
-+      int ret;
-+
-+      src_vq->type = V4L2_BUF_TYPE_VIDEO_OUTPUT;
-+      src_vq->io_modes = VB2_MMAP | VB2_DMABUF;
-+      src_vq->drv_priv = ctx;
-+      src_vq->buf_struct_size = sizeof(struct rpivid_buffer);
-+      src_vq->min_buffers_needed = 1;
-+      src_vq->ops = &rpivid_qops;
-+      src_vq->mem_ops = &vb2_dma_contig_memops;
-+      src_vq->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_COPY;
-+      src_vq->lock = &ctx->dev->dev_mutex;
-+      src_vq->dev = ctx->dev->dev;
-+      src_vq->supports_requests = true;
-+      src_vq->requires_requests = true;
-+
-+      ret = vb2_queue_init(src_vq);
-+      if (ret)
-+              return ret;
-+
-+      dst_vq->type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
-+      dst_vq->io_modes = VB2_MMAP | VB2_DMABUF;
-+      dst_vq->drv_priv = ctx;
-+      dst_vq->buf_struct_size = sizeof(struct rpivid_buffer);
-+      dst_vq->min_buffers_needed = 1;
-+      dst_vq->ops = &rpivid_qops;
-+      dst_vq->mem_ops = &vb2_dma_contig_memops;
-+      dst_vq->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_COPY;
-+      dst_vq->lock = &ctx->dev->dev_mutex;
-+      dst_vq->dev = ctx->dev->dev;
-+
-+      return vb2_queue_init(dst_vq);
-+}
---- /dev/null
-+++ b/drivers/staging/media/rpivid/rpivid_video.h
-@@ -0,0 +1,30 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Raspberry Pi HEVC driver
-+ *
-+ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd
-+ *
-+ * Based on the Cedrus VPU driver, that is:
-+ *
-+ * Copyright (C) 2016 Florent Revest <florent.revest@free-electrons.com>
-+ * Copyright (C) 2018 Paul Kocialkowski <paul.kocialkowski@bootlin.com>
-+ * Copyright (C) 2018 Bootlin
-+ */
-+
-+#ifndef _RPIVID_VIDEO_H_
-+#define _RPIVID_VIDEO_H_
-+
-+struct rpivid_format {
-+      u32             pixelformat;
-+      u32             directions;
-+      unsigned int    capabilities;
-+};
-+
-+extern const struct v4l2_ioctl_ops rpivid_ioctl_ops;
-+
-+int rpivid_queue_init(void *priv, struct vb2_queue *src_vq,
-+                    struct vb2_queue *dst_vq);
-+int rpivid_prepare_src_format(struct v4l2_pix_format *pix_fmt);
-+int rpivid_prepare_dst_format(struct v4l2_pix_format *pix_fmt);
-+
-+#endif
diff --git a/target/linux/bcm27xx/patches-5.4/950-0509-dt-bindings-clock-Add-a-binding-for-the-RPi-Firmware.patch b/target/linux/bcm27xx/patches-5.4/950-0509-dt-bindings-clock-Add-a-binding-for-the-RPi-Firmware.patch
new file mode 100644 (file)
index 0000000..f358706
--- /dev/null
@@ -0,0 +1,63 @@
+From 9d4360fc454056fffa9ca487270aca9179906f5d Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 13 Feb 2020 17:51:09 +0100
+Subject: [PATCH] dt-bindings: clock: Add a binding for the RPi
+ Firmware clocks
+
+The firmare running on the RPi VideoCore can be used to discover and
+change the various clocks running in the BCM2711. Since devices will
+need to use them through the DT, let's add a pretty simple binding.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: Stephen Boyd <sboyd@kernel.org>
+Cc: Rob Herring <robh+dt@kernel.org>
+Cc: linux-clk@vger.kernel.org
+Cc: devicetree@vger.kernel.org
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ .../clock/raspberrypi,firmware-clocks.yaml    | 39 +++++++++++++++++++
+ 1 file changed, 39 insertions(+)
+ create mode 100644 Documentation/devicetree/bindings/clock/raspberrypi,firmware-clocks.yaml
+
+--- /dev/null
++++ b/Documentation/devicetree/bindings/clock/raspberrypi,firmware-clocks.yaml
+@@ -0,0 +1,39 @@
++# SPDX-License-Identifier: (GPL-2.0-only OR BSD-2-Clause)
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/clock/raspberrypi,firmware-clocks.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: RaspberryPi Firmware Clocks Device Tree Bindings
++
++maintainers:
++  - Maxime Ripard <mripard@kernel.org>
++
++properties:
++  "#clock-cells":
++    const: 1
++
++  compatible:
++    const: raspberrypi,firmware-clocks
++
++  raspberrypi,firmware:
++    $ref: /schemas/types.yaml#/definitions/phandle
++    description: >
++      Phandle to the mailbox node to communicate with the firmware.
++
++required:
++  - "#clock-cells"
++  - compatible
++  - raspberrypi,firmware
++
++additionalProperties: false
++
++examples:
++  - |
++    firmware_clocks: firmware-clocks {
++        compatible = "raspberrypi,firmware-clocks";
++        raspberrypi,firmware = <&firmware>;
++        #clock-cells = <1>;
++    };
++
++...
diff --git a/target/linux/bcm27xx/patches-5.4/950-0509-dtoverlays-Add-overlay-to-enable-the-HEVC-V4L2-drive.patch b/target/linux/bcm27xx/patches-5.4/950-0509-dtoverlays-Add-overlay-to-enable-the-HEVC-V4L2-drive.patch
deleted file mode 100644 (file)
index ee92ada..0000000
+++ /dev/null
@@ -1,102 +0,0 @@
-From b1d6499e00b6061ecc7061335199acf86f54d31a Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Fri, 13 Mar 2020 16:52:55 +0000
-Subject: [PATCH] dtoverlays: Add overlay to enable the HEVC V4L2
- driver
-
-This replaces the rpivid_mem register mapping driver.
-When the driver is complete, these DT changes should be
-merged into the base DT instead of being an overlay.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/Makefile           |  1 +
- arch/arm/boot/dts/overlays/README             |  7 +++
- .../boot/dts/overlays/rpivid-v4l2-overlay.dts | 55 +++++++++++++++++++
- 4 files changed, 63 insertions(+), 2 deletions(-)
- create mode 100644 arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -140,6 +140,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       rpi-proto.dtbo \
-       rpi-sense.dtbo \
-       rpi-tv.dtbo \
-+      rpivid-v4l2.dtbo \
-       rra-digidac1-wm8741-audio.dtbo \
-       sc16is750-i2c.dtbo \
-       sc16is752-i2c.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -2064,6 +2064,13 @@ Load:   dtoverlay=rpi-tv
- Params: <None>
-+Name:   rpivid-v4l2
-+Info:   Load the V4L2 stateless video decoder driver for the HEVC block,
-+        disabling the memory mapped devices in the process.
-+Load:   dtoverlay=rpivid-v4l2
-+Params: <None>
-+
-+
- Name:   rra-digidac1-wm8741-audio
- Info:   Configures the Red Rocks Audio DigiDAC1 soundcard
- Load:   dtoverlay=rra-digidac1-wm8741-audio
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts
-@@ -0,0 +1,55 @@
-+// SPDX-License-Identifier: GPL-2.0-only
-+// Definitions for Raspberry Pi video decode engine
-+/dts-v1/;
-+/plugin/;
-+
-+#include <dt-bindings/interrupt-controller/arm-gic.h>
-+
-+/{
-+      compatible = "brcm,bcm2711";
-+
-+      fragment@0 {
-+              target = <&scb>;
-+              __overlay__ {
-+                      /* needed to avoid dtc warning */
-+                      #address-cells = <2>;
-+                      #size-cells = <1>;
-+                      codec@7eb10000 {
-+                              compatible = "raspberrypi,rpivid-vid-decoder";
-+                              reg = <0x0 0x7eb10000 0x1000>,  /* INTC */
-+                                    <0x0 0x7eb00000 0x10000>; /* HEVC */
-+                              reg-names = "intc",
-+                                          "hevc";
-+
-+                              interrupts = <GIC_SPI 98 IRQ_TYPE_LEVEL_HIGH>;
-+
-+                              clocks = <&hevc_clk>;
-+                              clock-names = "hevc";
-+
-+                              hevc_clk: hevc_clk {
-+                                      compatible = "fixed-clock";
-+                                      #clock-cells = <0>;
-+                                      clock-frequency = <500000000>;
-+                              };
-+                      };
-+              };
-+      };
-+
-+      fragment@1 {
-+              target = <&scb>;
-+              __overlay__ {
-+                      hevc-decoder@7eb00000 {
-+                              status = "disabled";
-+                      };
-+                      rpivid-local-intc@7eb10000 {
-+                              status = "disabled";
-+                      };
-+                      h264-decoder@7eb20000 {
-+                              status = "disabled";
-+                      };
-+                      vp9-decoder@7eb30000 {
-+                              status = "disabled";
-+                      };
-+              };
-+      };
-+};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0510-clk-bcm-rpi-Allow-the-driver-to-be-probed-by-DT.patch b/target/linux/bcm27xx/patches-5.4/950-0510-clk-bcm-rpi-Allow-the-driver-to-be-probed-by-DT.patch
new file mode 100644 (file)
index 0000000..d622d9d
--- /dev/null
@@ -0,0 +1,60 @@
+From faeea753ba262e2a781570f9db23c5773c5d20e7 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 23 Dec 2019 19:58:08 +0100
+Subject: [PATCH] clk: bcm: rpi: Allow the driver to be probed by DT
+
+The current firmware clock driver for the RaspberryPi can only be probed by
+manually registering an associated platform_device.
+
+While this works fine for cpufreq where the device gets attached a clkdev
+lookup, it would be tedious to maintain a table of all the devices using
+one of the clocks exposed by the firmware.
+
+Since the DT on the other hand is the perfect place to store those
+associations, make the firmware clocks driver probe-able through the device
+tree so that we can represent it as a node.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: Stephen Boyd <sboyd@kernel.org>
+Cc: linux-clk@vger.kernel.org
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 11 ++++++++---
+ 1 file changed, 8 insertions(+), 3 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -255,15 +255,13 @@ static int raspberrypi_clk_probe(struct
+       struct raspberrypi_clk *rpi;
+       int ret;
+-      firmware_node = of_find_compatible_node(NULL, NULL,
+-                                      "raspberrypi,bcm2835-firmware");
++      firmware_node = of_parse_phandle(dev->of_node, "raspberrypi,firmware", 0);
+       if (!firmware_node) {
+               dev_err(dev, "Missing firmware node\n");
+               return -ENOENT;
+       }
+       firmware = rpi_firmware_get(firmware_node);
+-      of_node_put(firmware_node);
+       if (!firmware)
+               return -EPROBE_DEFER;
+@@ -300,9 +298,16 @@ static int raspberrypi_clk_remove(struct
+       return 0;
+ }
++static const struct of_device_id raspberrypi_clk_match[] = {
++        { .compatible = "raspberrypi,firmware-clocks" },
++        { },
++};
++MODULE_DEVICE_TABLE(of, raspberrypi_clk_match);
++
+ static struct platform_driver raspberrypi_clk_driver = {
+       .driver = {
+               .name = "raspberrypi-clk",
++              .of_match_table = raspberrypi_clk_match,
+       },
+       .probe          = raspberrypi_clk_probe,
+       .remove         = raspberrypi_clk_remove,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0510-mmc-sdhci-Silence-MMC-warnings.patch b/target/linux/bcm27xx/patches-5.4/950-0510-mmc-sdhci-Silence-MMC-warnings.patch
deleted file mode 100644 (file)
index 3834928..0000000
+++ /dev/null
@@ -1,42 +0,0 @@
-From c99941ee53a8c6fcc466a088f8bd7108f04824e5 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 6 Dec 2019 13:05:27 +0100
-Subject: [PATCH] mmc: sdhci: Silence MMC warnings
-
-When the MMC isn't plugged in, the driver will spam the console which is
-pretty annoying when using NFS.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/mmc/host/sdhci.c | 6 +++---
- 1 file changed, 3 insertions(+), 3 deletions(-)
-
---- a/drivers/mmc/host/sdhci.c
-+++ b/drivers/mmc/host/sdhci.c
-@@ -39,7 +39,7 @@
-       pr_debug("%s: " DRIVER_NAME ": " f, mmc_hostname(host->mmc), ## x)
- #define SDHCI_DUMP(f, x...) \
--      pr_err("%s: " DRIVER_NAME ": " f, mmc_hostname(host->mmc), ## x)
-+      pr_debug("%s: " DRIVER_NAME ": " f, mmc_hostname(host->mmc), ## x)
- #define MAX_TUNING_LOOP 40
-@@ -2756,7 +2756,7 @@ static void sdhci_timeout_timer(struct t
-       spin_lock_irqsave(&host->lock, flags);
-       if (host->cmd && !sdhci_data_line_cmd(host->cmd)) {
--              pr_err("%s: Timeout waiting for hardware cmd interrupt.\n",
-+              pr_debug("%s: Timeout waiting for hardware cmd interrupt.\n",
-                      mmc_hostname(host->mmc));
-               sdhci_dumpregs(host);
-@@ -2778,7 +2778,7 @@ static void sdhci_timeout_data_timer(str
-       if (host->data || host->data_cmd ||
-           (host->cmd && sdhci_data_line_cmd(host->cmd))) {
--              pr_err("%s: Timeout waiting for hardware interrupt.\n",
-+              pr_debug("%s: Timeout waiting for hardware interrupt.\n",
-                      mmc_hostname(host->mmc));
-               sdhci_dumpregs(host);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0511-clk-bcm-rpi-Statically-init-clk_init_data.patch b/target/linux/bcm27xx/patches-5.4/950-0511-clk-bcm-rpi-Statically-init-clk_init_data.patch
new file mode 100644 (file)
index 0000000..5c7cb2b
--- /dev/null
@@ -0,0 +1,32 @@
+From 7916b5f66f3becb9f223e8a6d8c0a6c0edd8964c Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 7 Feb 2020 14:17:54 +0100
+Subject: [PATCH] clk: bcm: rpi: Statically init clk_init_data
+
+Instead of declaring the clk_init_data and then calling memset on it, just
+initialise properly.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: Stephen Boyd <sboyd@kernel.org>
+Cc: linux-clk@vger.kernel.org
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 3 +--
+ 1 file changed, 1 insertion(+), 2 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -175,11 +175,10 @@ static const struct clk_ops raspberrypi_
+ static int raspberrypi_register_pllb(struct raspberrypi_clk *rpi)
+ {
++      struct clk_init_data init = {};
+       u32 min_rate = 0, max_rate = 0;
+-      struct clk_init_data init;
+       int ret;
+-      memset(&init, 0, sizeof(init));
+       /* All of the PLLs derive from the external oscillator. */
+       init.parent_names = (const char *[]){ "osc" };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0511-dt-bindings-i2c-brcmstb-Convert-the-BRCMSTB-binding-.patch b/target/linux/bcm27xx/patches-5.4/950-0511-dt-bindings-i2c-brcmstb-Convert-the-BRCMSTB-binding-.patch
deleted file mode 100644 (file)
index 01bdfee..0000000
+++ /dev/null
@@ -1,126 +0,0 @@
-From 1a2a857af4fe6748fea53799e0007672faa7aa57 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 13 Feb 2020 16:55:01 +0100
-Subject: [PATCH] dt-bindings: i2c: brcmstb: Convert the BRCMSTB
- binding to a schema
-
-Switch the DT binding to a YAML schema to enable the DT validation.
-
-Cc: Kamal Dasu <kdasu.kdev@gmail.com>
-Cc: Wolfram Sang <wsa@the-dreams.de>
-Cc: bcm-kernel-feedback-list@broadcom.com
-Cc: linux-i2c@vger.kernel.org
-Cc: devicetree@vger.kernel.org
-Acked-by: Florian Fainelli <f.fainelli@gmail.com>
-Reviewed-by: Rob Herring <robh+dt@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- .../bindings/i2c/brcm,brcmstb-i2c.yaml        | 59 +++++++++++++++++++
- .../devicetree/bindings/i2c/i2c-brcmstb.txt   | 26 --------
- MAINTAINERS                                   |  2 +-
- 3 files changed, 60 insertions(+), 27 deletions(-)
- create mode 100644 Documentation/devicetree/bindings/i2c/brcm,brcmstb-i2c.yaml
- delete mode 100644 Documentation/devicetree/bindings/i2c/i2c-brcmstb.txt
-
---- /dev/null
-+++ b/Documentation/devicetree/bindings/i2c/brcm,brcmstb-i2c.yaml
-@@ -0,0 +1,59 @@
-+# SPDX-License-Identifier: GPL-2.0
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/i2c/brcm,brcmstb-i2c.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Broadcom STB BSC IIC Master Controller
-+
-+maintainers:
-+  - Kamal Dasu <kdasu.kdev@gmail.com>
-+
-+allOf:
-+  - $ref: /schemas/i2c/i2c-controller.yaml#
-+
-+properties:
-+  compatible:
-+    enum:
-+      - brcm,brcmstb-i2c
-+      - brcm,brcmper-i2c
-+
-+  reg:
-+    maxItems: 1
-+
-+  interrupts:
-+    maxItems: 1
-+
-+  interrupt-names:
-+    maxItems: 1
-+
-+  clock-frequency:
-+    enum:
-+      - 46875
-+      - 50000
-+      - 93750
-+      - 97500
-+      - 187500
-+      - 200000
-+      - 375000
-+      - 390000
-+
-+required:
-+  - compatible
-+  - reg
-+  - clock-frequency
-+
-+unevaluatedProperties: false
-+
-+examples:
-+  - |
-+      bsca: i2c@f0406200 {
-+          clock-frequency = <390000>;
-+          compatible = "brcm,brcmstb-i2c";
-+          interrupt-parent = <&irq0_intc>;
-+          reg = <0xf0406200 0x58>;
-+          interrupts = <0x18>;
-+          interrupt-names = "upg_bsca";
-+      };
-+
-+...
---- a/Documentation/devicetree/bindings/i2c/i2c-brcmstb.txt
-+++ /dev/null
-@@ -1,26 +0,0 @@
--Broadcom stb bsc iic master controller
--
--Required properties:
--
--- compatible: should be "brcm,brcmstb-i2c" or "brcm,brcmper-i2c"
--- clock-frequency: 32-bit decimal value of iic master clock freqency in Hz
--                 valid values are 375000, 390000, 187500, 200000
--                 93750, 97500, 46875 and 50000
--- reg: specifies the base physical address and size of the registers
--
--Optional properties :
--
--- interrupts: specifies the interrupt number, the irq line to be used
--- interrupt-names: Interrupt name string
--
--Example:
--
--bsca: i2c@f0406200 {
--      clock-frequency = <390000>;
--      compatible = "brcm,brcmstb-i2c";
--      interrupt-parent = <&irq0_intc>;
--      reg = <0xf0406200 0x58>;
--      interrupts = <0x18>;
--      interrupt-names = "upg_bsca";
--};
--
---- a/MAINTAINERS
-+++ b/MAINTAINERS
-@@ -3349,7 +3349,7 @@ L:       linux-i2c@vger.kernel.org
- L:    bcm-kernel-feedback-list@broadcom.com
- S:    Supported
- F:    drivers/i2c/busses/i2c-brcmstb.c
--F:    Documentation/devicetree/bindings/i2c/i2c-brcmstb.txt
-+F:    Documentation/devicetree/bindings/i2c/brcm,brcmstb-i2c.yaml
- BROADCOM BRCMSTB USB2 and USB3 PHY DRIVER
- M:    Al Cooper <alcooperx@gmail.com>
diff --git a/target/linux/bcm27xx/patches-5.4/950-0512-clk-bcm-rpi-Use-clk_hw_register-for-pllb_arm.patch b/target/linux/bcm27xx/patches-5.4/950-0512-clk-bcm-rpi-Use-clk_hw_register-for-pllb_arm.patch
new file mode 100644 (file)
index 0000000..55f86ae
--- /dev/null
@@ -0,0 +1,56 @@
+From 3a4163613b7f6e628e7b5a0d3a546523d1d03bb7 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 7 Feb 2020 15:40:00 +0100
+Subject: [PATCH] clk: bcm: rpi: Use clk_hw_register for pllb_arm
+
+The pllb_arm clock is defined as a fixed factor clock with the pllb clock
+as a parent. However, all its configuration is entirely static, and thus we
+don't really need to call clk_hw_register_fixed_factor() but can simply call
+clk_hw_register() with a static clk_fixed_factor structure.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: linux-clk@vger.kernel.org
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Stephen Boyd <sboyd@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 24 ++++++++++++++++++------
+ 1 file changed, 18 insertions(+), 6 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -225,16 +225,28 @@ static int raspberrypi_register_pllb(str
+       return devm_clk_hw_register(rpi->dev, &rpi->pllb);
+ }
++static struct clk_fixed_factor raspberrypi_clk_pllb_arm = {
++      .mult = 1,
++      .div = 2,
++      .hw.init = &(struct clk_init_data) {
++              .name           = "pllb_arm",
++              .parent_names   = (const char *[]){ "pllb" },
++              .num_parents    = 1,
++              .ops            = &clk_fixed_factor_ops,
++              .flags          = CLK_SET_RATE_PARENT | CLK_GET_RATE_NOCACHE,
++      },
++};
++
+ static int raspberrypi_register_pllb_arm(struct raspberrypi_clk *rpi)
+ {
+-      rpi->pllb_arm = clk_hw_register_fixed_factor(rpi->dev,
+-                              "pllb_arm", "pllb",
+-                              CLK_SET_RATE_PARENT | CLK_GET_RATE_NOCACHE,
+-                              1, 2);
+-      if (IS_ERR(rpi->pllb_arm)) {
++      int ret;
++
++      ret = clk_hw_register(rpi->dev, &raspberrypi_clk_pllb_arm.hw);
++      if (ret) {
+               dev_err(rpi->dev, "Failed to initialize pllb_arm\n");
+-              return PTR_ERR(rpi->pllb_arm);
++              return ret;
+       }
++      rpi->pllb_arm = &raspberrypi_clk_pllb_arm.hw;
+       rpi->pllb_arm_lookup = clkdev_hw_create(rpi->pllb_arm, NULL, "cpu0");
+       if (!rpi->pllb_arm_lookup) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0512-dt-bindings-i2c-brcmstb-Add-BCM2711-BSC-AUTO-I2C-bin.patch b/target/linux/bcm27xx/patches-5.4/950-0512-dt-bindings-i2c-brcmstb-Add-BCM2711-BSC-AUTO-I2C-bin.patch
deleted file mode 100644 (file)
index 2716a13..0000000
+++ /dev/null
@@ -1,96 +0,0 @@
-From 16a6810e521eaf24249085b93b467f7bdf8e8a47 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Tue, 17 Dec 2019 09:58:34 +0100
-Subject: [PATCH] dt-bindings: i2c: brcmstb: Add BCM2711 BSC/AUTO-I2C
- binding
-
-The HDMI blocks in the BCM2771 have an i2c controller to retrieve the
-EDID. This block is split into two parts, the BSC and the AUTO_I2C,
-lying in two separate register areas.
-
-The AUTO_I2C block has a mailbox-like interface and will take away the
-BSC control from the CPU if enabled. However, the BSC is the actually
-the same controller than the one supported by the brcmstb driver, and
-the AUTO_I2C doesn't really bring any immediate benefit.
-
-We can model it in the DT as a single device with two register range,
-which will allow us to use or or the other in the driver without
-changing anything in the DT.
-
-Cc: Kamal Dasu <kdasu.kdev@gmail.com>
-Cc: Wolfram Sang <wsa@the-dreams.de>
-Cc: bcm-kernel-feedback-list@broadcom.com
-Cc: linux-i2c@vger.kernel.org
-Cc: devicetree@vger.kernel.org
-Acked-by: Florian Fainelli <f.fainelli@gmail.com>
-Reviewed-by: Rob Herring <robh+dt@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- .../bindings/i2c/brcm,brcmstb-i2c.yaml        | 40 ++++++++++++++++++-
- 1 file changed, 39 insertions(+), 1 deletion(-)
-
---- a/Documentation/devicetree/bindings/i2c/brcm,brcmstb-i2c.yaml
-+++ b/Documentation/devicetree/bindings/i2c/brcm,brcmstb-i2c.yaml
-@@ -15,11 +15,21 @@ allOf:
- properties:
-   compatible:
-     enum:
-+      - brcm,bcm2711-hdmi-i2c
-       - brcm,brcmstb-i2c
-       - brcm,brcmper-i2c
-   reg:
--    maxItems: 1
-+    minItems: 1
-+    maxItems: 2
-+    items:
-+      - description: BSC register range
-+      - description: Auto-I2C register range
-+
-+  reg-names:
-+    items:
-+      - const: bsc
-+      - const: auto-i2c
-   interrupts:
-     maxItems: 1
-@@ -45,6 +55,26 @@ required:
- unevaluatedProperties: false
-+if:
-+  properties:
-+    compatible:
-+      contains:
-+        enum:
-+          - brcm,bcm2711-hdmi-i2c
-+
-+then:
-+  properties:
-+    reg:
-+      minItems: 2
-+
-+  required:
-+    - reg-names
-+
-+else:
-+  properties:
-+    reg:
-+      maxItems: 1
-+
- examples:
-   - |
-       bsca: i2c@f0406200 {
-@@ -56,4 +86,12 @@ examples:
-           interrupt-names = "upg_bsca";
-       };
-+  - |
-+      ddc0: i2c@7ef04500 {
-+          compatible = "brcm,bcm2711-hdmi-i2c";
-+          reg = <0x7ef04500 0x100>, <0x7ef00b00 0x300>;
-+          reg-names = "bsc", "auto-i2c";
-+          clock-frequency = <390000>;
-+      };
-+
- ...
diff --git a/target/linux/bcm27xx/patches-5.4/950-0513-clk-bcm-rpi-Remove-global-pllb_arm-clock-pointer.patch b/target/linux/bcm27xx/patches-5.4/950-0513-clk-bcm-rpi-Remove-global-pllb_arm-clock-pointer.patch
new file mode 100644 (file)
index 0000000..32fc94c
--- /dev/null
@@ -0,0 +1,45 @@
+From 5272507555c0ecf02c0dfd78303e86e8eb096191 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 7 Feb 2020 15:41:37 +0100
+Subject: [PATCH] clk: bcm: rpi: Remove global pllb_arm clock pointer
+
+The pllb_arm clk_hw pointer in the raspberry_clk structure isn't used
+anywhere but in the raspberrypi_register_pllb_arm.
+
+Let's remove it, this will make our lives easier in future patches.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: Stephen Boyd <sboyd@kernel.org>
+Cc: linux-clk@vger.kernel.org
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 7 +++----
+ 1 file changed, 3 insertions(+), 4 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -40,7 +40,6 @@ struct raspberrypi_clk {
+       unsigned long max_rate;
+       struct clk_hw pllb;
+-      struct clk_hw *pllb_arm;
+       struct clk_lookup *pllb_arm_lookup;
+ };
+@@ -246,12 +245,12 @@ static int raspberrypi_register_pllb_arm
+               dev_err(rpi->dev, "Failed to initialize pllb_arm\n");
+               return ret;
+       }
+-      rpi->pllb_arm = &raspberrypi_clk_pllb_arm.hw;
+-      rpi->pllb_arm_lookup = clkdev_hw_create(rpi->pllb_arm, NULL, "cpu0");
++      rpi->pllb_arm_lookup = clkdev_hw_create(&raspberrypi_clk_pllb_arm.hw,
++                                              NULL, "cpu0");
+       if (!rpi->pllb_arm_lookup) {
+               dev_err(rpi->dev, "Failed to initialize pllb_arm_lookup\n");
+-              clk_hw_unregister_fixed_factor(rpi->pllb_arm);
++              clk_hw_unregister_fixed_factor(&raspberrypi_clk_pllb_arm.hw);
+               return -ENOMEM;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0513-i2c-brcmstb-Support-BCM2711-HDMI-BSC-controllers.patch b/target/linux/bcm27xx/patches-5.4/950-0513-i2c-brcmstb-Support-BCM2711-HDMI-BSC-controllers.patch
deleted file mode 100644 (file)
index 76ce740..0000000
+++ /dev/null
@@ -1,87 +0,0 @@
-From 4633a7bc5ffc15fe24c05e52f17a72c346baab6b Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Tue, 17 Dec 2019 09:58:34 +0100
-Subject: [PATCH] i2c: brcmstb: Support BCM2711 HDMI BSC controllers
-
-The HDMI blocks in the BCM2771 have an i2c controller to retrieve the
-EDID. This block is split into two parts, the BSC and the AUTO_I2C,
-lying in two separate register areas.
-
-The AUTO_I2C block has a mailbox-like interface and will take away the
-BSC control from the CPU if enabled. However, the BSC is the actually
-the same controller than the one supported by the brcmstb driver, and
-the AUTO_I2C doesn't really bring any immediate benefit.
-
-Let's use the BSC then, but let's also tie the AUTO_I2C registers with a
-separate compatible so that we can enable AUTO_I2C if needed in the
-future.
-
-The AUTO_I2C is enabled by default at boot though, so we first need to
-release the BSC from the AUTO_I2C control.
-
-Cc: Kamal Dasu <kdasu.kdev@gmail.com>
-Cc: Wolfram Sang <wsa@the-dreams.de>
-Cc: bcm-kernel-feedback-list@broadcom.com
-Cc: linux-i2c@vger.kernel.org
-Acked-by: Florian Fainelli <f.fainelli@gmail.com>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/i2c/busses/i2c-brcmstb.c | 33 ++++++++++++++++++++++++++++++++
- 1 file changed, 33 insertions(+)
-
---- a/drivers/i2c/busses/i2c-brcmstb.c
-+++ b/drivers/i2c/busses/i2c-brcmstb.c
-@@ -580,6 +580,31 @@ static void brcmstb_i2c_set_bsc_reg_defa
-       brcmstb_i2c_set_bus_speed(dev);
- }
-+#define AUTOI2C_CTRL0         0x26c
-+#define AUTOI2C_CTRL0_RELEASE_BSC     BIT(1)
-+
-+static int bcm2711_release_bsc(struct brcmstb_i2c_dev *dev)
-+{
-+      struct platform_device *pdev = to_platform_device(dev->device);
-+      struct resource *iomem;
-+      void __iomem *autoi2c;
-+
-+      /* Map hardware registers */
-+      iomem = platform_get_resource_byname(pdev, IORESOURCE_MEM, "auto-i2c");
-+      autoi2c = devm_ioremap_resource(&pdev->dev, iomem);
-+      if (IS_ERR(autoi2c))
-+              return PTR_ERR(autoi2c);
-+
-+      writel(AUTOI2C_CTRL0_RELEASE_BSC, autoi2c + AUTOI2C_CTRL0);
-+      devm_iounmap(&pdev->dev, autoi2c);
-+
-+      /* We need to reset the controller after the release */
-+      dev->bsc_regmap->iic_enable = 0;
-+      bsc_writel(dev, dev->bsc_regmap->iic_enable, iic_enable);
-+
-+      return 0;
-+}
-+
- static int brcmstb_i2c_probe(struct platform_device *pdev)
- {
-       int rc = 0;
-@@ -609,6 +634,13 @@ static int brcmstb_i2c_probe(struct plat
-               goto probe_errorout;
-       }
-+      if (of_device_is_compatible(dev->device->of_node,
-+                                  "brcm,bcm2711-hdmi-i2c")) {
-+              rc = bcm2711_release_bsc(dev);
-+              if (rc)
-+                      goto probe_errorout;
-+      }
-+
-       rc = of_property_read_string(dev->device->of_node, "interrupt-names",
-                                    &int_name);
-       if (rc < 0)
-@@ -705,6 +737,7 @@ static SIMPLE_DEV_PM_OPS(brcmstb_i2c_pm,
- static const struct of_device_id brcmstb_i2c_of_match[] = {
-       {.compatible = "brcm,brcmstb-i2c"},
-       {.compatible = "brcm,brcmper-i2c"},
-+      {.compatible = "brcm,bcm2711-hdmi-i2c"},
-       {},
- };
- MODULE_DEVICE_TABLE(of, brcmstb_i2c_of_match);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0514-clk-bcm-rpi-Make-sure-pllb_arm-is-removed.patch b/target/linux/bcm27xx/patches-5.4/950-0514-clk-bcm-rpi-Make-sure-pllb_arm-is-removed.patch
new file mode 100644 (file)
index 0000000..425830d
--- /dev/null
@@ -0,0 +1,40 @@
+From aeb75ab90c35c7bd9778a71d606d52ac3e8ff02d Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 7 Feb 2020 15:42:40 +0100
+Subject: [PATCH] clk: bcm: rpi: Make sure pllb_arm is removed
+
+The pllb_arm clock was created at probe time, but was never removed if
+something went wrong later in probe, or if the driver was ever removed from
+the system.
+
+Now that we are using clk_hw_register, we can just use its managed variant
+to take care of that for us.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: linux-clk@vger.kernel.org
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Stephen Boyd <sboyd@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 3 +--
+ 1 file changed, 1 insertion(+), 2 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -240,7 +240,7 @@ static int raspberrypi_register_pllb_arm
+ {
+       int ret;
+-      ret = clk_hw_register(rpi->dev, &raspberrypi_clk_pllb_arm.hw);
++      ret = devm_clk_hw_register(rpi->dev, &raspberrypi_clk_pllb_arm.hw);
+       if (ret) {
+               dev_err(rpi->dev, "Failed to initialize pllb_arm\n");
+               return ret;
+@@ -250,7 +250,6 @@ static int raspberrypi_register_pllb_arm
+                                               NULL, "cpu0");
+       if (!rpi->pllb_arm_lookup) {
+               dev_err(rpi->dev, "Failed to initialize pllb_arm_lookup\n");
+-              clk_hw_unregister_fixed_factor(&raspberrypi_clk_pllb_arm.hw);
+               return -ENOMEM;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0514-i2c-brcmstb-Allow-to-compile-it-on-BCM2835.patch b/target/linux/bcm27xx/patches-5.4/950-0514-i2c-brcmstb-Allow-to-compile-it-on-BCM2835.patch
deleted file mode 100644 (file)
index a21035b..0000000
+++ /dev/null
@@ -1,31 +0,0 @@
-From ec7414dd69a7ea701d0d5676fdb32332cd5f10ec Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Tue, 14 Jan 2020 13:36:42 +0100
-Subject: [PATCH] i2c: brcmstb: Allow to compile it on BCM2835
-
-The BCM2711, supported by ARCH_BCM2835, also has a controller by the
-brcmstb driver so let's allow it to be compiled on that platform.
-
-Cc: Kamal Dasu <kdasu.kdev@gmail.com>
-Cc: Wolfram Sang <wsa@the-dreams.de>
-Cc: bcm-kernel-feedback-list@broadcom.com
-Cc: linux-i2c@vger.kernel.org
-Acked-by: Florian Fainelli <f.fainelli@gmail.com>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/i2c/busses/Kconfig | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/i2c/busses/Kconfig
-+++ b/drivers/i2c/busses/Kconfig
-@@ -491,8 +491,8 @@ config I2C_BCM_KONA
- config I2C_BRCMSTB
-       tristate "BRCM Settop/DSL I2C controller"
--      depends on ARCH_BRCMSTB || BMIPS_GENERIC || ARCH_BCM_63XX || \
--                 COMPILE_TEST
-+      depends on ARCH_BCM2835 || ARCH_BRCMSTB || BMIPS_GENERIC || \
-+                 ARCH_BCM_63XX || COMPILE_TEST
-       default y
-       help
-         If you say yes to this option, support will be included for the
diff --git a/target/linux/bcm27xx/patches-5.4/950-0515-clk-bcm-rpi-Remove-pllb_arm_lookup-global-pointer.patch b/target/linux/bcm27xx/patches-5.4/950-0515-clk-bcm-rpi-Remove-pllb_arm_lookup-global-pointer.patch
new file mode 100644 (file)
index 0000000..e193b79
--- /dev/null
@@ -0,0 +1,51 @@
+From 35be338b9532bb1fda95b9f1123758f57f785f93 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 7 Feb 2020 15:46:24 +0100
+Subject: [PATCH] clk: bcm: rpi: Remove pllb_arm_lookup global pointer
+
+The pllb_arm_lookup pointer in the struct raspberrypi_clk is not used for
+anything but to store the returned pointer to clkdev_hw_create, and is not
+used anywhere else in the driver.
+
+Let's remove that global pointer from the structure.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: linux-clk@vger.kernel.org
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Stephen Boyd <sboyd@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 8 ++++----
+ 1 file changed, 4 insertions(+), 4 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -40,7 +40,6 @@ struct raspberrypi_clk {
+       unsigned long max_rate;
+       struct clk_hw pllb;
+-      struct clk_lookup *pllb_arm_lookup;
+ };
+ /*
+@@ -238,6 +237,7 @@ static struct clk_fixed_factor raspberry
+ static int raspberrypi_register_pllb_arm(struct raspberrypi_clk *rpi)
+ {
++      struct clk_lookup *pllb_arm_lookup;
+       int ret;
+       ret = devm_clk_hw_register(rpi->dev, &raspberrypi_clk_pllb_arm.hw);
+@@ -246,9 +246,9 @@ static int raspberrypi_register_pllb_arm
+               return ret;
+       }
+-      rpi->pllb_arm_lookup = clkdev_hw_create(&raspberrypi_clk_pllb_arm.hw,
+-                                              NULL, "cpu0");
+-      if (!rpi->pllb_arm_lookup) {
++      pllb_arm_lookup = clkdev_hw_create(&raspberrypi_clk_pllb_arm.hw,
++                                         NULL, "cpu0");
++      if (!pllb_arm_lookup) {
+               dev_err(rpi->dev, "Failed to initialize pllb_arm_lookup\n");
+               return -ENOMEM;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0515-dt-bindings-clock-Add-a-binding-for-the-RPi-Firmware.patch b/target/linux/bcm27xx/patches-5.4/950-0515-dt-bindings-clock-Add-a-binding-for-the-RPi-Firmware.patch
deleted file mode 100644 (file)
index f358706..0000000
+++ /dev/null
@@ -1,63 +0,0 @@
-From 9d4360fc454056fffa9ca487270aca9179906f5d Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 13 Feb 2020 17:51:09 +0100
-Subject: [PATCH] dt-bindings: clock: Add a binding for the RPi
- Firmware clocks
-
-The firmare running on the RPi VideoCore can be used to discover and
-change the various clocks running in the BCM2711. Since devices will
-need to use them through the DT, let's add a pretty simple binding.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: Stephen Boyd <sboyd@kernel.org>
-Cc: Rob Herring <robh+dt@kernel.org>
-Cc: linux-clk@vger.kernel.org
-Cc: devicetree@vger.kernel.org
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- .../clock/raspberrypi,firmware-clocks.yaml    | 39 +++++++++++++++++++
- 1 file changed, 39 insertions(+)
- create mode 100644 Documentation/devicetree/bindings/clock/raspberrypi,firmware-clocks.yaml
-
---- /dev/null
-+++ b/Documentation/devicetree/bindings/clock/raspberrypi,firmware-clocks.yaml
-@@ -0,0 +1,39 @@
-+# SPDX-License-Identifier: (GPL-2.0-only OR BSD-2-Clause)
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/clock/raspberrypi,firmware-clocks.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: RaspberryPi Firmware Clocks Device Tree Bindings
-+
-+maintainers:
-+  - Maxime Ripard <mripard@kernel.org>
-+
-+properties:
-+  "#clock-cells":
-+    const: 1
-+
-+  compatible:
-+    const: raspberrypi,firmware-clocks
-+
-+  raspberrypi,firmware:
-+    $ref: /schemas/types.yaml#/definitions/phandle
-+    description: >
-+      Phandle to the mailbox node to communicate with the firmware.
-+
-+required:
-+  - "#clock-cells"
-+  - compatible
-+  - raspberrypi,firmware
-+
-+additionalProperties: false
-+
-+examples:
-+  - |
-+    firmware_clocks: firmware-clocks {
-+        compatible = "raspberrypi,firmware-clocks";
-+        raspberrypi,firmware = <&firmware>;
-+        #clock-cells = <1>;
-+    };
-+
-+...
diff --git a/target/linux/bcm27xx/patches-5.4/950-0516-clk-bcm-rpi-Allow-the-driver-to-be-probed-by-DT.patch b/target/linux/bcm27xx/patches-5.4/950-0516-clk-bcm-rpi-Allow-the-driver-to-be-probed-by-DT.patch
deleted file mode 100644 (file)
index d622d9d..0000000
+++ /dev/null
@@ -1,60 +0,0 @@
-From faeea753ba262e2a781570f9db23c5773c5d20e7 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 23 Dec 2019 19:58:08 +0100
-Subject: [PATCH] clk: bcm: rpi: Allow the driver to be probed by DT
-
-The current firmware clock driver for the RaspberryPi can only be probed by
-manually registering an associated platform_device.
-
-While this works fine for cpufreq where the device gets attached a clkdev
-lookup, it would be tedious to maintain a table of all the devices using
-one of the clocks exposed by the firmware.
-
-Since the DT on the other hand is the perfect place to store those
-associations, make the firmware clocks driver probe-able through the device
-tree so that we can represent it as a node.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: Stephen Boyd <sboyd@kernel.org>
-Cc: linux-clk@vger.kernel.org
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 11 ++++++++---
- 1 file changed, 8 insertions(+), 3 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -255,15 +255,13 @@ static int raspberrypi_clk_probe(struct
-       struct raspberrypi_clk *rpi;
-       int ret;
--      firmware_node = of_find_compatible_node(NULL, NULL,
--                                      "raspberrypi,bcm2835-firmware");
-+      firmware_node = of_parse_phandle(dev->of_node, "raspberrypi,firmware", 0);
-       if (!firmware_node) {
-               dev_err(dev, "Missing firmware node\n");
-               return -ENOENT;
-       }
-       firmware = rpi_firmware_get(firmware_node);
--      of_node_put(firmware_node);
-       if (!firmware)
-               return -EPROBE_DEFER;
-@@ -300,9 +298,16 @@ static int raspberrypi_clk_remove(struct
-       return 0;
- }
-+static const struct of_device_id raspberrypi_clk_match[] = {
-+        { .compatible = "raspberrypi,firmware-clocks" },
-+        { },
-+};
-+MODULE_DEVICE_TABLE(of, raspberrypi_clk_match);
-+
- static struct platform_driver raspberrypi_clk_driver = {
-       .driver = {
-               .name = "raspberrypi-clk",
-+              .of_match_table = raspberrypi_clk_match,
-       },
-       .probe          = raspberrypi_clk_probe,
-       .remove         = raspberrypi_clk_remove,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0516-clk-bcm-rpi-Switch-to-clk_hw_register_clkdev.patch b/target/linux/bcm27xx/patches-5.4/950-0516-clk-bcm-rpi-Switch-to-clk_hw_register_clkdev.patch
new file mode 100644 (file)
index 0000000..e4a129f
--- /dev/null
@@ -0,0 +1,45 @@
+From 2d1c30a79cb9d390d2425852ff8c9527c31b3ab8 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Wed, 12 Feb 2020 14:21:45 +0100
+Subject: [PATCH] clk: bcm: rpi: Switch to clk_hw_register_clkdev
+
+Since we don't care about retrieving the clk_lookup structure pointer
+returned by clkdev_hw_create, we can just use the clk_hw_register_clkdev
+function.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: linux-clk@vger.kernel.org
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Stephen Boyd <sboyd@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 11 +++++------
+ 1 file changed, 5 insertions(+), 6 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -237,7 +237,6 @@ static struct clk_fixed_factor raspberry
+ static int raspberrypi_register_pllb_arm(struct raspberrypi_clk *rpi)
+ {
+-      struct clk_lookup *pllb_arm_lookup;
+       int ret;
+       ret = devm_clk_hw_register(rpi->dev, &raspberrypi_clk_pllb_arm.hw);
+@@ -246,11 +245,11 @@ static int raspberrypi_register_pllb_arm
+               return ret;
+       }
+-      pllb_arm_lookup = clkdev_hw_create(&raspberrypi_clk_pllb_arm.hw,
+-                                         NULL, "cpu0");
+-      if (!pllb_arm_lookup) {
+-              dev_err(rpi->dev, "Failed to initialize pllb_arm_lookup\n");
+-              return -ENOMEM;
++      ret = clk_hw_register_clkdev(&raspberrypi_clk_pllb_arm.hw,
++                                   NULL, "cpu0");
++      if (ret) {
++              dev_err(rpi->dev, "Failed to initialize clkdev\n");
++              return ret;
+       }
+       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0517-clk-bcm-rpi-Make-sure-the-clkdev-lookup-is-removed.patch b/target/linux/bcm27xx/patches-5.4/950-0517-clk-bcm-rpi-Make-sure-the-clkdev-lookup-is-removed.patch
new file mode 100644 (file)
index 0000000..7c88779
--- /dev/null
@@ -0,0 +1,34 @@
+From 31fe609e3f5f9d4e52f0f88f8ebfd20fb606c672 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 7 Feb 2020 15:47:13 +0100
+Subject: [PATCH] clk: bcm: rpi: Make sure the clkdev lookup is removed
+
+The clkdev lookup created for the cpufreq device is never removed if
+there's an issue later in probe or at module removal time.
+
+Let's convert to the managed variant of the clk_hw_register_clkdev function
+to make sure it happens.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: linux-clk@vger.kernel.org
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Stephen Boyd <sboyd@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 5 +++--
+ 1 file changed, 3 insertions(+), 2 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -245,8 +245,9 @@ static int raspberrypi_register_pllb_arm
+               return ret;
+       }
+-      ret = clk_hw_register_clkdev(&raspberrypi_clk_pllb_arm.hw,
+-                                   NULL, "cpu0");
++      ret = devm_clk_hw_register_clkdev(rpi->dev,
++                                        &raspberrypi_clk_pllb_arm.hw,
++                                        NULL, "cpu0");
+       if (ret) {
+               dev_err(rpi->dev, "Failed to initialize clkdev\n");
+               return ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0517-clk-bcm-rpi-Statically-init-clk_init_data.patch b/target/linux/bcm27xx/patches-5.4/950-0517-clk-bcm-rpi-Statically-init-clk_init_data.patch
deleted file mode 100644 (file)
index 5c7cb2b..0000000
+++ /dev/null
@@ -1,32 +0,0 @@
-From 7916b5f66f3becb9f223e8a6d8c0a6c0edd8964c Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 7 Feb 2020 14:17:54 +0100
-Subject: [PATCH] clk: bcm: rpi: Statically init clk_init_data
-
-Instead of declaring the clk_init_data and then calling memset on it, just
-initialise properly.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: Stephen Boyd <sboyd@kernel.org>
-Cc: linux-clk@vger.kernel.org
-Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 3 +--
- 1 file changed, 1 insertion(+), 2 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -175,11 +175,10 @@ static const struct clk_ops raspberrypi_
- static int raspberrypi_register_pllb(struct raspberrypi_clk *rpi)
- {
-+      struct clk_init_data init = {};
-       u32 min_rate = 0, max_rate = 0;
--      struct clk_init_data init;
-       int ret;
--      memset(&init, 0, sizeof(init));
-       /* All of the PLLs derive from the external oscillator. */
-       init.parent_names = (const char *[]){ "osc" };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0518-clk-bcm-rpi-Create-a-data-structure-for-the-clocks.patch b/target/linux/bcm27xx/patches-5.4/950-0518-clk-bcm-rpi-Create-a-data-structure-for-the-clocks.patch
new file mode 100644 (file)
index 0000000..85b6f4a
--- /dev/null
@@ -0,0 +1,126 @@
+From 8af8b61bf6b5689af9f29f0e04e57c832dad0406 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 7 Feb 2020 16:01:33 +0100
+Subject: [PATCH] clk: bcm: rpi: Create a data structure for the clocks
+
+So far the driver has really only been providing a single clock, and stored
+both the data associated to that clock in particular with the data
+associated to the "controller".
+
+Since we will change that in the future, let's decouple the clock data from
+the provider data.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: linux-clk@vger.kernel.org
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Stephen Boyd <sboyd@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 40 ++++++++++++++++++++-----------
+ 1 file changed, 26 insertions(+), 14 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -35,11 +35,15 @@ struct raspberrypi_clk {
+       struct device *dev;
+       struct rpi_firmware *firmware;
+       struct platform_device *cpufreq;
++};
++
++struct raspberrypi_clk_data {
++      struct clk_hw hw;
+       unsigned long min_rate;
+       unsigned long max_rate;
+-      struct clk_hw pllb;
++      struct raspberrypi_clk *rpi;
+ };
+ /*
+@@ -83,8 +87,9 @@ static int raspberrypi_clock_property(st
+ static int raspberrypi_fw_pll_is_on(struct clk_hw *hw)
+ {
+-      struct raspberrypi_clk *rpi = container_of(hw, struct raspberrypi_clk,
+-                                                 pllb);
++      struct raspberrypi_clk_data *data =
++              container_of(hw, struct raspberrypi_clk_data, hw);
++      struct raspberrypi_clk *rpi = data->rpi;
+       u32 val = 0;
+       int ret;
+@@ -101,8 +106,9 @@ static int raspberrypi_fw_pll_is_on(stru
+ static unsigned long raspberrypi_fw_pll_get_rate(struct clk_hw *hw,
+                                                unsigned long parent_rate)
+ {
+-      struct raspberrypi_clk *rpi = container_of(hw, struct raspberrypi_clk,
+-                                                 pllb);
++      struct raspberrypi_clk_data *data =
++              container_of(hw, struct raspberrypi_clk_data, hw);
++      struct raspberrypi_clk *rpi = data->rpi;
+       u32 val = 0;
+       int ret;
+@@ -119,8 +125,9 @@ static unsigned long raspberrypi_fw_pll_
+ static int raspberrypi_fw_pll_set_rate(struct clk_hw *hw, unsigned long rate,
+                                      unsigned long parent_rate)
+ {
+-      struct raspberrypi_clk *rpi = container_of(hw, struct raspberrypi_clk,
+-                                                 pllb);
++      struct raspberrypi_clk_data *data =
++              container_of(hw, struct raspberrypi_clk_data, hw);
++      struct raspberrypi_clk *rpi = data->rpi;
+       u32 new_rate = rate / RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
+       int ret;
+@@ -142,13 +149,13 @@ static int raspberrypi_fw_pll_set_rate(s
+ static int raspberrypi_pll_determine_rate(struct clk_hw *hw,
+                                         struct clk_rate_request *req)
+ {
+-      struct raspberrypi_clk *rpi = container_of(hw, struct raspberrypi_clk,
+-                                                 pllb);
++      struct raspberrypi_clk_data *data =
++              container_of(hw, struct raspberrypi_clk_data, hw);
+       u64 div, final_rate;
+       u32 ndiv, fdiv;
+       /* We can't use req->rate directly as it would overflow */
+-      final_rate = clamp(req->rate, rpi->min_rate, rpi->max_rate);
++      final_rate = clamp(req->rate, data->min_rate, data->max_rate);
+       div = (u64)final_rate << A2W_PLL_FRAC_BITS;
+       do_div(div, req->best_parent_rate);
+@@ -173,10 +180,15 @@ static const struct clk_ops raspberrypi_
+ static int raspberrypi_register_pllb(struct raspberrypi_clk *rpi)
+ {
++      struct raspberrypi_clk_data *data;
+       struct clk_init_data init = {};
+       u32 min_rate = 0, max_rate = 0;
+       int ret;
++      data = devm_kzalloc(rpi->dev, sizeof(*data), GFP_KERNEL);
++      if (!data)
++              return -ENOMEM;
++      data->rpi = rpi;
+       /* All of the PLLs derive from the external oscillator. */
+       init.parent_names = (const char *[]){ "osc" };
+@@ -215,12 +227,12 @@ static int raspberrypi_register_pllb(str
+       dev_info(rpi->dev, "CPU frequency range: min %u, max %u\n",
+                min_rate, max_rate);
+-      rpi->min_rate = min_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
+-      rpi->max_rate = max_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
++      data->min_rate = min_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
++      data->max_rate = max_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
+-      rpi->pllb.init = &init;
++      data->hw.init = &init;
+-      return devm_clk_hw_register(rpi->dev, &rpi->pllb);
++      return devm_clk_hw_register(rpi->dev, &data->hw);
+ }
+ static struct clk_fixed_factor raspberrypi_clk_pllb_arm = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0518-clk-bcm-rpi-Use-clk_hw_register-for-pllb_arm.patch b/target/linux/bcm27xx/patches-5.4/950-0518-clk-bcm-rpi-Use-clk_hw_register-for-pllb_arm.patch
deleted file mode 100644 (file)
index 55f86ae..0000000
+++ /dev/null
@@ -1,56 +0,0 @@
-From 3a4163613b7f6e628e7b5a0d3a546523d1d03bb7 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 7 Feb 2020 15:40:00 +0100
-Subject: [PATCH] clk: bcm: rpi: Use clk_hw_register for pllb_arm
-
-The pllb_arm clock is defined as a fixed factor clock with the pllb clock
-as a parent. However, all its configuration is entirely static, and thus we
-don't really need to call clk_hw_register_fixed_factor() but can simply call
-clk_hw_register() with a static clk_fixed_factor structure.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: linux-clk@vger.kernel.org
-Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Stephen Boyd <sboyd@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 24 ++++++++++++++++++------
- 1 file changed, 18 insertions(+), 6 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -225,16 +225,28 @@ static int raspberrypi_register_pllb(str
-       return devm_clk_hw_register(rpi->dev, &rpi->pllb);
- }
-+static struct clk_fixed_factor raspberrypi_clk_pllb_arm = {
-+      .mult = 1,
-+      .div = 2,
-+      .hw.init = &(struct clk_init_data) {
-+              .name           = "pllb_arm",
-+              .parent_names   = (const char *[]){ "pllb" },
-+              .num_parents    = 1,
-+              .ops            = &clk_fixed_factor_ops,
-+              .flags          = CLK_SET_RATE_PARENT | CLK_GET_RATE_NOCACHE,
-+      },
-+};
-+
- static int raspberrypi_register_pllb_arm(struct raspberrypi_clk *rpi)
- {
--      rpi->pllb_arm = clk_hw_register_fixed_factor(rpi->dev,
--                              "pllb_arm", "pllb",
--                              CLK_SET_RATE_PARENT | CLK_GET_RATE_NOCACHE,
--                              1, 2);
--      if (IS_ERR(rpi->pllb_arm)) {
-+      int ret;
-+
-+      ret = clk_hw_register(rpi->dev, &raspberrypi_clk_pllb_arm.hw);
-+      if (ret) {
-               dev_err(rpi->dev, "Failed to initialize pllb_arm\n");
--              return PTR_ERR(rpi->pllb_arm);
-+              return ret;
-       }
-+      rpi->pllb_arm = &raspberrypi_clk_pllb_arm.hw;
-       rpi->pllb_arm_lookup = clkdev_hw_create(rpi->pllb_arm, NULL, "cpu0");
-       if (!rpi->pllb_arm_lookup) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0519-clk-bcm-rpi-Add-clock-id-to-data.patch b/target/linux/bcm27xx/patches-5.4/950-0519-clk-bcm-rpi-Add-clock-id-to-data.patch
new file mode 100644 (file)
index 0000000..09f023e
--- /dev/null
@@ -0,0 +1,86 @@
+From 98d529ffea66937e8a9ba8b69172bb9c599cfa39 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 7 Feb 2020 16:04:16 +0100
+Subject: [PATCH] clk: bcm: rpi: Add clock id to data
+
+The driver has really only supported one clock so far and has hardcoded the
+ID used in communications with the firmware in all the functions
+implementing the clock framework hooks. Let's store that in the clock data
+structure so that we can support more clocks later on.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: linux-clk@vger.kernel.org
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Stephen Boyd <sboyd@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 16 +++++++---------
+ 1 file changed, 7 insertions(+), 9 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -39,6 +39,7 @@ struct raspberrypi_clk {
+ struct raspberrypi_clk_data {
+       struct clk_hw hw;
++      unsigned id;
+       unsigned long min_rate;
+       unsigned long max_rate;
+@@ -95,7 +96,7 @@ static int raspberrypi_fw_pll_is_on(stru
+       ret = raspberrypi_clock_property(rpi->firmware,
+                                        RPI_FIRMWARE_GET_CLOCK_STATE,
+-                                       RPI_FIRMWARE_ARM_CLK_ID, &val);
++                                       data->id, &val);
+       if (ret)
+               return 0;
+@@ -114,8 +115,7 @@ static unsigned long raspberrypi_fw_pll_
+       ret = raspberrypi_clock_property(rpi->firmware,
+                                        RPI_FIRMWARE_GET_CLOCK_RATE,
+-                                       RPI_FIRMWARE_ARM_CLK_ID,
+-                                       &val);
++                                       data->id, &val);
+       if (ret)
+               return ret;
+@@ -133,8 +133,7 @@ static int raspberrypi_fw_pll_set_rate(s
+       ret = raspberrypi_clock_property(rpi->firmware,
+                                        RPI_FIRMWARE_SET_CLOCK_RATE,
+-                                       RPI_FIRMWARE_ARM_CLK_ID,
+-                                       &new_rate);
++                                       data->id, &new_rate);
+       if (ret)
+               dev_err_ratelimited(rpi->dev, "Failed to change %s frequency: %d",
+                                   clk_hw_get_name(hw), ret);
+@@ -189,6 +188,7 @@ static int raspberrypi_register_pllb(str
+       if (!data)
+               return -ENOMEM;
+       data->rpi = rpi;
++      data->id = RPI_FIRMWARE_ARM_CLK_ID;
+       /* All of the PLLs derive from the external oscillator. */
+       init.parent_names = (const char *[]){ "osc" };
+@@ -200,8 +200,7 @@ static int raspberrypi_register_pllb(str
+       /* Get min & max rates set by the firmware */
+       ret = raspberrypi_clock_property(rpi->firmware,
+                                        RPI_FIRMWARE_GET_MIN_CLOCK_RATE,
+-                                       RPI_FIRMWARE_ARM_CLK_ID,
+-                                       &min_rate);
++                                       data->id, &min_rate);
+       if (ret) {
+               dev_err(rpi->dev, "Failed to get %s min freq: %d\n",
+                       init.name, ret);
+@@ -210,8 +209,7 @@ static int raspberrypi_register_pllb(str
+       ret = raspberrypi_clock_property(rpi->firmware,
+                                        RPI_FIRMWARE_GET_MAX_CLOCK_RATE,
+-                                       RPI_FIRMWARE_ARM_CLK_ID,
+-                                       &max_rate);
++                                       data->id, &max_rate);
+       if (ret) {
+               dev_err(rpi->dev, "Failed to get %s max freq: %d\n",
+                       init.name, ret);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0519-clk-bcm-rpi-Remove-global-pllb_arm-clock-pointer.patch b/target/linux/bcm27xx/patches-5.4/950-0519-clk-bcm-rpi-Remove-global-pllb_arm-clock-pointer.patch
deleted file mode 100644 (file)
index 32fc94c..0000000
+++ /dev/null
@@ -1,45 +0,0 @@
-From 5272507555c0ecf02c0dfd78303e86e8eb096191 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 7 Feb 2020 15:41:37 +0100
-Subject: [PATCH] clk: bcm: rpi: Remove global pllb_arm clock pointer
-
-The pllb_arm clk_hw pointer in the raspberry_clk structure isn't used
-anywhere but in the raspberrypi_register_pllb_arm.
-
-Let's remove it, this will make our lives easier in future patches.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: Stephen Boyd <sboyd@kernel.org>
-Cc: linux-clk@vger.kernel.org
-Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 7 +++----
- 1 file changed, 3 insertions(+), 4 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -40,7 +40,6 @@ struct raspberrypi_clk {
-       unsigned long max_rate;
-       struct clk_hw pllb;
--      struct clk_hw *pllb_arm;
-       struct clk_lookup *pllb_arm_lookup;
- };
-@@ -246,12 +245,12 @@ static int raspberrypi_register_pllb_arm
-               dev_err(rpi->dev, "Failed to initialize pllb_arm\n");
-               return ret;
-       }
--      rpi->pllb_arm = &raspberrypi_clk_pllb_arm.hw;
--      rpi->pllb_arm_lookup = clkdev_hw_create(rpi->pllb_arm, NULL, "cpu0");
-+      rpi->pllb_arm_lookup = clkdev_hw_create(&raspberrypi_clk_pllb_arm.hw,
-+                                              NULL, "cpu0");
-       if (!rpi->pllb_arm_lookup) {
-               dev_err(rpi->dev, "Failed to initialize pllb_arm_lookup\n");
--              clk_hw_unregister_fixed_factor(rpi->pllb_arm);
-+              clk_hw_unregister_fixed_factor(&raspberrypi_clk_pllb_arm.hw);
-               return -ENOMEM;
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0520-clk-bcm-rpi-Make-sure-pllb_arm-is-removed.patch b/target/linux/bcm27xx/patches-5.4/950-0520-clk-bcm-rpi-Make-sure-pllb_arm-is-removed.patch
deleted file mode 100644 (file)
index 425830d..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-From aeb75ab90c35c7bd9778a71d606d52ac3e8ff02d Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 7 Feb 2020 15:42:40 +0100
-Subject: [PATCH] clk: bcm: rpi: Make sure pllb_arm is removed
-
-The pllb_arm clock was created at probe time, but was never removed if
-something went wrong later in probe, or if the driver was ever removed from
-the system.
-
-Now that we are using clk_hw_register, we can just use its managed variant
-to take care of that for us.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: linux-clk@vger.kernel.org
-Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Stephen Boyd <sboyd@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 3 +--
- 1 file changed, 1 insertion(+), 2 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -240,7 +240,7 @@ static int raspberrypi_register_pllb_arm
- {
-       int ret;
--      ret = clk_hw_register(rpi->dev, &raspberrypi_clk_pllb_arm.hw);
-+      ret = devm_clk_hw_register(rpi->dev, &raspberrypi_clk_pllb_arm.hw);
-       if (ret) {
-               dev_err(rpi->dev, "Failed to initialize pllb_arm\n");
-               return ret;
-@@ -250,7 +250,6 @@ static int raspberrypi_register_pllb_arm
-                                               NULL, "cpu0");
-       if (!rpi->pllb_arm_lookup) {
-               dev_err(rpi->dev, "Failed to initialize pllb_arm_lookup\n");
--              clk_hw_unregister_fixed_factor(&raspberrypi_clk_pllb_arm.hw);
-               return -ENOMEM;
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0520-clk-bcm-rpi-Pass-the-clocks-data-to-the-firmware-fun.patch b/target/linux/bcm27xx/patches-5.4/950-0520-clk-bcm-rpi-Pass-the-clocks-data-to-the-firmware-fun.patch
new file mode 100644 (file)
index 0000000..7822ff0
--- /dev/null
@@ -0,0 +1,96 @@
+From 1231dbeb8bfeda68c53854cc68016acd74665079 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 7 Feb 2020 16:08:17 +0100
+Subject: [PATCH] clk: bcm: rpi: Pass the clocks data to the firmware
+ function
+
+The raspberry_clock_property only takes the clock ID as an argument, but
+now that we have a clock data structure it makes more sense to just pass
+that structure instead.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: Stephen Boyd <sboyd@kernel.org>
+Cc: linux-clk@vger.kernel.org
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 29 ++++++++++++++---------------
+ 1 file changed, 14 insertions(+), 15 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -67,11 +67,12 @@ struct raspberrypi_firmware_prop {
+       __le32 disable_turbo;
+ } __packed;
+-static int raspberrypi_clock_property(struct rpi_firmware *firmware, u32 tag,
+-                                    u32 clk, u32 *val)
++static int raspberrypi_clock_property(struct rpi_firmware *firmware,
++                                    const struct raspberrypi_clk_data *data,
++                                    u32 tag, u32 *val)
+ {
+       struct raspberrypi_firmware_prop msg = {
+-              .id = cpu_to_le32(clk),
++              .id = cpu_to_le32(data->id),
+               .val = cpu_to_le32(*val),
+               .disable_turbo = cpu_to_le32(1),
+       };
+@@ -94,9 +95,8 @@ static int raspberrypi_fw_pll_is_on(stru
+       u32 val = 0;
+       int ret;
+-      ret = raspberrypi_clock_property(rpi->firmware,
+-                                       RPI_FIRMWARE_GET_CLOCK_STATE,
+-                                       data->id, &val);
++      ret = raspberrypi_clock_property(rpi->firmware, data,
++                                       RPI_FIRMWARE_GET_CLOCK_STATE, &val);
+       if (ret)
+               return 0;
+@@ -113,9 +113,8 @@ static unsigned long raspberrypi_fw_pll_
+       u32 val = 0;
+       int ret;
+-      ret = raspberrypi_clock_property(rpi->firmware,
+-                                       RPI_FIRMWARE_GET_CLOCK_RATE,
+-                                       data->id, &val);
++      ret = raspberrypi_clock_property(rpi->firmware, data,
++                                       RPI_FIRMWARE_GET_CLOCK_RATE, &val);
+       if (ret)
+               return ret;
+@@ -131,9 +130,9 @@ static int raspberrypi_fw_pll_set_rate(s
+       u32 new_rate = rate / RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
+       int ret;
+-      ret = raspberrypi_clock_property(rpi->firmware,
++      ret = raspberrypi_clock_property(rpi->firmware, data,
+                                        RPI_FIRMWARE_SET_CLOCK_RATE,
+-                                       data->id, &new_rate);
++                                       &new_rate);
+       if (ret)
+               dev_err_ratelimited(rpi->dev, "Failed to change %s frequency: %d",
+                                   clk_hw_get_name(hw), ret);
+@@ -198,18 +197,18 @@ static int raspberrypi_register_pllb(str
+       init.flags = CLK_GET_RATE_NOCACHE | CLK_IGNORE_UNUSED;
+       /* Get min & max rates set by the firmware */
+-      ret = raspberrypi_clock_property(rpi->firmware,
++      ret = raspberrypi_clock_property(rpi->firmware, data,
+                                        RPI_FIRMWARE_GET_MIN_CLOCK_RATE,
+-                                       data->id, &min_rate);
++                                       &min_rate);
+       if (ret) {
+               dev_err(rpi->dev, "Failed to get %s min freq: %d\n",
+                       init.name, ret);
+               return ret;
+       }
+-      ret = raspberrypi_clock_property(rpi->firmware,
++      ret = raspberrypi_clock_property(rpi->firmware, data,
+                                        RPI_FIRMWARE_GET_MAX_CLOCK_RATE,
+-                                       data->id, &max_rate);
++                                       &max_rate);
+       if (ret) {
+               dev_err(rpi->dev, "Failed to get %s max freq: %d\n",
+                       init.name, ret);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0521-clk-bcm-rpi-Remove-pllb_arm_lookup-global-pointer.patch b/target/linux/bcm27xx/patches-5.4/950-0521-clk-bcm-rpi-Remove-pllb_arm_lookup-global-pointer.patch
deleted file mode 100644 (file)
index e193b79..0000000
+++ /dev/null
@@ -1,51 +0,0 @@
-From 35be338b9532bb1fda95b9f1123758f57f785f93 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 7 Feb 2020 15:46:24 +0100
-Subject: [PATCH] clk: bcm: rpi: Remove pllb_arm_lookup global pointer
-
-The pllb_arm_lookup pointer in the struct raspberrypi_clk is not used for
-anything but to store the returned pointer to clkdev_hw_create, and is not
-used anywhere else in the driver.
-
-Let's remove that global pointer from the structure.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: linux-clk@vger.kernel.org
-Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Stephen Boyd <sboyd@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 8 ++++----
- 1 file changed, 4 insertions(+), 4 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -40,7 +40,6 @@ struct raspberrypi_clk {
-       unsigned long max_rate;
-       struct clk_hw pllb;
--      struct clk_lookup *pllb_arm_lookup;
- };
- /*
-@@ -238,6 +237,7 @@ static struct clk_fixed_factor raspberry
- static int raspberrypi_register_pllb_arm(struct raspberrypi_clk *rpi)
- {
-+      struct clk_lookup *pllb_arm_lookup;
-       int ret;
-       ret = devm_clk_hw_register(rpi->dev, &raspberrypi_clk_pllb_arm.hw);
-@@ -246,9 +246,9 @@ static int raspberrypi_register_pllb_arm
-               return ret;
-       }
--      rpi->pllb_arm_lookup = clkdev_hw_create(&raspberrypi_clk_pllb_arm.hw,
--                                              NULL, "cpu0");
--      if (!rpi->pllb_arm_lookup) {
-+      pllb_arm_lookup = clkdev_hw_create(&raspberrypi_clk_pllb_arm.hw,
-+                                         NULL, "cpu0");
-+      if (!pllb_arm_lookup) {
-               dev_err(rpi->dev, "Failed to initialize pllb_arm_lookup\n");
-               return -ENOMEM;
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0521-clk-bcm-rpi-Rename-is_prepared-function.patch b/target/linux/bcm27xx/patches-5.4/950-0521-clk-bcm-rpi-Rename-is_prepared-function.patch
new file mode 100644 (file)
index 0000000..1a6c981
--- /dev/null
@@ -0,0 +1,40 @@
+From c37a4cc3fc34b6c53c331d0d079df322082ff183 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 20 Feb 2020 12:45:47 +0100
+Subject: [PATCH] clk: bcm: rpi: Rename is_prepared function
+
+The raspberrypi_fw_pll_is_on function doesn't only apply to PLL
+registered in the driver, but any clock exposed by the firmware.
+
+Since we also implement the is_prepared hook, make the function
+consistent with the other function names.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: linux-clk@vger.kernel.org
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Stephen Boyd <sboyd@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -87,7 +87,7 @@ static int raspberrypi_clock_property(st
+       return 0;
+ }
+-static int raspberrypi_fw_pll_is_on(struct clk_hw *hw)
++static int raspberrypi_fw_is_prepared(struct clk_hw *hw)
+ {
+       struct raspberrypi_clk_data *data =
+               container_of(hw, struct raspberrypi_clk_data, hw);
+@@ -170,7 +170,7 @@ static int raspberrypi_pll_determine_rat
+ }
+ static const struct clk_ops raspberrypi_firmware_pll_clk_ops = {
+-      .is_prepared = raspberrypi_fw_pll_is_on,
++      .is_prepared = raspberrypi_fw_is_prepared,
+       .recalc_rate = raspberrypi_fw_pll_get_rate,
+       .set_rate = raspberrypi_fw_pll_set_rate,
+       .determine_rate = raspberrypi_pll_determine_rate,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0522-clk-bcm-rpi-Split-pllb-clock-hooks.patch b/target/linux/bcm27xx/patches-5.4/950-0522-clk-bcm-rpi-Split-pllb-clock-hooks.patch
new file mode 100644 (file)
index 0000000..38720b8
--- /dev/null
@@ -0,0 +1,80 @@
+From e2537b383e247198347e7124876b9ead531dbeef Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 7 Feb 2020 16:14:18 +0100
+Subject: [PATCH] clk: bcm: rpi: Split pllb clock hooks
+
+The driver only supports the pllb for now and all the clock framework hooks
+are a mix of the generic firmware interface and the specifics of the pllb.
+Since we will support more clocks in the future let's split the generic and
+specific hooks
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: linux-clk@vger.kernel.org
+Reviewed-by: Stephen Boyd <sboyd@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 30 ++++++++++++++++++++++--------
+ 1 file changed, 22 insertions(+), 8 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -104,8 +104,8 @@ static int raspberrypi_fw_is_prepared(st
+ }
+-static unsigned long raspberrypi_fw_pll_get_rate(struct clk_hw *hw,
+-                                               unsigned long parent_rate)
++static unsigned long raspberrypi_fw_get_rate(struct clk_hw *hw,
++                                           unsigned long parent_rate)
+ {
+       struct raspberrypi_clk_data *data =
+               container_of(hw, struct raspberrypi_clk_data, hw);
+@@ -118,21 +118,27 @@ static unsigned long raspberrypi_fw_pll_
+       if (ret)
+               return ret;
+-      return val * RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
++      return val;
+ }
+-static int raspberrypi_fw_pll_set_rate(struct clk_hw *hw, unsigned long rate,
+-                                     unsigned long parent_rate)
++static unsigned long raspberrypi_fw_pll_get_rate(struct clk_hw *hw,
++                                               unsigned long parent_rate)
++{
++      return raspberrypi_fw_get_rate(hw, parent_rate) *
++              RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
++}
++
++static int raspberrypi_fw_set_rate(struct clk_hw *hw, unsigned long rate,
++                                 unsigned long parent_rate)
+ {
+       struct raspberrypi_clk_data *data =
+               container_of(hw, struct raspberrypi_clk_data, hw);
+       struct raspberrypi_clk *rpi = data->rpi;
+-      u32 new_rate = rate / RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
++      u32 _rate = rate;
+       int ret;
+       ret = raspberrypi_clock_property(rpi->firmware, data,
+-                                       RPI_FIRMWARE_SET_CLOCK_RATE,
+-                                       &new_rate);
++                                       RPI_FIRMWARE_SET_CLOCK_RATE, &_rate);
+       if (ret)
+               dev_err_ratelimited(rpi->dev, "Failed to change %s frequency: %d",
+                                   clk_hw_get_name(hw), ret);
+@@ -140,6 +146,14 @@ static int raspberrypi_fw_pll_set_rate(s
+       return ret;
+ }
++static int raspberrypi_fw_pll_set_rate(struct clk_hw *hw, unsigned long rate,
++                                     unsigned long parent_rate)
++{
++      u32 new_rate = rate / RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
++
++      return raspberrypi_fw_set_rate(hw, new_rate, parent_rate);
++}
++
+ /*
+  * Sadly there is no firmware rate rounding interface. We borrowed it from
+  * clk-bcm2835.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0522-clk-bcm-rpi-Switch-to-clk_hw_register_clkdev.patch b/target/linux/bcm27xx/patches-5.4/950-0522-clk-bcm-rpi-Switch-to-clk_hw_register_clkdev.patch
deleted file mode 100644 (file)
index e4a129f..0000000
+++ /dev/null
@@ -1,45 +0,0 @@
-From 2d1c30a79cb9d390d2425852ff8c9527c31b3ab8 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Wed, 12 Feb 2020 14:21:45 +0100
-Subject: [PATCH] clk: bcm: rpi: Switch to clk_hw_register_clkdev
-
-Since we don't care about retrieving the clk_lookup structure pointer
-returned by clkdev_hw_create, we can just use the clk_hw_register_clkdev
-function.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: linux-clk@vger.kernel.org
-Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Stephen Boyd <sboyd@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 11 +++++------
- 1 file changed, 5 insertions(+), 6 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -237,7 +237,6 @@ static struct clk_fixed_factor raspberry
- static int raspberrypi_register_pllb_arm(struct raspberrypi_clk *rpi)
- {
--      struct clk_lookup *pllb_arm_lookup;
-       int ret;
-       ret = devm_clk_hw_register(rpi->dev, &raspberrypi_clk_pllb_arm.hw);
-@@ -246,11 +245,11 @@ static int raspberrypi_register_pllb_arm
-               return ret;
-       }
--      pllb_arm_lookup = clkdev_hw_create(&raspberrypi_clk_pllb_arm.hw,
--                                         NULL, "cpu0");
--      if (!pllb_arm_lookup) {
--              dev_err(rpi->dev, "Failed to initialize pllb_arm_lookup\n");
--              return -ENOMEM;
-+      ret = clk_hw_register_clkdev(&raspberrypi_clk_pllb_arm.hw,
-+                                   NULL, "cpu0");
-+      if (ret) {
-+              dev_err(rpi->dev, "Failed to initialize clkdev\n");
-+              return ret;
-       }
-       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0523-clk-bcm-rpi-Make-sure-the-clkdev-lookup-is-removed.patch b/target/linux/bcm27xx/patches-5.4/950-0523-clk-bcm-rpi-Make-sure-the-clkdev-lookup-is-removed.patch
deleted file mode 100644 (file)
index 7c88779..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From 31fe609e3f5f9d4e52f0f88f8ebfd20fb606c672 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 7 Feb 2020 15:47:13 +0100
-Subject: [PATCH] clk: bcm: rpi: Make sure the clkdev lookup is removed
-
-The clkdev lookup created for the cpufreq device is never removed if
-there's an issue later in probe or at module removal time.
-
-Let's convert to the managed variant of the clk_hw_register_clkdev function
-to make sure it happens.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: linux-clk@vger.kernel.org
-Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Stephen Boyd <sboyd@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 5 +++--
- 1 file changed, 3 insertions(+), 2 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -245,8 +245,9 @@ static int raspberrypi_register_pllb_arm
-               return ret;
-       }
--      ret = clk_hw_register_clkdev(&raspberrypi_clk_pllb_arm.hw,
--                                   NULL, "cpu0");
-+      ret = devm_clk_hw_register_clkdev(rpi->dev,
-+                                        &raspberrypi_clk_pllb_arm.hw,
-+                                        NULL, "cpu0");
-       if (ret) {
-               dev_err(rpi->dev, "Failed to initialize clkdev\n");
-               return ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0523-clk-bcm-rpi-Make-the-PLLB-registration-function-retu.patch b/target/linux/bcm27xx/patches-5.4/950-0523-clk-bcm-rpi-Make-the-PLLB-registration-function-retu.patch
new file mode 100644 (file)
index 0000000..633cf18
--- /dev/null
@@ -0,0 +1,144 @@
+From 5272bad5ff927362e5d12da82eb819a8d1444da6 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 7 Feb 2020 16:30:01 +0100
+Subject: [PATCH] clk: bcm: rpi: Make the PLLB registration function
+ return a clk_hw
+
+The raspberrypi_register_pllb has been returning an integer so far to
+notify whether the functions has exited successfully or not.
+
+However, the OF provider functions in the clock framework require access to
+the clk_hw structure so that we can expose those clocks to device tree
+consumers.
+
+Since we'll want that for the future clocks, let's return a clk_hw pointer
+instead of the return code.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: linux-clk@vger.kernel.org
+Reviewed-by: Stephen Boyd <sboyd@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 40 +++++++++++++++++--------------
+ 1 file changed, 22 insertions(+), 18 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -190,7 +190,7 @@ static const struct clk_ops raspberrypi_
+       .determine_rate = raspberrypi_pll_determine_rate,
+ };
+-static int raspberrypi_register_pllb(struct raspberrypi_clk *rpi)
++static struct clk_hw *raspberrypi_register_pllb(struct raspberrypi_clk *rpi)
+ {
+       struct raspberrypi_clk_data *data;
+       struct clk_init_data init = {};
+@@ -199,7 +199,7 @@ static int raspberrypi_register_pllb(str
+       data = devm_kzalloc(rpi->dev, sizeof(*data), GFP_KERNEL);
+       if (!data)
+-              return -ENOMEM;
++              return ERR_PTR(-ENOMEM);
+       data->rpi = rpi;
+       data->id = RPI_FIRMWARE_ARM_CLK_ID;
+@@ -217,7 +217,7 @@ static int raspberrypi_register_pllb(str
+       if (ret) {
+               dev_err(rpi->dev, "Failed to get %s min freq: %d\n",
+                       init.name, ret);
+-              return ret;
++              return ERR_PTR(ret);
+       }
+       ret = raspberrypi_clock_property(rpi->firmware, data,
+@@ -226,13 +226,13 @@ static int raspberrypi_register_pllb(str
+       if (ret) {
+               dev_err(rpi->dev, "Failed to get %s max freq: %d\n",
+                       init.name, ret);
+-              return ret;
++              return ERR_PTR(ret);
+       }
+       if (!min_rate || !max_rate) {
+               dev_err(rpi->dev, "Unexpected frequency range: min %u, max %u\n",
+                       min_rate, max_rate);
+-              return -EINVAL;
++              return ERR_PTR(-EINVAL);
+       }
+       dev_info(rpi->dev, "CPU frequency range: min %u, max %u\n",
+@@ -243,7 +243,11 @@ static int raspberrypi_register_pllb(str
+       data->hw.init = &init;
+-      return devm_clk_hw_register(rpi->dev, &data->hw);
++      ret = devm_clk_hw_register(rpi->dev, &data->hw);
++      if (ret)
++              return ERR_PTR(ret);
++
++      return &data->hw;
+ }
+ static struct clk_fixed_factor raspberrypi_clk_pllb_arm = {
+@@ -258,14 +262,14 @@ static struct clk_fixed_factor raspberry
+       },
+ };
+-static int raspberrypi_register_pllb_arm(struct raspberrypi_clk *rpi)
++static struct clk_hw *raspberrypi_register_pllb_arm(struct raspberrypi_clk *rpi)
+ {
+       int ret;
+       ret = devm_clk_hw_register(rpi->dev, &raspberrypi_clk_pllb_arm.hw);
+       if (ret) {
+               dev_err(rpi->dev, "Failed to initialize pllb_arm\n");
+-              return ret;
++              return ERR_PTR(ret);
+       }
+       ret = devm_clk_hw_register_clkdev(rpi->dev,
+@@ -273,10 +277,10 @@ static int raspberrypi_register_pllb_arm
+                                         NULL, "cpu0");
+       if (ret) {
+               dev_err(rpi->dev, "Failed to initialize clkdev\n");
+-              return ret;
++              return ERR_PTR(ret);
+       }
+-      return 0;
++      return &raspberrypi_clk_pllb_arm.hw;
+ }
+ static int raspberrypi_clk_probe(struct platform_device *pdev)
+@@ -285,7 +289,7 @@ static int raspberrypi_clk_probe(struct
+       struct device *dev = &pdev->dev;
+       struct rpi_firmware *firmware;
+       struct raspberrypi_clk *rpi;
+-      int ret;
++      struct clk_hw *hw;
+       firmware_node = of_parse_phandle(dev->of_node, "raspberrypi,firmware", 0);
+       if (!firmware_node) {
+@@ -305,15 +309,15 @@ static int raspberrypi_clk_probe(struct
+       rpi->firmware = firmware;
+       platform_set_drvdata(pdev, rpi);
+-      ret = raspberrypi_register_pllb(rpi);
+-      if (ret) {
+-              dev_err(dev, "Failed to initialize pllb, %d\n", ret);
+-              return ret;
++      hw = raspberrypi_register_pllb(rpi);
++      if (IS_ERR(hw)) {
++              dev_err(dev, "Failed to initialize pllb, %ld\n", PTR_ERR(hw));
++              return PTR_ERR(hw);
+       }
+-      ret = raspberrypi_register_pllb_arm(rpi);
+-      if (ret)
+-              return ret;
++      hw = raspberrypi_register_pllb_arm(rpi);
++      if (IS_ERR(hw))
++              return PTR_ERR(hw);
+       rpi->cpufreq = platform_device_register_data(dev, "raspberrypi-cpufreq",
+                                                    -1, NULL, 0);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0524-clk-bcm-rpi-Add-DT-provider-for-the-clocks.patch b/target/linux/bcm27xx/patches-5.4/950-0524-clk-bcm-rpi-Add-DT-provider-for-the-clocks.patch
new file mode 100644 (file)
index 0000000..99fdf8f
--- /dev/null
@@ -0,0 +1,67 @@
+From 19f7515528fbd1dc0d45e4b5ce6531c1406fc8d8 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 7 Feb 2020 17:03:46 +0100
+Subject: [PATCH] clk: bcm: rpi: Add DT provider for the clocks
+
+For the upcoming registration of the clocks provided by the firmware, make
+sure it's exposed to the device tree providers.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: linux-clk@vger.kernel.org
+Reviewed-by: Stephen Boyd <sboyd@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 16 ++++++++++++++++
+ 1 file changed, 16 insertions(+)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -31,6 +31,8 @@
+ #define A2W_PLL_FRAC_BITS             20
++#define NUM_FW_CLKS                   16
++
+ struct raspberrypi_clk {
+       struct device *dev;
+       struct rpi_firmware *firmware;
+@@ -285,11 +287,13 @@ static struct clk_hw *raspberrypi_regist
+ static int raspberrypi_clk_probe(struct platform_device *pdev)
+ {
++      struct clk_hw_onecell_data *clk_data;
+       struct device_node *firmware_node;
+       struct device *dev = &pdev->dev;
+       struct rpi_firmware *firmware;
+       struct raspberrypi_clk *rpi;
+       struct clk_hw *hw;
++      int ret;
+       firmware_node = of_parse_phandle(dev->of_node, "raspberrypi,firmware", 0);
+       if (!firmware_node) {
+@@ -309,6 +313,11 @@ static int raspberrypi_clk_probe(struct
+       rpi->firmware = firmware;
+       platform_set_drvdata(pdev, rpi);
++      clk_data = devm_kzalloc(dev, struct_size(clk_data, hws, NUM_FW_CLKS),
++                              GFP_KERNEL);
++      if (!clk_data)
++              return -ENOMEM;
++
+       hw = raspberrypi_register_pllb(rpi);
+       if (IS_ERR(hw)) {
+               dev_err(dev, "Failed to initialize pllb, %ld\n", PTR_ERR(hw));
+@@ -318,6 +327,13 @@ static int raspberrypi_clk_probe(struct
+       hw = raspberrypi_register_pllb_arm(rpi);
+       if (IS_ERR(hw))
+               return PTR_ERR(hw);
++      clk_data->hws[RPI_FIRMWARE_ARM_CLK_ID] = hw;
++      clk_data->num = RPI_FIRMWARE_ARM_CLK_ID + 1;
++
++      ret = devm_of_clk_add_hw_provider(dev, of_clk_hw_onecell_get,
++                                        clk_data);
++      if (ret)
++              return ret;
+       rpi->cpufreq = platform_device_register_data(dev, "raspberrypi-cpufreq",
+                                                    -1, NULL, 0);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0524-clk-bcm-rpi-Create-a-data-structure-for-the-clocks.patch b/target/linux/bcm27xx/patches-5.4/950-0524-clk-bcm-rpi-Create-a-data-structure-for-the-clocks.patch
deleted file mode 100644 (file)
index 85b6f4a..0000000
+++ /dev/null
@@ -1,126 +0,0 @@
-From 8af8b61bf6b5689af9f29f0e04e57c832dad0406 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 7 Feb 2020 16:01:33 +0100
-Subject: [PATCH] clk: bcm: rpi: Create a data structure for the clocks
-
-So far the driver has really only been providing a single clock, and stored
-both the data associated to that clock in particular with the data
-associated to the "controller".
-
-Since we will change that in the future, let's decouple the clock data from
-the provider data.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: linux-clk@vger.kernel.org
-Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Stephen Boyd <sboyd@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 40 ++++++++++++++++++++-----------
- 1 file changed, 26 insertions(+), 14 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -35,11 +35,15 @@ struct raspberrypi_clk {
-       struct device *dev;
-       struct rpi_firmware *firmware;
-       struct platform_device *cpufreq;
-+};
-+
-+struct raspberrypi_clk_data {
-+      struct clk_hw hw;
-       unsigned long min_rate;
-       unsigned long max_rate;
--      struct clk_hw pllb;
-+      struct raspberrypi_clk *rpi;
- };
- /*
-@@ -83,8 +87,9 @@ static int raspberrypi_clock_property(st
- static int raspberrypi_fw_pll_is_on(struct clk_hw *hw)
- {
--      struct raspberrypi_clk *rpi = container_of(hw, struct raspberrypi_clk,
--                                                 pllb);
-+      struct raspberrypi_clk_data *data =
-+              container_of(hw, struct raspberrypi_clk_data, hw);
-+      struct raspberrypi_clk *rpi = data->rpi;
-       u32 val = 0;
-       int ret;
-@@ -101,8 +106,9 @@ static int raspberrypi_fw_pll_is_on(stru
- static unsigned long raspberrypi_fw_pll_get_rate(struct clk_hw *hw,
-                                                unsigned long parent_rate)
- {
--      struct raspberrypi_clk *rpi = container_of(hw, struct raspberrypi_clk,
--                                                 pllb);
-+      struct raspberrypi_clk_data *data =
-+              container_of(hw, struct raspberrypi_clk_data, hw);
-+      struct raspberrypi_clk *rpi = data->rpi;
-       u32 val = 0;
-       int ret;
-@@ -119,8 +125,9 @@ static unsigned long raspberrypi_fw_pll_
- static int raspberrypi_fw_pll_set_rate(struct clk_hw *hw, unsigned long rate,
-                                      unsigned long parent_rate)
- {
--      struct raspberrypi_clk *rpi = container_of(hw, struct raspberrypi_clk,
--                                                 pllb);
-+      struct raspberrypi_clk_data *data =
-+              container_of(hw, struct raspberrypi_clk_data, hw);
-+      struct raspberrypi_clk *rpi = data->rpi;
-       u32 new_rate = rate / RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
-       int ret;
-@@ -142,13 +149,13 @@ static int raspberrypi_fw_pll_set_rate(s
- static int raspberrypi_pll_determine_rate(struct clk_hw *hw,
-                                         struct clk_rate_request *req)
- {
--      struct raspberrypi_clk *rpi = container_of(hw, struct raspberrypi_clk,
--                                                 pllb);
-+      struct raspberrypi_clk_data *data =
-+              container_of(hw, struct raspberrypi_clk_data, hw);
-       u64 div, final_rate;
-       u32 ndiv, fdiv;
-       /* We can't use req->rate directly as it would overflow */
--      final_rate = clamp(req->rate, rpi->min_rate, rpi->max_rate);
-+      final_rate = clamp(req->rate, data->min_rate, data->max_rate);
-       div = (u64)final_rate << A2W_PLL_FRAC_BITS;
-       do_div(div, req->best_parent_rate);
-@@ -173,10 +180,15 @@ static const struct clk_ops raspberrypi_
- static int raspberrypi_register_pllb(struct raspberrypi_clk *rpi)
- {
-+      struct raspberrypi_clk_data *data;
-       struct clk_init_data init = {};
-       u32 min_rate = 0, max_rate = 0;
-       int ret;
-+      data = devm_kzalloc(rpi->dev, sizeof(*data), GFP_KERNEL);
-+      if (!data)
-+              return -ENOMEM;
-+      data->rpi = rpi;
-       /* All of the PLLs derive from the external oscillator. */
-       init.parent_names = (const char *[]){ "osc" };
-@@ -215,12 +227,12 @@ static int raspberrypi_register_pllb(str
-       dev_info(rpi->dev, "CPU frequency range: min %u, max %u\n",
-                min_rate, max_rate);
--      rpi->min_rate = min_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
--      rpi->max_rate = max_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
-+      data->min_rate = min_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
-+      data->max_rate = max_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
--      rpi->pllb.init = &init;
-+      data->hw.init = &init;
--      return devm_clk_hw_register(rpi->dev, &rpi->pllb);
-+      return devm_clk_hw_register(rpi->dev, &data->hw);
- }
- static struct clk_fixed_factor raspberrypi_clk_pllb_arm = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0525-clk-bcm-rpi-Add-clock-id-to-data.patch b/target/linux/bcm27xx/patches-5.4/950-0525-clk-bcm-rpi-Add-clock-id-to-data.patch
deleted file mode 100644 (file)
index 09f023e..0000000
+++ /dev/null
@@ -1,86 +0,0 @@
-From 98d529ffea66937e8a9ba8b69172bb9c599cfa39 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 7 Feb 2020 16:04:16 +0100
-Subject: [PATCH] clk: bcm: rpi: Add clock id to data
-
-The driver has really only supported one clock so far and has hardcoded the
-ID used in communications with the firmware in all the functions
-implementing the clock framework hooks. Let's store that in the clock data
-structure so that we can support more clocks later on.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: linux-clk@vger.kernel.org
-Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Stephen Boyd <sboyd@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 16 +++++++---------
- 1 file changed, 7 insertions(+), 9 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -39,6 +39,7 @@ struct raspberrypi_clk {
- struct raspberrypi_clk_data {
-       struct clk_hw hw;
-+      unsigned id;
-       unsigned long min_rate;
-       unsigned long max_rate;
-@@ -95,7 +96,7 @@ static int raspberrypi_fw_pll_is_on(stru
-       ret = raspberrypi_clock_property(rpi->firmware,
-                                        RPI_FIRMWARE_GET_CLOCK_STATE,
--                                       RPI_FIRMWARE_ARM_CLK_ID, &val);
-+                                       data->id, &val);
-       if (ret)
-               return 0;
-@@ -114,8 +115,7 @@ static unsigned long raspberrypi_fw_pll_
-       ret = raspberrypi_clock_property(rpi->firmware,
-                                        RPI_FIRMWARE_GET_CLOCK_RATE,
--                                       RPI_FIRMWARE_ARM_CLK_ID,
--                                       &val);
-+                                       data->id, &val);
-       if (ret)
-               return ret;
-@@ -133,8 +133,7 @@ static int raspberrypi_fw_pll_set_rate(s
-       ret = raspberrypi_clock_property(rpi->firmware,
-                                        RPI_FIRMWARE_SET_CLOCK_RATE,
--                                       RPI_FIRMWARE_ARM_CLK_ID,
--                                       &new_rate);
-+                                       data->id, &new_rate);
-       if (ret)
-               dev_err_ratelimited(rpi->dev, "Failed to change %s frequency: %d",
-                                   clk_hw_get_name(hw), ret);
-@@ -189,6 +188,7 @@ static int raspberrypi_register_pllb(str
-       if (!data)
-               return -ENOMEM;
-       data->rpi = rpi;
-+      data->id = RPI_FIRMWARE_ARM_CLK_ID;
-       /* All of the PLLs derive from the external oscillator. */
-       init.parent_names = (const char *[]){ "osc" };
-@@ -200,8 +200,7 @@ static int raspberrypi_register_pllb(str
-       /* Get min & max rates set by the firmware */
-       ret = raspberrypi_clock_property(rpi->firmware,
-                                        RPI_FIRMWARE_GET_MIN_CLOCK_RATE,
--                                       RPI_FIRMWARE_ARM_CLK_ID,
--                                       &min_rate);
-+                                       data->id, &min_rate);
-       if (ret) {
-               dev_err(rpi->dev, "Failed to get %s min freq: %d\n",
-                       init.name, ret);
-@@ -210,8 +209,7 @@ static int raspberrypi_register_pllb(str
-       ret = raspberrypi_clock_property(rpi->firmware,
-                                        RPI_FIRMWARE_GET_MAX_CLOCK_RATE,
--                                       RPI_FIRMWARE_ARM_CLK_ID,
--                                       &max_rate);
-+                                       data->id, &max_rate);
-       if (ret) {
-               dev_err(rpi->dev, "Failed to get %s max freq: %d\n",
-                       init.name, ret);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0525-clk-bcm-rpi-Discover-the-firmware-clocks.patch b/target/linux/bcm27xx/patches-5.4/950-0525-clk-bcm-rpi-Discover-the-firmware-clocks.patch
new file mode 100644 (file)
index 0000000..eaa4a81
--- /dev/null
@@ -0,0 +1,173 @@
+From 54276fe20c0735dd18d298891b71b664ea54962d Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 10 Feb 2020 14:06:09 +0100
+Subject: [PATCH] clk: bcm: rpi: Discover the firmware clocks
+
+The RaspberryPi4 firmware actually exposes more clocks than are currently
+handled by the driver and we will need to change some of them directly
+based on the pixel rate for the display related clocks, or the load for the
+GPU.
+
+This rate change can have a number of side-effects, including adjusting the
+various PLL voltages or the PLL parents. The firmware will also update
+those clocks by itself for example if the SoC runs too hot.
+
+In order to make Linux play as nice as possible with those constraints, it
+makes sense to rely on the firmware clocks as much as possible.
+
+Fortunately,t he firmware has an interface to discover the clocks it
+exposes.
+
+Let's use it to discover, register the clocks in the clocks framework and
+then expose them through the device tree for consumers to use them.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: Stephen Boyd <sboyd@kernel.org>
+Cc: linux-clk@vger.kernel.org
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c          | 104 ++++++++++++++++++---
+ include/soc/bcm2835/raspberrypi-firmware.h |   5 +
+ 2 files changed, 97 insertions(+), 12 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -285,6 +285,95 @@ static struct clk_hw *raspberrypi_regist
+       return &raspberrypi_clk_pllb_arm.hw;
+ }
++static long raspberrypi_fw_dumb_round_rate(struct clk_hw *hw,
++                                         unsigned long rate,
++                                         unsigned long *parent_rate)
++{
++      /*
++       * The firmware will do the rounding but that isn't part of
++       * the interface with the firmware, so we just do our best
++       * here.
++       */
++      return rate;
++}
++
++static const struct clk_ops raspberrypi_firmware_clk_ops = {
++      .is_prepared    = raspberrypi_fw_is_prepared,
++      .recalc_rate    = raspberrypi_fw_get_rate,
++      .round_rate     = raspberrypi_fw_dumb_round_rate,
++      .set_rate       = raspberrypi_fw_set_rate,
++};
++
++static struct clk_hw *raspberrypi_clk_register(struct raspberrypi_clk *rpi,
++                                             unsigned int parent,
++                                             unsigned int id)
++{
++      struct raspberrypi_clk_data *data;
++      struct clk_init_data init = {};
++      int ret;
++
++      if (id == RPI_FIRMWARE_ARM_CLK_ID) {
++              struct clk_hw *hw;
++
++              hw = raspberrypi_register_pllb(rpi);
++              if (IS_ERR(hw)) {
++                      dev_err(rpi->dev, "Failed to initialize pllb, %ld\n",
++                              PTR_ERR(hw));
++                      return hw;
++              }
++
++              return raspberrypi_register_pllb_arm(rpi);
++      }
++
++      data = devm_kzalloc(rpi->dev, sizeof(*data), GFP_KERNEL);
++      if (!data)
++              return ERR_PTR(-ENOMEM);
++      data->rpi = rpi;
++      data->id = id;
++
++      init.name = devm_kasprintf(rpi->dev, GFP_KERNEL, "fw-clk-%u", id);
++      init.ops = &raspberrypi_firmware_clk_ops;
++      init.flags = CLK_GET_RATE_NOCACHE;
++
++      data->hw.init = &init;
++
++      ret = devm_clk_hw_register(rpi->dev, &data->hw);
++      if (ret)
++              return ERR_PTR(ret);
++
++      return &data->hw;
++}
++
++static int raspberrypi_discover_clocks(struct raspberrypi_clk *rpi,
++                                     struct clk_hw_onecell_data *data)
++{
++      struct rpi_firmware_get_clocks_response *clks;
++      int ret;
++
++      clks = devm_kcalloc(rpi->dev, sizeof(*clks), NUM_FW_CLKS, GFP_KERNEL);
++      if (!clks)
++              return -ENOMEM;
++
++      ret = rpi_firmware_property(rpi->firmware, RPI_FIRMWARE_GET_CLOCKS,
++                                  clks, sizeof(*clks) * NUM_FW_CLKS);
++      if (ret)
++              return ret;
++
++      while (clks->id) {
++              struct clk_hw *hw;
++
++              hw = raspberrypi_clk_register(rpi, clks->parent, clks->id);
++              if (IS_ERR(hw))
++                      return PTR_ERR(hw);
++
++              data->hws[clks->id] = hw;
++              data->num = clks->id + 1;
++              clks++;
++      }
++
++      return 0;
++}
++
+ static int raspberrypi_clk_probe(struct platform_device *pdev)
+ {
+       struct clk_hw_onecell_data *clk_data;
+@@ -292,7 +381,6 @@ static int raspberrypi_clk_probe(struct
+       struct device *dev = &pdev->dev;
+       struct rpi_firmware *firmware;
+       struct raspberrypi_clk *rpi;
+-      struct clk_hw *hw;
+       int ret;
+       firmware_node = of_parse_phandle(dev->of_node, "raspberrypi,firmware", 0);
+@@ -318,17 +406,9 @@ static int raspberrypi_clk_probe(struct
+       if (!clk_data)
+               return -ENOMEM;
+-      hw = raspberrypi_register_pllb(rpi);
+-      if (IS_ERR(hw)) {
+-              dev_err(dev, "Failed to initialize pllb, %ld\n", PTR_ERR(hw));
+-              return PTR_ERR(hw);
+-      }
+-
+-      hw = raspberrypi_register_pllb_arm(rpi);
+-      if (IS_ERR(hw))
+-              return PTR_ERR(hw);
+-      clk_data->hws[RPI_FIRMWARE_ARM_CLK_ID] = hw;
+-      clk_data->num = RPI_FIRMWARE_ARM_CLK_ID + 1;
++      ret = raspberrypi_discover_clocks(rpi, clk_data);
++      if (ret)
++              return ret;
+       ret = devm_of_clk_add_hw_provider(dev, of_clk_hw_onecell_get,
+                                         clk_data);
+--- a/include/soc/bcm2835/raspberrypi-firmware.h
++++ b/include/soc/bcm2835/raspberrypi-firmware.h
+@@ -160,6 +160,11 @@ enum rpi_firmware_property_tag {
+ #define GET_DISPLAY_SETTINGS_PAYLOAD_SIZE 64
++struct rpi_firmware_get_clocks_response {
++      __le32 parent;
++      __le32 id;
++};
++
+ #if IS_ENABLED(CONFIG_RASPBERRYPI_FIRMWARE)
+ int rpi_firmware_property(struct rpi_firmware *fw,
+                         u32 tag, void *data, size_t len);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0526-ARM-dts-bcm2711-Add-firmware-clocks-node.patch b/target/linux/bcm27xx/patches-5.4/950-0526-ARM-dts-bcm2711-Add-firmware-clocks-node.patch
new file mode 100644 (file)
index 0000000..098903d
--- /dev/null
@@ -0,0 +1,39 @@
+From a0ebfa1829b5d3a1f698426c29f99078640b498f Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 23 Dec 2019 19:58:30 +0100
+Subject: [PATCH] ARM: dts: bcm2711: Add firmware clocks node
+
+Now that we have a clock driver for the clocks exposed by the firmware,
+let's add the device tree nodes for it.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 2 +-
+ arch/arm/boot/dts/bcm2711.dtsi     | 5 +++++
+ 2 files changed, 6 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -33,7 +33,7 @@
+                       power-domains = <&pm BCM2835_POWER_DOMAIN_GRAFX_V3D>;
+                       resets = <&pm BCM2835_RESET_V3D>;
+-                      clocks = <&clocks BCM2835_CLOCK_V3D>;
++                      clocks = <&firmware_clocks 5>;
+                       interrupts = <GIC_SPI 74 IRQ_TYPE_LEVEL_HIGH>;
+                       status = "disabled";
+               };
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -31,6 +31,11 @@
+               };
+       };
++      firmware_clocks: firmware-clocks {
++              compatible = "raspberrypi,firmware-clocks";
++              raspberrypi,firmware = <&firmware>;
++              #clock-cells = <1>;
++      };
+       soc {
+               /*
diff --git a/target/linux/bcm27xx/patches-5.4/950-0526-clk-bcm-rpi-Pass-the-clocks-data-to-the-firmware-fun.patch b/target/linux/bcm27xx/patches-5.4/950-0526-clk-bcm-rpi-Pass-the-clocks-data-to-the-firmware-fun.patch
deleted file mode 100644 (file)
index 7822ff0..0000000
+++ /dev/null
@@ -1,96 +0,0 @@
-From 1231dbeb8bfeda68c53854cc68016acd74665079 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 7 Feb 2020 16:08:17 +0100
-Subject: [PATCH] clk: bcm: rpi: Pass the clocks data to the firmware
- function
-
-The raspberry_clock_property only takes the clock ID as an argument, but
-now that we have a clock data structure it makes more sense to just pass
-that structure instead.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: Stephen Boyd <sboyd@kernel.org>
-Cc: linux-clk@vger.kernel.org
-Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 29 ++++++++++++++---------------
- 1 file changed, 14 insertions(+), 15 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -67,11 +67,12 @@ struct raspberrypi_firmware_prop {
-       __le32 disable_turbo;
- } __packed;
--static int raspberrypi_clock_property(struct rpi_firmware *firmware, u32 tag,
--                                    u32 clk, u32 *val)
-+static int raspberrypi_clock_property(struct rpi_firmware *firmware,
-+                                    const struct raspberrypi_clk_data *data,
-+                                    u32 tag, u32 *val)
- {
-       struct raspberrypi_firmware_prop msg = {
--              .id = cpu_to_le32(clk),
-+              .id = cpu_to_le32(data->id),
-               .val = cpu_to_le32(*val),
-               .disable_turbo = cpu_to_le32(1),
-       };
-@@ -94,9 +95,8 @@ static int raspberrypi_fw_pll_is_on(stru
-       u32 val = 0;
-       int ret;
--      ret = raspberrypi_clock_property(rpi->firmware,
--                                       RPI_FIRMWARE_GET_CLOCK_STATE,
--                                       data->id, &val);
-+      ret = raspberrypi_clock_property(rpi->firmware, data,
-+                                       RPI_FIRMWARE_GET_CLOCK_STATE, &val);
-       if (ret)
-               return 0;
-@@ -113,9 +113,8 @@ static unsigned long raspberrypi_fw_pll_
-       u32 val = 0;
-       int ret;
--      ret = raspberrypi_clock_property(rpi->firmware,
--                                       RPI_FIRMWARE_GET_CLOCK_RATE,
--                                       data->id, &val);
-+      ret = raspberrypi_clock_property(rpi->firmware, data,
-+                                       RPI_FIRMWARE_GET_CLOCK_RATE, &val);
-       if (ret)
-               return ret;
-@@ -131,9 +130,9 @@ static int raspberrypi_fw_pll_set_rate(s
-       u32 new_rate = rate / RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
-       int ret;
--      ret = raspberrypi_clock_property(rpi->firmware,
-+      ret = raspberrypi_clock_property(rpi->firmware, data,
-                                        RPI_FIRMWARE_SET_CLOCK_RATE,
--                                       data->id, &new_rate);
-+                                       &new_rate);
-       if (ret)
-               dev_err_ratelimited(rpi->dev, "Failed to change %s frequency: %d",
-                                   clk_hw_get_name(hw), ret);
-@@ -198,18 +197,18 @@ static int raspberrypi_register_pllb(str
-       init.flags = CLK_GET_RATE_NOCACHE | CLK_IGNORE_UNUSED;
-       /* Get min & max rates set by the firmware */
--      ret = raspberrypi_clock_property(rpi->firmware,
-+      ret = raspberrypi_clock_property(rpi->firmware, data,
-                                        RPI_FIRMWARE_GET_MIN_CLOCK_RATE,
--                                       data->id, &min_rate);
-+                                       &min_rate);
-       if (ret) {
-               dev_err(rpi->dev, "Failed to get %s min freq: %d\n",
-                       init.name, ret);
-               return ret;
-       }
--      ret = raspberrypi_clock_property(rpi->firmware,
-+      ret = raspberrypi_clock_property(rpi->firmware, data,
-                                        RPI_FIRMWARE_GET_MAX_CLOCK_RATE,
--                                       data->id, &max_rate);
-+                                       &max_rate);
-       if (ret) {
-               dev_err(rpi->dev, "Failed to get %s max freq: %d\n",
-                       init.name, ret);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0527-clk-bcm-rpi-Rename-is_prepared-function.patch b/target/linux/bcm27xx/patches-5.4/950-0527-clk-bcm-rpi-Rename-is_prepared-function.patch
deleted file mode 100644 (file)
index 1a6c981..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-From c37a4cc3fc34b6c53c331d0d079df322082ff183 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 20 Feb 2020 12:45:47 +0100
-Subject: [PATCH] clk: bcm: rpi: Rename is_prepared function
-
-The raspberrypi_fw_pll_is_on function doesn't only apply to PLL
-registered in the driver, but any clock exposed by the firmware.
-
-Since we also implement the is_prepared hook, make the function
-consistent with the other function names.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: linux-clk@vger.kernel.org
-Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Stephen Boyd <sboyd@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -87,7 +87,7 @@ static int raspberrypi_clock_property(st
-       return 0;
- }
--static int raspberrypi_fw_pll_is_on(struct clk_hw *hw)
-+static int raspberrypi_fw_is_prepared(struct clk_hw *hw)
- {
-       struct raspberrypi_clk_data *data =
-               container_of(hw, struct raspberrypi_clk_data, hw);
-@@ -170,7 +170,7 @@ static int raspberrypi_pll_determine_rat
- }
- static const struct clk_ops raspberrypi_firmware_pll_clk_ops = {
--      .is_prepared = raspberrypi_fw_pll_is_on,
-+      .is_prepared = raspberrypi_fw_is_prepared,
-       .recalc_rate = raspberrypi_fw_pll_get_rate,
-       .set_rate = raspberrypi_fw_pll_set_rate,
-       .determine_rate = raspberrypi_pll_determine_rate,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0527-reset-Move-reset-simple-header-out-of-drivers-reset.patch b/target/linux/bcm27xx/patches-5.4/950-0527-reset-Move-reset-simple-header-out-of-drivers-reset.patch
new file mode 100644 (file)
index 0000000..3df4fde
--- /dev/null
@@ -0,0 +1,168 @@
+From e108e2c34b3acc70ec55b7d0772abb79c96319b2 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 28 Jan 2020 09:33:52 +0100
+Subject: [PATCH] reset: Move reset-simple header out of drivers/reset
+
+The reset-simple code can be useful for drivers outside of drivers/reset
+that have a few reset controls as part of their features. Let's move it to
+include/linux/reset.
+
+Cc: Philipp Zabel <p.zabel@pengutronix.de>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/reset/reset-simple.c                    | 3 +--
+ drivers/reset/reset-socfpga.c                   | 3 +--
+ drivers/reset/reset-sunxi.c                     | 3 +--
+ drivers/reset/reset-uniphier-glue.c             | 3 +--
+ {drivers => include/linux}/reset/reset-simple.h | 0
+ 5 files changed, 4 insertions(+), 8 deletions(-)
+ rename {drivers => include/linux}/reset/reset-simple.h (100%)
+
+--- a/drivers/reset/reset-simple.c
++++ b/drivers/reset/reset-simple.c
+@@ -18,10 +18,9 @@
+ #include <linux/of_device.h>
+ #include <linux/platform_device.h>
+ #include <linux/reset-controller.h>
++#include <linux/reset/reset-simple.h>
+ #include <linux/spinlock.h>
+-#include "reset-simple.h"
+-
+ static inline struct reset_simple_data *
+ to_reset_simple_data(struct reset_controller_dev *rcdev)
+ {
+--- a/drivers/reset/reset-socfpga.c
++++ b/drivers/reset/reset-socfpga.c
+@@ -11,13 +11,12 @@
+ #include <linux/of_address.h>
+ #include <linux/platform_device.h>
+ #include <linux/reset-controller.h>
++#include <linux/reset/reset-simple.h>
+ #include <linux/reset/socfpga.h>
+ #include <linux/slab.h>
+ #include <linux/spinlock.h>
+ #include <linux/types.h>
+-#include "reset-simple.h"
+-
+ #define SOCFPGA_NR_BANKS      8
+ static int a10_reset_init(struct device_node *np)
+--- a/drivers/reset/reset-sunxi.c
++++ b/drivers/reset/reset-sunxi.c
+@@ -14,13 +14,12 @@
+ #include <linux/of_address.h>
+ #include <linux/platform_device.h>
+ #include <linux/reset-controller.h>
++#include <linux/reset/reset-simple.h>
+ #include <linux/reset/sunxi.h>
+ #include <linux/slab.h>
+ #include <linux/spinlock.h>
+ #include <linux/types.h>
+-#include "reset-simple.h"
+-
+ static int sunxi_reset_init(struct device_node *np)
+ {
+       struct reset_simple_data *data;
+--- a/drivers/reset/reset-uniphier-glue.c
++++ b/drivers/reset/reset-uniphier-glue.c
+@@ -9,8 +9,7 @@
+ #include <linux/of_device.h>
+ #include <linux/platform_device.h>
+ #include <linux/reset.h>
+-
+-#include "reset-simple.h"
++#include <linux/reset/reset-simple.h>
+ #define MAX_CLKS      2
+ #define MAX_RSTS      2
+--- a/drivers/reset/reset-simple.h
++++ /dev/null
+@@ -1,41 +0,0 @@
+-/* SPDX-License-Identifier: GPL-2.0-or-later */
+-/*
+- * Simple Reset Controller ops
+- *
+- * Based on Allwinner SoCs Reset Controller driver
+- *
+- * Copyright 2013 Maxime Ripard
+- *
+- * Maxime Ripard <maxime.ripard@free-electrons.com>
+- */
+-
+-#ifndef __RESET_SIMPLE_H__
+-#define __RESET_SIMPLE_H__
+-
+-#include <linux/io.h>
+-#include <linux/reset-controller.h>
+-#include <linux/spinlock.h>
+-
+-/**
+- * struct reset_simple_data - driver data for simple reset controllers
+- * @lock: spinlock to protect registers during read-modify-write cycles
+- * @membase: memory mapped I/O register range
+- * @rcdev: reset controller device base structure
+- * @active_low: if true, bits are cleared to assert the reset. Otherwise, bits
+- *              are set to assert the reset. Note that this says nothing about
+- *              the voltage level of the actual reset line.
+- * @status_active_low: if true, bits read back as cleared while the reset is
+- *                     asserted. Otherwise, bits read back as set while the
+- *                     reset is asserted.
+- */
+-struct reset_simple_data {
+-      spinlock_t                      lock;
+-      void __iomem                    *membase;
+-      struct reset_controller_dev     rcdev;
+-      bool                            active_low;
+-      bool                            status_active_low;
+-};
+-
+-extern const struct reset_control_ops reset_simple_ops;
+-
+-#endif /* __RESET_SIMPLE_H__ */
+--- /dev/null
++++ b/include/linux/reset/reset-simple.h
+@@ -0,0 +1,41 @@
++/* SPDX-License-Identifier: GPL-2.0-or-later */
++/*
++ * Simple Reset Controller ops
++ *
++ * Based on Allwinner SoCs Reset Controller driver
++ *
++ * Copyright 2013 Maxime Ripard
++ *
++ * Maxime Ripard <maxime.ripard@free-electrons.com>
++ */
++
++#ifndef __RESET_SIMPLE_H__
++#define __RESET_SIMPLE_H__
++
++#include <linux/io.h>
++#include <linux/reset-controller.h>
++#include <linux/spinlock.h>
++
++/**
++ * struct reset_simple_data - driver data for simple reset controllers
++ * @lock: spinlock to protect registers during read-modify-write cycles
++ * @membase: memory mapped I/O register range
++ * @rcdev: reset controller device base structure
++ * @active_low: if true, bits are cleared to assert the reset. Otherwise, bits
++ *              are set to assert the reset. Note that this says nothing about
++ *              the voltage level of the actual reset line.
++ * @status_active_low: if true, bits read back as cleared while the reset is
++ *                     asserted. Otherwise, bits read back as set while the
++ *                     reset is asserted.
++ */
++struct reset_simple_data {
++      spinlock_t                      lock;
++      void __iomem                    *membase;
++      struct reset_controller_dev     rcdev;
++      bool                            active_low;
++      bool                            status_active_low;
++};
++
++extern const struct reset_control_ops reset_simple_ops;
++
++#endif /* __RESET_SIMPLE_H__ */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0528-clk-bcm-rpi-Split-pllb-clock-hooks.patch b/target/linux/bcm27xx/patches-5.4/950-0528-clk-bcm-rpi-Split-pllb-clock-hooks.patch
deleted file mode 100644 (file)
index 38720b8..0000000
+++ /dev/null
@@ -1,80 +0,0 @@
-From e2537b383e247198347e7124876b9ead531dbeef Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 7 Feb 2020 16:14:18 +0100
-Subject: [PATCH] clk: bcm: rpi: Split pllb clock hooks
-
-The driver only supports the pllb for now and all the clock framework hooks
-are a mix of the generic firmware interface and the specifics of the pllb.
-Since we will support more clocks in the future let's split the generic and
-specific hooks
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: linux-clk@vger.kernel.org
-Reviewed-by: Stephen Boyd <sboyd@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 30 ++++++++++++++++++++++--------
- 1 file changed, 22 insertions(+), 8 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -104,8 +104,8 @@ static int raspberrypi_fw_is_prepared(st
- }
--static unsigned long raspberrypi_fw_pll_get_rate(struct clk_hw *hw,
--                                               unsigned long parent_rate)
-+static unsigned long raspberrypi_fw_get_rate(struct clk_hw *hw,
-+                                           unsigned long parent_rate)
- {
-       struct raspberrypi_clk_data *data =
-               container_of(hw, struct raspberrypi_clk_data, hw);
-@@ -118,21 +118,27 @@ static unsigned long raspberrypi_fw_pll_
-       if (ret)
-               return ret;
--      return val * RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
-+      return val;
- }
--static int raspberrypi_fw_pll_set_rate(struct clk_hw *hw, unsigned long rate,
--                                     unsigned long parent_rate)
-+static unsigned long raspberrypi_fw_pll_get_rate(struct clk_hw *hw,
-+                                               unsigned long parent_rate)
-+{
-+      return raspberrypi_fw_get_rate(hw, parent_rate) *
-+              RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
-+}
-+
-+static int raspberrypi_fw_set_rate(struct clk_hw *hw, unsigned long rate,
-+                                 unsigned long parent_rate)
- {
-       struct raspberrypi_clk_data *data =
-               container_of(hw, struct raspberrypi_clk_data, hw);
-       struct raspberrypi_clk *rpi = data->rpi;
--      u32 new_rate = rate / RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
-+      u32 _rate = rate;
-       int ret;
-       ret = raspberrypi_clock_property(rpi->firmware, data,
--                                       RPI_FIRMWARE_SET_CLOCK_RATE,
--                                       &new_rate);
-+                                       RPI_FIRMWARE_SET_CLOCK_RATE, &_rate);
-       if (ret)
-               dev_err_ratelimited(rpi->dev, "Failed to change %s frequency: %d",
-                                   clk_hw_get_name(hw), ret);
-@@ -140,6 +146,14 @@ static int raspberrypi_fw_pll_set_rate(s
-       return ret;
- }
-+static int raspberrypi_fw_pll_set_rate(struct clk_hw *hw, unsigned long rate,
-+                                     unsigned long parent_rate)
-+{
-+      u32 new_rate = rate / RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
-+
-+      return raspberrypi_fw_set_rate(hw, new_rate, parent_rate);
-+}
-+
- /*
-  * Sadly there is no firmware rate rounding interface. We borrowed it from
-  * clk-bcm2835.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0528-reset-simple-Add-reset-callback.patch b/target/linux/bcm27xx/patches-5.4/950-0528-reset-simple-Add-reset-callback.patch
new file mode 100644 (file)
index 0000000..035c9d6
--- /dev/null
@@ -0,0 +1,85 @@
+From 66deff85fee24ecd7b0ffa2901711aa8f026fcfa Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 28 Jan 2020 16:22:20 +0100
+Subject: [PATCH] reset: simple: Add reset callback
+
+The reset-simple code lacks a reset callback that is still pretty easy to
+implement. The only real thing to consider is the delay needed for a device
+to be reset, so let's expose that as part of the reset-simple driver data.
+
+Cc: Philipp Zabel <p.zabel@pengutronix.de>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/reset/reset-simple.c       | 24 ++++++++++++++++++++++++
+ include/linux/reset/reset-simple.h |  6 ++++++
+ 2 files changed, 30 insertions(+)
+
+--- a/drivers/reset/reset-simple.c
++++ b/drivers/reset/reset-simple.c
+@@ -11,6 +11,7 @@
+  * Maxime Ripard <maxime.ripard@free-electrons.com>
+  */
++#include <linux/delay.h>
+ #include <linux/device.h>
+ #include <linux/err.h>
+ #include <linux/io.h>
+@@ -63,6 +64,28 @@ static int reset_simple_deassert(struct
+       return reset_simple_update(rcdev, id, false);
+ }
++static int reset_simple_reset(struct reset_controller_dev *rcdev,
++                             unsigned long id)
++{
++      struct reset_simple_data *data = to_reset_simple_data(rcdev);
++      int ret;
++
++      if (!data->reset_us)
++              return -ENOTSUPP;
++
++      ret = reset_simple_assert(rcdev, id);
++      if (ret)
++              return ret;
++
++      usleep_range(data->reset_us, data->reset_us * 2);
++
++      ret = reset_simple_deassert(rcdev, id);
++      if (ret)
++              return ret;
++
++      return 0;
++}
++
+ static int reset_simple_status(struct reset_controller_dev *rcdev,
+                              unsigned long id)
+ {
+@@ -80,6 +103,7 @@ static int reset_simple_status(struct re
+ const struct reset_control_ops reset_simple_ops = {
+       .assert         = reset_simple_assert,
+       .deassert       = reset_simple_deassert,
++      .reset          = reset_simple_reset,
+       .status         = reset_simple_status,
+ };
+ EXPORT_SYMBOL_GPL(reset_simple_ops);
+--- a/include/linux/reset/reset-simple.h
++++ b/include/linux/reset/reset-simple.h
+@@ -27,6 +27,11 @@
+  * @status_active_low: if true, bits read back as cleared while the reset is
+  *                     asserted. Otherwise, bits read back as set while the
+  *                     reset is asserted.
++ * @reset_us: Minimum delay in microseconds needed that needs to be
++ *            waited for between an assert and a deassert to reset the
++ *            device. If multiple consumers with different delay
++ *            requirements are connected to this controller, it must
++ *            be the largest minimum delay.
+  */
+ struct reset_simple_data {
+       spinlock_t                      lock;
+@@ -34,6 +39,7 @@ struct reset_simple_data {
+       struct reset_controller_dev     rcdev;
+       bool                            active_low;
+       bool                            status_active_low;
++      unsigned int                    reset_us;
+ };
+ extern const struct reset_control_ops reset_simple_ops;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0529-clk-bcm-rpi-Make-the-PLLB-registration-function-retu.patch b/target/linux/bcm27xx/patches-5.4/950-0529-clk-bcm-rpi-Make-the-PLLB-registration-function-retu.patch
deleted file mode 100644 (file)
index 633cf18..0000000
+++ /dev/null
@@ -1,144 +0,0 @@
-From 5272bad5ff927362e5d12da82eb819a8d1444da6 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 7 Feb 2020 16:30:01 +0100
-Subject: [PATCH] clk: bcm: rpi: Make the PLLB registration function
- return a clk_hw
-
-The raspberrypi_register_pllb has been returning an integer so far to
-notify whether the functions has exited successfully or not.
-
-However, the OF provider functions in the clock framework require access to
-the clk_hw structure so that we can expose those clocks to device tree
-consumers.
-
-Since we'll want that for the future clocks, let's return a clk_hw pointer
-instead of the return code.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: linux-clk@vger.kernel.org
-Reviewed-by: Stephen Boyd <sboyd@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 40 +++++++++++++++++--------------
- 1 file changed, 22 insertions(+), 18 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -190,7 +190,7 @@ static const struct clk_ops raspberrypi_
-       .determine_rate = raspberrypi_pll_determine_rate,
- };
--static int raspberrypi_register_pllb(struct raspberrypi_clk *rpi)
-+static struct clk_hw *raspberrypi_register_pllb(struct raspberrypi_clk *rpi)
- {
-       struct raspberrypi_clk_data *data;
-       struct clk_init_data init = {};
-@@ -199,7 +199,7 @@ static int raspberrypi_register_pllb(str
-       data = devm_kzalloc(rpi->dev, sizeof(*data), GFP_KERNEL);
-       if (!data)
--              return -ENOMEM;
-+              return ERR_PTR(-ENOMEM);
-       data->rpi = rpi;
-       data->id = RPI_FIRMWARE_ARM_CLK_ID;
-@@ -217,7 +217,7 @@ static int raspberrypi_register_pllb(str
-       if (ret) {
-               dev_err(rpi->dev, "Failed to get %s min freq: %d\n",
-                       init.name, ret);
--              return ret;
-+              return ERR_PTR(ret);
-       }
-       ret = raspberrypi_clock_property(rpi->firmware, data,
-@@ -226,13 +226,13 @@ static int raspberrypi_register_pllb(str
-       if (ret) {
-               dev_err(rpi->dev, "Failed to get %s max freq: %d\n",
-                       init.name, ret);
--              return ret;
-+              return ERR_PTR(ret);
-       }
-       if (!min_rate || !max_rate) {
-               dev_err(rpi->dev, "Unexpected frequency range: min %u, max %u\n",
-                       min_rate, max_rate);
--              return -EINVAL;
-+              return ERR_PTR(-EINVAL);
-       }
-       dev_info(rpi->dev, "CPU frequency range: min %u, max %u\n",
-@@ -243,7 +243,11 @@ static int raspberrypi_register_pllb(str
-       data->hw.init = &init;
--      return devm_clk_hw_register(rpi->dev, &data->hw);
-+      ret = devm_clk_hw_register(rpi->dev, &data->hw);
-+      if (ret)
-+              return ERR_PTR(ret);
-+
-+      return &data->hw;
- }
- static struct clk_fixed_factor raspberrypi_clk_pllb_arm = {
-@@ -258,14 +262,14 @@ static struct clk_fixed_factor raspberry
-       },
- };
--static int raspberrypi_register_pllb_arm(struct raspberrypi_clk *rpi)
-+static struct clk_hw *raspberrypi_register_pllb_arm(struct raspberrypi_clk *rpi)
- {
-       int ret;
-       ret = devm_clk_hw_register(rpi->dev, &raspberrypi_clk_pllb_arm.hw);
-       if (ret) {
-               dev_err(rpi->dev, "Failed to initialize pllb_arm\n");
--              return ret;
-+              return ERR_PTR(ret);
-       }
-       ret = devm_clk_hw_register_clkdev(rpi->dev,
-@@ -273,10 +277,10 @@ static int raspberrypi_register_pllb_arm
-                                         NULL, "cpu0");
-       if (ret) {
-               dev_err(rpi->dev, "Failed to initialize clkdev\n");
--              return ret;
-+              return ERR_PTR(ret);
-       }
--      return 0;
-+      return &raspberrypi_clk_pllb_arm.hw;
- }
- static int raspberrypi_clk_probe(struct platform_device *pdev)
-@@ -285,7 +289,7 @@ static int raspberrypi_clk_probe(struct
-       struct device *dev = &pdev->dev;
-       struct rpi_firmware *firmware;
-       struct raspberrypi_clk *rpi;
--      int ret;
-+      struct clk_hw *hw;
-       firmware_node = of_parse_phandle(dev->of_node, "raspberrypi,firmware", 0);
-       if (!firmware_node) {
-@@ -305,15 +309,15 @@ static int raspberrypi_clk_probe(struct
-       rpi->firmware = firmware;
-       platform_set_drvdata(pdev, rpi);
--      ret = raspberrypi_register_pllb(rpi);
--      if (ret) {
--              dev_err(dev, "Failed to initialize pllb, %d\n", ret);
--              return ret;
-+      hw = raspberrypi_register_pllb(rpi);
-+      if (IS_ERR(hw)) {
-+              dev_err(dev, "Failed to initialize pllb, %ld\n", PTR_ERR(hw));
-+              return PTR_ERR(hw);
-       }
--      ret = raspberrypi_register_pllb_arm(rpi);
--      if (ret)
--              return ret;
-+      hw = raspberrypi_register_pllb_arm(rpi);
-+      if (IS_ERR(hw))
-+              return PTR_ERR(hw);
-       rpi->cpufreq = platform_device_register_data(dev, "raspberrypi-cpufreq",
-                                                    -1, NULL, 0);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0529-dt-bindings-clock-Add-BCM2711-DVP-binding.patch b/target/linux/bcm27xx/patches-5.4/950-0529-dt-bindings-clock-Add-BCM2711-DVP-binding.patch
new file mode 100644 (file)
index 0000000..ca87cba
--- /dev/null
@@ -0,0 +1,68 @@
+From 67405a5468f8972f3a3db44292aff8fc05188db9 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 13 Feb 2020 17:50:31 +0100
+Subject: [PATCH] dt-bindings: clock: Add BCM2711 DVP binding
+
+The BCM2711 has a unit controlling the HDMI0 and HDMI1 clock and reset
+signals. Let's add a binding for it.
+
+Cc: Philipp Zabel <p.zabel@pengutronix.de>
+Cc: Rob Herring <robh+dt@kernel.org>
+Cc: devicetree@vger.kernel.org
+Reviewed-by: Rob Herring <robh+dt@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ .../bindings/clock/brcm,bcm2711-dvp.yaml      | 47 +++++++++++++++++++
+ 1 file changed, 47 insertions(+)
+ create mode 100644 Documentation/devicetree/bindings/clock/brcm,bcm2711-dvp.yaml
+
+--- /dev/null
++++ b/Documentation/devicetree/bindings/clock/brcm,bcm2711-dvp.yaml
+@@ -0,0 +1,47 @@
++# SPDX-License-Identifier: (GPL-2.0-only OR BSD-2-Clause)
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/clock/brcm,bcm2711-dvp.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Broadcom BCM2711 HDMI DVP Device Tree Bindings
++
++maintainers:
++  - Maxime Ripard <mripard@kernel.org>
++
++properties:
++  "#clock-cells":
++    const: 1
++
++  "#reset-cells":
++    const: 1
++
++  compatible:
++    const: brcm,brcm2711-dvp
++
++  reg:
++    maxItems: 1
++
++  clocks:
++    maxItems: 1
++
++required:
++  - "#clock-cells"
++  - "#reset-cells"
++  - compatible
++  - reg
++  - clocks
++
++additionalProperties: false
++
++examples:
++  - |
++    dvp: clock@7ef00000 {
++        compatible = "brcm,brcm2711-dvp";
++        reg = <0x7ef00000 0x10>;
++        clocks = <&clk_108MHz>;
++        #clock-cells = <1>;
++        #reset-cells = <1>;
++    };
++
++...
diff --git a/target/linux/bcm27xx/patches-5.4/950-0530-clk-bcm-Add-BCM2711-DVP-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0530-clk-bcm-Add-BCM2711-DVP-driver.patch
new file mode 100644 (file)
index 0000000..2310505
--- /dev/null
@@ -0,0 +1,172 @@
+From 0a2b9668e391b5fef4c54f992d7f8f99e5f50ef3 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 28 Jan 2020 09:36:27 +0100
+Subject: [PATCH] clk: bcm: Add BCM2711 DVP driver
+
+The HDMI block has a block that controls clocks and reset signals to the
+HDMI0 and HDMI1 controllers.
+
+Let's expose that through a clock driver implementing a clock and reset
+provider.
+
+Cc: Michael Turquette <mturquette@baylibre.com>
+Cc: Stephen Boyd <sboyd@kernel.org>
+Cc: Rob Herring <robh+dt@kernel.org>
+Cc: linux-clk@vger.kernel.org
+Cc: devicetree@vger.kernel.org
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/Kconfig           |   1 +
+ drivers/clk/bcm/Makefile          |   1 +
+ drivers/clk/bcm/clk-bcm2711-dvp.c | 125 ++++++++++++++++++++++++++++++
+ 3 files changed, 127 insertions(+)
+ create mode 100644 drivers/clk/bcm/clk-bcm2711-dvp.c
+
+--- a/drivers/clk/bcm/Kconfig
++++ b/drivers/clk/bcm/Kconfig
+@@ -4,6 +4,7 @@ config CLK_BCM2835
+       depends on ARCH_BCM2835 || ARCH_BRCMSTB || COMPILE_TEST
+       depends on COMMON_CLK
+       default ARCH_BCM2835 || ARCH_BRCMSTB
++      select RESET_SIMPLE
+       help
+         Enable common clock framework support for Broadcom BCM2835
+         SoCs.
+--- a/drivers/clk/bcm/Makefile
++++ b/drivers/clk/bcm/Makefile
+@@ -6,6 +6,7 @@ obj-$(CONFIG_CLK_BCM_KONA)     += clk-kona-s
+ obj-$(CONFIG_CLK_BCM_KONA)    += clk-bcm281xx.o
+ obj-$(CONFIG_CLK_BCM_KONA)    += clk-bcm21664.o
+ obj-$(CONFIG_COMMON_CLK_IPROC)        += clk-iproc-armpll.o clk-iproc-pll.o clk-iproc-asiu.o
++obj-$(CONFIG_CLK_BCM2835)     += clk-bcm2711-dvp.o
+ obj-$(CONFIG_CLK_BCM2835)     += clk-bcm2835.o
+ obj-$(CONFIG_CLK_BCM2835)     += clk-bcm2835-aux.o
+ obj-$(CONFIG_CLK_RASPBERRYPI) += clk-raspberrypi.o
+--- /dev/null
++++ b/drivers/clk/bcm/clk-bcm2711-dvp.c
+@@ -0,0 +1,125 @@
++// SPDX-License-Identifier: GPL-2.0-or-later
++// Copyright 2020 Cerno
++
++#include <linux/clk-provider.h>
++#include <linux/module.h>
++#include <linux/platform_device.h>
++#include <linux/reset-controller.h>
++#include <linux/reset/reset-simple.h>
++
++#define DVP_HT_RPI_SW_INIT    0x04
++#define DVP_HT_RPI_MISC_CONFIG        0x08
++
++#define NR_CLOCKS     2
++#define NR_RESETS     6
++
++struct clk_dvp {
++      struct clk_hw_onecell_data      *data;
++      struct reset_simple_data        reset;
++};
++
++static int clk_dvp_probe(struct platform_device *pdev)
++{
++      struct clk_hw_onecell_data *data;
++      struct resource *res;
++      struct clk_dvp *dvp;
++      void __iomem *base;
++      const char *parent;
++      int ret;
++
++      dvp = devm_kzalloc(&pdev->dev, sizeof(*dvp), GFP_KERNEL);
++      if (!dvp)
++              return -ENOMEM;
++      platform_set_drvdata(pdev, dvp);
++
++      dvp->data = devm_kzalloc(&pdev->dev,
++                               struct_size(dvp->data, hws, NR_CLOCKS),
++                               GFP_KERNEL);
++      if (!dvp->data)
++              return -ENOMEM;
++      data = dvp->data;
++
++      res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
++      base = devm_ioremap_resource(&pdev->dev, res);
++      if (IS_ERR(base))
++              return PTR_ERR(base);
++
++      dvp->reset.rcdev.owner = THIS_MODULE;
++      dvp->reset.rcdev.nr_resets = NR_RESETS;
++      dvp->reset.rcdev.ops = &reset_simple_ops;
++      dvp->reset.rcdev.of_node = pdev->dev.of_node;
++      dvp->reset.membase = base + DVP_HT_RPI_SW_INIT;
++      spin_lock_init(&dvp->reset.lock);
++
++      ret = reset_controller_register(&dvp->reset.rcdev);
++      if (ret)
++              return ret;
++
++      parent = of_clk_get_parent_name(pdev->dev.of_node, 0);
++      if (!parent)
++              goto unregister_reset;
++
++      data->hws[0] = clk_hw_register_gate(&pdev->dev, "hdmi0-108MHz",
++                                          parent, 0,
++                                          base + DVP_HT_RPI_MISC_CONFIG, 3,
++                                          CLK_GATE_SET_TO_DISABLE, &dvp->reset.lock);
++      if (IS_ERR(data->hws[0])) {
++              ret = PTR_ERR(data->hws[0]);
++              goto unregister_reset;
++      }
++
++      data->hws[1] = clk_hw_register_gate(&pdev->dev, "hdmi1-108MHz",
++                                          parent, 0,
++                                          base + DVP_HT_RPI_MISC_CONFIG, 4,
++                                          CLK_GATE_SET_TO_DISABLE, &dvp->reset.lock);
++      if (IS_ERR(data->hws[1])) {
++              ret = PTR_ERR(data->hws[1]);
++              goto unregister_clk0;
++      }
++
++      data->num = NR_CLOCKS;
++      ret = of_clk_add_hw_provider(pdev->dev.of_node, of_clk_hw_onecell_get,
++                                   data);
++      if (ret)
++              goto unregister_clk1;
++
++      return 0;
++
++
++unregister_clk1:
++      clk_hw_unregister_gate(data->hws[1]);
++
++unregister_clk0:
++      clk_hw_unregister_gate(data->hws[0]);
++
++unregister_reset:
++      reset_controller_unregister(&dvp->reset.rcdev);
++      return ret;
++};
++
++static int clk_dvp_remove(struct platform_device *pdev)
++{
++      struct clk_dvp *dvp = platform_get_drvdata(pdev);
++      struct clk_hw_onecell_data *data = dvp->data;
++
++      clk_hw_unregister_gate(data->hws[1]);
++      clk_hw_unregister_gate(data->hws[0]);
++      reset_controller_unregister(&dvp->reset.rcdev);
++
++      return 0;
++}
++
++static const struct of_device_id clk_dvp_dt_ids[] = {
++      { .compatible = "brcm,brcm2711-dvp", },
++      { /* sentinel */ }
++};
++
++static struct platform_driver clk_dvp_driver = {
++      .probe  = clk_dvp_probe,
++      .remove = clk_dvp_remove,
++      .driver = {
++              .name           = "brcm2711-dvp",
++              .of_match_table = clk_dvp_dt_ids,
++      },
++};
++module_platform_driver(clk_dvp_driver);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0530-clk-bcm-rpi-Add-DT-provider-for-the-clocks.patch b/target/linux/bcm27xx/patches-5.4/950-0530-clk-bcm-rpi-Add-DT-provider-for-the-clocks.patch
deleted file mode 100644 (file)
index 99fdf8f..0000000
+++ /dev/null
@@ -1,67 +0,0 @@
-From 19f7515528fbd1dc0d45e4b5ce6531c1406fc8d8 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 7 Feb 2020 17:03:46 +0100
-Subject: [PATCH] clk: bcm: rpi: Add DT provider for the clocks
-
-For the upcoming registration of the clocks provided by the firmware, make
-sure it's exposed to the device tree providers.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: linux-clk@vger.kernel.org
-Reviewed-by: Stephen Boyd <sboyd@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c | 16 ++++++++++++++++
- 1 file changed, 16 insertions(+)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -31,6 +31,8 @@
- #define A2W_PLL_FRAC_BITS             20
-+#define NUM_FW_CLKS                   16
-+
- struct raspberrypi_clk {
-       struct device *dev;
-       struct rpi_firmware *firmware;
-@@ -285,11 +287,13 @@ static struct clk_hw *raspberrypi_regist
- static int raspberrypi_clk_probe(struct platform_device *pdev)
- {
-+      struct clk_hw_onecell_data *clk_data;
-       struct device_node *firmware_node;
-       struct device *dev = &pdev->dev;
-       struct rpi_firmware *firmware;
-       struct raspberrypi_clk *rpi;
-       struct clk_hw *hw;
-+      int ret;
-       firmware_node = of_parse_phandle(dev->of_node, "raspberrypi,firmware", 0);
-       if (!firmware_node) {
-@@ -309,6 +313,11 @@ static int raspberrypi_clk_probe(struct
-       rpi->firmware = firmware;
-       platform_set_drvdata(pdev, rpi);
-+      clk_data = devm_kzalloc(dev, struct_size(clk_data, hws, NUM_FW_CLKS),
-+                              GFP_KERNEL);
-+      if (!clk_data)
-+              return -ENOMEM;
-+
-       hw = raspberrypi_register_pllb(rpi);
-       if (IS_ERR(hw)) {
-               dev_err(dev, "Failed to initialize pllb, %ld\n", PTR_ERR(hw));
-@@ -318,6 +327,13 @@ static int raspberrypi_clk_probe(struct
-       hw = raspberrypi_register_pllb_arm(rpi);
-       if (IS_ERR(hw))
-               return PTR_ERR(hw);
-+      clk_data->hws[RPI_FIRMWARE_ARM_CLK_ID] = hw;
-+      clk_data->num = RPI_FIRMWARE_ARM_CLK_ID + 1;
-+
-+      ret = devm_of_clk_add_hw_provider(dev, of_clk_hw_onecell_get,
-+                                        clk_data);
-+      if (ret)
-+              return ret;
-       rpi->cpufreq = platform_device_register_data(dev, "raspberrypi-cpufreq",
-                                                    -1, NULL, 0);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0531-ARM-dts-bcm2711-Add-HDMI-DVP.patch b/target/linux/bcm27xx/patches-5.4/950-0531-ARM-dts-bcm2711-Add-HDMI-DVP.patch
new file mode 100644 (file)
index 0000000..c4d230e
--- /dev/null
@@ -0,0 +1,43 @@
+From 119c9cdf9beab785d10ebf8a804ce20b3b0fd779 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 28 Jan 2020 09:37:06 +0100
+Subject: [PATCH] ARM: dts: bcm2711: Add HDMI DVP
+
+Now that we have a driver for the DVP, let's add its DT node.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ arch/arm/boot/dts/bcm2711.dtsi | 15 +++++++++++++++
+ 1 file changed, 15 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -31,6 +31,13 @@
+               };
+       };
++      clk_108MHz: clk-108M {
++              #clock-cells = <0>;
++              compatible = "fixed-clock";
++              clock-frequency = <108000000>;
++              clock-output-names = "108MHz-clock";
++      };
++
+       firmware_clocks: firmware-clocks {
+               compatible = "raspberrypi,firmware-clocks";
+               raspberrypi,firmware = <&firmware>;
+@@ -268,6 +275,14 @@
+               hvs@7e400000 {
+                       interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
+               };
++
++              dvp: clock@7ef00000 {
++                      compatible = "brcm,brcm2711-dvp";
++                      reg = <0x7ef00000 0x10>;
++                      clocks = <&clk_108MHz>;
++                      #clock-cells = <1>;
++                      #reset-cells = <1>;
++              };
+       };
+       arm-pmu {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0531-clk-bcm-rpi-Discover-the-firmware-clocks.patch b/target/linux/bcm27xx/patches-5.4/950-0531-clk-bcm-rpi-Discover-the-firmware-clocks.patch
deleted file mode 100644 (file)
index eaa4a81..0000000
+++ /dev/null
@@ -1,173 +0,0 @@
-From 54276fe20c0735dd18d298891b71b664ea54962d Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 10 Feb 2020 14:06:09 +0100
-Subject: [PATCH] clk: bcm: rpi: Discover the firmware clocks
-
-The RaspberryPi4 firmware actually exposes more clocks than are currently
-handled by the driver and we will need to change some of them directly
-based on the pixel rate for the display related clocks, or the load for the
-GPU.
-
-This rate change can have a number of side-effects, including adjusting the
-various PLL voltages or the PLL parents. The firmware will also update
-those clocks by itself for example if the SoC runs too hot.
-
-In order to make Linux play as nice as possible with those constraints, it
-makes sense to rely on the firmware clocks as much as possible.
-
-Fortunately,t he firmware has an interface to discover the clocks it
-exposes.
-
-Let's use it to discover, register the clocks in the clocks framework and
-then expose them through the device tree for consumers to use them.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: Stephen Boyd <sboyd@kernel.org>
-Cc: linux-clk@vger.kernel.org
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/clk-raspberrypi.c          | 104 ++++++++++++++++++---
- include/soc/bcm2835/raspberrypi-firmware.h |   5 +
- 2 files changed, 97 insertions(+), 12 deletions(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -285,6 +285,95 @@ static struct clk_hw *raspberrypi_regist
-       return &raspberrypi_clk_pllb_arm.hw;
- }
-+static long raspberrypi_fw_dumb_round_rate(struct clk_hw *hw,
-+                                         unsigned long rate,
-+                                         unsigned long *parent_rate)
-+{
-+      /*
-+       * The firmware will do the rounding but that isn't part of
-+       * the interface with the firmware, so we just do our best
-+       * here.
-+       */
-+      return rate;
-+}
-+
-+static const struct clk_ops raspberrypi_firmware_clk_ops = {
-+      .is_prepared    = raspberrypi_fw_is_prepared,
-+      .recalc_rate    = raspberrypi_fw_get_rate,
-+      .round_rate     = raspberrypi_fw_dumb_round_rate,
-+      .set_rate       = raspberrypi_fw_set_rate,
-+};
-+
-+static struct clk_hw *raspberrypi_clk_register(struct raspberrypi_clk *rpi,
-+                                             unsigned int parent,
-+                                             unsigned int id)
-+{
-+      struct raspberrypi_clk_data *data;
-+      struct clk_init_data init = {};
-+      int ret;
-+
-+      if (id == RPI_FIRMWARE_ARM_CLK_ID) {
-+              struct clk_hw *hw;
-+
-+              hw = raspberrypi_register_pllb(rpi);
-+              if (IS_ERR(hw)) {
-+                      dev_err(rpi->dev, "Failed to initialize pllb, %ld\n",
-+                              PTR_ERR(hw));
-+                      return hw;
-+              }
-+
-+              return raspberrypi_register_pllb_arm(rpi);
-+      }
-+
-+      data = devm_kzalloc(rpi->dev, sizeof(*data), GFP_KERNEL);
-+      if (!data)
-+              return ERR_PTR(-ENOMEM);
-+      data->rpi = rpi;
-+      data->id = id;
-+
-+      init.name = devm_kasprintf(rpi->dev, GFP_KERNEL, "fw-clk-%u", id);
-+      init.ops = &raspberrypi_firmware_clk_ops;
-+      init.flags = CLK_GET_RATE_NOCACHE;
-+
-+      data->hw.init = &init;
-+
-+      ret = devm_clk_hw_register(rpi->dev, &data->hw);
-+      if (ret)
-+              return ERR_PTR(ret);
-+
-+      return &data->hw;
-+}
-+
-+static int raspberrypi_discover_clocks(struct raspberrypi_clk *rpi,
-+                                     struct clk_hw_onecell_data *data)
-+{
-+      struct rpi_firmware_get_clocks_response *clks;
-+      int ret;
-+
-+      clks = devm_kcalloc(rpi->dev, sizeof(*clks), NUM_FW_CLKS, GFP_KERNEL);
-+      if (!clks)
-+              return -ENOMEM;
-+
-+      ret = rpi_firmware_property(rpi->firmware, RPI_FIRMWARE_GET_CLOCKS,
-+                                  clks, sizeof(*clks) * NUM_FW_CLKS);
-+      if (ret)
-+              return ret;
-+
-+      while (clks->id) {
-+              struct clk_hw *hw;
-+
-+              hw = raspberrypi_clk_register(rpi, clks->parent, clks->id);
-+              if (IS_ERR(hw))
-+                      return PTR_ERR(hw);
-+
-+              data->hws[clks->id] = hw;
-+              data->num = clks->id + 1;
-+              clks++;
-+      }
-+
-+      return 0;
-+}
-+
- static int raspberrypi_clk_probe(struct platform_device *pdev)
- {
-       struct clk_hw_onecell_data *clk_data;
-@@ -292,7 +381,6 @@ static int raspberrypi_clk_probe(struct
-       struct device *dev = &pdev->dev;
-       struct rpi_firmware *firmware;
-       struct raspberrypi_clk *rpi;
--      struct clk_hw *hw;
-       int ret;
-       firmware_node = of_parse_phandle(dev->of_node, "raspberrypi,firmware", 0);
-@@ -318,17 +406,9 @@ static int raspberrypi_clk_probe(struct
-       if (!clk_data)
-               return -ENOMEM;
--      hw = raspberrypi_register_pllb(rpi);
--      if (IS_ERR(hw)) {
--              dev_err(dev, "Failed to initialize pllb, %ld\n", PTR_ERR(hw));
--              return PTR_ERR(hw);
--      }
--
--      hw = raspberrypi_register_pllb_arm(rpi);
--      if (IS_ERR(hw))
--              return PTR_ERR(hw);
--      clk_data->hws[RPI_FIRMWARE_ARM_CLK_ID] = hw;
--      clk_data->num = RPI_FIRMWARE_ARM_CLK_ID + 1;
-+      ret = raspberrypi_discover_clocks(rpi, clk_data);
-+      if (ret)
-+              return ret;
-       ret = devm_of_clk_add_hw_provider(dev, of_clk_hw_onecell_get,
-                                         clk_data);
---- a/include/soc/bcm2835/raspberrypi-firmware.h
-+++ b/include/soc/bcm2835/raspberrypi-firmware.h
-@@ -160,6 +160,11 @@ enum rpi_firmware_property_tag {
- #define GET_DISPLAY_SETTINGS_PAYLOAD_SIZE 64
-+struct rpi_firmware_get_clocks_response {
-+      __le32 parent;
-+      __le32 id;
-+};
-+
- #if IS_ENABLED(CONFIG_RASPBERRYPI_FIRMWARE)
- int rpi_firmware_property(struct rpi_firmware *fw,
-                         u32 tag, void *data, size_t len);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0532-ARM-dts-bcm2711-Add-firmware-clocks-node.patch b/target/linux/bcm27xx/patches-5.4/950-0532-ARM-dts-bcm2711-Add-firmware-clocks-node.patch
deleted file mode 100644 (file)
index 098903d..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-From a0ebfa1829b5d3a1f698426c29f99078640b498f Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 23 Dec 2019 19:58:30 +0100
-Subject: [PATCH] ARM: dts: bcm2711: Add firmware clocks node
-
-Now that we have a clock driver for the clocks exposed by the firmware,
-let's add the device tree nodes for it.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- arch/arm/boot/dts/bcm2711-rpi.dtsi | 2 +-
- arch/arm/boot/dts/bcm2711.dtsi     | 5 +++++
- 2 files changed, 6 insertions(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -33,7 +33,7 @@
-                       power-domains = <&pm BCM2835_POWER_DOMAIN_GRAFX_V3D>;
-                       resets = <&pm BCM2835_RESET_V3D>;
--                      clocks = <&clocks BCM2835_CLOCK_V3D>;
-+                      clocks = <&firmware_clocks 5>;
-                       interrupts = <GIC_SPI 74 IRQ_TYPE_LEVEL_HIGH>;
-                       status = "disabled";
-               };
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -31,6 +31,11 @@
-               };
-       };
-+      firmware_clocks: firmware-clocks {
-+              compatible = "raspberrypi,firmware-clocks";
-+              raspberrypi,firmware = <&firmware>;
-+              #clock-cells = <1>;
-+      };
-       soc {
-               /*
diff --git a/target/linux/bcm27xx/patches-5.4/950-0532-dt-bindings-display-Convert-VC4-bindings-to-schemas.patch b/target/linux/bcm27xx/patches-5.4/950-0532-dt-bindings-display-Convert-VC4-bindings-to-schemas.patch
new file mode 100644 (file)
index 0000000..d948264
--- /dev/null
@@ -0,0 +1,706 @@
+From 193065956ba3e285df2c67f7c3bdeb3bdaae6ee9 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 13 Feb 2020 15:42:05 +0100
+Subject: [PATCH] dt-bindings: display: Convert VC4 bindings to schemas
+
+The BCM283x SoCs have a display pipeline composed of several controllers
+with device tree bindings that are supported by Linux.
+
+Now that we have the DT validation in place, let's split into separate
+files and convert the device tree bindings for those controllers to
+schemas.
+
+This is just a 1:1 conversion though, and some bindings were incomplete so
+it results in example validation warnings that are going to be addressed in
+the following patches.
+
+Cc: Rob Herring <robh+dt@kernel.org>
+Cc: devicetree@vger.kernel.org
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ .../bindings/display/brcm,bcm-vc4.txt         | 174 ------------------
+ .../bindings/display/brcm,bcm2835-dpi.yaml    |  66 +++++++
+ .../bindings/display/brcm,bcm2835-dsi0.yaml   |  73 ++++++++
+ .../bindings/display/brcm,bcm2835-hdmi.yaml   |  75 ++++++++
+ .../bindings/display/brcm,bcm2835-hvs.yaml    |  37 ++++
+ .../display/brcm,bcm2835-pixelvalve0.yaml     |  40 ++++
+ .../bindings/display/brcm,bcm2835-txp.yaml    |  37 ++++
+ .../bindings/display/brcm,bcm2835-v3d.yaml    |  42 +++++
+ .../bindings/display/brcm,bcm2835-vc4.yaml    |  34 ++++
+ .../bindings/display/brcm,bcm2835-vec.yaml    |  44 +++++
+ MAINTAINERS                                   |   2 +-
+ 11 files changed, 449 insertions(+), 175 deletions(-)
+ delete mode 100644 Documentation/devicetree/bindings/display/brcm,bcm-vc4.txt
+ create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-dpi.yaml
+ create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-dsi0.yaml
+ create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-hdmi.yaml
+ create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-hvs.yaml
+ create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-pixelvalve0.yaml
+ create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-txp.yaml
+ create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-v3d.yaml
+ create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-vc4.yaml
+ create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-vec.yaml
+
+--- a/Documentation/devicetree/bindings/display/brcm,bcm-vc4.txt
++++ /dev/null
+@@ -1,174 +0,0 @@
+-Broadcom VC4 (VideoCore4) GPU
+-
+-The VC4 device present on the Raspberry Pi includes a display system
+-with HDMI output and the HVS (Hardware Video Scaler) for compositing
+-display planes.
+-
+-Required properties for VC4:
+-- compatible: Should be "brcm,bcm2835-vc4" or "brcm,cygnus-vc4"
+-
+-Required properties for Pixel Valve:
+-- compatible: Should be one of "brcm,bcm2835-pixelvalve0",
+-                "brcm,bcm2835-pixelvalve1", or "brcm,bcm2835-pixelvalve2"
+-- reg:                Physical base address and length of the PV's registers
+-- interrupts: The interrupt number
+-                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
+-
+-Required properties for HVS:
+-- compatible: Should be "brcm,bcm2835-hvs"
+-- reg:                Physical base address and length of the HVS's registers
+-- interrupts: The interrupt number
+-                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
+-
+-Required properties for HDMI
+-- compatible: Should be "brcm,bcm2835-hdmi"
+-- reg:                Physical base address and length of the two register ranges
+-                ("HDMI" and "HD", in that order)
+-- interrupts: The interrupt numbers
+-                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
+-- ddc:                phandle of the I2C controller used for DDC EDID probing
+-- clocks:     a) hdmi: The HDMI state machine clock
+-              b) pixel: The pixel clock.
+-
+-Optional properties for HDMI:
+-- hpd-gpios:  The GPIO pin for HDMI hotplug detect (if it doesn't appear
+-                as an interrupt/status bit in the HDMI controller
+-                itself).  See bindings/pinctrl/brcm,bcm2835-gpio.txt
+-- dmas:               Should contain one entry pointing to the DMA channel used to
+-              transfer audio data
+-- dma-names:  Should contain "audio-rx"
+-
+-Required properties for DPI:
+-- compatible: Should be "brcm,bcm2835-dpi"
+-- reg:                Physical base address and length of the registers
+-- clocks:     a) core: The core clock the unit runs on
+-              b) pixel: The pixel clock that feeds the pixelvalve
+-- port:               Port node with a single endpoint connecting to the panel
+-                device, as defined in [1]
+-
+-Required properties for VEC:
+-- compatible: Should be "brcm,bcm2835-vec"
+-- reg:                Physical base address and length of the registers
+-- clocks:     The core clock the unit runs on
+-- interrupts: The interrupt number
+-                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
+-
+-Required properties for V3D:
+-- compatible: Should be "brcm,bcm2835-v3d" or "brcm,cygnus-v3d"
+-- reg:                Physical base address and length of the V3D's registers
+-- interrupts: The interrupt number
+-                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
+-
+-Optional properties for V3D:
+-- clocks:     The clock the unit runs on
+-
+-Required properties for DSI:
+-- compatible: Should be "brcm,bcm2835-dsi0" or "brcm,bcm2835-dsi1"
+-- reg:                Physical base address and length of the DSI block's registers
+-- interrupts: The interrupt number
+-                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
+-- clocks:     a) phy: The DSI PLL clock feeding the DSI analog PHY
+-              b) escape: The DSI ESC clock from CPRMAN
+-              c) pixel: The DSI pixel clock from CPRMAN
+-- clock-output-names:
+-              The 3 clocks output from the DSI analog PHY: dsi[01]_byte,
+-              dsi[01]_ddr2, and dsi[01]_ddr
+-
+-Required properties for the TXP (writeback) block:
+-- compatible: Should be "brcm,bcm2835-txp"
+-- reg:                Physical base address and length of the TXP block's registers
+-- interrupts: The interrupt number
+-                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
+-
+-[1] Documentation/devicetree/bindings/media/video-interfaces.txt
+-
+-Example:
+-pixelvalve@7e807000 {
+-      compatible = "brcm,bcm2835-pixelvalve2";
+-      reg = <0x7e807000 0x100>;
+-      interrupts = <2 10>; /* pixelvalve */
+-};
+-
+-hvs@7e400000 {
+-      compatible = "brcm,bcm2835-hvs";
+-      reg = <0x7e400000 0x6000>;
+-      interrupts = <2 1>;
+-};
+-
+-hdmi: hdmi@7e902000 {
+-      compatible = "brcm,bcm2835-hdmi";
+-      reg = <0x7e902000 0x600>,
+-            <0x7e808000 0x100>;
+-      interrupts = <2 8>, <2 9>;
+-      ddc = <&i2c2>;
+-      hpd-gpios = <&gpio 46 GPIO_ACTIVE_HIGH>;
+-      clocks = <&clocks BCM2835_PLLH_PIX>,
+-               <&clocks BCM2835_CLOCK_HSM>;
+-      clock-names = "pixel", "hdmi";
+-};
+-
+-dpi: dpi@7e208000 {
+-      compatible = "brcm,bcm2835-dpi";
+-      reg = <0x7e208000 0x8c>;
+-      clocks = <&clocks BCM2835_CLOCK_VPU>,
+-               <&clocks BCM2835_CLOCK_DPI>;
+-      clock-names = "core", "pixel";
+-      #address-cells = <1>;
+-      #size-cells = <0>;
+-
+-      port {
+-              dpi_out: endpoint@0 {
+-                      remote-endpoint = <&panel_in>;
+-              };
+-      };
+-};
+-
+-dsi1: dsi@7e700000 {
+-      compatible = "brcm,bcm2835-dsi1";
+-      reg = <0x7e700000 0x8c>;
+-      interrupts = <2 12>;
+-      #address-cells = <1>;
+-      #size-cells = <0>;
+-      #clock-cells = <1>;
+-
+-      clocks = <&clocks BCM2835_PLLD_DSI1>,
+-               <&clocks BCM2835_CLOCK_DSI1E>,
+-               <&clocks BCM2835_CLOCK_DSI1P>;
+-      clock-names = "phy", "escape", "pixel";
+-
+-      clock-output-names = "dsi1_byte", "dsi1_ddr2", "dsi1_ddr";
+-
+-      pitouchscreen: panel@0 {
+-              compatible = "raspberrypi,touchscreen";
+-              reg = <0>;
+-
+-              <...>
+-      };
+-};
+-
+-vec: vec@7e806000 {
+-      compatible = "brcm,bcm2835-vec";
+-      reg = <0x7e806000 0x1000>;
+-      clocks = <&clocks BCM2835_CLOCK_VEC>;
+-      interrupts = <2 27>;
+-};
+-
+-v3d: v3d@7ec00000 {
+-      compatible = "brcm,bcm2835-v3d";
+-      reg = <0x7ec00000 0x1000>;
+-      interrupts = <1 10>;
+-};
+-
+-vc4: gpu {
+-      compatible = "brcm,bcm2835-vc4";
+-};
+-
+-panel: panel {
+-      compatible = "ontat,yx700wv03", "simple-panel";
+-
+-      port {
+-              panel_in: endpoint {
+-                      remote-endpoint = <&dpi_out>;
+-              };
+-      };
+-};
+--- /dev/null
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-dpi.yaml
+@@ -0,0 +1,66 @@
++# SPDX-License-Identifier: GPL-2.0
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/display/brcm,bcm2835-dpi.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Broadcom VC4 (VideoCore4) DPI Controller
++
++maintainers:
++  - Eric Anholt <eric@anholt.net>
++
++properties:
++  compatible:
++    const: brcm,bcm2835-dpi
++
++  reg:
++    maxItems: 1
++
++  clocks:
++    items:
++      - description: The core clock the unit runs on
++      - description: The pixel clock that feeds the pixelvalve
++
++  port:
++    type: object
++    description: >
++      Port node with a single endpoint connecting to the panel, as
++      defined in Documentation/devicetree/bindings/media/video-interfaces.txt.
++
++required:
++  - compatible
++  - reg
++  - clocks
++  - port
++
++additionalProperties: false
++
++examples:
++  - |
++    #include <dt-bindings/clock/bcm2835.h>
++
++    panel: panel {
++        compatible = "ontat,yx700wv03", "simple-panel";
++
++        port {
++            panel_in: endpoint {
++                remote-endpoint = <&dpi_out>;
++            };
++        };
++    };
++
++    dpi: dpi@7e208000 {
++        compatible = "brcm,bcm2835-dpi";
++        reg = <0x7e208000 0x8c>;
++        clocks = <&clocks BCM2835_CLOCK_VPU>,
++                 <&clocks BCM2835_CLOCK_DPI>;
++        clock-names = "core", "pixel";
++
++        port {
++            dpi_out: endpoint {
++                remote-endpoint = <&panel_in>;
++            };
++        };
++    };
++
++...
+--- /dev/null
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-dsi0.yaml
+@@ -0,0 +1,73 @@
++# SPDX-License-Identifier: GPL-2.0
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/display/brcm,bcm2835-dsi0.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Broadcom VC4 (VideoCore4) DSI Controller
++
++maintainers:
++  - Eric Anholt <eric@anholt.net>
++
++properties:
++  compatible:
++    enum:
++      - brcm,bcm2835-dsi0
++      - brcm,bcm2835-dsi1
++
++  reg:
++    maxItems: 1
++
++  clocks:
++    items:
++      - description: The DSI PLL clock feeding the DSI analog PHY
++      - description: The DSI ESC clock
++      - description: The DSI pixel clock
++
++  clock-output-names: true
++    # FIXME: The meta-schemas don't seem to allow it for now
++    # items:
++    #   - description: The DSI byte clock for the PHY
++    #   - description: The DSI DDR2 clock
++    #   - description: The DSI DDR clock
++
++  interrupts:
++    maxItems: 1
++
++required:
++  - compatible
++  - reg
++  - clocks
++  - clock-output-names
++  - interrupts
++
++unevaluatedProperties: false
++
++examples:
++  - |
++    #include <dt-bindings/clock/bcm2835.h>
++
++    dsi1: dsi@7e700000 {
++        compatible = "brcm,bcm2835-dsi1";
++        reg = <0x7e700000 0x8c>;
++        interrupts = <2 12>;
++        #address-cells = <1>;
++        #size-cells = <0>;
++        #clock-cells = <1>;
++
++        clocks = <&clocks BCM2835_PLLD_DSI1>,
++                 <&clocks BCM2835_CLOCK_DSI1E>,
++                 <&clocks BCM2835_CLOCK_DSI1P>;
++        clock-names = "phy", "escape", "pixel";
++
++        clock-output-names = "dsi1_byte", "dsi1_ddr2", "dsi1_ddr";
++
++        pitouchscreen: panel@0 {
++            compatible = "raspberrypi,touchscreen";
++            reg = <0>;
++
++            /* ... */
++        };
++    };
++
++...
+--- /dev/null
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-hdmi.yaml
+@@ -0,0 +1,75 @@
++# SPDX-License-Identifier: GPL-2.0
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/display/brcm,bcm2835-hdmi.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Broadcom VC4 (VideoCore4) HDMI Controller
++
++maintainers:
++  - Eric Anholt <eric@anholt.net>
++
++properties:
++  compatible:
++    const: brcm,bcm2835-hdmi
++
++  reg:
++    items:
++      - description: HDMI register range
++      - description: HD register range
++
++  interrupts:
++    minItems: 2
++
++  clocks:
++    items:
++      - description: The HDMI state machine clock
++      - description: The pixel clock
++
++  ddc:
++    allOf:
++      - $ref: /schemas/types.yaml#/definitions/phandle
++    description: >
++      Phandle of the I2C controller used for DDC EDID probing
++
++  hpd-gpios:
++    description: >
++      The GPIO pin for the HDMI hotplug detect (if it doesn't appear
++      as an interrupt/status bit in the HDMI controller itself)
++
++  dmas:
++    maxItems: 1
++    description: >
++      Should contain one entry pointing to the DMA channel used to
++      transfer audio data.
++
++  dma-names:
++    const: audio-rx
++
++required:
++  - compatible
++  - reg
++  - interrupts
++  - clocks
++  - ddc
++
++additionalProperties: false
++
++examples:
++  - |
++    #include <dt-bindings/clock/bcm2835.h>
++    #include <dt-bindings/gpio/gpio.h>
++
++    hdmi: hdmi@7e902000 {
++        compatible = "brcm,bcm2835-hdmi";
++        reg = <0x7e902000 0x600>,
++              <0x7e808000 0x100>;
++        interrupts = <2 8>, <2 9>;
++        ddc = <&i2c2>;
++        hpd-gpios = <&gpio 46 GPIO_ACTIVE_HIGH>;
++        clocks = <&clocks BCM2835_PLLH_PIX>,
++                 <&clocks BCM2835_CLOCK_HSM>;
++        clock-names = "pixel", "hdmi";
++    };
++
++...
+--- /dev/null
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-hvs.yaml
+@@ -0,0 +1,37 @@
++# SPDX-License-Identifier: GPL-2.0
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/display/brcm,bcm2835-hvs.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Broadcom VC4 (VideoCore4) Hardware Video Scaler
++
++maintainers:
++  - Eric Anholt <eric@anholt.net>
++
++properties:
++  compatible:
++    const: brcm,bcm2835-hvs
++
++  reg:
++    maxItems: 1
++
++  interrupts:
++    maxItems: 1
++
++required:
++  - compatible
++  - reg
++  - interrupts
++
++additionalProperties: false
++
++examples:
++  - |
++    hvs@7e400000 {
++        compatible = "brcm,bcm2835-hvs";
++        reg = <0x7e400000 0x6000>;
++        interrupts = <2 1>;
++    };
++
++...
+--- /dev/null
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-pixelvalve0.yaml
+@@ -0,0 +1,40 @@
++# SPDX-License-Identifier: GPL-2.0
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/display/brcm,bcm2835-pixelvalve0.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Broadcom VC4 (VideoCore4) PixelValve
++
++maintainers:
++  - Eric Anholt <eric@anholt.net>
++
++properties:
++  compatible:
++    enum:
++      - brcm,bcm2835-pixelvalve0
++      - brcm,bcm2835-pixelvalve1
++      - brcm,bcm2835-pixelvalve2
++
++  reg:
++    maxItems: 1
++
++  interrupts:
++    maxItems: 1
++
++required:
++  - compatible
++  - reg
++  - interrupts
++
++additionalProperties: false
++
++examples:
++  - |
++    pixelvalve@7e807000 {
++        compatible = "brcm,bcm2835-pixelvalve2";
++        reg = <0x7e807000 0x100>;
++        interrupts = <2 10>; /* pixelvalve */
++    };
++
++...
+--- /dev/null
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-txp.yaml
+@@ -0,0 +1,37 @@
++# SPDX-License-Identifier: GPL-2.0
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/display/brcm,bcm2835-txp.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Broadcom VC4 (VideoCore4) TXP (writeback) Controller
++
++maintainers:
++  - Eric Anholt <eric@anholt.net>
++
++properties:
++  compatible:
++    const: brcm,bcm2835-txp
++
++  reg:
++    maxItems: 1
++
++  interrupts:
++    maxItems: 1
++
++required:
++  - compatible
++  - reg
++  - interrupts
++
++additionalProperties: false
++
++examples:
++  - |
++    txp: txp@7e004000 {
++        compatible = "brcm,bcm2835-txp";
++        reg = <0x7e004000 0x20>;
++        interrupts = <1 11>;
++    };
++
++...
+--- /dev/null
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-v3d.yaml
+@@ -0,0 +1,42 @@
++# SPDX-License-Identifier: GPL-2.0
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/display/brcm,bcm2835-v3d.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Broadcom VC4 (VideoCore4) V3D GPU
++
++maintainers:
++  - Eric Anholt <eric@anholt.net>
++
++properties:
++  compatible:
++    enum:
++      - brcm,bcm2835-v3d
++      - brcm,cygnus-v3d
++
++  reg:
++    maxItems: 1
++
++  clocks:
++    maxItems: 1
++
++  interrupts:
++    maxItems: 1
++
++required:
++  - compatible
++  - reg
++  - interrupts
++
++additionalProperties: false
++
++examples:
++  - |
++    v3d: v3d@7ec00000 {
++        compatible = "brcm,bcm2835-v3d";
++        reg = <0x7ec00000 0x1000>;
++        interrupts = <1 10>;
++    };
++
++...
+--- /dev/null
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-vc4.yaml
+@@ -0,0 +1,34 @@
++# SPDX-License-Identifier: GPL-2.0
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/display/brcm,bcm2835-vc4.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Broadcom VC4 (VideoCore4) GPU
++
++maintainers:
++  - Eric Anholt <eric@anholt.net>
++
++description: >
++  The VC4 device present on the Raspberry Pi includes a display system
++  with HDMI output and the HVS (Hardware Video Scaler) for compositing
++  display planes.
++
++properties:
++  compatible:
++    enum:
++      - brcm,bcm2835-vc4
++      - brcm,cygnus-vc4
++
++required:
++  - compatible
++
++additionalProperties: false
++
++examples:
++  - |
++    vc4: gpu {
++        compatible = "brcm,bcm2835-vc4";
++    };
++
++...
+--- /dev/null
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-vec.yaml
+@@ -0,0 +1,44 @@
++# SPDX-License-Identifier: GPL-2.0
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/display/brcm,bcm2835-vec.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Broadcom VC4 (VideoCore4) VEC
++
++maintainers:
++  - Eric Anholt <eric@anholt.net>
++
++properties:
++  compatible:
++    const: brcm,bcm2835-vec
++
++  reg:
++    maxItems: 1
++
++  clocks:
++    maxItems: 1
++
++  interrupts:
++    maxItems: 1
++
++required:
++  - compatible
++  - reg
++  - clocks
++  - interrupts
++
++additionalProperties: false
++
++examples:
++  - |
++    #include <dt-bindings/clock/bcm2835.h>
++
++    vec: vec@7e806000 {
++        compatible = "brcm,bcm2835-vec";
++        reg = <0x7e806000 0x1000>;
++        clocks = <&clocks BCM2835_CLOCK_VEC>;
++        interrupts = <2 27>;
++    };
++
++...
+--- a/MAINTAINERS
++++ b/MAINTAINERS
+@@ -5574,7 +5574,7 @@ T:       git git://github.com/anholt/linux
+ S:    Supported
+ F:    drivers/gpu/drm/vc4/
+ F:    include/uapi/drm/vc4_drm.h
+-F:    Documentation/devicetree/bindings/display/brcm,bcm-vc4.txt
++F:    Documentation/devicetree/bindings/display/brcm,bcm2835-*.yaml
+ T:    git git://anongit.freedesktop.org/drm/drm-misc
+ DRM DRIVERS FOR VIVANTE GPU IP
diff --git a/target/linux/bcm27xx/patches-5.4/950-0533-dt-bindings-display-vc4-dpi-Add-missing-clock-names-.patch b/target/linux/bcm27xx/patches-5.4/950-0533-dt-bindings-display-vc4-dpi-Add-missing-clock-names-.patch
new file mode 100644 (file)
index 0000000..2150f0a
--- /dev/null
@@ -0,0 +1,38 @@
+From 9a624b11291306b4b4c49c70bc75ef7d72d81405 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 13 Feb 2020 15:47:18 +0100
+Subject: [PATCH] dt-bindings: display: vc4: dpi: Add missing
+ clock-names property
+
+While the device tree and the driver expected a clock-names property, it
+wasn't explicitly documented in the previous binding. Make sure it is now.
+
+Cc: devicetree@vger.kernel.org
+Reviewed-by: Rob Herring <robh+dt@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ .../devicetree/bindings/display/brcm,bcm2835-dpi.yaml       | 6 ++++++
+ 1 file changed, 6 insertions(+)
+
+--- a/Documentation/devicetree/bindings/display/brcm,bcm2835-dpi.yaml
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-dpi.yaml
+@@ -21,6 +21,11 @@ properties:
+       - description: The core clock the unit runs on
+       - description: The pixel clock that feeds the pixelvalve
++  clock-names:
++    items:
++      - const: core
++      - const: pixel
++
+   port:
+     type: object
+     description: >
+@@ -31,6 +36,7 @@ required:
+   - compatible
+   - reg
+   - clocks
++  - clock-names
+   - port
+ additionalProperties: false
diff --git a/target/linux/bcm27xx/patches-5.4/950-0533-reset-Move-reset-simple-header-out-of-drivers-reset.patch b/target/linux/bcm27xx/patches-5.4/950-0533-reset-Move-reset-simple-header-out-of-drivers-reset.patch
deleted file mode 100644 (file)
index 3df4fde..0000000
+++ /dev/null
@@ -1,168 +0,0 @@
-From e108e2c34b3acc70ec55b7d0772abb79c96319b2 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Tue, 28 Jan 2020 09:33:52 +0100
-Subject: [PATCH] reset: Move reset-simple header out of drivers/reset
-
-The reset-simple code can be useful for drivers outside of drivers/reset
-that have a few reset controls as part of their features. Let's move it to
-include/linux/reset.
-
-Cc: Philipp Zabel <p.zabel@pengutronix.de>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/reset/reset-simple.c                    | 3 +--
- drivers/reset/reset-socfpga.c                   | 3 +--
- drivers/reset/reset-sunxi.c                     | 3 +--
- drivers/reset/reset-uniphier-glue.c             | 3 +--
- {drivers => include/linux}/reset/reset-simple.h | 0
- 5 files changed, 4 insertions(+), 8 deletions(-)
- rename {drivers => include/linux}/reset/reset-simple.h (100%)
-
---- a/drivers/reset/reset-simple.c
-+++ b/drivers/reset/reset-simple.c
-@@ -18,10 +18,9 @@
- #include <linux/of_device.h>
- #include <linux/platform_device.h>
- #include <linux/reset-controller.h>
-+#include <linux/reset/reset-simple.h>
- #include <linux/spinlock.h>
--#include "reset-simple.h"
--
- static inline struct reset_simple_data *
- to_reset_simple_data(struct reset_controller_dev *rcdev)
- {
---- a/drivers/reset/reset-socfpga.c
-+++ b/drivers/reset/reset-socfpga.c
-@@ -11,13 +11,12 @@
- #include <linux/of_address.h>
- #include <linux/platform_device.h>
- #include <linux/reset-controller.h>
-+#include <linux/reset/reset-simple.h>
- #include <linux/reset/socfpga.h>
- #include <linux/slab.h>
- #include <linux/spinlock.h>
- #include <linux/types.h>
--#include "reset-simple.h"
--
- #define SOCFPGA_NR_BANKS      8
- static int a10_reset_init(struct device_node *np)
---- a/drivers/reset/reset-sunxi.c
-+++ b/drivers/reset/reset-sunxi.c
-@@ -14,13 +14,12 @@
- #include <linux/of_address.h>
- #include <linux/platform_device.h>
- #include <linux/reset-controller.h>
-+#include <linux/reset/reset-simple.h>
- #include <linux/reset/sunxi.h>
- #include <linux/slab.h>
- #include <linux/spinlock.h>
- #include <linux/types.h>
--#include "reset-simple.h"
--
- static int sunxi_reset_init(struct device_node *np)
- {
-       struct reset_simple_data *data;
---- a/drivers/reset/reset-uniphier-glue.c
-+++ b/drivers/reset/reset-uniphier-glue.c
-@@ -9,8 +9,7 @@
- #include <linux/of_device.h>
- #include <linux/platform_device.h>
- #include <linux/reset.h>
--
--#include "reset-simple.h"
-+#include <linux/reset/reset-simple.h>
- #define MAX_CLKS      2
- #define MAX_RSTS      2
---- a/drivers/reset/reset-simple.h
-+++ /dev/null
-@@ -1,41 +0,0 @@
--/* SPDX-License-Identifier: GPL-2.0-or-later */
--/*
-- * Simple Reset Controller ops
-- *
-- * Based on Allwinner SoCs Reset Controller driver
-- *
-- * Copyright 2013 Maxime Ripard
-- *
-- * Maxime Ripard <maxime.ripard@free-electrons.com>
-- */
--
--#ifndef __RESET_SIMPLE_H__
--#define __RESET_SIMPLE_H__
--
--#include <linux/io.h>
--#include <linux/reset-controller.h>
--#include <linux/spinlock.h>
--
--/**
-- * struct reset_simple_data - driver data for simple reset controllers
-- * @lock: spinlock to protect registers during read-modify-write cycles
-- * @membase: memory mapped I/O register range
-- * @rcdev: reset controller device base structure
-- * @active_low: if true, bits are cleared to assert the reset. Otherwise, bits
-- *              are set to assert the reset. Note that this says nothing about
-- *              the voltage level of the actual reset line.
-- * @status_active_low: if true, bits read back as cleared while the reset is
-- *                     asserted. Otherwise, bits read back as set while the
-- *                     reset is asserted.
-- */
--struct reset_simple_data {
--      spinlock_t                      lock;
--      void __iomem                    *membase;
--      struct reset_controller_dev     rcdev;
--      bool                            active_low;
--      bool                            status_active_low;
--};
--
--extern const struct reset_control_ops reset_simple_ops;
--
--#endif /* __RESET_SIMPLE_H__ */
---- /dev/null
-+++ b/include/linux/reset/reset-simple.h
-@@ -0,0 +1,41 @@
-+/* SPDX-License-Identifier: GPL-2.0-or-later */
-+/*
-+ * Simple Reset Controller ops
-+ *
-+ * Based on Allwinner SoCs Reset Controller driver
-+ *
-+ * Copyright 2013 Maxime Ripard
-+ *
-+ * Maxime Ripard <maxime.ripard@free-electrons.com>
-+ */
-+
-+#ifndef __RESET_SIMPLE_H__
-+#define __RESET_SIMPLE_H__
-+
-+#include <linux/io.h>
-+#include <linux/reset-controller.h>
-+#include <linux/spinlock.h>
-+
-+/**
-+ * struct reset_simple_data - driver data for simple reset controllers
-+ * @lock: spinlock to protect registers during read-modify-write cycles
-+ * @membase: memory mapped I/O register range
-+ * @rcdev: reset controller device base structure
-+ * @active_low: if true, bits are cleared to assert the reset. Otherwise, bits
-+ *              are set to assert the reset. Note that this says nothing about
-+ *              the voltage level of the actual reset line.
-+ * @status_active_low: if true, bits read back as cleared while the reset is
-+ *                     asserted. Otherwise, bits read back as set while the
-+ *                     reset is asserted.
-+ */
-+struct reset_simple_data {
-+      spinlock_t                      lock;
-+      void __iomem                    *membase;
-+      struct reset_controller_dev     rcdev;
-+      bool                            active_low;
-+      bool                            status_active_low;
-+};
-+
-+extern const struct reset_control_ops reset_simple_ops;
-+
-+#endif /* __RESET_SIMPLE_H__ */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0534-dt-bindings-display-vc4-dsi-Add-missing-clock-proper.patch b/target/linux/bcm27xx/patches-5.4/950-0534-dt-bindings-display-vc4-dsi-Add-missing-clock-proper.patch
new file mode 100644 (file)
index 0000000..4cb313f
--- /dev/null
@@ -0,0 +1,54 @@
+From 12abb6775e99482ff9fc71e16292ccf4dfeacee5 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 13 Feb 2020 15:47:18 +0100
+Subject: [PATCH] dt-bindings: display: vc4: dsi: Add missing clock
+ properties
+
+While the device tree and the driver expected a clock-names and a
+clock-cells properties, it wasn't explicitly documented in the previous
+binding. Make sure it is now.
+
+Cc: devicetree@vger.kernel.org
+Reviewed-by: Rob Herring <robh+dt@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ .../bindings/display/brcm,bcm2835-dsi0.yaml           | 11 +++++++++++
+ 1 file changed, 11 insertions(+)
+
+--- a/Documentation/devicetree/bindings/display/brcm,bcm2835-dsi0.yaml
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-dsi0.yaml
+@@ -10,6 +10,9 @@ maintainers:
+   - Eric Anholt <eric@anholt.net>
+ properties:
++  "#clock-cells":
++    const: 1
++
+   compatible:
+     enum:
+       - brcm,bcm2835-dsi0
+@@ -24,6 +27,12 @@ properties:
+       - description: The DSI ESC clock
+       - description: The DSI pixel clock
++  clock-names:
++    items:
++      - const: phy
++      - const: escape
++      - const: pixel
++
+   clock-output-names: true
+     # FIXME: The meta-schemas don't seem to allow it for now
+     # items:
+@@ -35,9 +44,11 @@ properties:
+     maxItems: 1
+ required:
++  - "#clock-cells"
+   - compatible
+   - reg
+   - clocks
++  - clock-names
+   - clock-output-names
+   - interrupts
diff --git a/target/linux/bcm27xx/patches-5.4/950-0534-reset-simple-Add-reset-callback.patch b/target/linux/bcm27xx/patches-5.4/950-0534-reset-simple-Add-reset-callback.patch
deleted file mode 100644 (file)
index 035c9d6..0000000
+++ /dev/null
@@ -1,85 +0,0 @@
-From 66deff85fee24ecd7b0ffa2901711aa8f026fcfa Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Tue, 28 Jan 2020 16:22:20 +0100
-Subject: [PATCH] reset: simple: Add reset callback
-
-The reset-simple code lacks a reset callback that is still pretty easy to
-implement. The only real thing to consider is the delay needed for a device
-to be reset, so let's expose that as part of the reset-simple driver data.
-
-Cc: Philipp Zabel <p.zabel@pengutronix.de>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/reset/reset-simple.c       | 24 ++++++++++++++++++++++++
- include/linux/reset/reset-simple.h |  6 ++++++
- 2 files changed, 30 insertions(+)
-
---- a/drivers/reset/reset-simple.c
-+++ b/drivers/reset/reset-simple.c
-@@ -11,6 +11,7 @@
-  * Maxime Ripard <maxime.ripard@free-electrons.com>
-  */
-+#include <linux/delay.h>
- #include <linux/device.h>
- #include <linux/err.h>
- #include <linux/io.h>
-@@ -63,6 +64,28 @@ static int reset_simple_deassert(struct
-       return reset_simple_update(rcdev, id, false);
- }
-+static int reset_simple_reset(struct reset_controller_dev *rcdev,
-+                             unsigned long id)
-+{
-+      struct reset_simple_data *data = to_reset_simple_data(rcdev);
-+      int ret;
-+
-+      if (!data->reset_us)
-+              return -ENOTSUPP;
-+
-+      ret = reset_simple_assert(rcdev, id);
-+      if (ret)
-+              return ret;
-+
-+      usleep_range(data->reset_us, data->reset_us * 2);
-+
-+      ret = reset_simple_deassert(rcdev, id);
-+      if (ret)
-+              return ret;
-+
-+      return 0;
-+}
-+
- static int reset_simple_status(struct reset_controller_dev *rcdev,
-                              unsigned long id)
- {
-@@ -80,6 +103,7 @@ static int reset_simple_status(struct re
- const struct reset_control_ops reset_simple_ops = {
-       .assert         = reset_simple_assert,
-       .deassert       = reset_simple_deassert,
-+      .reset          = reset_simple_reset,
-       .status         = reset_simple_status,
- };
- EXPORT_SYMBOL_GPL(reset_simple_ops);
---- a/include/linux/reset/reset-simple.h
-+++ b/include/linux/reset/reset-simple.h
-@@ -27,6 +27,11 @@
-  * @status_active_low: if true, bits read back as cleared while the reset is
-  *                     asserted. Otherwise, bits read back as set while the
-  *                     reset is asserted.
-+ * @reset_us: Minimum delay in microseconds needed that needs to be
-+ *            waited for between an assert and a deassert to reset the
-+ *            device. If multiple consumers with different delay
-+ *            requirements are connected to this controller, it must
-+ *            be the largest minimum delay.
-  */
- struct reset_simple_data {
-       spinlock_t                      lock;
-@@ -34,6 +39,7 @@ struct reset_simple_data {
-       struct reset_controller_dev     rcdev;
-       bool                            active_low;
-       bool                            status_active_low;
-+      unsigned int                    reset_us;
- };
- extern const struct reset_control_ops reset_simple_ops;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0535-dt-bindings-clock-Add-BCM2711-DVP-binding.patch b/target/linux/bcm27xx/patches-5.4/950-0535-dt-bindings-clock-Add-BCM2711-DVP-binding.patch
deleted file mode 100644 (file)
index ca87cba..0000000
+++ /dev/null
@@ -1,68 +0,0 @@
-From 67405a5468f8972f3a3db44292aff8fc05188db9 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 13 Feb 2020 17:50:31 +0100
-Subject: [PATCH] dt-bindings: clock: Add BCM2711 DVP binding
-
-The BCM2711 has a unit controlling the HDMI0 and HDMI1 clock and reset
-signals. Let's add a binding for it.
-
-Cc: Philipp Zabel <p.zabel@pengutronix.de>
-Cc: Rob Herring <robh+dt@kernel.org>
-Cc: devicetree@vger.kernel.org
-Reviewed-by: Rob Herring <robh+dt@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- .../bindings/clock/brcm,bcm2711-dvp.yaml      | 47 +++++++++++++++++++
- 1 file changed, 47 insertions(+)
- create mode 100644 Documentation/devicetree/bindings/clock/brcm,bcm2711-dvp.yaml
-
---- /dev/null
-+++ b/Documentation/devicetree/bindings/clock/brcm,bcm2711-dvp.yaml
-@@ -0,0 +1,47 @@
-+# SPDX-License-Identifier: (GPL-2.0-only OR BSD-2-Clause)
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/clock/brcm,bcm2711-dvp.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Broadcom BCM2711 HDMI DVP Device Tree Bindings
-+
-+maintainers:
-+  - Maxime Ripard <mripard@kernel.org>
-+
-+properties:
-+  "#clock-cells":
-+    const: 1
-+
-+  "#reset-cells":
-+    const: 1
-+
-+  compatible:
-+    const: brcm,brcm2711-dvp
-+
-+  reg:
-+    maxItems: 1
-+
-+  clocks:
-+    maxItems: 1
-+
-+required:
-+  - "#clock-cells"
-+  - "#reset-cells"
-+  - compatible
-+  - reg
-+  - clocks
-+
-+additionalProperties: false
-+
-+examples:
-+  - |
-+    dvp: clock@7ef00000 {
-+        compatible = "brcm,brcm2711-dvp";
-+        reg = <0x7ef00000 0x10>;
-+        clocks = <&clk_108MHz>;
-+        #clock-cells = <1>;
-+        #reset-cells = <1>;
-+    };
-+
-+...
diff --git a/target/linux/bcm27xx/patches-5.4/950-0535-dt-bindings-display-vc4-hdmi-Add-missing-clock-names.patch b/target/linux/bcm27xx/patches-5.4/950-0535-dt-bindings-display-vc4-hdmi-Add-missing-clock-names.patch
new file mode 100644 (file)
index 0000000..5ef4eae
--- /dev/null
@@ -0,0 +1,34 @@
+From 293db446089f00599f0a22b933a6a5a13ccfc5e2 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 13 Feb 2020 15:47:18 +0100
+Subject: [PATCH] dt-bindings: display: vc4: hdmi: Add missing
+ clock-names property
+
+While the device tree and the driver expected a clock-names property, it
+wasn't explicitly documented in the previous binding. The documented order
+was wrong too, so make sure clock-names is there and in the proper order.
+
+Cc: devicetree@vger.kernel.org
+Reviewed-by: Rob Herring <robh+dt@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ .../devicetree/bindings/display/brcm,bcm2835-hdmi.yaml     | 7 ++++++-
+ 1 file changed, 6 insertions(+), 1 deletion(-)
+
+--- a/Documentation/devicetree/bindings/display/brcm,bcm2835-hdmi.yaml
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-hdmi.yaml
+@@ -23,8 +23,13 @@ properties:
+   clocks:
+     items:
+-      - description: The HDMI state machine clock
+       - description: The pixel clock
++      - description: The HDMI state machine clock
++
++  clock-names:
++    items:
++      - const: pixel
++      - const: hdmi
+   ddc:
+     allOf:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0536-clk-bcm-Add-BCM2711-DVP-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0536-clk-bcm-Add-BCM2711-DVP-driver.patch
deleted file mode 100644 (file)
index 2310505..0000000
+++ /dev/null
@@ -1,172 +0,0 @@
-From 0a2b9668e391b5fef4c54f992d7f8f99e5f50ef3 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Tue, 28 Jan 2020 09:36:27 +0100
-Subject: [PATCH] clk: bcm: Add BCM2711 DVP driver
-
-The HDMI block has a block that controls clocks and reset signals to the
-HDMI0 and HDMI1 controllers.
-
-Let's expose that through a clock driver implementing a clock and reset
-provider.
-
-Cc: Michael Turquette <mturquette@baylibre.com>
-Cc: Stephen Boyd <sboyd@kernel.org>
-Cc: Rob Herring <robh+dt@kernel.org>
-Cc: linux-clk@vger.kernel.org
-Cc: devicetree@vger.kernel.org
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/clk/bcm/Kconfig           |   1 +
- drivers/clk/bcm/Makefile          |   1 +
- drivers/clk/bcm/clk-bcm2711-dvp.c | 125 ++++++++++++++++++++++++++++++
- 3 files changed, 127 insertions(+)
- create mode 100644 drivers/clk/bcm/clk-bcm2711-dvp.c
-
---- a/drivers/clk/bcm/Kconfig
-+++ b/drivers/clk/bcm/Kconfig
-@@ -4,6 +4,7 @@ config CLK_BCM2835
-       depends on ARCH_BCM2835 || ARCH_BRCMSTB || COMPILE_TEST
-       depends on COMMON_CLK
-       default ARCH_BCM2835 || ARCH_BRCMSTB
-+      select RESET_SIMPLE
-       help
-         Enable common clock framework support for Broadcom BCM2835
-         SoCs.
---- a/drivers/clk/bcm/Makefile
-+++ b/drivers/clk/bcm/Makefile
-@@ -6,6 +6,7 @@ obj-$(CONFIG_CLK_BCM_KONA)     += clk-kona-s
- obj-$(CONFIG_CLK_BCM_KONA)    += clk-bcm281xx.o
- obj-$(CONFIG_CLK_BCM_KONA)    += clk-bcm21664.o
- obj-$(CONFIG_COMMON_CLK_IPROC)        += clk-iproc-armpll.o clk-iproc-pll.o clk-iproc-asiu.o
-+obj-$(CONFIG_CLK_BCM2835)     += clk-bcm2711-dvp.o
- obj-$(CONFIG_CLK_BCM2835)     += clk-bcm2835.o
- obj-$(CONFIG_CLK_BCM2835)     += clk-bcm2835-aux.o
- obj-$(CONFIG_CLK_RASPBERRYPI) += clk-raspberrypi.o
---- /dev/null
-+++ b/drivers/clk/bcm/clk-bcm2711-dvp.c
-@@ -0,0 +1,125 @@
-+// SPDX-License-Identifier: GPL-2.0-or-later
-+// Copyright 2020 Cerno
-+
-+#include <linux/clk-provider.h>
-+#include <linux/module.h>
-+#include <linux/platform_device.h>
-+#include <linux/reset-controller.h>
-+#include <linux/reset/reset-simple.h>
-+
-+#define DVP_HT_RPI_SW_INIT    0x04
-+#define DVP_HT_RPI_MISC_CONFIG        0x08
-+
-+#define NR_CLOCKS     2
-+#define NR_RESETS     6
-+
-+struct clk_dvp {
-+      struct clk_hw_onecell_data      *data;
-+      struct reset_simple_data        reset;
-+};
-+
-+static int clk_dvp_probe(struct platform_device *pdev)
-+{
-+      struct clk_hw_onecell_data *data;
-+      struct resource *res;
-+      struct clk_dvp *dvp;
-+      void __iomem *base;
-+      const char *parent;
-+      int ret;
-+
-+      dvp = devm_kzalloc(&pdev->dev, sizeof(*dvp), GFP_KERNEL);
-+      if (!dvp)
-+              return -ENOMEM;
-+      platform_set_drvdata(pdev, dvp);
-+
-+      dvp->data = devm_kzalloc(&pdev->dev,
-+                               struct_size(dvp->data, hws, NR_CLOCKS),
-+                               GFP_KERNEL);
-+      if (!dvp->data)
-+              return -ENOMEM;
-+      data = dvp->data;
-+
-+      res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
-+      base = devm_ioremap_resource(&pdev->dev, res);
-+      if (IS_ERR(base))
-+              return PTR_ERR(base);
-+
-+      dvp->reset.rcdev.owner = THIS_MODULE;
-+      dvp->reset.rcdev.nr_resets = NR_RESETS;
-+      dvp->reset.rcdev.ops = &reset_simple_ops;
-+      dvp->reset.rcdev.of_node = pdev->dev.of_node;
-+      dvp->reset.membase = base + DVP_HT_RPI_SW_INIT;
-+      spin_lock_init(&dvp->reset.lock);
-+
-+      ret = reset_controller_register(&dvp->reset.rcdev);
-+      if (ret)
-+              return ret;
-+
-+      parent = of_clk_get_parent_name(pdev->dev.of_node, 0);
-+      if (!parent)
-+              goto unregister_reset;
-+
-+      data->hws[0] = clk_hw_register_gate(&pdev->dev, "hdmi0-108MHz",
-+                                          parent, 0,
-+                                          base + DVP_HT_RPI_MISC_CONFIG, 3,
-+                                          CLK_GATE_SET_TO_DISABLE, &dvp->reset.lock);
-+      if (IS_ERR(data->hws[0])) {
-+              ret = PTR_ERR(data->hws[0]);
-+              goto unregister_reset;
-+      }
-+
-+      data->hws[1] = clk_hw_register_gate(&pdev->dev, "hdmi1-108MHz",
-+                                          parent, 0,
-+                                          base + DVP_HT_RPI_MISC_CONFIG, 4,
-+                                          CLK_GATE_SET_TO_DISABLE, &dvp->reset.lock);
-+      if (IS_ERR(data->hws[1])) {
-+              ret = PTR_ERR(data->hws[1]);
-+              goto unregister_clk0;
-+      }
-+
-+      data->num = NR_CLOCKS;
-+      ret = of_clk_add_hw_provider(pdev->dev.of_node, of_clk_hw_onecell_get,
-+                                   data);
-+      if (ret)
-+              goto unregister_clk1;
-+
-+      return 0;
-+
-+
-+unregister_clk1:
-+      clk_hw_unregister_gate(data->hws[1]);
-+
-+unregister_clk0:
-+      clk_hw_unregister_gate(data->hws[0]);
-+
-+unregister_reset:
-+      reset_controller_unregister(&dvp->reset.rcdev);
-+      return ret;
-+};
-+
-+static int clk_dvp_remove(struct platform_device *pdev)
-+{
-+      struct clk_dvp *dvp = platform_get_drvdata(pdev);
-+      struct clk_hw_onecell_data *data = dvp->data;
-+
-+      clk_hw_unregister_gate(data->hws[1]);
-+      clk_hw_unregister_gate(data->hws[0]);
-+      reset_controller_unregister(&dvp->reset.rcdev);
-+
-+      return 0;
-+}
-+
-+static const struct of_device_id clk_dvp_dt_ids[] = {
-+      { .compatible = "brcm,brcm2711-dvp", },
-+      { /* sentinel */ }
-+};
-+
-+static struct platform_driver clk_dvp_driver = {
-+      .probe  = clk_dvp_probe,
-+      .remove = clk_dvp_remove,
-+      .driver = {
-+              .name           = "brcm2711-dvp",
-+              .of_match_table = clk_dvp_dt_ids,
-+      },
-+};
-+module_platform_driver(clk_dvp_driver);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0536-dt-bindings-display-vc4-Document-BCM2711-VC5.patch b/target/linux/bcm27xx/patches-5.4/950-0536-dt-bindings-display-vc4-Document-BCM2711-VC5.patch
new file mode 100644 (file)
index 0000000..2499dee
--- /dev/null
@@ -0,0 +1,24 @@
+From a12c5df87364ef6965a750345b1e28a5aba5cb14 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 13 Feb 2020 17:40:56 +0100
+Subject: [PATCH] dt-bindings: display: vc4: Document BCM2711 VC5
+
+The BCM2711 comes with a new VideoCore. Add a compatible for it.
+
+Cc: devicetree@vger.kernel.org
+Reviewed-by: Rob Herring <robh+dt@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ Documentation/devicetree/bindings/display/brcm,bcm2835-vc4.yaml | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/Documentation/devicetree/bindings/display/brcm,bcm2835-vc4.yaml
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-vc4.yaml
+@@ -17,6 +17,7 @@ description: >
+ properties:
+   compatible:
+     enum:
++      - brcm,bcm2711-vc5
+       - brcm,bcm2835-vc4
+       - brcm,cygnus-vc4
diff --git a/target/linux/bcm27xx/patches-5.4/950-0537-ARM-dts-bcm2711-Add-HDMI-DVP.patch b/target/linux/bcm27xx/patches-5.4/950-0537-ARM-dts-bcm2711-Add-HDMI-DVP.patch
deleted file mode 100644 (file)
index c4d230e..0000000
+++ /dev/null
@@ -1,43 +0,0 @@
-From 119c9cdf9beab785d10ebf8a804ce20b3b0fd779 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Tue, 28 Jan 2020 09:37:06 +0100
-Subject: [PATCH] ARM: dts: bcm2711: Add HDMI DVP
-
-Now that we have a driver for the DVP, let's add its DT node.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- arch/arm/boot/dts/bcm2711.dtsi | 15 +++++++++++++++
- 1 file changed, 15 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -31,6 +31,13 @@
-               };
-       };
-+      clk_108MHz: clk-108M {
-+              #clock-cells = <0>;
-+              compatible = "fixed-clock";
-+              clock-frequency = <108000000>;
-+              clock-output-names = "108MHz-clock";
-+      };
-+
-       firmware_clocks: firmware-clocks {
-               compatible = "raspberrypi,firmware-clocks";
-               raspberrypi,firmware = <&firmware>;
-@@ -268,6 +275,14 @@
-               hvs@7e400000 {
-                       interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
-               };
-+
-+              dvp: clock@7ef00000 {
-+                      compatible = "brcm,brcm2711-dvp";
-+                      reg = <0x7ef00000 0x10>;
-+                      clocks = <&clk_108MHz>;
-+                      #clock-cells = <1>;
-+                      #reset-cells = <1>;
-+              };
-       };
-       arm-pmu {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0537-drm-vc4-drv-Add-include-guards.patch b/target/linux/bcm27xx/patches-5.4/950-0537-drm-vc4-drv-Add-include-guards.patch
new file mode 100644 (file)
index 0000000..16f8b0a
--- /dev/null
@@ -0,0 +1,30 @@
+From 42566c11972c9edace45d5a787e276588214cb79 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 19 Dec 2019 18:08:48 +0100
+Subject: [PATCH] drm/vc4: drv: Add include guards
+
+vc4_drv.h doesn't have any include guards which prevents it from being
+included twice. Let's add them.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_drv.h | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -2,6 +2,8 @@
+ /*
+  * Copyright (C) 2015 Broadcom
+  */
++#ifndef _VC4_DRV_H_
++#define _VC4_DRV_H_
+ #include <linux/delay.h>
+ #include <linux/refcount.h>
+@@ -899,3 +901,5 @@ int vc4_perfmon_destroy_ioctl(struct drm
+                             struct drm_file *file_priv);
+ int vc4_perfmon_get_values_ioctl(struct drm_device *dev, void *data,
+                                struct drm_file *file_priv);
++
++#endif /* _VC4_DRV_H_ */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0538-drm-vc4-drv-Support-BCM2711.patch b/target/linux/bcm27xx/patches-5.4/950-0538-drm-vc4-drv-Support-BCM2711.patch
new file mode 100644 (file)
index 0000000..21018e1
--- /dev/null
@@ -0,0 +1,109 @@
+From d52f29a5e0ee9882f6f734c057224686b9820152 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 6 Feb 2020 15:40:34 +0100
+Subject: [PATCH] drm/vc4: drv: Support BCM2711
+
+The BCM2711 has a reworked display pipeline, and the load tracker needs
+some adjustement to operate properly. Let's add a compatible for BCM2711
+and disable the load tracker until properly supported.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_drv.c   |  1 +
+ drivers/gpu/drm/vc4/vc4_drv.h   |  3 +++
+ drivers/gpu/drm/vc4/vc4_kms.c   | 32 +++++++++++++++++++++-----------
+ drivers/gpu/drm/vc4/vc4_plane.c |  5 +++++
+ 4 files changed, 30 insertions(+), 11 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_drv.c
++++ b/drivers/gpu/drm/vc4/vc4_drv.c
+@@ -369,6 +369,7 @@ static int vc4_platform_drm_remove(struc
+ }
+ static const struct of_device_id vc4_of_match[] = {
++      { .compatible = "brcm,bcm2711-vc5", },
+       { .compatible = "brcm,bcm2835-vc4", },
+       { .compatible = "brcm,cygnus-vc4", },
+       {},
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -205,6 +205,9 @@ struct vc4_dev {
+       int power_refcount;
++      /* Set to true when the load tracker is supported. */
++      bool load_tracker_available;
++
+       /* Set to true when the load tracker is active. */
+       bool load_tracker_enabled;
+--- a/drivers/gpu/drm/vc4/vc4_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_kms.c
+@@ -421,6 +421,9 @@ static int vc4_load_tracker_atomic_check
+       struct drm_plane *plane;
+       int i;
++      if (!vc4->load_tracker_available)
++              return 0;
++
+       priv_state = drm_atomic_get_private_obj_state(state,
+                                                     &vc4->load_tracker);
+       if (IS_ERR(priv_state))
+@@ -520,10 +523,14 @@ int vc4_kms_load(struct drm_device *dev)
+       struct vc4_load_tracker_state *load_state;
+       int ret;
+-      /* Start with the load tracker enabled. Can be disabled through the
+-       * debugfs load_tracker file.
+-       */
+-      vc4->load_tracker_enabled = true;
++      if (!of_device_is_compatible(dev->dev->of_node, "brcm,bcm2711-vc5")) {
++              vc4->load_tracker_available = true;
++
++              /* Start with the load tracker enabled. Can be
++               * disabled through the debugfs load_tracker file.
++               */
++              vc4->load_tracker_enabled = true;
++      }
+       sema_init(&vc4->async_modeset, 1);
+@@ -560,14 +567,17 @@ int vc4_kms_load(struct drm_device *dev)
+       drm_atomic_private_obj_init(dev, &vc4->ctm_manager, &ctm_state->base,
+                                   &vc4_ctm_state_funcs);
+-      load_state = kzalloc(sizeof(*load_state), GFP_KERNEL);
+-      if (!load_state) {
+-              drm_atomic_private_obj_fini(&vc4->ctm_manager);
+-              return -ENOMEM;
+-      }
++      if (vc4->load_tracker_available) {
++              load_state = kzalloc(sizeof(*load_state), GFP_KERNEL);
++              if (!load_state) {
++                      drm_atomic_private_obj_fini(&vc4->ctm_manager);
++                      return -ENOMEM;
++              }
+-      drm_atomic_private_obj_init(dev, &vc4->load_tracker, &load_state->base,
+-                                  &vc4_load_tracker_state_funcs);
++              drm_atomic_private_obj_init(dev, &vc4->load_tracker,
++                                          &load_state->base,
++                                          &vc4_load_tracker_state_funcs);
++      }
+       drm_mode_config_reset(dev);
+--- a/drivers/gpu/drm/vc4/vc4_plane.c
++++ b/drivers/gpu/drm/vc4/vc4_plane.c
+@@ -500,6 +500,11 @@ static void vc4_plane_calc_load(struct d
+       struct vc4_plane_state *vc4_state;
+       struct drm_crtc_state *crtc_state;
+       unsigned int vscale_factor;
++      struct vc4_dev *vc4;
++
++      vc4 = to_vc4_dev(state->plane->dev);
++      if (!vc4->load_tracker_available)
++              return;
+       vc4_state = to_vc4_plane_state(state);
+       crtc_state = drm_atomic_get_existing_crtc_state(state->state,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0538-dt-bindings-display-Convert-VC4-bindings-to-schemas.patch b/target/linux/bcm27xx/patches-5.4/950-0538-dt-bindings-display-Convert-VC4-bindings-to-schemas.patch
deleted file mode 100644 (file)
index d948264..0000000
+++ /dev/null
@@ -1,706 +0,0 @@
-From 193065956ba3e285df2c67f7c3bdeb3bdaae6ee9 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 13 Feb 2020 15:42:05 +0100
-Subject: [PATCH] dt-bindings: display: Convert VC4 bindings to schemas
-
-The BCM283x SoCs have a display pipeline composed of several controllers
-with device tree bindings that are supported by Linux.
-
-Now that we have the DT validation in place, let's split into separate
-files and convert the device tree bindings for those controllers to
-schemas.
-
-This is just a 1:1 conversion though, and some bindings were incomplete so
-it results in example validation warnings that are going to be addressed in
-the following patches.
-
-Cc: Rob Herring <robh+dt@kernel.org>
-Cc: devicetree@vger.kernel.org
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- .../bindings/display/brcm,bcm-vc4.txt         | 174 ------------------
- .../bindings/display/brcm,bcm2835-dpi.yaml    |  66 +++++++
- .../bindings/display/brcm,bcm2835-dsi0.yaml   |  73 ++++++++
- .../bindings/display/brcm,bcm2835-hdmi.yaml   |  75 ++++++++
- .../bindings/display/brcm,bcm2835-hvs.yaml    |  37 ++++
- .../display/brcm,bcm2835-pixelvalve0.yaml     |  40 ++++
- .../bindings/display/brcm,bcm2835-txp.yaml    |  37 ++++
- .../bindings/display/brcm,bcm2835-v3d.yaml    |  42 +++++
- .../bindings/display/brcm,bcm2835-vc4.yaml    |  34 ++++
- .../bindings/display/brcm,bcm2835-vec.yaml    |  44 +++++
- MAINTAINERS                                   |   2 +-
- 11 files changed, 449 insertions(+), 175 deletions(-)
- delete mode 100644 Documentation/devicetree/bindings/display/brcm,bcm-vc4.txt
- create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-dpi.yaml
- create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-dsi0.yaml
- create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-hdmi.yaml
- create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-hvs.yaml
- create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-pixelvalve0.yaml
- create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-txp.yaml
- create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-v3d.yaml
- create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-vc4.yaml
- create mode 100644 Documentation/devicetree/bindings/display/brcm,bcm2835-vec.yaml
-
---- a/Documentation/devicetree/bindings/display/brcm,bcm-vc4.txt
-+++ /dev/null
-@@ -1,174 +0,0 @@
--Broadcom VC4 (VideoCore4) GPU
--
--The VC4 device present on the Raspberry Pi includes a display system
--with HDMI output and the HVS (Hardware Video Scaler) for compositing
--display planes.
--
--Required properties for VC4:
--- compatible: Should be "brcm,bcm2835-vc4" or "brcm,cygnus-vc4"
--
--Required properties for Pixel Valve:
--- compatible: Should be one of "brcm,bcm2835-pixelvalve0",
--                "brcm,bcm2835-pixelvalve1", or "brcm,bcm2835-pixelvalve2"
--- reg:                Physical base address and length of the PV's registers
--- interrupts: The interrupt number
--                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
--
--Required properties for HVS:
--- compatible: Should be "brcm,bcm2835-hvs"
--- reg:                Physical base address and length of the HVS's registers
--- interrupts: The interrupt number
--                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
--
--Required properties for HDMI
--- compatible: Should be "brcm,bcm2835-hdmi"
--- reg:                Physical base address and length of the two register ranges
--                ("HDMI" and "HD", in that order)
--- interrupts: The interrupt numbers
--                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
--- ddc:                phandle of the I2C controller used for DDC EDID probing
--- clocks:     a) hdmi: The HDMI state machine clock
--              b) pixel: The pixel clock.
--
--Optional properties for HDMI:
--- hpd-gpios:  The GPIO pin for HDMI hotplug detect (if it doesn't appear
--                as an interrupt/status bit in the HDMI controller
--                itself).  See bindings/pinctrl/brcm,bcm2835-gpio.txt
--- dmas:               Should contain one entry pointing to the DMA channel used to
--              transfer audio data
--- dma-names:  Should contain "audio-rx"
--
--Required properties for DPI:
--- compatible: Should be "brcm,bcm2835-dpi"
--- reg:                Physical base address and length of the registers
--- clocks:     a) core: The core clock the unit runs on
--              b) pixel: The pixel clock that feeds the pixelvalve
--- port:               Port node with a single endpoint connecting to the panel
--                device, as defined in [1]
--
--Required properties for VEC:
--- compatible: Should be "brcm,bcm2835-vec"
--- reg:                Physical base address and length of the registers
--- clocks:     The core clock the unit runs on
--- interrupts: The interrupt number
--                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
--
--Required properties for V3D:
--- compatible: Should be "brcm,bcm2835-v3d" or "brcm,cygnus-v3d"
--- reg:                Physical base address and length of the V3D's registers
--- interrupts: The interrupt number
--                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
--
--Optional properties for V3D:
--- clocks:     The clock the unit runs on
--
--Required properties for DSI:
--- compatible: Should be "brcm,bcm2835-dsi0" or "brcm,bcm2835-dsi1"
--- reg:                Physical base address and length of the DSI block's registers
--- interrupts: The interrupt number
--                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
--- clocks:     a) phy: The DSI PLL clock feeding the DSI analog PHY
--              b) escape: The DSI ESC clock from CPRMAN
--              c) pixel: The DSI pixel clock from CPRMAN
--- clock-output-names:
--              The 3 clocks output from the DSI analog PHY: dsi[01]_byte,
--              dsi[01]_ddr2, and dsi[01]_ddr
--
--Required properties for the TXP (writeback) block:
--- compatible: Should be "brcm,bcm2835-txp"
--- reg:                Physical base address and length of the TXP block's registers
--- interrupts: The interrupt number
--                See bindings/interrupt-controller/brcm,bcm2835-armctrl-ic.txt
--
--[1] Documentation/devicetree/bindings/media/video-interfaces.txt
--
--Example:
--pixelvalve@7e807000 {
--      compatible = "brcm,bcm2835-pixelvalve2";
--      reg = <0x7e807000 0x100>;
--      interrupts = <2 10>; /* pixelvalve */
--};
--
--hvs@7e400000 {
--      compatible = "brcm,bcm2835-hvs";
--      reg = <0x7e400000 0x6000>;
--      interrupts = <2 1>;
--};
--
--hdmi: hdmi@7e902000 {
--      compatible = "brcm,bcm2835-hdmi";
--      reg = <0x7e902000 0x600>,
--            <0x7e808000 0x100>;
--      interrupts = <2 8>, <2 9>;
--      ddc = <&i2c2>;
--      hpd-gpios = <&gpio 46 GPIO_ACTIVE_HIGH>;
--      clocks = <&clocks BCM2835_PLLH_PIX>,
--               <&clocks BCM2835_CLOCK_HSM>;
--      clock-names = "pixel", "hdmi";
--};
--
--dpi: dpi@7e208000 {
--      compatible = "brcm,bcm2835-dpi";
--      reg = <0x7e208000 0x8c>;
--      clocks = <&clocks BCM2835_CLOCK_VPU>,
--               <&clocks BCM2835_CLOCK_DPI>;
--      clock-names = "core", "pixel";
--      #address-cells = <1>;
--      #size-cells = <0>;
--
--      port {
--              dpi_out: endpoint@0 {
--                      remote-endpoint = <&panel_in>;
--              };
--      };
--};
--
--dsi1: dsi@7e700000 {
--      compatible = "brcm,bcm2835-dsi1";
--      reg = <0x7e700000 0x8c>;
--      interrupts = <2 12>;
--      #address-cells = <1>;
--      #size-cells = <0>;
--      #clock-cells = <1>;
--
--      clocks = <&clocks BCM2835_PLLD_DSI1>,
--               <&clocks BCM2835_CLOCK_DSI1E>,
--               <&clocks BCM2835_CLOCK_DSI1P>;
--      clock-names = "phy", "escape", "pixel";
--
--      clock-output-names = "dsi1_byte", "dsi1_ddr2", "dsi1_ddr";
--
--      pitouchscreen: panel@0 {
--              compatible = "raspberrypi,touchscreen";
--              reg = <0>;
--
--              <...>
--      };
--};
--
--vec: vec@7e806000 {
--      compatible = "brcm,bcm2835-vec";
--      reg = <0x7e806000 0x1000>;
--      clocks = <&clocks BCM2835_CLOCK_VEC>;
--      interrupts = <2 27>;
--};
--
--v3d: v3d@7ec00000 {
--      compatible = "brcm,bcm2835-v3d";
--      reg = <0x7ec00000 0x1000>;
--      interrupts = <1 10>;
--};
--
--vc4: gpu {
--      compatible = "brcm,bcm2835-vc4";
--};
--
--panel: panel {
--      compatible = "ontat,yx700wv03", "simple-panel";
--
--      port {
--              panel_in: endpoint {
--                      remote-endpoint = <&dpi_out>;
--              };
--      };
--};
---- /dev/null
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-dpi.yaml
-@@ -0,0 +1,66 @@
-+# SPDX-License-Identifier: GPL-2.0
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/display/brcm,bcm2835-dpi.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Broadcom VC4 (VideoCore4) DPI Controller
-+
-+maintainers:
-+  - Eric Anholt <eric@anholt.net>
-+
-+properties:
-+  compatible:
-+    const: brcm,bcm2835-dpi
-+
-+  reg:
-+    maxItems: 1
-+
-+  clocks:
-+    items:
-+      - description: The core clock the unit runs on
-+      - description: The pixel clock that feeds the pixelvalve
-+
-+  port:
-+    type: object
-+    description: >
-+      Port node with a single endpoint connecting to the panel, as
-+      defined in Documentation/devicetree/bindings/media/video-interfaces.txt.
-+
-+required:
-+  - compatible
-+  - reg
-+  - clocks
-+  - port
-+
-+additionalProperties: false
-+
-+examples:
-+  - |
-+    #include <dt-bindings/clock/bcm2835.h>
-+
-+    panel: panel {
-+        compatible = "ontat,yx700wv03", "simple-panel";
-+
-+        port {
-+            panel_in: endpoint {
-+                remote-endpoint = <&dpi_out>;
-+            };
-+        };
-+    };
-+
-+    dpi: dpi@7e208000 {
-+        compatible = "brcm,bcm2835-dpi";
-+        reg = <0x7e208000 0x8c>;
-+        clocks = <&clocks BCM2835_CLOCK_VPU>,
-+                 <&clocks BCM2835_CLOCK_DPI>;
-+        clock-names = "core", "pixel";
-+
-+        port {
-+            dpi_out: endpoint {
-+                remote-endpoint = <&panel_in>;
-+            };
-+        };
-+    };
-+
-+...
---- /dev/null
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-dsi0.yaml
-@@ -0,0 +1,73 @@
-+# SPDX-License-Identifier: GPL-2.0
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/display/brcm,bcm2835-dsi0.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Broadcom VC4 (VideoCore4) DSI Controller
-+
-+maintainers:
-+  - Eric Anholt <eric@anholt.net>
-+
-+properties:
-+  compatible:
-+    enum:
-+      - brcm,bcm2835-dsi0
-+      - brcm,bcm2835-dsi1
-+
-+  reg:
-+    maxItems: 1
-+
-+  clocks:
-+    items:
-+      - description: The DSI PLL clock feeding the DSI analog PHY
-+      - description: The DSI ESC clock
-+      - description: The DSI pixel clock
-+
-+  clock-output-names: true
-+    # FIXME: The meta-schemas don't seem to allow it for now
-+    # items:
-+    #   - description: The DSI byte clock for the PHY
-+    #   - description: The DSI DDR2 clock
-+    #   - description: The DSI DDR clock
-+
-+  interrupts:
-+    maxItems: 1
-+
-+required:
-+  - compatible
-+  - reg
-+  - clocks
-+  - clock-output-names
-+  - interrupts
-+
-+unevaluatedProperties: false
-+
-+examples:
-+  - |
-+    #include <dt-bindings/clock/bcm2835.h>
-+
-+    dsi1: dsi@7e700000 {
-+        compatible = "brcm,bcm2835-dsi1";
-+        reg = <0x7e700000 0x8c>;
-+        interrupts = <2 12>;
-+        #address-cells = <1>;
-+        #size-cells = <0>;
-+        #clock-cells = <1>;
-+
-+        clocks = <&clocks BCM2835_PLLD_DSI1>,
-+                 <&clocks BCM2835_CLOCK_DSI1E>,
-+                 <&clocks BCM2835_CLOCK_DSI1P>;
-+        clock-names = "phy", "escape", "pixel";
-+
-+        clock-output-names = "dsi1_byte", "dsi1_ddr2", "dsi1_ddr";
-+
-+        pitouchscreen: panel@0 {
-+            compatible = "raspberrypi,touchscreen";
-+            reg = <0>;
-+
-+            /* ... */
-+        };
-+    };
-+
-+...
---- /dev/null
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-hdmi.yaml
-@@ -0,0 +1,75 @@
-+# SPDX-License-Identifier: GPL-2.0
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/display/brcm,bcm2835-hdmi.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Broadcom VC4 (VideoCore4) HDMI Controller
-+
-+maintainers:
-+  - Eric Anholt <eric@anholt.net>
-+
-+properties:
-+  compatible:
-+    const: brcm,bcm2835-hdmi
-+
-+  reg:
-+    items:
-+      - description: HDMI register range
-+      - description: HD register range
-+
-+  interrupts:
-+    minItems: 2
-+
-+  clocks:
-+    items:
-+      - description: The HDMI state machine clock
-+      - description: The pixel clock
-+
-+  ddc:
-+    allOf:
-+      - $ref: /schemas/types.yaml#/definitions/phandle
-+    description: >
-+      Phandle of the I2C controller used for DDC EDID probing
-+
-+  hpd-gpios:
-+    description: >
-+      The GPIO pin for the HDMI hotplug detect (if it doesn't appear
-+      as an interrupt/status bit in the HDMI controller itself)
-+
-+  dmas:
-+    maxItems: 1
-+    description: >
-+      Should contain one entry pointing to the DMA channel used to
-+      transfer audio data.
-+
-+  dma-names:
-+    const: audio-rx
-+
-+required:
-+  - compatible
-+  - reg
-+  - interrupts
-+  - clocks
-+  - ddc
-+
-+additionalProperties: false
-+
-+examples:
-+  - |
-+    #include <dt-bindings/clock/bcm2835.h>
-+    #include <dt-bindings/gpio/gpio.h>
-+
-+    hdmi: hdmi@7e902000 {
-+        compatible = "brcm,bcm2835-hdmi";
-+        reg = <0x7e902000 0x600>,
-+              <0x7e808000 0x100>;
-+        interrupts = <2 8>, <2 9>;
-+        ddc = <&i2c2>;
-+        hpd-gpios = <&gpio 46 GPIO_ACTIVE_HIGH>;
-+        clocks = <&clocks BCM2835_PLLH_PIX>,
-+                 <&clocks BCM2835_CLOCK_HSM>;
-+        clock-names = "pixel", "hdmi";
-+    };
-+
-+...
---- /dev/null
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-hvs.yaml
-@@ -0,0 +1,37 @@
-+# SPDX-License-Identifier: GPL-2.0
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/display/brcm,bcm2835-hvs.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Broadcom VC4 (VideoCore4) Hardware Video Scaler
-+
-+maintainers:
-+  - Eric Anholt <eric@anholt.net>
-+
-+properties:
-+  compatible:
-+    const: brcm,bcm2835-hvs
-+
-+  reg:
-+    maxItems: 1
-+
-+  interrupts:
-+    maxItems: 1
-+
-+required:
-+  - compatible
-+  - reg
-+  - interrupts
-+
-+additionalProperties: false
-+
-+examples:
-+  - |
-+    hvs@7e400000 {
-+        compatible = "brcm,bcm2835-hvs";
-+        reg = <0x7e400000 0x6000>;
-+        interrupts = <2 1>;
-+    };
-+
-+...
---- /dev/null
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-pixelvalve0.yaml
-@@ -0,0 +1,40 @@
-+# SPDX-License-Identifier: GPL-2.0
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/display/brcm,bcm2835-pixelvalve0.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Broadcom VC4 (VideoCore4) PixelValve
-+
-+maintainers:
-+  - Eric Anholt <eric@anholt.net>
-+
-+properties:
-+  compatible:
-+    enum:
-+      - brcm,bcm2835-pixelvalve0
-+      - brcm,bcm2835-pixelvalve1
-+      - brcm,bcm2835-pixelvalve2
-+
-+  reg:
-+    maxItems: 1
-+
-+  interrupts:
-+    maxItems: 1
-+
-+required:
-+  - compatible
-+  - reg
-+  - interrupts
-+
-+additionalProperties: false
-+
-+examples:
-+  - |
-+    pixelvalve@7e807000 {
-+        compatible = "brcm,bcm2835-pixelvalve2";
-+        reg = <0x7e807000 0x100>;
-+        interrupts = <2 10>; /* pixelvalve */
-+    };
-+
-+...
---- /dev/null
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-txp.yaml
-@@ -0,0 +1,37 @@
-+# SPDX-License-Identifier: GPL-2.0
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/display/brcm,bcm2835-txp.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Broadcom VC4 (VideoCore4) TXP (writeback) Controller
-+
-+maintainers:
-+  - Eric Anholt <eric@anholt.net>
-+
-+properties:
-+  compatible:
-+    const: brcm,bcm2835-txp
-+
-+  reg:
-+    maxItems: 1
-+
-+  interrupts:
-+    maxItems: 1
-+
-+required:
-+  - compatible
-+  - reg
-+  - interrupts
-+
-+additionalProperties: false
-+
-+examples:
-+  - |
-+    txp: txp@7e004000 {
-+        compatible = "brcm,bcm2835-txp";
-+        reg = <0x7e004000 0x20>;
-+        interrupts = <1 11>;
-+    };
-+
-+...
---- /dev/null
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-v3d.yaml
-@@ -0,0 +1,42 @@
-+# SPDX-License-Identifier: GPL-2.0
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/display/brcm,bcm2835-v3d.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Broadcom VC4 (VideoCore4) V3D GPU
-+
-+maintainers:
-+  - Eric Anholt <eric@anholt.net>
-+
-+properties:
-+  compatible:
-+    enum:
-+      - brcm,bcm2835-v3d
-+      - brcm,cygnus-v3d
-+
-+  reg:
-+    maxItems: 1
-+
-+  clocks:
-+    maxItems: 1
-+
-+  interrupts:
-+    maxItems: 1
-+
-+required:
-+  - compatible
-+  - reg
-+  - interrupts
-+
-+additionalProperties: false
-+
-+examples:
-+  - |
-+    v3d: v3d@7ec00000 {
-+        compatible = "brcm,bcm2835-v3d";
-+        reg = <0x7ec00000 0x1000>;
-+        interrupts = <1 10>;
-+    };
-+
-+...
---- /dev/null
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-vc4.yaml
-@@ -0,0 +1,34 @@
-+# SPDX-License-Identifier: GPL-2.0
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/display/brcm,bcm2835-vc4.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Broadcom VC4 (VideoCore4) GPU
-+
-+maintainers:
-+  - Eric Anholt <eric@anholt.net>
-+
-+description: >
-+  The VC4 device present on the Raspberry Pi includes a display system
-+  with HDMI output and the HVS (Hardware Video Scaler) for compositing
-+  display planes.
-+
-+properties:
-+  compatible:
-+    enum:
-+      - brcm,bcm2835-vc4
-+      - brcm,cygnus-vc4
-+
-+required:
-+  - compatible
-+
-+additionalProperties: false
-+
-+examples:
-+  - |
-+    vc4: gpu {
-+        compatible = "brcm,bcm2835-vc4";
-+    };
-+
-+...
---- /dev/null
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-vec.yaml
-@@ -0,0 +1,44 @@
-+# SPDX-License-Identifier: GPL-2.0
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/display/brcm,bcm2835-vec.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Broadcom VC4 (VideoCore4) VEC
-+
-+maintainers:
-+  - Eric Anholt <eric@anholt.net>
-+
-+properties:
-+  compatible:
-+    const: brcm,bcm2835-vec
-+
-+  reg:
-+    maxItems: 1
-+
-+  clocks:
-+    maxItems: 1
-+
-+  interrupts:
-+    maxItems: 1
-+
-+required:
-+  - compatible
-+  - reg
-+  - clocks
-+  - interrupts
-+
-+additionalProperties: false
-+
-+examples:
-+  - |
-+    #include <dt-bindings/clock/bcm2835.h>
-+
-+    vec: vec@7e806000 {
-+        compatible = "brcm,bcm2835-vec";
-+        reg = <0x7e806000 0x1000>;
-+        clocks = <&clocks BCM2835_CLOCK_VEC>;
-+        interrupts = <2 27>;
-+    };
-+
-+...
---- a/MAINTAINERS
-+++ b/MAINTAINERS
-@@ -5574,7 +5574,7 @@ T:       git git://github.com/anholt/linux
- S:    Supported
- F:    drivers/gpu/drm/vc4/
- F:    include/uapi/drm/vc4_drm.h
--F:    Documentation/devicetree/bindings/display/brcm,bcm-vc4.txt
-+F:    Documentation/devicetree/bindings/display/brcm,bcm2835-*.yaml
- T:    git git://anongit.freedesktop.org/drm/drm-misc
- DRM DRIVERS FOR VIVANTE GPU IP
diff --git a/target/linux/bcm27xx/patches-5.4/950-0539-drm-vc4-drv-Add-support-for-the-BCM2711-HVS5.patch b/target/linux/bcm27xx/patches-5.4/950-0539-drm-vc4-drv-Add-support-for-the-BCM2711-HVS5.patch
new file mode 100644 (file)
index 0000000..a02663a
--- /dev/null
@@ -0,0 +1,497 @@
+From 354d70a82947041b3d7b87f69641a6741febfc95 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 8 Aug 2019 17:51:07 +0100
+Subject: [PATCH] drm/vc4: drv: Add support for the BCM2711 HVS5
+
+The HVS found in the BCM2711 is slightly different from the previous
+generations.
+
+Most notably, the display list layout changes a bit, the LBM doesn't have
+the same size and the formats ordering for some formats is swapped.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c  |  24 +++-
+ drivers/gpu/drm/vc4/vc4_drv.h   |   4 +
+ drivers/gpu/drm/vc4/vc4_hvs.c   |  17 ++-
+ drivers/gpu/drm/vc4/vc4_plane.c | 194 +++++++++++++++++++++++---------
+ drivers/gpu/drm/vc4/vc4_regs.h  |  67 +++++++++++
+ 5 files changed, 247 insertions(+), 59 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -550,6 +550,7 @@ static void vc4_crtc_atomic_enable(struc
+       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
+       struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(crtc->state);
+       struct drm_display_mode *mode = &crtc->state->adjusted_mode;
++      u32 dispctrl;
+       require_hvs_enabled(dev);
+@@ -564,11 +565,24 @@ static void vc4_crtc_atomic_enable(struc
+        * When feeding the transposer, we should operate in oneshot
+        * mode.
+        */
+-      HVS_WRITE(SCALER_DISPCTRLX(vc4_crtc->channel),
+-                VC4_SET_FIELD(mode->hdisplay, SCALER_DISPCTRLX_WIDTH) |
+-                VC4_SET_FIELD(mode->vdisplay, SCALER_DISPCTRLX_HEIGHT) |
+-                SCALER_DISPCTRLX_ENABLE |
+-                (vc4_state->feed_txp ? SCALER_DISPCTRLX_ONESHOT : 0));
++      dispctrl = SCALER_DISPCTRLX_ENABLE;
++
++      if (!vc4->hvs->hvs5)
++              dispctrl |= VC4_SET_FIELD(mode->hdisplay,
++                                        SCALER_DISPCTRLX_WIDTH) |
++                          VC4_SET_FIELD(mode->vdisplay,
++                                        SCALER_DISPCTRLX_HEIGHT) |
++                          (vc4_state->feed_txp ?
++                                      SCALER_DISPCTRLX_ONESHOT : 0);
++      else
++              dispctrl |= VC4_SET_FIELD(mode->hdisplay,
++                                        SCALER5_DISPCTRLX_WIDTH) |
++                          VC4_SET_FIELD(mode->vdisplay,
++                                        SCALER5_DISPCTRLX_HEIGHT) |
++                          (vc4_state->feed_txp ?
++                                      SCALER5_DISPCTRLX_ONESHOT : 0);
++
++      HVS_WRITE(SCALER_DISPCTRLX(vc4_crtc->channel), dispctrl);
+       /* When feeding the transposer block the pixelvalve is unneeded and
+        * should not be enabled.
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -336,7 +336,11 @@ struct vc4_hvs {
+       spinlock_t mm_lock;
+       struct drm_mm_node mitchell_netravali_filter;
++
+       struct debugfs_regset32 regset;
++
++      /* HVS version 5 flag, therefore requires updated dlist structures */
++      bool hvs5;
+ };
+ struct vc4_plane {
+--- a/drivers/gpu/drm/vc4/vc4_hvs.c
++++ b/drivers/gpu/drm/vc4/vc4_hvs.c
+@@ -223,6 +223,7 @@ static int vc4_hvs_bind(struct device *d
+       struct vc4_hvs *hvs = NULL;
+       int ret;
+       u32 dispctrl;
++      unsigned int hvs_version;
+       hvs = devm_kzalloc(&pdev->dev, sizeof(*hvs), GFP_KERNEL);
+       if (!hvs)
+@@ -238,7 +239,14 @@ static int vc4_hvs_bind(struct device *d
+       hvs->regset.regs = hvs_regs;
+       hvs->regset.nregs = ARRAY_SIZE(hvs_regs);
+-      hvs->dlist = hvs->regs + SCALER_DLIST_START;
++      hvs_version = readl(hvs->regs + SCALER_DISPLSTAT) >> 24;
++      if (hvs_version >= 0x40)
++              hvs->hvs5 = true;
++
++      if (!hvs->hvs5)
++              hvs->dlist = hvs->regs + SCALER_DLIST_START;
++      else
++              hvs->dlist = hvs->regs + SCALER5_DLIST_START;
+       spin_lock_init(&hvs->mm_lock);
+@@ -256,7 +264,12 @@ static int vc4_hvs_bind(struct device *d
+        * between planes when they don't overlap on the screen, but
+        * for now we just allocate globally.
+        */
+-      drm_mm_init(&hvs->lbm_mm, 0, 96 * 1024);
++      if (!hvs->hvs5)
++              /* 96kB */
++              drm_mm_init(&hvs->lbm_mm, 0, 96 * 1024);
++      else
++              /* 70k words */
++              drm_mm_init(&hvs->lbm_mm, 0, 70 * 2 * 1024);
+       /* Upload filter kernels.  We only have the one for now, so we
+        * keep it around for the lifetime of the driver.
+--- a/drivers/gpu/drm/vc4/vc4_plane.c
++++ b/drivers/gpu/drm/vc4/vc4_plane.c
+@@ -32,45 +32,60 @@ static const struct hvs_format {
+       u32 drm; /* DRM_FORMAT_* */
+       u32 hvs; /* HVS_FORMAT_* */
+       u32 pixel_order;
++      u32 pixel_order_hvs5;
+ } hvs_formats[] = {
+       {
+-              .drm = DRM_FORMAT_XRGB8888, .hvs = HVS_PIXEL_FORMAT_RGBA8888,
++              .drm = DRM_FORMAT_XRGB8888,
++              .hvs = HVS_PIXEL_FORMAT_RGBA8888,
+               .pixel_order = HVS_PIXEL_ORDER_ABGR,
++              .pixel_order_hvs5 = HVS_PIXEL_ORDER_ARGB,
+       },
+       {
+-              .drm = DRM_FORMAT_ARGB8888, .hvs = HVS_PIXEL_FORMAT_RGBA8888,
++              .drm = DRM_FORMAT_ARGB8888,
++              .hvs = HVS_PIXEL_FORMAT_RGBA8888,
+               .pixel_order = HVS_PIXEL_ORDER_ABGR,
++              .pixel_order_hvs5 = HVS_PIXEL_ORDER_ARGB,
+       },
+       {
+-              .drm = DRM_FORMAT_ABGR8888, .hvs = HVS_PIXEL_FORMAT_RGBA8888,
++              .drm = DRM_FORMAT_ABGR8888,
++              .hvs = HVS_PIXEL_FORMAT_RGBA8888,
+               .pixel_order = HVS_PIXEL_ORDER_ARGB,
++              .pixel_order_hvs5 = HVS_PIXEL_ORDER_ABGR,
+       },
+       {
+-              .drm = DRM_FORMAT_XBGR8888, .hvs = HVS_PIXEL_FORMAT_RGBA8888,
++              .drm = DRM_FORMAT_XBGR8888,
++              .hvs = HVS_PIXEL_FORMAT_RGBA8888,
+               .pixel_order = HVS_PIXEL_ORDER_ARGB,
++              .pixel_order_hvs5 = HVS_PIXEL_ORDER_ABGR,
+       },
+       {
+-              .drm = DRM_FORMAT_RGB565, .hvs = HVS_PIXEL_FORMAT_RGB565,
++              .drm = DRM_FORMAT_RGB565,
++              .hvs = HVS_PIXEL_FORMAT_RGB565,
+               .pixel_order = HVS_PIXEL_ORDER_XRGB,
+       },
+       {
+-              .drm = DRM_FORMAT_BGR565, .hvs = HVS_PIXEL_FORMAT_RGB565,
++              .drm = DRM_FORMAT_BGR565,
++              .hvs = HVS_PIXEL_FORMAT_RGB565,
+               .pixel_order = HVS_PIXEL_ORDER_XBGR,
+       },
+       {
+-              .drm = DRM_FORMAT_ARGB1555, .hvs = HVS_PIXEL_FORMAT_RGBA5551,
++              .drm = DRM_FORMAT_ARGB1555,
++              .hvs = HVS_PIXEL_FORMAT_RGBA5551,
+               .pixel_order = HVS_PIXEL_ORDER_ABGR,
+       },
+       {
+-              .drm = DRM_FORMAT_XRGB1555, .hvs = HVS_PIXEL_FORMAT_RGBA5551,
++              .drm = DRM_FORMAT_XRGB1555,
++              .hvs = HVS_PIXEL_FORMAT_RGBA5551,
+               .pixel_order = HVS_PIXEL_ORDER_ABGR,
+       },
+       {
+-              .drm = DRM_FORMAT_RGB888, .hvs = HVS_PIXEL_FORMAT_RGB888,
++              .drm = DRM_FORMAT_RGB888,
++              .hvs = HVS_PIXEL_FORMAT_RGB888,
+               .pixel_order = HVS_PIXEL_ORDER_XRGB,
+       },
+       {
+-              .drm = DRM_FORMAT_BGR888, .hvs = HVS_PIXEL_FORMAT_RGB888,
++              .drm = DRM_FORMAT_BGR888,
++              .hvs = HVS_PIXEL_FORMAT_RGB888,
+               .pixel_order = HVS_PIXEL_ORDER_XBGR,
+       },
+       {
+@@ -836,35 +851,6 @@ static int vc4_plane_mode_set(struct drm
+               return -EINVAL;
+       }
+-      /* Control word */
+-      vc4_dlist_write(vc4_state,
+-                      SCALER_CTL0_VALID |
+-                      (rotation & DRM_MODE_REFLECT_X ? SCALER_CTL0_HFLIP : 0) |
+-                      (rotation & DRM_MODE_REFLECT_Y ? SCALER_CTL0_VFLIP : 0) |
+-                      VC4_SET_FIELD(SCALER_CTL0_RGBA_EXPAND_ROUND, SCALER_CTL0_RGBA_EXPAND) |
+-                      (format->pixel_order << SCALER_CTL0_ORDER_SHIFT) |
+-                      (hvs_format << SCALER_CTL0_PIXEL_FORMAT_SHIFT) |
+-                      VC4_SET_FIELD(tiling, SCALER_CTL0_TILING) |
+-                      (vc4_state->is_unity ? SCALER_CTL0_UNITY : 0) |
+-                      VC4_SET_FIELD(scl0, SCALER_CTL0_SCL0) |
+-                      VC4_SET_FIELD(scl1, SCALER_CTL0_SCL1));
+-
+-      /* Position Word 0: Image Positions and Alpha Value */
+-      vc4_state->pos0_offset = vc4_state->dlist_count;
+-      vc4_dlist_write(vc4_state,
+-                      VC4_SET_FIELD(state->alpha >> 8, SCALER_POS0_FIXED_ALPHA) |
+-                      VC4_SET_FIELD(vc4_state->crtc_x, SCALER_POS0_START_X) |
+-                      VC4_SET_FIELD(vc4_state->crtc_y, SCALER_POS0_START_Y));
+-
+-      /* Position Word 1: Scaled Image Dimensions. */
+-      if (!vc4_state->is_unity) {
+-              vc4_dlist_write(vc4_state,
+-                              VC4_SET_FIELD(vc4_state->crtc_w,
+-                                            SCALER_POS1_SCL_WIDTH) |
+-                              VC4_SET_FIELD(vc4_state->crtc_h,
+-                                            SCALER_POS1_SCL_HEIGHT));
+-      }
+-
+       /* Don't waste cycles mixing with plane alpha if the set alpha
+        * is opaque or there is no per-pixel alpha information.
+        * In any case we use the alpha property value as the fixed alpha.
+@@ -872,20 +858,120 @@ static int vc4_plane_mode_set(struct drm
+       mix_plane_alpha = state->alpha != DRM_BLEND_ALPHA_OPAQUE &&
+                         fb->format->has_alpha;
+-      /* Position Word 2: Source Image Size, Alpha */
+-      vc4_state->pos2_offset = vc4_state->dlist_count;
+-      vc4_dlist_write(vc4_state,
+-                      VC4_SET_FIELD(fb->format->has_alpha ?
+-                                    SCALER_POS2_ALPHA_MODE_PIPELINE :
+-                                    SCALER_POS2_ALPHA_MODE_FIXED,
+-                                    SCALER_POS2_ALPHA_MODE) |
+-                      (mix_plane_alpha ? SCALER_POS2_ALPHA_MIX : 0) |
+-                      (fb->format->has_alpha ? SCALER_POS2_ALPHA_PREMULT : 0) |
+-                      VC4_SET_FIELD(vc4_state->src_w[0], SCALER_POS2_WIDTH) |
+-                      VC4_SET_FIELD(vc4_state->src_h[0], SCALER_POS2_HEIGHT));
++      if (!vc4->hvs->hvs5) {
++      /* Control word */
++              vc4_dlist_write(vc4_state,
++                              SCALER_CTL0_VALID |
++                              (rotation & DRM_MODE_REFLECT_X ? SCALER_CTL0_HFLIP : 0) |
++                              (rotation & DRM_MODE_REFLECT_Y ? SCALER_CTL0_VFLIP : 0) |
++                              VC4_SET_FIELD(SCALER_CTL0_RGBA_EXPAND_ROUND, SCALER_CTL0_RGBA_EXPAND) |
++                              (format->pixel_order << SCALER_CTL0_ORDER_SHIFT) |
++                              (hvs_format << SCALER_CTL0_PIXEL_FORMAT_SHIFT) |
++                              VC4_SET_FIELD(tiling, SCALER_CTL0_TILING) |
++                              (vc4_state->is_unity ? SCALER_CTL0_UNITY : 0) |
++                              VC4_SET_FIELD(scl0, SCALER_CTL0_SCL0) |
++                              VC4_SET_FIELD(scl1, SCALER_CTL0_SCL1));
++
++              /* Position Word 0: Image Positions and Alpha Value */
++              vc4_state->pos0_offset = vc4_state->dlist_count;
++              vc4_dlist_write(vc4_state,
++                              VC4_SET_FIELD(state->alpha >> 8, SCALER_POS0_FIXED_ALPHA) |
++                              VC4_SET_FIELD(vc4_state->crtc_x, SCALER_POS0_START_X) |
++                              VC4_SET_FIELD(vc4_state->crtc_y, SCALER_POS0_START_Y));
++
++              /* Position Word 1: Scaled Image Dimensions. */
++              if (!vc4_state->is_unity) {
++                      vc4_dlist_write(vc4_state,
++                                      VC4_SET_FIELD(vc4_state->crtc_w,
++                                                    SCALER_POS1_SCL_WIDTH) |
++                                      VC4_SET_FIELD(vc4_state->crtc_h,
++                                                    SCALER_POS1_SCL_HEIGHT));
++              }
++
++              /* Position Word 2: Source Image Size, Alpha */
++              vc4_state->pos2_offset = vc4_state->dlist_count;
++              vc4_dlist_write(vc4_state,
++                              VC4_SET_FIELD(fb->format->has_alpha ?
++                                            SCALER_POS2_ALPHA_MODE_PIPELINE :
++                                            SCALER_POS2_ALPHA_MODE_FIXED,
++                                            SCALER_POS2_ALPHA_MODE) |
++                              (mix_plane_alpha ? SCALER_POS2_ALPHA_MIX : 0) |
++                              (fb->format->has_alpha ?
++                                              SCALER_POS2_ALPHA_PREMULT : 0) |
++                              VC4_SET_FIELD(vc4_state->src_w[0],
++                                            SCALER_POS2_WIDTH) |
++                              VC4_SET_FIELD(vc4_state->src_h[0],
++                                            SCALER_POS2_HEIGHT));
+-      /* Position Word 3: Context.  Written by the HVS. */
+-      vc4_dlist_write(vc4_state, 0xc0c0c0c0);
++              /* Position Word 3: Context.  Written by the HVS. */
++              vc4_dlist_write(vc4_state, 0xc0c0c0c0);
++
++      } else {
++              u32 hvs_pixel_order = format->pixel_order;
++
++              if (format->pixel_order_hvs5)
++                      hvs_pixel_order = format->pixel_order_hvs5;
++
++              /* Control word */
++              vc4_dlist_write(vc4_state,
++                              SCALER_CTL0_VALID |
++                              (hvs_pixel_order << SCALER_CTL0_ORDER_SHIFT) |
++                              (hvs_format << SCALER_CTL0_PIXEL_FORMAT_SHIFT) |
++                              VC4_SET_FIELD(tiling, SCALER_CTL0_TILING) |
++                              (vc4_state->is_unity ?
++                                              SCALER5_CTL0_UNITY : 0) |
++                              VC4_SET_FIELD(scl0, SCALER_CTL0_SCL0) |
++                              VC4_SET_FIELD(scl1, SCALER_CTL0_SCL1) |
++                              SCALER5_CTL0_ALPHA_EXPAND |
++                              SCALER5_CTL0_RGB_EXPAND);
++
++              /* Position Word 0: Image Positions and Alpha Value */
++              vc4_state->pos0_offset = vc4_state->dlist_count;
++              vc4_dlist_write(vc4_state,
++                              (rotation & DRM_MODE_REFLECT_Y ?
++                                              SCALER5_POS0_VFLIP : 0) |
++                              VC4_SET_FIELD(vc4_state->crtc_x,
++                                            SCALER_POS0_START_X) |
++                              (rotation & DRM_MODE_REFLECT_X ?
++                                            SCALER5_POS0_HFLIP : 0) |
++                              VC4_SET_FIELD(vc4_state->crtc_y,
++                                            SCALER5_POS0_START_Y)
++                             );
++
++              /* Control Word 2 */
++              vc4_dlist_write(vc4_state,
++                              VC4_SET_FIELD(state->alpha >> 4,
++                                            SCALER5_CTL2_ALPHA) |
++                              fb->format->has_alpha ?
++                                      SCALER5_CTL2_ALPHA_PREMULT : 0 |
++                              (mix_plane_alpha ?
++                                      SCALER5_CTL2_ALPHA_MIX : 0) |
++                              VC4_SET_FIELD(fb->format->has_alpha ?
++                                    SCALER5_CTL2_ALPHA_MODE_PIPELINE :
++                                    SCALER5_CTL2_ALPHA_MODE_FIXED,
++                                    SCALER5_CTL2_ALPHA_MODE)
++                             );
++
++              /* Position Word 1: Scaled Image Dimensions. */
++              if (!vc4_state->is_unity) {
++                      vc4_dlist_write(vc4_state,
++                                      VC4_SET_FIELD(vc4_state->crtc_w,
++                                                    SCALER_POS1_SCL_WIDTH) |
++                                      VC4_SET_FIELD(vc4_state->crtc_h,
++                                                    SCALER_POS1_SCL_HEIGHT));
++              }
++
++              /* Position Word 2: Source Image Size */
++              vc4_state->pos2_offset = vc4_state->dlist_count;
++              vc4_dlist_write(vc4_state,
++                              VC4_SET_FIELD(vc4_state->src_w[0],
++                                            SCALER5_POS2_WIDTH) |
++                              VC4_SET_FIELD(vc4_state->src_h[0],
++                                            SCALER5_POS2_HEIGHT));
++
++              /* Position Word 3: Context.  Written by the HVS. */
++              vc4_dlist_write(vc4_state, 0xc0c0c0c0);
++      }
+       /* Pointer Word 0/1/2: RGB / Y / Cb / Cr Pointers
+@@ -1276,6 +1362,10 @@ static bool vc4_format_mod_supported(str
+               default:
+                       return false;
+               }
++      case DRM_FORMAT_RGBX1010102:
++      case DRM_FORMAT_BGRX1010102:
++      case DRM_FORMAT_RGBA1010102:
++      case DRM_FORMAT_BGRA1010102:
+       case DRM_FORMAT_YUV422:
+       case DRM_FORMAT_YVU422:
+       case DRM_FORMAT_YUV420:
+--- a/drivers/gpu/drm/vc4/vc4_regs.h
++++ b/drivers/gpu/drm/vc4/vc4_regs.h
+@@ -328,6 +328,20 @@
+ # define SCALER_DISPCTRLX_HEIGHT_MASK         VC4_MASK(11, 0)
+ # define SCALER_DISPCTRLX_HEIGHT_SHIFT                0
++# define SCALER5_DISPCTRLX_WIDTH_MASK         VC4_MASK(28, 16)
++# define SCALER5_DISPCTRLX_WIDTH_SHIFT                16
++/* Generates a single frame when VSTART is seen and stops at the last
++ * pixel read from the FIFO.
++ */
++# define SCALER5_DISPCTRLX_ONESHOT            BIT(15)
++/* Processes a single context in the dlist and then task switch,
++ * instead of an entire line.
++ */
++# define SCALER5_DISPCTRLX_ONECTX_MASK                VC4_MASK(14, 13)
++# define SCALER5_DISPCTRLX_ONECTX_SHIFT               13
++# define SCALER5_DISPCTRLX_HEIGHT_MASK                VC4_MASK(12, 0)
++# define SCALER5_DISPCTRLX_HEIGHT_SHIFT               0
++
+ #define SCALER_DISPBKGND0                       0x00000044
+ # define SCALER_DISPBKGND_AUTOHS              BIT(31)
+ # define SCALER_DISPBKGND_INTERLACE           BIT(30)
+@@ -461,6 +475,8 @@
+ #define SCALER_DLIST_START                      0x00002000
+ #define SCALER_DLIST_SIZE                       0x00004000
++#define SCALER5_DLIST_START                   0x00004000
++
+ #define VC4_HDMI_CORE_REV                     0x000
+ #define VC4_HDMI_SW_RESET_CONTROL             0x004
+@@ -826,6 +842,8 @@ enum hvs_pixel_format {
+       HVS_PIXEL_FORMAT_PALETTE = 13,
+       HVS_PIXEL_FORMAT_YUV444_RGB = 14,
+       HVS_PIXEL_FORMAT_AYUV444_RGB = 15,
++      HVS_PIXEL_FORMAT_RGBA1010102 = 16,
++      HVS_PIXEL_FORMAT_YCBCR_10BIT = 17,
+ };
+ /* Note: the LSB is the rightmost character shown.  Only valid for
+@@ -880,6 +898,10 @@ enum hvs_pixel_format {
+ #define SCALER_CTL0_RGBA_EXPAND_MSB           2
+ #define SCALER_CTL0_RGBA_EXPAND_ROUND         3
++#define SCALER5_CTL0_ALPHA_EXPAND             BIT(12)
++
++#define SCALER5_CTL0_RGB_EXPAND                       BIT(11)
++
+ #define SCALER_CTL0_SCL1_MASK                 VC4_MASK(10, 8)
+ #define SCALER_CTL0_SCL1_SHIFT                        8
+@@ -897,10 +919,13 @@ enum hvs_pixel_format {
+ /* Set to indicate no scaling. */
+ #define SCALER_CTL0_UNITY                     BIT(4)
++#define SCALER5_CTL0_UNITY                    BIT(15)
+ #define SCALER_CTL0_PIXEL_FORMAT_MASK         VC4_MASK(3, 0)
+ #define SCALER_CTL0_PIXEL_FORMAT_SHIFT                0
++#define SCALER5_CTL0_PIXEL_FORMAT_MASK                VC4_MASK(4, 0)
++
+ #define SCALER_POS0_FIXED_ALPHA_MASK          VC4_MASK(31, 24)
+ #define SCALER_POS0_FIXED_ALPHA_SHIFT         24
+@@ -910,12 +935,48 @@ enum hvs_pixel_format {
+ #define SCALER_POS0_START_X_MASK              VC4_MASK(11, 0)
+ #define SCALER_POS0_START_X_SHIFT             0
++#define SCALER5_POS0_START_Y_MASK             VC4_MASK(27, 16)
++#define SCALER5_POS0_START_Y_SHIFT            16
++
++#define SCALER5_POS0_START_X_MASK             VC4_MASK(13, 0)
++#define SCALER5_POS0_START_X_SHIFT            0
++
++#define SCALER5_POS0_VFLIP                    BIT(31)
++#define SCALER5_POS0_HFLIP                    BIT(15)
++
++#define SCALER5_CTL2_ALPHA_MODE_MASK          VC4_MASK(31, 30)
++#define SCALER5_CTL2_ALPHA_MODE_SHIFT         30
++#define SCALER5_CTL2_ALPHA_MODE_PIPELINE              0
++#define SCALER5_CTL2_ALPHA_MODE_FIXED         1
++#define SCALER5_CTL2_ALPHA_MODE_FIXED_NONZERO 2
++#define SCALER5_CTL2_ALPHA_MODE_FIXED_OVER_0x07       3
++
++#define SCALER5_CTL2_ALPHA_PREMULT            BIT(29)
++
++#define SCALER5_CTL2_ALPHA_MIX                        BIT(28)
++
++#define SCALER5_CTL2_ALPHA_LOC                        BIT(25)
++
++#define SCALER5_CTL2_MAP_SEL_MASK             VC4_MASK(18, 17)
++#define SCALER5_CTL2_MAP_SEL_SHIFT            17
++
++#define SCALER5_CTL2_GAMMA                    BIT(16)
++
++#define SCALER5_CTL2_ALPHA_MASK                       VC4_MASK(15, 4)
++#define SCALER5_CTL2_ALPHA_SHIFT              4
++
+ #define SCALER_POS1_SCL_HEIGHT_MASK           VC4_MASK(27, 16)
+ #define SCALER_POS1_SCL_HEIGHT_SHIFT          16
+ #define SCALER_POS1_SCL_WIDTH_MASK            VC4_MASK(11, 0)
+ #define SCALER_POS1_SCL_WIDTH_SHIFT           0
++#define SCALER5_POS1_SCL_HEIGHT_MASK          VC4_MASK(28, 16)
++#define SCALER5_POS1_SCL_HEIGHT_SHIFT         16
++
++#define SCALER5_POS1_SCL_WIDTH_MASK           VC4_MASK(12, 0)
++#define SCALER5_POS1_SCL_WIDTH_SHIFT          0
++
+ #define SCALER_POS2_ALPHA_MODE_MASK           VC4_MASK(31, 30)
+ #define SCALER_POS2_ALPHA_MODE_SHIFT          30
+ #define SCALER_POS2_ALPHA_MODE_PIPELINE               0
+@@ -931,6 +992,12 @@ enum hvs_pixel_format {
+ #define SCALER_POS2_WIDTH_MASK                        VC4_MASK(11, 0)
+ #define SCALER_POS2_WIDTH_SHIFT                       0
++#define SCALER5_POS2_HEIGHT_MASK              VC4_MASK(28, 16)
++#define SCALER5_POS2_HEIGHT_SHIFT             16
++
++#define SCALER5_POS2_WIDTH_MASK                       VC4_MASK(12, 0)
++#define SCALER5_POS2_WIDTH_SHIFT              0
++
+ /* Color Space Conversion words.  Some values are S2.8 signed
+  * integers, except that the 2 integer bits map as {0x0: 0, 0x1: 1,
+  * 0x2: 2, 0x3: -1}
diff --git a/target/linux/bcm27xx/patches-5.4/950-0539-dt-bindings-display-vc4-dpi-Add-missing-clock-names-.patch b/target/linux/bcm27xx/patches-5.4/950-0539-dt-bindings-display-vc4-dpi-Add-missing-clock-names-.patch
deleted file mode 100644 (file)
index 2150f0a..0000000
+++ /dev/null
@@ -1,38 +0,0 @@
-From 9a624b11291306b4b4c49c70bc75ef7d72d81405 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 13 Feb 2020 15:47:18 +0100
-Subject: [PATCH] dt-bindings: display: vc4: dpi: Add missing
- clock-names property
-
-While the device tree and the driver expected a clock-names property, it
-wasn't explicitly documented in the previous binding. Make sure it is now.
-
-Cc: devicetree@vger.kernel.org
-Reviewed-by: Rob Herring <robh+dt@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- .../devicetree/bindings/display/brcm,bcm2835-dpi.yaml       | 6 ++++++
- 1 file changed, 6 insertions(+)
-
---- a/Documentation/devicetree/bindings/display/brcm,bcm2835-dpi.yaml
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-dpi.yaml
-@@ -21,6 +21,11 @@ properties:
-       - description: The core clock the unit runs on
-       - description: The pixel clock that feeds the pixelvalve
-+  clock-names:
-+    items:
-+      - const: core
-+      - const: pixel
-+
-   port:
-     type: object
-     description: >
-@@ -31,6 +36,7 @@ required:
-   - compatible
-   - reg
-   - clocks
-+  - clock-names
-   - port
- additionalProperties: false
diff --git a/target/linux/bcm27xx/patches-5.4/950-0540-drm-vc4-plane-Improve-LBM-usage.patch b/target/linux/bcm27xx/patches-5.4/950-0540-drm-vc4-plane-Improve-LBM-usage.patch
new file mode 100644 (file)
index 0000000..5342e0a
--- /dev/null
@@ -0,0 +1,98 @@
+From 81072e19a85bfa3f80c23acdff6156522d945efa Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 11 Feb 2020 16:55:02 +0000
+Subject: [PATCH] drm/vc4: plane: Improve LBM usage
+
+LBM allocations were always taking the worst case sizing of
+max(src_width, dst_width) * 16. This is significantly over
+the required sizing, and stops us rendering multiple 4k images
+to the screen.
+
+Add some of the additional constraints to more accurately
+describe the LBM requirements.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_plane.c | 31 ++++++++++++++++++++-----------
+ 1 file changed, 20 insertions(+), 11 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_plane.c
++++ b/drivers/gpu/drm/vc4/vc4_plane.c
+@@ -142,9 +142,10 @@ static const struct hvs_format *vc4_get_
+       return NULL;
+ }
+-static enum vc4_scaling_mode vc4_get_scaling_mode(u32 src, u32 dst)
++static enum vc4_scaling_mode vc4_get_scaling_mode(u32 src, u32 dst,
++                                                bool chroma_vrep)
+ {
+-      if (dst == src)
++      if (dst == src && !chroma_vrep)
+               return VC4_SCALING_NONE;
+       if (3 * dst >= 2 * src)
+               return VC4_SCALING_PPF;
+@@ -377,9 +378,11 @@ static int vc4_plane_setup_clipping_and_
+               return ret;
+       vc4_state->x_scaling[0] = vc4_get_scaling_mode(vc4_state->src_w[0],
+-                                                     vc4_state->crtc_w);
++                                                     vc4_state->crtc_w,
++                                                     false);
+       vc4_state->y_scaling[0] = vc4_get_scaling_mode(vc4_state->src_h[0],
+-                                                     vc4_state->crtc_h);
++                                                     vc4_state->crtc_h,
++                                                     false);
+       vc4_state->is_unity = (vc4_state->x_scaling[0] == VC4_SCALING_NONE &&
+                              vc4_state->y_scaling[0] == VC4_SCALING_NONE);
+@@ -392,10 +395,12 @@ static int vc4_plane_setup_clipping_and_
+               vc4_state->x_scaling[1] =
+                       vc4_get_scaling_mode(vc4_state->src_w[1],
+-                                           vc4_state->crtc_w);
++                                           vc4_state->crtc_w,
++                                           v_subsample == 2);
+               vc4_state->y_scaling[1] =
+                       vc4_get_scaling_mode(vc4_state->src_h[1],
+-                                           vc4_state->crtc_h);
++                                           vc4_state->crtc_h,
++                                           v_subsample == 2);
+               /* YUV conversion requires that horizontal scaling be enabled
+                * on the UV plane even if vc4_get_scaling_mode() returned
+@@ -445,10 +450,7 @@ static void vc4_write_ppf(struct vc4_pla
+ static u32 vc4_lbm_size(struct drm_plane_state *state)
+ {
+       struct vc4_plane_state *vc4_state = to_vc4_plane_state(state);
+-      /* This is the worst case number.  One of the two sizes will
+-       * be used depending on the scaling configuration.
+-       */
+-      u32 pix_per_line = max(vc4_state->src_w[0], (u32)vc4_state->crtc_w);
++      u32 pix_per_line;
+       u32 lbm;
+       /* LBM is not needed when there's no vertical scaling. */
+@@ -456,6 +458,11 @@ static u32 vc4_lbm_size(struct drm_plane
+           vc4_state->y_scaling[1] == VC4_SCALING_NONE)
+               return 0;
++      if (vc4_state->x_scaling[0] == VC4_SCALING_TPZ)
++              pix_per_line = vc4_state->crtc_w;
++      else
++              pix_per_line = vc4_state->src_w[0];
++
+       if (!vc4_state->is_yuv) {
+               if (vc4_state->y_scaling[0] == VC4_SCALING_TPZ)
+                       lbm = pix_per_line * 8;
+@@ -591,7 +598,9 @@ static int vc4_plane_allocate_lbm(struct
+               spin_lock_irqsave(&vc4->hvs->mm_lock, irqflags);
+               ret = drm_mm_insert_node_generic(&vc4->hvs->lbm_mm,
+                                                &vc4_state->lbm,
+-                                               lbm_size, 32, 0, 0);
++                                               lbm_size,
++                                               vc4->hvs->hvs5 ? 64 : 32,
++                                               0, 0);
+               spin_unlock_irqrestore(&vc4->hvs->mm_lock, irqflags);
+               if (ret)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0540-dt-bindings-display-vc4-dsi-Add-missing-clock-proper.patch b/target/linux/bcm27xx/patches-5.4/950-0540-dt-bindings-display-vc4-dsi-Add-missing-clock-proper.patch
deleted file mode 100644 (file)
index 4cb313f..0000000
+++ /dev/null
@@ -1,54 +0,0 @@
-From 12abb6775e99482ff9fc71e16292ccf4dfeacee5 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 13 Feb 2020 15:47:18 +0100
-Subject: [PATCH] dt-bindings: display: vc4: dsi: Add missing clock
- properties
-
-While the device tree and the driver expected a clock-names and a
-clock-cells properties, it wasn't explicitly documented in the previous
-binding. Make sure it is now.
-
-Cc: devicetree@vger.kernel.org
-Reviewed-by: Rob Herring <robh+dt@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- .../bindings/display/brcm,bcm2835-dsi0.yaml           | 11 +++++++++++
- 1 file changed, 11 insertions(+)
-
---- a/Documentation/devicetree/bindings/display/brcm,bcm2835-dsi0.yaml
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-dsi0.yaml
-@@ -10,6 +10,9 @@ maintainers:
-   - Eric Anholt <eric@anholt.net>
- properties:
-+  "#clock-cells":
-+    const: 1
-+
-   compatible:
-     enum:
-       - brcm,bcm2835-dsi0
-@@ -24,6 +27,12 @@ properties:
-       - description: The DSI ESC clock
-       - description: The DSI pixel clock
-+  clock-names:
-+    items:
-+      - const: phy
-+      - const: escape
-+      - const: pixel
-+
-   clock-output-names: true
-     # FIXME: The meta-schemas don't seem to allow it for now
-     # items:
-@@ -35,9 +44,11 @@ properties:
-     maxItems: 1
- required:
-+  - "#clock-cells"
-   - compatible
-   - reg
-   - clocks
-+  - clock-names
-   - clock-output-names
-   - interrupts
diff --git a/target/linux/bcm27xx/patches-5.4/950-0541-drm-vc4-plane-Move-planes-creation-to-its-own-functi.patch b/target/linux/bcm27xx/patches-5.4/950-0541-drm-vc4-plane-Move-planes-creation-to-its-own-functi.patch
new file mode 100644 (file)
index 0000000..025efee
--- /dev/null
@@ -0,0 +1,125 @@
+From ac2c812856c3a496354b9f19d0a43458e108844d Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 6 Feb 2020 14:32:57 +0100
+Subject: [PATCH] drm/vc4: plane: Move planes creation to its own
+ function
+
+The planes so far were created as part of the CRTC binding code with
+each planes created associated only to one CRTC. However, the hardware
+in the vc4 doesn't really have such constraint and can be used with any
+CRTC.
+
+In order to rework this, let's first move the overlay and cursor planes
+creation to a function of its own.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c  | 33 ++++------------------------
+ drivers/gpu/drm/vc4/vc4_drv.h   |  2 ++
+ drivers/gpu/drm/vc4/vc4_plane.c | 38 +++++++++++++++++++++++++++++++++
+ 3 files changed, 44 insertions(+), 29 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -1142,7 +1142,7 @@ static int vc4_crtc_bind(struct device *
+       struct drm_device *drm = dev_get_drvdata(master);
+       struct vc4_crtc *vc4_crtc;
+       struct drm_crtc *crtc;
+-      struct drm_plane *primary_plane, *cursor_plane, *destroy_plane, *temp;
++      struct drm_plane *primary_plane, *destroy_plane, *temp;
+       const struct of_device_id *match;
+       int ret, i;
+@@ -1190,34 +1190,9 @@ static int vc4_crtc_bind(struct device *
+        */
+       drm_crtc_enable_color_mgmt(crtc, 0, true, crtc->gamma_size);
+-      /* Set up some arbitrary number of planes.  We're not limited
+-       * by a set number of physical registers, just the space in
+-       * the HVS (16k) and how small an plane can be (28 bytes).
+-       * However, each plane we set up takes up some memory, and
+-       * increases the cost of looping over planes, which atomic
+-       * modesetting does quite a bit.  As a result, we pick a
+-       * modest number of planes to expose, that should hopefully
+-       * still cover any sane usecase.
+-       */
+-      for (i = 0; i < 8; i++) {
+-              struct drm_plane *plane =
+-                      vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
+-
+-              if (IS_ERR(plane))
+-                      continue;
+-
+-              plane->possible_crtcs = drm_crtc_mask(crtc);
+-      }
+-
+-      /* Set up the legacy cursor after overlay initialization,
+-       * since we overlay planes on the CRTC in the order they were
+-       * initialized.
+-       */
+-      cursor_plane = vc4_plane_init(drm, DRM_PLANE_TYPE_CURSOR);
+-      if (!IS_ERR(cursor_plane)) {
+-              cursor_plane->possible_crtcs = drm_crtc_mask(crtc);
+-              crtc->cursor = cursor_plane;
+-      }
++      ret = vc4_plane_create_additional_planes(drm, crtc);
++      if (ret)
++              goto err_destroy_planes;
+       vc4_crtc_get_cob_allocation(vc4_crtc);
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -855,6 +855,8 @@ int vc4_kms_load(struct drm_device *dev)
+ /* vc4_plane.c */
+ struct drm_plane *vc4_plane_init(struct drm_device *dev,
+                                enum drm_plane_type type);
++int vc4_plane_create_additional_planes(struct drm_device *dev,
++                                     struct drm_crtc *crtc);
+ u32 vc4_plane_write_dlist(struct drm_plane *plane, u32 __iomem *dlist);
+ u32 vc4_plane_dlist_size(const struct drm_plane_state *state);
+ void vc4_plane_async_set_fb(struct drm_plane *plane,
+--- a/drivers/gpu/drm/vc4/vc4_plane.c
++++ b/drivers/gpu/drm/vc4/vc4_plane.c
+@@ -1447,3 +1447,41 @@ struct drm_plane *vc4_plane_init(struct
+       return plane;
+ }
++
++int vc4_plane_create_additional_planes(struct drm_device *drm,
++                                     struct drm_crtc *crtc)
++{
++      struct drm_plane *cursor_plane;
++      unsigned int i;
++
++      /* Set up some arbitrary number of planes.  We're not limited
++       * by a set number of physical registers, just the space in
++       * the HVS (16k) and how small an plane can be (28 bytes).
++       * However, each plane we set up takes up some memory, and
++       * increases the cost of looping over planes, which atomic
++       * modesetting does quite a bit.  As a result, we pick a
++       * modest number of planes to expose, that should hopefully
++       * still cover any sane usecase.
++       */
++      for (i = 0; i < 8; i++) {
++              struct drm_plane *plane =
++                      vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
++
++              if (IS_ERR(plane))
++                      continue;
++
++              plane->possible_crtcs = drm_crtc_mask(crtc);
++      }
++
++      /* Set up the legacy cursor after overlay initialization,
++       * since we overlay planes on the CRTC in the order they were
++       * initialized.
++       */
++      cursor_plane = vc4_plane_init(drm, DRM_PLANE_TYPE_CURSOR);
++      if (!IS_ERR(cursor_plane)) {
++              cursor_plane->possible_crtcs = drm_crtc_mask(crtc);
++              crtc->cursor = cursor_plane;
++      }
++
++      return 0;
++}
diff --git a/target/linux/bcm27xx/patches-5.4/950-0541-dt-bindings-display-vc4-hdmi-Add-missing-clock-names.patch b/target/linux/bcm27xx/patches-5.4/950-0541-dt-bindings-display-vc4-hdmi-Add-missing-clock-names.patch
deleted file mode 100644 (file)
index 5ef4eae..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From 293db446089f00599f0a22b933a6a5a13ccfc5e2 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 13 Feb 2020 15:47:18 +0100
-Subject: [PATCH] dt-bindings: display: vc4: hdmi: Add missing
- clock-names property
-
-While the device tree and the driver expected a clock-names property, it
-wasn't explicitly documented in the previous binding. The documented order
-was wrong too, so make sure clock-names is there and in the proper order.
-
-Cc: devicetree@vger.kernel.org
-Reviewed-by: Rob Herring <robh+dt@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- .../devicetree/bindings/display/brcm,bcm2835-hdmi.yaml     | 7 ++++++-
- 1 file changed, 6 insertions(+), 1 deletion(-)
-
---- a/Documentation/devicetree/bindings/display/brcm,bcm2835-hdmi.yaml
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-hdmi.yaml
-@@ -23,8 +23,13 @@ properties:
-   clocks:
-     items:
--      - description: The HDMI state machine clock
-       - description: The pixel clock
-+      - description: The HDMI state machine clock
-+
-+  clock-names:
-+    items:
-+      - const: pixel
-+      - const: hdmi
-   ddc:
-     allOf:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0542-drm-vc4-plane-Move-additional-planes-creation-to-dri.patch b/target/linux/bcm27xx/patches-5.4/950-0542-drm-vc4-plane-Move-additional-planes-creation-to-dri.patch
new file mode 100644 (file)
index 0000000..b2e9f15
--- /dev/null
@@ -0,0 +1,75 @@
+From 5331cbb3d9cfb172ed134f08a35740e0a52d1107 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 6 Feb 2020 14:41:41 +0100
+Subject: [PATCH] drm/vc4: plane: Move additional planes creation to
+ driver
+
+So far the plane creation was done when each CRTC was bound, and those
+planes were only tied to the CRTC that was registering them.
+
+This causes two main issues:
+  - The planes in the vc4 hardware are actually not tied to any CRTC, but
+    can be used with every combination
+
+  - More importantly, so far, we allocate 10 planes per CRTC, with 3 CRTCs.
+    However, the next generation of hardware will have 5 CRTCs, putting us
+    well above the maximum of 32 planes currently allowed by DRM.
+
+This patch is the first one in a series of patches that will take down both
+of these issues so that we can support the next generation of hardware
+while keeping a good amount of planes.
+
+We start by changing the way the planes are registered to first registering
+the primary planes for each CRTC in the CRTC bind function as we used to,
+but moving the overlay and cursor creation to the main driver bind
+function, after all the CRTCs have been bound.
+
+This will slightly change the ID order of the planes, since the primary
+planes of all CRTCs will be first, and then a pattern of 8 overlays, 1
+cursor plane for each CRTC.
+
+This shouldn't cause any trouble since the ordering between the planes is
+preserved though.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 4 ----
+ drivers/gpu/drm/vc4/vc4_drv.c  | 7 +++++++
+ 2 files changed, 7 insertions(+), 4 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -1190,10 +1190,6 @@ static int vc4_crtc_bind(struct device *
+        */
+       drm_crtc_enable_color_mgmt(crtc, 0, true, crtc->gamma_size);
+-      ret = vc4_plane_create_additional_planes(drm, crtc);
+-      if (ret)
+-              goto err_destroy_planes;
+-
+       vc4_crtc_get_cob_allocation(vc4_crtc);
+       CRTC_WRITE(PV_INTEN, 0);
+--- a/drivers/gpu/drm/vc4/vc4_drv.c
++++ b/drivers/gpu/drm/vc4/vc4_drv.c
+@@ -253,6 +253,7 @@ static int vc4_drm_bind(struct device *d
+ {
+       struct platform_device *pdev = to_platform_device(dev);
+       struct drm_device *drm;
++      struct drm_crtc *crtc;
+       struct vc4_dev *vc4;
+       struct device_node *node;
+       int ret = 0;
+@@ -291,6 +292,12 @@ static int vc4_drm_bind(struct device *d
+       if (ret)
+               goto gem_destroy;
++      drm_for_each_crtc(crtc, drm) {
++              ret = vc4_plane_create_additional_planes(drm, crtc);
++              if (ret)
++                      continue;
++      }
++
+       drm_fb_helper_remove_conflicting_framebuffers(NULL, "vc4drmfb", false);
+       ret = vc4_kms_load(drm);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0542-dt-bindings-display-vc4-Document-BCM2711-VC5.patch b/target/linux/bcm27xx/patches-5.4/950-0542-dt-bindings-display-vc4-Document-BCM2711-VC5.patch
deleted file mode 100644 (file)
index 2499dee..0000000
+++ /dev/null
@@ -1,24 +0,0 @@
-From a12c5df87364ef6965a750345b1e28a5aba5cb14 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 13 Feb 2020 17:40:56 +0100
-Subject: [PATCH] dt-bindings: display: vc4: Document BCM2711 VC5
-
-The BCM2711 comes with a new VideoCore. Add a compatible for it.
-
-Cc: devicetree@vger.kernel.org
-Reviewed-by: Rob Herring <robh+dt@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- Documentation/devicetree/bindings/display/brcm,bcm2835-vc4.yaml | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/Documentation/devicetree/bindings/display/brcm,bcm2835-vc4.yaml
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-vc4.yaml
-@@ -17,6 +17,7 @@ description: >
- properties:
-   compatible:
-     enum:
-+      - brcm,bcm2711-vc5
-       - brcm,bcm2835-vc4
-       - brcm,cygnus-vc4
diff --git a/target/linux/bcm27xx/patches-5.4/950-0543-drm-vc4-drv-Add-include-guards.patch b/target/linux/bcm27xx/patches-5.4/950-0543-drm-vc4-drv-Add-include-guards.patch
deleted file mode 100644 (file)
index 16f8b0a..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-From 42566c11972c9edace45d5a787e276588214cb79 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 19 Dec 2019 18:08:48 +0100
-Subject: [PATCH] drm/vc4: drv: Add include guards
-
-vc4_drv.h doesn't have any include guards which prevents it from being
-included twice. Let's add them.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_drv.h | 4 ++++
- 1 file changed, 4 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -2,6 +2,8 @@
- /*
-  * Copyright (C) 2015 Broadcom
-  */
-+#ifndef _VC4_DRV_H_
-+#define _VC4_DRV_H_
- #include <linux/delay.h>
- #include <linux/refcount.h>
-@@ -899,3 +901,5 @@ int vc4_perfmon_destroy_ioctl(struct drm
-                             struct drm_file *file_priv);
- int vc4_perfmon_get_values_ioctl(struct drm_device *dev, void *data,
-                                struct drm_file *file_priv);
-+
-+#endif /* _VC4_DRV_H_ */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0543-drm-vc4-plane-Register-all-the-planes-at-once.patch b/target/linux/bcm27xx/patches-5.4/950-0543-drm-vc4-plane-Register-all-the-planes-at-once.patch
new file mode 100644 (file)
index 0000000..28277f1
--- /dev/null
@@ -0,0 +1,128 @@
+From bb2b068209d73b320cac7222a3b8ecef9b0dcc9a Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 6 Feb 2020 14:46:14 +0100
+Subject: [PATCH] drm/vc4: plane: Register all the planes at once
+
+Instead of creating planes for each CRTC, we eventually want to create all
+the planes for each CRTCs.
+
+In order to make that more convenient, let's iterate on the CRTCs in the
+plane creation function instead of its caller.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_drv.c   |  9 ++----
+ drivers/gpu/drm/vc4/vc4_drv.h   |  3 +-
+ drivers/gpu/drm/vc4/vc4_plane.c | 54 +++++++++++++++++----------------
+ 3 files changed, 32 insertions(+), 34 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_drv.c
++++ b/drivers/gpu/drm/vc4/vc4_drv.c
+@@ -253,7 +253,6 @@ static int vc4_drm_bind(struct device *d
+ {
+       struct platform_device *pdev = to_platform_device(dev);
+       struct drm_device *drm;
+-      struct drm_crtc *crtc;
+       struct vc4_dev *vc4;
+       struct device_node *node;
+       int ret = 0;
+@@ -292,11 +291,9 @@ static int vc4_drm_bind(struct device *d
+       if (ret)
+               goto gem_destroy;
+-      drm_for_each_crtc(crtc, drm) {
+-              ret = vc4_plane_create_additional_planes(drm, crtc);
+-              if (ret)
+-                      continue;
+-      }
++      ret = vc4_plane_create_additional_planes(drm);
++      if (ret)
++              goto unbind_all;
+       drm_fb_helper_remove_conflicting_framebuffers(NULL, "vc4drmfb", false);
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -855,8 +855,7 @@ int vc4_kms_load(struct drm_device *dev)
+ /* vc4_plane.c */
+ struct drm_plane *vc4_plane_init(struct drm_device *dev,
+                                enum drm_plane_type type);
+-int vc4_plane_create_additional_planes(struct drm_device *dev,
+-                                     struct drm_crtc *crtc);
++int vc4_plane_create_additional_planes(struct drm_device *dev);
+ u32 vc4_plane_write_dlist(struct drm_plane *plane, u32 __iomem *dlist);
+ u32 vc4_plane_dlist_size(const struct drm_plane_state *state);
+ void vc4_plane_async_set_fb(struct drm_plane *plane,
+--- a/drivers/gpu/drm/vc4/vc4_plane.c
++++ b/drivers/gpu/drm/vc4/vc4_plane.c
+@@ -1448,39 +1448,41 @@ struct drm_plane *vc4_plane_init(struct
+       return plane;
+ }
+-int vc4_plane_create_additional_planes(struct drm_device *drm,
+-                                     struct drm_crtc *crtc)
++int vc4_plane_create_additional_planes(struct drm_device *drm)
+ {
+       struct drm_plane *cursor_plane;
++      struct drm_crtc *crtc;
+       unsigned int i;
+-      /* Set up some arbitrary number of planes.  We're not limited
+-       * by a set number of physical registers, just the space in
+-       * the HVS (16k) and how small an plane can be (28 bytes).
+-       * However, each plane we set up takes up some memory, and
+-       * increases the cost of looping over planes, which atomic
+-       * modesetting does quite a bit.  As a result, we pick a
+-       * modest number of planes to expose, that should hopefully
+-       * still cover any sane usecase.
+-       */
+-      for (i = 0; i < 8; i++) {
+-              struct drm_plane *plane =
+-                      vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
+-
+-              if (IS_ERR(plane))
+-                      continue;
+-
+-              plane->possible_crtcs = drm_crtc_mask(crtc);
+-      }
+-
+-      /* Set up the legacy cursor after overlay initialization,
+-       * since we overlay planes on the CRTC in the order they were
+-       * initialized.
+-       */
+-      cursor_plane = vc4_plane_init(drm, DRM_PLANE_TYPE_CURSOR);
+-      if (!IS_ERR(cursor_plane)) {
+-              cursor_plane->possible_crtcs = drm_crtc_mask(crtc);
+-              crtc->cursor = cursor_plane;
++      drm_for_each_crtc(crtc, drm) {
++              /* Set up some arbitrary number of planes.  We're not limited
++               * by a set number of physical registers, just the space in
++               * the HVS (16k) and how small an plane can be (28 bytes).
++               * However, each plane we set up takes up some memory, and
++               * increases the cost of looping over planes, which atomic
++               * modesetting does quite a bit.  As a result, we pick a
++               * modest number of planes to expose, that should hopefully
++               * still cover any sane usecase.
++               */
++              for (i = 0; i < 8; i++) {
++                      struct drm_plane *plane =
++                              vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
++
++                      if (IS_ERR(plane))
++                              continue;
++
++                      plane->possible_crtcs = drm_crtc_mask(crtc);
++              }
++
++              /* Set up the legacy cursor after overlay initialization,
++               * since we overlay planes on the CRTC in the order they were
++               * initialized.
++               */
++              cursor_plane = vc4_plane_init(drm, DRM_PLANE_TYPE_CURSOR);
++              if (!IS_ERR(cursor_plane)) {
++                      cursor_plane->possible_crtcs = drm_crtc_mask(crtc);
++                      crtc->cursor = cursor_plane;
++              }
+       }
+       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0544-drm-vc4-drv-Support-BCM2711.patch b/target/linux/bcm27xx/patches-5.4/950-0544-drm-vc4-drv-Support-BCM2711.patch
deleted file mode 100644 (file)
index 21018e1..0000000
+++ /dev/null
@@ -1,109 +0,0 @@
-From d52f29a5e0ee9882f6f734c057224686b9820152 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 6 Feb 2020 15:40:34 +0100
-Subject: [PATCH] drm/vc4: drv: Support BCM2711
-
-The BCM2711 has a reworked display pipeline, and the load tracker needs
-some adjustement to operate properly. Let's add a compatible for BCM2711
-and disable the load tracker until properly supported.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_drv.c   |  1 +
- drivers/gpu/drm/vc4/vc4_drv.h   |  3 +++
- drivers/gpu/drm/vc4/vc4_kms.c   | 32 +++++++++++++++++++++-----------
- drivers/gpu/drm/vc4/vc4_plane.c |  5 +++++
- 4 files changed, 30 insertions(+), 11 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_drv.c
-+++ b/drivers/gpu/drm/vc4/vc4_drv.c
-@@ -369,6 +369,7 @@ static int vc4_platform_drm_remove(struc
- }
- static const struct of_device_id vc4_of_match[] = {
-+      { .compatible = "brcm,bcm2711-vc5", },
-       { .compatible = "brcm,bcm2835-vc4", },
-       { .compatible = "brcm,cygnus-vc4", },
-       {},
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -205,6 +205,9 @@ struct vc4_dev {
-       int power_refcount;
-+      /* Set to true when the load tracker is supported. */
-+      bool load_tracker_available;
-+
-       /* Set to true when the load tracker is active. */
-       bool load_tracker_enabled;
---- a/drivers/gpu/drm/vc4/vc4_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_kms.c
-@@ -421,6 +421,9 @@ static int vc4_load_tracker_atomic_check
-       struct drm_plane *plane;
-       int i;
-+      if (!vc4->load_tracker_available)
-+              return 0;
-+
-       priv_state = drm_atomic_get_private_obj_state(state,
-                                                     &vc4->load_tracker);
-       if (IS_ERR(priv_state))
-@@ -520,10 +523,14 @@ int vc4_kms_load(struct drm_device *dev)
-       struct vc4_load_tracker_state *load_state;
-       int ret;
--      /* Start with the load tracker enabled. Can be disabled through the
--       * debugfs load_tracker file.
--       */
--      vc4->load_tracker_enabled = true;
-+      if (!of_device_is_compatible(dev->dev->of_node, "brcm,bcm2711-vc5")) {
-+              vc4->load_tracker_available = true;
-+
-+              /* Start with the load tracker enabled. Can be
-+               * disabled through the debugfs load_tracker file.
-+               */
-+              vc4->load_tracker_enabled = true;
-+      }
-       sema_init(&vc4->async_modeset, 1);
-@@ -560,14 +567,17 @@ int vc4_kms_load(struct drm_device *dev)
-       drm_atomic_private_obj_init(dev, &vc4->ctm_manager, &ctm_state->base,
-                                   &vc4_ctm_state_funcs);
--      load_state = kzalloc(sizeof(*load_state), GFP_KERNEL);
--      if (!load_state) {
--              drm_atomic_private_obj_fini(&vc4->ctm_manager);
--              return -ENOMEM;
--      }
-+      if (vc4->load_tracker_available) {
-+              load_state = kzalloc(sizeof(*load_state), GFP_KERNEL);
-+              if (!load_state) {
-+                      drm_atomic_private_obj_fini(&vc4->ctm_manager);
-+                      return -ENOMEM;
-+              }
--      drm_atomic_private_obj_init(dev, &vc4->load_tracker, &load_state->base,
--                                  &vc4_load_tracker_state_funcs);
-+              drm_atomic_private_obj_init(dev, &vc4->load_tracker,
-+                                          &load_state->base,
-+                                          &vc4_load_tracker_state_funcs);
-+      }
-       drm_mode_config_reset(dev);
---- a/drivers/gpu/drm/vc4/vc4_plane.c
-+++ b/drivers/gpu/drm/vc4/vc4_plane.c
-@@ -500,6 +500,11 @@ static void vc4_plane_calc_load(struct d
-       struct vc4_plane_state *vc4_state;
-       struct drm_crtc_state *crtc_state;
-       unsigned int vscale_factor;
-+      struct vc4_dev *vc4;
-+
-+      vc4 = to_vc4_dev(state->plane->dev);
-+      if (!vc4->load_tracker_available)
-+              return;
-       vc4_state = to_vc4_plane_state(state);
-       crtc_state = drm_atomic_get_existing_crtc_state(state->state,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0544-drm-vc4-plane-Create-overlays-for-any-CRTC.patch b/target/linux/bcm27xx/patches-5.4/950-0544-drm-vc4-plane-Create-overlays-for-any-CRTC.patch
new file mode 100644 (file)
index 0000000..7b9ec02
--- /dev/null
@@ -0,0 +1,70 @@
+From b65167e0bcce67f2e7b7e813dba536f1cca3ef9f Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 6 Feb 2020 14:50:06 +0100
+Subject: [PATCH] drm/vc4: plane: Create overlays for any CRTC
+
+Now that we have everything in place, we can now register all the overlay
+planes that can be assigned to all the CRTCs.
+
+This has two side effects:
+
+  - The number of overlay planes is reduced from 24 to 8. This is temporary
+    and will be increased again in the next patch.
+
+  - The ID of the various planes is changed again, and we will now have all
+    the primary planes, then all the overlay planes and finally the cursor
+    planes. This shouldn't cause any issue since the ordering between
+    primary, overlay and cursor planes is preserved.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_plane.c | 35 +++++++++++++++++----------------
+ 1 file changed, 18 insertions(+), 17 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_plane.c
++++ b/drivers/gpu/drm/vc4/vc4_plane.c
+@@ -1454,26 +1454,27 @@ int vc4_plane_create_additional_planes(s
+       struct drm_crtc *crtc;
+       unsigned int i;
+-      drm_for_each_crtc(crtc, drm) {
+-              /* Set up some arbitrary number of planes.  We're not limited
+-               * by a set number of physical registers, just the space in
+-               * the HVS (16k) and how small an plane can be (28 bytes).
+-               * However, each plane we set up takes up some memory, and
+-               * increases the cost of looping over planes, which atomic
+-               * modesetting does quite a bit.  As a result, we pick a
+-               * modest number of planes to expose, that should hopefully
+-               * still cover any sane usecase.
+-               */
+-              for (i = 0; i < 8; i++) {
+-                      struct drm_plane *plane =
+-                              vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
++      /* Set up some arbitrary number of planes.  We're not limited
++       * by a set number of physical registers, just the space in
++       * the HVS (16k) and how small an plane can be (28 bytes).
++       * However, each plane we set up takes up some memory, and
++       * increases the cost of looping over planes, which atomic
++       * modesetting does quite a bit.  As a result, we pick a
++       * modest number of planes to expose, that should hopefully
++       * still cover any sane usecase.
++       */
++      for (i = 0; i < 8; i++) {
++              struct drm_plane *plane =
++                      vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
+-                      if (IS_ERR(plane))
+-                              continue;
++              if (IS_ERR(plane))
++                      continue;
+-                      plane->possible_crtcs = drm_crtc_mask(crtc);
+-              }
++              plane->possible_crtcs =
++                      GENMASK(drm->mode_config.num_crtc - 1, 0);
++      }
++      drm_for_each_crtc(crtc, drm) {
+               /* Set up the legacy cursor after overlay initialization,
+                * since we overlay planes on the CRTC in the order they were
+                * initialized.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0545-drm-vc4-drv-Add-support-for-the-BCM2711-HVS5.patch b/target/linux/bcm27xx/patches-5.4/950-0545-drm-vc4-drv-Add-support-for-the-BCM2711-HVS5.patch
deleted file mode 100644 (file)
index a02663a..0000000
+++ /dev/null
@@ -1,497 +0,0 @@
-From 354d70a82947041b3d7b87f69641a6741febfc95 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Thu, 8 Aug 2019 17:51:07 +0100
-Subject: [PATCH] drm/vc4: drv: Add support for the BCM2711 HVS5
-
-The HVS found in the BCM2711 is slightly different from the previous
-generations.
-
-Most notably, the display list layout changes a bit, the LBM doesn't have
-the same size and the formats ordering for some formats is swapped.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c  |  24 +++-
- drivers/gpu/drm/vc4/vc4_drv.h   |   4 +
- drivers/gpu/drm/vc4/vc4_hvs.c   |  17 ++-
- drivers/gpu/drm/vc4/vc4_plane.c | 194 +++++++++++++++++++++++---------
- drivers/gpu/drm/vc4/vc4_regs.h  |  67 +++++++++++
- 5 files changed, 247 insertions(+), 59 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -550,6 +550,7 @@ static void vc4_crtc_atomic_enable(struc
-       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-       struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(crtc->state);
-       struct drm_display_mode *mode = &crtc->state->adjusted_mode;
-+      u32 dispctrl;
-       require_hvs_enabled(dev);
-@@ -564,11 +565,24 @@ static void vc4_crtc_atomic_enable(struc
-        * When feeding the transposer, we should operate in oneshot
-        * mode.
-        */
--      HVS_WRITE(SCALER_DISPCTRLX(vc4_crtc->channel),
--                VC4_SET_FIELD(mode->hdisplay, SCALER_DISPCTRLX_WIDTH) |
--                VC4_SET_FIELD(mode->vdisplay, SCALER_DISPCTRLX_HEIGHT) |
--                SCALER_DISPCTRLX_ENABLE |
--                (vc4_state->feed_txp ? SCALER_DISPCTRLX_ONESHOT : 0));
-+      dispctrl = SCALER_DISPCTRLX_ENABLE;
-+
-+      if (!vc4->hvs->hvs5)
-+              dispctrl |= VC4_SET_FIELD(mode->hdisplay,
-+                                        SCALER_DISPCTRLX_WIDTH) |
-+                          VC4_SET_FIELD(mode->vdisplay,
-+                                        SCALER_DISPCTRLX_HEIGHT) |
-+                          (vc4_state->feed_txp ?
-+                                      SCALER_DISPCTRLX_ONESHOT : 0);
-+      else
-+              dispctrl |= VC4_SET_FIELD(mode->hdisplay,
-+                                        SCALER5_DISPCTRLX_WIDTH) |
-+                          VC4_SET_FIELD(mode->vdisplay,
-+                                        SCALER5_DISPCTRLX_HEIGHT) |
-+                          (vc4_state->feed_txp ?
-+                                      SCALER5_DISPCTRLX_ONESHOT : 0);
-+
-+      HVS_WRITE(SCALER_DISPCTRLX(vc4_crtc->channel), dispctrl);
-       /* When feeding the transposer block the pixelvalve is unneeded and
-        * should not be enabled.
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -336,7 +336,11 @@ struct vc4_hvs {
-       spinlock_t mm_lock;
-       struct drm_mm_node mitchell_netravali_filter;
-+
-       struct debugfs_regset32 regset;
-+
-+      /* HVS version 5 flag, therefore requires updated dlist structures */
-+      bool hvs5;
- };
- struct vc4_plane {
---- a/drivers/gpu/drm/vc4/vc4_hvs.c
-+++ b/drivers/gpu/drm/vc4/vc4_hvs.c
-@@ -223,6 +223,7 @@ static int vc4_hvs_bind(struct device *d
-       struct vc4_hvs *hvs = NULL;
-       int ret;
-       u32 dispctrl;
-+      unsigned int hvs_version;
-       hvs = devm_kzalloc(&pdev->dev, sizeof(*hvs), GFP_KERNEL);
-       if (!hvs)
-@@ -238,7 +239,14 @@ static int vc4_hvs_bind(struct device *d
-       hvs->regset.regs = hvs_regs;
-       hvs->regset.nregs = ARRAY_SIZE(hvs_regs);
--      hvs->dlist = hvs->regs + SCALER_DLIST_START;
-+      hvs_version = readl(hvs->regs + SCALER_DISPLSTAT) >> 24;
-+      if (hvs_version >= 0x40)
-+              hvs->hvs5 = true;
-+
-+      if (!hvs->hvs5)
-+              hvs->dlist = hvs->regs + SCALER_DLIST_START;
-+      else
-+              hvs->dlist = hvs->regs + SCALER5_DLIST_START;
-       spin_lock_init(&hvs->mm_lock);
-@@ -256,7 +264,12 @@ static int vc4_hvs_bind(struct device *d
-        * between planes when they don't overlap on the screen, but
-        * for now we just allocate globally.
-        */
--      drm_mm_init(&hvs->lbm_mm, 0, 96 * 1024);
-+      if (!hvs->hvs5)
-+              /* 96kB */
-+              drm_mm_init(&hvs->lbm_mm, 0, 96 * 1024);
-+      else
-+              /* 70k words */
-+              drm_mm_init(&hvs->lbm_mm, 0, 70 * 2 * 1024);
-       /* Upload filter kernels.  We only have the one for now, so we
-        * keep it around for the lifetime of the driver.
---- a/drivers/gpu/drm/vc4/vc4_plane.c
-+++ b/drivers/gpu/drm/vc4/vc4_plane.c
-@@ -32,45 +32,60 @@ static const struct hvs_format {
-       u32 drm; /* DRM_FORMAT_* */
-       u32 hvs; /* HVS_FORMAT_* */
-       u32 pixel_order;
-+      u32 pixel_order_hvs5;
- } hvs_formats[] = {
-       {
--              .drm = DRM_FORMAT_XRGB8888, .hvs = HVS_PIXEL_FORMAT_RGBA8888,
-+              .drm = DRM_FORMAT_XRGB8888,
-+              .hvs = HVS_PIXEL_FORMAT_RGBA8888,
-               .pixel_order = HVS_PIXEL_ORDER_ABGR,
-+              .pixel_order_hvs5 = HVS_PIXEL_ORDER_ARGB,
-       },
-       {
--              .drm = DRM_FORMAT_ARGB8888, .hvs = HVS_PIXEL_FORMAT_RGBA8888,
-+              .drm = DRM_FORMAT_ARGB8888,
-+              .hvs = HVS_PIXEL_FORMAT_RGBA8888,
-               .pixel_order = HVS_PIXEL_ORDER_ABGR,
-+              .pixel_order_hvs5 = HVS_PIXEL_ORDER_ARGB,
-       },
-       {
--              .drm = DRM_FORMAT_ABGR8888, .hvs = HVS_PIXEL_FORMAT_RGBA8888,
-+              .drm = DRM_FORMAT_ABGR8888,
-+              .hvs = HVS_PIXEL_FORMAT_RGBA8888,
-               .pixel_order = HVS_PIXEL_ORDER_ARGB,
-+              .pixel_order_hvs5 = HVS_PIXEL_ORDER_ABGR,
-       },
-       {
--              .drm = DRM_FORMAT_XBGR8888, .hvs = HVS_PIXEL_FORMAT_RGBA8888,
-+              .drm = DRM_FORMAT_XBGR8888,
-+              .hvs = HVS_PIXEL_FORMAT_RGBA8888,
-               .pixel_order = HVS_PIXEL_ORDER_ARGB,
-+              .pixel_order_hvs5 = HVS_PIXEL_ORDER_ABGR,
-       },
-       {
--              .drm = DRM_FORMAT_RGB565, .hvs = HVS_PIXEL_FORMAT_RGB565,
-+              .drm = DRM_FORMAT_RGB565,
-+              .hvs = HVS_PIXEL_FORMAT_RGB565,
-               .pixel_order = HVS_PIXEL_ORDER_XRGB,
-       },
-       {
--              .drm = DRM_FORMAT_BGR565, .hvs = HVS_PIXEL_FORMAT_RGB565,
-+              .drm = DRM_FORMAT_BGR565,
-+              .hvs = HVS_PIXEL_FORMAT_RGB565,
-               .pixel_order = HVS_PIXEL_ORDER_XBGR,
-       },
-       {
--              .drm = DRM_FORMAT_ARGB1555, .hvs = HVS_PIXEL_FORMAT_RGBA5551,
-+              .drm = DRM_FORMAT_ARGB1555,
-+              .hvs = HVS_PIXEL_FORMAT_RGBA5551,
-               .pixel_order = HVS_PIXEL_ORDER_ABGR,
-       },
-       {
--              .drm = DRM_FORMAT_XRGB1555, .hvs = HVS_PIXEL_FORMAT_RGBA5551,
-+              .drm = DRM_FORMAT_XRGB1555,
-+              .hvs = HVS_PIXEL_FORMAT_RGBA5551,
-               .pixel_order = HVS_PIXEL_ORDER_ABGR,
-       },
-       {
--              .drm = DRM_FORMAT_RGB888, .hvs = HVS_PIXEL_FORMAT_RGB888,
-+              .drm = DRM_FORMAT_RGB888,
-+              .hvs = HVS_PIXEL_FORMAT_RGB888,
-               .pixel_order = HVS_PIXEL_ORDER_XRGB,
-       },
-       {
--              .drm = DRM_FORMAT_BGR888, .hvs = HVS_PIXEL_FORMAT_RGB888,
-+              .drm = DRM_FORMAT_BGR888,
-+              .hvs = HVS_PIXEL_FORMAT_RGB888,
-               .pixel_order = HVS_PIXEL_ORDER_XBGR,
-       },
-       {
-@@ -836,35 +851,6 @@ static int vc4_plane_mode_set(struct drm
-               return -EINVAL;
-       }
--      /* Control word */
--      vc4_dlist_write(vc4_state,
--                      SCALER_CTL0_VALID |
--                      (rotation & DRM_MODE_REFLECT_X ? SCALER_CTL0_HFLIP : 0) |
--                      (rotation & DRM_MODE_REFLECT_Y ? SCALER_CTL0_VFLIP : 0) |
--                      VC4_SET_FIELD(SCALER_CTL0_RGBA_EXPAND_ROUND, SCALER_CTL0_RGBA_EXPAND) |
--                      (format->pixel_order << SCALER_CTL0_ORDER_SHIFT) |
--                      (hvs_format << SCALER_CTL0_PIXEL_FORMAT_SHIFT) |
--                      VC4_SET_FIELD(tiling, SCALER_CTL0_TILING) |
--                      (vc4_state->is_unity ? SCALER_CTL0_UNITY : 0) |
--                      VC4_SET_FIELD(scl0, SCALER_CTL0_SCL0) |
--                      VC4_SET_FIELD(scl1, SCALER_CTL0_SCL1));
--
--      /* Position Word 0: Image Positions and Alpha Value */
--      vc4_state->pos0_offset = vc4_state->dlist_count;
--      vc4_dlist_write(vc4_state,
--                      VC4_SET_FIELD(state->alpha >> 8, SCALER_POS0_FIXED_ALPHA) |
--                      VC4_SET_FIELD(vc4_state->crtc_x, SCALER_POS0_START_X) |
--                      VC4_SET_FIELD(vc4_state->crtc_y, SCALER_POS0_START_Y));
--
--      /* Position Word 1: Scaled Image Dimensions. */
--      if (!vc4_state->is_unity) {
--              vc4_dlist_write(vc4_state,
--                              VC4_SET_FIELD(vc4_state->crtc_w,
--                                            SCALER_POS1_SCL_WIDTH) |
--                              VC4_SET_FIELD(vc4_state->crtc_h,
--                                            SCALER_POS1_SCL_HEIGHT));
--      }
--
-       /* Don't waste cycles mixing with plane alpha if the set alpha
-        * is opaque or there is no per-pixel alpha information.
-        * In any case we use the alpha property value as the fixed alpha.
-@@ -872,20 +858,120 @@ static int vc4_plane_mode_set(struct drm
-       mix_plane_alpha = state->alpha != DRM_BLEND_ALPHA_OPAQUE &&
-                         fb->format->has_alpha;
--      /* Position Word 2: Source Image Size, Alpha */
--      vc4_state->pos2_offset = vc4_state->dlist_count;
--      vc4_dlist_write(vc4_state,
--                      VC4_SET_FIELD(fb->format->has_alpha ?
--                                    SCALER_POS2_ALPHA_MODE_PIPELINE :
--                                    SCALER_POS2_ALPHA_MODE_FIXED,
--                                    SCALER_POS2_ALPHA_MODE) |
--                      (mix_plane_alpha ? SCALER_POS2_ALPHA_MIX : 0) |
--                      (fb->format->has_alpha ? SCALER_POS2_ALPHA_PREMULT : 0) |
--                      VC4_SET_FIELD(vc4_state->src_w[0], SCALER_POS2_WIDTH) |
--                      VC4_SET_FIELD(vc4_state->src_h[0], SCALER_POS2_HEIGHT));
-+      if (!vc4->hvs->hvs5) {
-+      /* Control word */
-+              vc4_dlist_write(vc4_state,
-+                              SCALER_CTL0_VALID |
-+                              (rotation & DRM_MODE_REFLECT_X ? SCALER_CTL0_HFLIP : 0) |
-+                              (rotation & DRM_MODE_REFLECT_Y ? SCALER_CTL0_VFLIP : 0) |
-+                              VC4_SET_FIELD(SCALER_CTL0_RGBA_EXPAND_ROUND, SCALER_CTL0_RGBA_EXPAND) |
-+                              (format->pixel_order << SCALER_CTL0_ORDER_SHIFT) |
-+                              (hvs_format << SCALER_CTL0_PIXEL_FORMAT_SHIFT) |
-+                              VC4_SET_FIELD(tiling, SCALER_CTL0_TILING) |
-+                              (vc4_state->is_unity ? SCALER_CTL0_UNITY : 0) |
-+                              VC4_SET_FIELD(scl0, SCALER_CTL0_SCL0) |
-+                              VC4_SET_FIELD(scl1, SCALER_CTL0_SCL1));
-+
-+              /* Position Word 0: Image Positions and Alpha Value */
-+              vc4_state->pos0_offset = vc4_state->dlist_count;
-+              vc4_dlist_write(vc4_state,
-+                              VC4_SET_FIELD(state->alpha >> 8, SCALER_POS0_FIXED_ALPHA) |
-+                              VC4_SET_FIELD(vc4_state->crtc_x, SCALER_POS0_START_X) |
-+                              VC4_SET_FIELD(vc4_state->crtc_y, SCALER_POS0_START_Y));
-+
-+              /* Position Word 1: Scaled Image Dimensions. */
-+              if (!vc4_state->is_unity) {
-+                      vc4_dlist_write(vc4_state,
-+                                      VC4_SET_FIELD(vc4_state->crtc_w,
-+                                                    SCALER_POS1_SCL_WIDTH) |
-+                                      VC4_SET_FIELD(vc4_state->crtc_h,
-+                                                    SCALER_POS1_SCL_HEIGHT));
-+              }
-+
-+              /* Position Word 2: Source Image Size, Alpha */
-+              vc4_state->pos2_offset = vc4_state->dlist_count;
-+              vc4_dlist_write(vc4_state,
-+                              VC4_SET_FIELD(fb->format->has_alpha ?
-+                                            SCALER_POS2_ALPHA_MODE_PIPELINE :
-+                                            SCALER_POS2_ALPHA_MODE_FIXED,
-+                                            SCALER_POS2_ALPHA_MODE) |
-+                              (mix_plane_alpha ? SCALER_POS2_ALPHA_MIX : 0) |
-+                              (fb->format->has_alpha ?
-+                                              SCALER_POS2_ALPHA_PREMULT : 0) |
-+                              VC4_SET_FIELD(vc4_state->src_w[0],
-+                                            SCALER_POS2_WIDTH) |
-+                              VC4_SET_FIELD(vc4_state->src_h[0],
-+                                            SCALER_POS2_HEIGHT));
--      /* Position Word 3: Context.  Written by the HVS. */
--      vc4_dlist_write(vc4_state, 0xc0c0c0c0);
-+              /* Position Word 3: Context.  Written by the HVS. */
-+              vc4_dlist_write(vc4_state, 0xc0c0c0c0);
-+
-+      } else {
-+              u32 hvs_pixel_order = format->pixel_order;
-+
-+              if (format->pixel_order_hvs5)
-+                      hvs_pixel_order = format->pixel_order_hvs5;
-+
-+              /* Control word */
-+              vc4_dlist_write(vc4_state,
-+                              SCALER_CTL0_VALID |
-+                              (hvs_pixel_order << SCALER_CTL0_ORDER_SHIFT) |
-+                              (hvs_format << SCALER_CTL0_PIXEL_FORMAT_SHIFT) |
-+                              VC4_SET_FIELD(tiling, SCALER_CTL0_TILING) |
-+                              (vc4_state->is_unity ?
-+                                              SCALER5_CTL0_UNITY : 0) |
-+                              VC4_SET_FIELD(scl0, SCALER_CTL0_SCL0) |
-+                              VC4_SET_FIELD(scl1, SCALER_CTL0_SCL1) |
-+                              SCALER5_CTL0_ALPHA_EXPAND |
-+                              SCALER5_CTL0_RGB_EXPAND);
-+
-+              /* Position Word 0: Image Positions and Alpha Value */
-+              vc4_state->pos0_offset = vc4_state->dlist_count;
-+              vc4_dlist_write(vc4_state,
-+                              (rotation & DRM_MODE_REFLECT_Y ?
-+                                              SCALER5_POS0_VFLIP : 0) |
-+                              VC4_SET_FIELD(vc4_state->crtc_x,
-+                                            SCALER_POS0_START_X) |
-+                              (rotation & DRM_MODE_REFLECT_X ?
-+                                            SCALER5_POS0_HFLIP : 0) |
-+                              VC4_SET_FIELD(vc4_state->crtc_y,
-+                                            SCALER5_POS0_START_Y)
-+                             );
-+
-+              /* Control Word 2 */
-+              vc4_dlist_write(vc4_state,
-+                              VC4_SET_FIELD(state->alpha >> 4,
-+                                            SCALER5_CTL2_ALPHA) |
-+                              fb->format->has_alpha ?
-+                                      SCALER5_CTL2_ALPHA_PREMULT : 0 |
-+                              (mix_plane_alpha ?
-+                                      SCALER5_CTL2_ALPHA_MIX : 0) |
-+                              VC4_SET_FIELD(fb->format->has_alpha ?
-+                                    SCALER5_CTL2_ALPHA_MODE_PIPELINE :
-+                                    SCALER5_CTL2_ALPHA_MODE_FIXED,
-+                                    SCALER5_CTL2_ALPHA_MODE)
-+                             );
-+
-+              /* Position Word 1: Scaled Image Dimensions. */
-+              if (!vc4_state->is_unity) {
-+                      vc4_dlist_write(vc4_state,
-+                                      VC4_SET_FIELD(vc4_state->crtc_w,
-+                                                    SCALER_POS1_SCL_WIDTH) |
-+                                      VC4_SET_FIELD(vc4_state->crtc_h,
-+                                                    SCALER_POS1_SCL_HEIGHT));
-+              }
-+
-+              /* Position Word 2: Source Image Size */
-+              vc4_state->pos2_offset = vc4_state->dlist_count;
-+              vc4_dlist_write(vc4_state,
-+                              VC4_SET_FIELD(vc4_state->src_w[0],
-+                                            SCALER5_POS2_WIDTH) |
-+                              VC4_SET_FIELD(vc4_state->src_h[0],
-+                                            SCALER5_POS2_HEIGHT));
-+
-+              /* Position Word 3: Context.  Written by the HVS. */
-+              vc4_dlist_write(vc4_state, 0xc0c0c0c0);
-+      }
-       /* Pointer Word 0/1/2: RGB / Y / Cb / Cr Pointers
-@@ -1276,6 +1362,10 @@ static bool vc4_format_mod_supported(str
-               default:
-                       return false;
-               }
-+      case DRM_FORMAT_RGBX1010102:
-+      case DRM_FORMAT_BGRX1010102:
-+      case DRM_FORMAT_RGBA1010102:
-+      case DRM_FORMAT_BGRA1010102:
-       case DRM_FORMAT_YUV422:
-       case DRM_FORMAT_YVU422:
-       case DRM_FORMAT_YUV420:
---- a/drivers/gpu/drm/vc4/vc4_regs.h
-+++ b/drivers/gpu/drm/vc4/vc4_regs.h
-@@ -328,6 +328,20 @@
- # define SCALER_DISPCTRLX_HEIGHT_MASK         VC4_MASK(11, 0)
- # define SCALER_DISPCTRLX_HEIGHT_SHIFT                0
-+# define SCALER5_DISPCTRLX_WIDTH_MASK         VC4_MASK(28, 16)
-+# define SCALER5_DISPCTRLX_WIDTH_SHIFT                16
-+/* Generates a single frame when VSTART is seen and stops at the last
-+ * pixel read from the FIFO.
-+ */
-+# define SCALER5_DISPCTRLX_ONESHOT            BIT(15)
-+/* Processes a single context in the dlist and then task switch,
-+ * instead of an entire line.
-+ */
-+# define SCALER5_DISPCTRLX_ONECTX_MASK                VC4_MASK(14, 13)
-+# define SCALER5_DISPCTRLX_ONECTX_SHIFT               13
-+# define SCALER5_DISPCTRLX_HEIGHT_MASK                VC4_MASK(12, 0)
-+# define SCALER5_DISPCTRLX_HEIGHT_SHIFT               0
-+
- #define SCALER_DISPBKGND0                       0x00000044
- # define SCALER_DISPBKGND_AUTOHS              BIT(31)
- # define SCALER_DISPBKGND_INTERLACE           BIT(30)
-@@ -461,6 +475,8 @@
- #define SCALER_DLIST_START                      0x00002000
- #define SCALER_DLIST_SIZE                       0x00004000
-+#define SCALER5_DLIST_START                   0x00004000
-+
- #define VC4_HDMI_CORE_REV                     0x000
- #define VC4_HDMI_SW_RESET_CONTROL             0x004
-@@ -826,6 +842,8 @@ enum hvs_pixel_format {
-       HVS_PIXEL_FORMAT_PALETTE = 13,
-       HVS_PIXEL_FORMAT_YUV444_RGB = 14,
-       HVS_PIXEL_FORMAT_AYUV444_RGB = 15,
-+      HVS_PIXEL_FORMAT_RGBA1010102 = 16,
-+      HVS_PIXEL_FORMAT_YCBCR_10BIT = 17,
- };
- /* Note: the LSB is the rightmost character shown.  Only valid for
-@@ -880,6 +898,10 @@ enum hvs_pixel_format {
- #define SCALER_CTL0_RGBA_EXPAND_MSB           2
- #define SCALER_CTL0_RGBA_EXPAND_ROUND         3
-+#define SCALER5_CTL0_ALPHA_EXPAND             BIT(12)
-+
-+#define SCALER5_CTL0_RGB_EXPAND                       BIT(11)
-+
- #define SCALER_CTL0_SCL1_MASK                 VC4_MASK(10, 8)
- #define SCALER_CTL0_SCL1_SHIFT                        8
-@@ -897,10 +919,13 @@ enum hvs_pixel_format {
- /* Set to indicate no scaling. */
- #define SCALER_CTL0_UNITY                     BIT(4)
-+#define SCALER5_CTL0_UNITY                    BIT(15)
- #define SCALER_CTL0_PIXEL_FORMAT_MASK         VC4_MASK(3, 0)
- #define SCALER_CTL0_PIXEL_FORMAT_SHIFT                0
-+#define SCALER5_CTL0_PIXEL_FORMAT_MASK                VC4_MASK(4, 0)
-+
- #define SCALER_POS0_FIXED_ALPHA_MASK          VC4_MASK(31, 24)
- #define SCALER_POS0_FIXED_ALPHA_SHIFT         24
-@@ -910,12 +935,48 @@ enum hvs_pixel_format {
- #define SCALER_POS0_START_X_MASK              VC4_MASK(11, 0)
- #define SCALER_POS0_START_X_SHIFT             0
-+#define SCALER5_POS0_START_Y_MASK             VC4_MASK(27, 16)
-+#define SCALER5_POS0_START_Y_SHIFT            16
-+
-+#define SCALER5_POS0_START_X_MASK             VC4_MASK(13, 0)
-+#define SCALER5_POS0_START_X_SHIFT            0
-+
-+#define SCALER5_POS0_VFLIP                    BIT(31)
-+#define SCALER5_POS0_HFLIP                    BIT(15)
-+
-+#define SCALER5_CTL2_ALPHA_MODE_MASK          VC4_MASK(31, 30)
-+#define SCALER5_CTL2_ALPHA_MODE_SHIFT         30
-+#define SCALER5_CTL2_ALPHA_MODE_PIPELINE              0
-+#define SCALER5_CTL2_ALPHA_MODE_FIXED         1
-+#define SCALER5_CTL2_ALPHA_MODE_FIXED_NONZERO 2
-+#define SCALER5_CTL2_ALPHA_MODE_FIXED_OVER_0x07       3
-+
-+#define SCALER5_CTL2_ALPHA_PREMULT            BIT(29)
-+
-+#define SCALER5_CTL2_ALPHA_MIX                        BIT(28)
-+
-+#define SCALER5_CTL2_ALPHA_LOC                        BIT(25)
-+
-+#define SCALER5_CTL2_MAP_SEL_MASK             VC4_MASK(18, 17)
-+#define SCALER5_CTL2_MAP_SEL_SHIFT            17
-+
-+#define SCALER5_CTL2_GAMMA                    BIT(16)
-+
-+#define SCALER5_CTL2_ALPHA_MASK                       VC4_MASK(15, 4)
-+#define SCALER5_CTL2_ALPHA_SHIFT              4
-+
- #define SCALER_POS1_SCL_HEIGHT_MASK           VC4_MASK(27, 16)
- #define SCALER_POS1_SCL_HEIGHT_SHIFT          16
- #define SCALER_POS1_SCL_WIDTH_MASK            VC4_MASK(11, 0)
- #define SCALER_POS1_SCL_WIDTH_SHIFT           0
-+#define SCALER5_POS1_SCL_HEIGHT_MASK          VC4_MASK(28, 16)
-+#define SCALER5_POS1_SCL_HEIGHT_SHIFT         16
-+
-+#define SCALER5_POS1_SCL_WIDTH_MASK           VC4_MASK(12, 0)
-+#define SCALER5_POS1_SCL_WIDTH_SHIFT          0
-+
- #define SCALER_POS2_ALPHA_MODE_MASK           VC4_MASK(31, 30)
- #define SCALER_POS2_ALPHA_MODE_SHIFT          30
- #define SCALER_POS2_ALPHA_MODE_PIPELINE               0
-@@ -931,6 +992,12 @@ enum hvs_pixel_format {
- #define SCALER_POS2_WIDTH_MASK                        VC4_MASK(11, 0)
- #define SCALER_POS2_WIDTH_SHIFT                       0
-+#define SCALER5_POS2_HEIGHT_MASK              VC4_MASK(28, 16)
-+#define SCALER5_POS2_HEIGHT_SHIFT             16
-+
-+#define SCALER5_POS2_WIDTH_MASK                       VC4_MASK(12, 0)
-+#define SCALER5_POS2_WIDTH_SHIFT              0
-+
- /* Color Space Conversion words.  Some values are S2.8 signed
-  * integers, except that the 2 integer bits map as {0x0: 0, 0x1: 1,
-  * 0x2: 2, 0x3: -1}
diff --git a/target/linux/bcm27xx/patches-5.4/950-0545-drm-vc4-plane-Create-more-planes.patch b/target/linux/bcm27xx/patches-5.4/950-0545-drm-vc4-plane-Create-more-planes.patch
new file mode 100644 (file)
index 0000000..931e2bd
--- /dev/null
@@ -0,0 +1,32 @@
+From b79a33509a3aa863cdf54d24e1a4a0cc2c6fe84c Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 6 Feb 2020 14:52:42 +0100
+Subject: [PATCH] drm/vc4: plane: Create more planes
+
+Let's now create more planes that can be affected to all the CRTCs.
+
+vc4 has 3 CRTCs, 1 primary and 1 cursor each, and was having 24 (8
+planes per CRTC) overlays.
+
+However, vc5 has 5 CRTCs, so keeping the same logic would put us at 50
+planes which is well above the 32 planes limit imposed by DRM.
+
+Using 16 seems like a good tradeoff between staying under 32 and yet
+providing enough planes.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_plane.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_plane.c
++++ b/drivers/gpu/drm/vc4/vc4_plane.c
+@@ -1463,7 +1463,7 @@ int vc4_plane_create_additional_planes(s
+        * modest number of planes to expose, that should hopefully
+        * still cover any sane usecase.
+        */
+-      for (i = 0; i < 8; i++) {
++      for (i = 0; i < 16; i++) {
+               struct drm_plane *plane =
+                       vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0546-drm-vc4-crtc-Rename-SoC-data-structures.patch b/target/linux/bcm27xx/patches-5.4/950-0546-drm-vc4-crtc-Rename-SoC-data-structures.patch
new file mode 100644 (file)
index 0000000..8b8eeea
--- /dev/null
@@ -0,0 +1,56 @@
+From f071c70678b875d2e5411ead123015381647e9f9 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 26 Dec 2019 11:45:04 +0100
+Subject: [PATCH] drm/vc4: crtc: Rename SoC data structures
+
+Since we're going to introduce pixelvalve data structures for other SoCs
+than the BCM2835, let's rename the structures defined in the code to
+make it obvious which SoC we're targetting.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 12 ++++++------
+ 1 file changed, 6 insertions(+), 6 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -1056,7 +1056,7 @@ static const struct drm_crtc_helper_func
+       .atomic_disable = vc4_crtc_atomic_disable,
+ };
+-static const struct vc4_crtc_data pv0_data = {
++static const struct vc4_crtc_data bcm2835_pv0_data = {
+       .hvs_channel = 0,
+       .debugfs_name = "crtc0_regs",
+       .encoder_types = {
+@@ -1065,7 +1065,7 @@ static const struct vc4_crtc_data pv0_da
+       },
+ };
+-static const struct vc4_crtc_data pv1_data = {
++static const struct vc4_crtc_data bcm2835_pv1_data = {
+       .hvs_channel = 2,
+       .debugfs_name = "crtc1_regs",
+       .encoder_types = {
+@@ -1074,7 +1074,7 @@ static const struct vc4_crtc_data pv1_da
+       },
+ };
+-static const struct vc4_crtc_data pv2_data = {
++static const struct vc4_crtc_data bcm2835_pv2_data = {
+       .hvs_channel = 1,
+       .debugfs_name = "crtc2_regs",
+       .encoder_types = {
+@@ -1084,9 +1084,9 @@ static const struct vc4_crtc_data pv2_da
+ };
+ static const struct of_device_id vc4_crtc_dt_match[] = {
+-      { .compatible = "brcm,bcm2835-pixelvalve0", .data = &pv0_data },
+-      { .compatible = "brcm,bcm2835-pixelvalve1", .data = &pv1_data },
+-      { .compatible = "brcm,bcm2835-pixelvalve2", .data = &pv2_data },
++      { .compatible = "brcm,bcm2835-pixelvalve0", .data = &bcm2835_pv0_data },
++      { .compatible = "brcm,bcm2835-pixelvalve1", .data = &bcm2835_pv1_data },
++      { .compatible = "brcm,bcm2835-pixelvalve2", .data = &bcm2835_pv2_data },
+       {}
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0546-drm-vc4-plane-Improve-LBM-usage.patch b/target/linux/bcm27xx/patches-5.4/950-0546-drm-vc4-plane-Improve-LBM-usage.patch
deleted file mode 100644 (file)
index 5342e0a..0000000
+++ /dev/null
@@ -1,98 +0,0 @@
-From 81072e19a85bfa3f80c23acdff6156522d945efa Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Tue, 11 Feb 2020 16:55:02 +0000
-Subject: [PATCH] drm/vc4: plane: Improve LBM usage
-
-LBM allocations were always taking the worst case sizing of
-max(src_width, dst_width) * 16. This is significantly over
-the required sizing, and stops us rendering multiple 4k images
-to the screen.
-
-Add some of the additional constraints to more accurately
-describe the LBM requirements.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_plane.c | 31 ++++++++++++++++++++-----------
- 1 file changed, 20 insertions(+), 11 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_plane.c
-+++ b/drivers/gpu/drm/vc4/vc4_plane.c
-@@ -142,9 +142,10 @@ static const struct hvs_format *vc4_get_
-       return NULL;
- }
--static enum vc4_scaling_mode vc4_get_scaling_mode(u32 src, u32 dst)
-+static enum vc4_scaling_mode vc4_get_scaling_mode(u32 src, u32 dst,
-+                                                bool chroma_vrep)
- {
--      if (dst == src)
-+      if (dst == src && !chroma_vrep)
-               return VC4_SCALING_NONE;
-       if (3 * dst >= 2 * src)
-               return VC4_SCALING_PPF;
-@@ -377,9 +378,11 @@ static int vc4_plane_setup_clipping_and_
-               return ret;
-       vc4_state->x_scaling[0] = vc4_get_scaling_mode(vc4_state->src_w[0],
--                                                     vc4_state->crtc_w);
-+                                                     vc4_state->crtc_w,
-+                                                     false);
-       vc4_state->y_scaling[0] = vc4_get_scaling_mode(vc4_state->src_h[0],
--                                                     vc4_state->crtc_h);
-+                                                     vc4_state->crtc_h,
-+                                                     false);
-       vc4_state->is_unity = (vc4_state->x_scaling[0] == VC4_SCALING_NONE &&
-                              vc4_state->y_scaling[0] == VC4_SCALING_NONE);
-@@ -392,10 +395,12 @@ static int vc4_plane_setup_clipping_and_
-               vc4_state->x_scaling[1] =
-                       vc4_get_scaling_mode(vc4_state->src_w[1],
--                                           vc4_state->crtc_w);
-+                                           vc4_state->crtc_w,
-+                                           v_subsample == 2);
-               vc4_state->y_scaling[1] =
-                       vc4_get_scaling_mode(vc4_state->src_h[1],
--                                           vc4_state->crtc_h);
-+                                           vc4_state->crtc_h,
-+                                           v_subsample == 2);
-               /* YUV conversion requires that horizontal scaling be enabled
-                * on the UV plane even if vc4_get_scaling_mode() returned
-@@ -445,10 +450,7 @@ static void vc4_write_ppf(struct vc4_pla
- static u32 vc4_lbm_size(struct drm_plane_state *state)
- {
-       struct vc4_plane_state *vc4_state = to_vc4_plane_state(state);
--      /* This is the worst case number.  One of the two sizes will
--       * be used depending on the scaling configuration.
--       */
--      u32 pix_per_line = max(vc4_state->src_w[0], (u32)vc4_state->crtc_w);
-+      u32 pix_per_line;
-       u32 lbm;
-       /* LBM is not needed when there's no vertical scaling. */
-@@ -456,6 +458,11 @@ static u32 vc4_lbm_size(struct drm_plane
-           vc4_state->y_scaling[1] == VC4_SCALING_NONE)
-               return 0;
-+      if (vc4_state->x_scaling[0] == VC4_SCALING_TPZ)
-+              pix_per_line = vc4_state->crtc_w;
-+      else
-+              pix_per_line = vc4_state->src_w[0];
-+
-       if (!vc4_state->is_yuv) {
-               if (vc4_state->y_scaling[0] == VC4_SCALING_TPZ)
-                       lbm = pix_per_line * 8;
-@@ -591,7 +598,9 @@ static int vc4_plane_allocate_lbm(struct
-               spin_lock_irqsave(&vc4->hvs->mm_lock, irqflags);
-               ret = drm_mm_insert_node_generic(&vc4->hvs->lbm_mm,
-                                                &vc4_state->lbm,
--                                               lbm_size, 32, 0, 0);
-+                                               lbm_size,
-+                                               vc4->hvs->hvs5 ? 64 : 32,
-+                                               0, 0);
-               spin_unlock_irqrestore(&vc4->hvs->mm_lock, irqflags);
-               if (ret)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0547-drm-vc4-crtc-Move-crtc-state-to-common-header.patch b/target/linux/bcm27xx/patches-5.4/950-0547-drm-vc4-crtc-Move-crtc-state-to-common-header.patch
new file mode 100644 (file)
index 0000000..6108f86
--- /dev/null
@@ -0,0 +1,74 @@
+From 05293c3b61cdeb0004722cc86e03123183557de1 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 26 Dec 2019 15:45:04 +0100
+Subject: [PATCH] drm/vc4: crtc: Move crtc state to common header
+
+We'll need to access the crtc_state from outside of vc4_crtc.c, so let's
+move it to vc4_drv.h
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 21 ---------------------
+ drivers/gpu/drm/vc4/vc4_drv.h  | 21 +++++++++++++++++++++
+ 2 files changed, 21 insertions(+), 21 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -44,27 +44,6 @@
+ #include "vc4_drv.h"
+ #include "vc4_regs.h"
+-struct vc4_crtc_state {
+-      struct drm_crtc_state base;
+-      /* Dlist area for this CRTC configuration. */
+-      struct drm_mm_node mm;
+-      bool feed_txp;
+-      bool txp_armed;
+-
+-      struct {
+-              unsigned int left;
+-              unsigned int right;
+-              unsigned int top;
+-              unsigned int bottom;
+-      } margins;
+-};
+-
+-static inline struct vc4_crtc_state *
+-to_vc4_crtc_state(struct drm_crtc_state *crtc_state)
+-{
+-      return (struct vc4_crtc_state *)crtc_state;
+-}
+-
+ #define CRTC_WRITE(offset, val) writel(val, vc4_crtc->regs + (offset))
+ #define CRTC_READ(offset) readl(vc4_crtc->regs + (offset))
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -488,6 +488,27 @@ to_vc4_crtc(struct drm_crtc *crtc)
+       return (struct vc4_crtc *)crtc;
+ }
++struct vc4_crtc_state {
++      struct drm_crtc_state base;
++      /* Dlist area for this CRTC configuration. */
++      struct drm_mm_node mm;
++      bool feed_txp;
++      bool txp_armed;
++
++      struct {
++              unsigned int left;
++              unsigned int right;
++              unsigned int top;
++              unsigned int bottom;
++      } margins;
++};
++
++static inline struct vc4_crtc_state *
++to_vc4_crtc_state(struct drm_crtc_state *crtc_state)
++{
++      return (struct vc4_crtc_state *)crtc_state;
++}
++
+ #define V3D_READ(offset) readl(vc4->v3d->regs + offset)
+ #define V3D_WRITE(offset, val) writel(val, vc4->v3d->regs + offset)
+ #define HVS_READ(offset) readl(vc4->hvs->regs + offset)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0547-drm-vc4-plane-Move-planes-creation-to-its-own-functi.patch b/target/linux/bcm27xx/patches-5.4/950-0547-drm-vc4-plane-Move-planes-creation-to-its-own-functi.patch
deleted file mode 100644 (file)
index 025efee..0000000
+++ /dev/null
@@ -1,125 +0,0 @@
-From ac2c812856c3a496354b9f19d0a43458e108844d Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 6 Feb 2020 14:32:57 +0100
-Subject: [PATCH] drm/vc4: plane: Move planes creation to its own
- function
-
-The planes so far were created as part of the CRTC binding code with
-each planes created associated only to one CRTC. However, the hardware
-in the vc4 doesn't really have such constraint and can be used with any
-CRTC.
-
-In order to rework this, let's first move the overlay and cursor planes
-creation to a function of its own.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c  | 33 ++++------------------------
- drivers/gpu/drm/vc4/vc4_drv.h   |  2 ++
- drivers/gpu/drm/vc4/vc4_plane.c | 38 +++++++++++++++++++++++++++++++++
- 3 files changed, 44 insertions(+), 29 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -1142,7 +1142,7 @@ static int vc4_crtc_bind(struct device *
-       struct drm_device *drm = dev_get_drvdata(master);
-       struct vc4_crtc *vc4_crtc;
-       struct drm_crtc *crtc;
--      struct drm_plane *primary_plane, *cursor_plane, *destroy_plane, *temp;
-+      struct drm_plane *primary_plane, *destroy_plane, *temp;
-       const struct of_device_id *match;
-       int ret, i;
-@@ -1190,34 +1190,9 @@ static int vc4_crtc_bind(struct device *
-        */
-       drm_crtc_enable_color_mgmt(crtc, 0, true, crtc->gamma_size);
--      /* Set up some arbitrary number of planes.  We're not limited
--       * by a set number of physical registers, just the space in
--       * the HVS (16k) and how small an plane can be (28 bytes).
--       * However, each plane we set up takes up some memory, and
--       * increases the cost of looping over planes, which atomic
--       * modesetting does quite a bit.  As a result, we pick a
--       * modest number of planes to expose, that should hopefully
--       * still cover any sane usecase.
--       */
--      for (i = 0; i < 8; i++) {
--              struct drm_plane *plane =
--                      vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
--
--              if (IS_ERR(plane))
--                      continue;
--
--              plane->possible_crtcs = drm_crtc_mask(crtc);
--      }
--
--      /* Set up the legacy cursor after overlay initialization,
--       * since we overlay planes on the CRTC in the order they were
--       * initialized.
--       */
--      cursor_plane = vc4_plane_init(drm, DRM_PLANE_TYPE_CURSOR);
--      if (!IS_ERR(cursor_plane)) {
--              cursor_plane->possible_crtcs = drm_crtc_mask(crtc);
--              crtc->cursor = cursor_plane;
--      }
-+      ret = vc4_plane_create_additional_planes(drm, crtc);
-+      if (ret)
-+              goto err_destroy_planes;
-       vc4_crtc_get_cob_allocation(vc4_crtc);
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -855,6 +855,8 @@ int vc4_kms_load(struct drm_device *dev)
- /* vc4_plane.c */
- struct drm_plane *vc4_plane_init(struct drm_device *dev,
-                                enum drm_plane_type type);
-+int vc4_plane_create_additional_planes(struct drm_device *dev,
-+                                     struct drm_crtc *crtc);
- u32 vc4_plane_write_dlist(struct drm_plane *plane, u32 __iomem *dlist);
- u32 vc4_plane_dlist_size(const struct drm_plane_state *state);
- void vc4_plane_async_set_fb(struct drm_plane *plane,
---- a/drivers/gpu/drm/vc4/vc4_plane.c
-+++ b/drivers/gpu/drm/vc4/vc4_plane.c
-@@ -1447,3 +1447,41 @@ struct drm_plane *vc4_plane_init(struct
-       return plane;
- }
-+
-+int vc4_plane_create_additional_planes(struct drm_device *drm,
-+                                     struct drm_crtc *crtc)
-+{
-+      struct drm_plane *cursor_plane;
-+      unsigned int i;
-+
-+      /* Set up some arbitrary number of planes.  We're not limited
-+       * by a set number of physical registers, just the space in
-+       * the HVS (16k) and how small an plane can be (28 bytes).
-+       * However, each plane we set up takes up some memory, and
-+       * increases the cost of looping over planes, which atomic
-+       * modesetting does quite a bit.  As a result, we pick a
-+       * modest number of planes to expose, that should hopefully
-+       * still cover any sane usecase.
-+       */
-+      for (i = 0; i < 8; i++) {
-+              struct drm_plane *plane =
-+                      vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
-+
-+              if (IS_ERR(plane))
-+                      continue;
-+
-+              plane->possible_crtcs = drm_crtc_mask(crtc);
-+      }
-+
-+      /* Set up the legacy cursor after overlay initialization,
-+       * since we overlay planes on the CRTC in the order they were
-+       * initialized.
-+       */
-+      cursor_plane = vc4_plane_init(drm, DRM_PLANE_TYPE_CURSOR);
-+      if (!IS_ERR(cursor_plane)) {
-+              cursor_plane->possible_crtcs = drm_crtc_mask(crtc);
-+              crtc->cursor = cursor_plane;
-+      }
-+
-+      return 0;
-+}
diff --git a/target/linux/bcm27xx/patches-5.4/950-0548-drm-vc4-crtc-Deal-with-different-number-of-pixel-per.patch b/target/linux/bcm27xx/patches-5.4/950-0548-drm-vc4-crtc-Deal-with-different-number-of-pixel-per.patch
new file mode 100644 (file)
index 0000000..5949e61
--- /dev/null
@@ -0,0 +1,86 @@
+From b8714036be64c86a274ea49ba0066af0a81c6b98 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 26 Dec 2019 11:36:50 +0100
+Subject: [PATCH] drm/vc4: crtc: Deal with different number of pixel
+ per clock
+
+Some of the HDMI pixelvalves in vc5 output two pixels per clock cycle.
+Let's put the number of pixel output per clock cycle in the CRTC data and
+update the various calculations to reflect that.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 17 ++++++++++-------
+ drivers/gpu/drm/vc4/vc4_drv.h  |  3 +++
+ 2 files changed, 13 insertions(+), 7 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -281,6 +281,7 @@ static void vc4_crtc_config_pv(struct dr
+       bool is_dsi = (vc4_encoder->type == VC4_ENCODER_TYPE_DSI0 ||
+                      vc4_encoder->type == VC4_ENCODER_TYPE_DSI1);
+       u32 format = is_dsi ? PV_CONTROL_FORMAT_DSIV_24 : PV_CONTROL_FORMAT_24;
++      u8 ppc = vc4_crtc->data->pixels_per_clock;
+       /* Reset the PV fifo. */
+       CRTC_WRITE(PV_CONTROL, 0);
+@@ -288,17 +289,16 @@ static void vc4_crtc_config_pv(struct dr
+       CRTC_WRITE(PV_CONTROL, 0);
+       CRTC_WRITE(PV_HORZA,
+-                 VC4_SET_FIELD((mode->htotal -
+-                                mode->hsync_end) * pixel_rep,
++                 VC4_SET_FIELD((mode->htotal - mode->hsync_end) * pixel_rep / ppc,
+                                PV_HORZA_HBP) |
+-                 VC4_SET_FIELD((mode->hsync_end -
+-                                mode->hsync_start) * pixel_rep,
++                 VC4_SET_FIELD((mode->hsync_end - mode->hsync_start) * pixel_rep / ppc,
+                                PV_HORZA_HSYNC));
++
+       CRTC_WRITE(PV_HORZB,
+-                 VC4_SET_FIELD((mode->hsync_start -
+-                                mode->hdisplay) * pixel_rep,
++                 VC4_SET_FIELD((mode->hsync_start - mode->hdisplay) * pixel_rep / ppc,
+                                PV_HORZB_HFP) |
+-                 VC4_SET_FIELD(mode->hdisplay * pixel_rep, PV_HORZB_HACTIVE));
++                 VC4_SET_FIELD(mode->hdisplay * pixel_rep / ppc,
++                               PV_HORZB_HACTIVE));
+       CRTC_WRITE(PV_VERTA,
+                  VC4_SET_FIELD(mode->crtc_vtotal - mode->crtc_vsync_end,
+@@ -1038,6 +1038,7 @@ static const struct drm_crtc_helper_func
+ static const struct vc4_crtc_data bcm2835_pv0_data = {
+       .hvs_channel = 0,
+       .debugfs_name = "crtc0_regs",
++      .pixels_per_clock = 1,
+       .encoder_types = {
+               [PV_CONTROL_CLK_SELECT_DSI] = VC4_ENCODER_TYPE_DSI0,
+               [PV_CONTROL_CLK_SELECT_DPI_SMI_HDMI] = VC4_ENCODER_TYPE_DPI,
+@@ -1047,6 +1048,7 @@ static const struct vc4_crtc_data bcm283
+ static const struct vc4_crtc_data bcm2835_pv1_data = {
+       .hvs_channel = 2,
+       .debugfs_name = "crtc1_regs",
++      .pixels_per_clock = 1,
+       .encoder_types = {
+               [PV_CONTROL_CLK_SELECT_DSI] = VC4_ENCODER_TYPE_DSI1,
+               [PV_CONTROL_CLK_SELECT_DPI_SMI_HDMI] = VC4_ENCODER_TYPE_SMI,
+@@ -1056,6 +1058,7 @@ static const struct vc4_crtc_data bcm283
+ static const struct vc4_crtc_data bcm2835_pv2_data = {
+       .hvs_channel = 1,
+       .debugfs_name = "crtc2_regs",
++      .pixels_per_clock = 1,
+       .encoder_types = {
+               [PV_CONTROL_CLK_SELECT_DPI_SMI_HDMI] = VC4_ENCODER_TYPE_HDMI,
+               [PV_CONTROL_CLK_SELECT_VEC] = VC4_ENCODER_TYPE_VEC,
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -455,6 +455,9 @@ struct vc4_crtc_data {
+       /* Which channel of the HVS this pixelvalve sources from. */
+       int hvs_channel;
++      /* Number of pixels output per clock period */
++      u8 pixels_per_clock;
++
+       enum vc4_encoder_type encoder_types[4];
+       const char *debugfs_name;
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0548-drm-vc4-plane-Move-additional-planes-creation-to-dri.patch b/target/linux/bcm27xx/patches-5.4/950-0548-drm-vc4-plane-Move-additional-planes-creation-to-dri.patch
deleted file mode 100644 (file)
index b2e9f15..0000000
+++ /dev/null
@@ -1,75 +0,0 @@
-From 5331cbb3d9cfb172ed134f08a35740e0a52d1107 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 6 Feb 2020 14:41:41 +0100
-Subject: [PATCH] drm/vc4: plane: Move additional planes creation to
- driver
-
-So far the plane creation was done when each CRTC was bound, and those
-planes were only tied to the CRTC that was registering them.
-
-This causes two main issues:
-  - The planes in the vc4 hardware are actually not tied to any CRTC, but
-    can be used with every combination
-
-  - More importantly, so far, we allocate 10 planes per CRTC, with 3 CRTCs.
-    However, the next generation of hardware will have 5 CRTCs, putting us
-    well above the maximum of 32 planes currently allowed by DRM.
-
-This patch is the first one in a series of patches that will take down both
-of these issues so that we can support the next generation of hardware
-while keeping a good amount of planes.
-
-We start by changing the way the planes are registered to first registering
-the primary planes for each CRTC in the CRTC bind function as we used to,
-but moving the overlay and cursor creation to the main driver bind
-function, after all the CRTCs have been bound.
-
-This will slightly change the ID order of the planes, since the primary
-planes of all CRTCs will be first, and then a pattern of 8 overlays, 1
-cursor plane for each CRTC.
-
-This shouldn't cause any trouble since the ordering between the planes is
-preserved though.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 4 ----
- drivers/gpu/drm/vc4/vc4_drv.c  | 7 +++++++
- 2 files changed, 7 insertions(+), 4 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -1190,10 +1190,6 @@ static int vc4_crtc_bind(struct device *
-        */
-       drm_crtc_enable_color_mgmt(crtc, 0, true, crtc->gamma_size);
--      ret = vc4_plane_create_additional_planes(drm, crtc);
--      if (ret)
--              goto err_destroy_planes;
--
-       vc4_crtc_get_cob_allocation(vc4_crtc);
-       CRTC_WRITE(PV_INTEN, 0);
---- a/drivers/gpu/drm/vc4/vc4_drv.c
-+++ b/drivers/gpu/drm/vc4/vc4_drv.c
-@@ -253,6 +253,7 @@ static int vc4_drm_bind(struct device *d
- {
-       struct platform_device *pdev = to_platform_device(dev);
-       struct drm_device *drm;
-+      struct drm_crtc *crtc;
-       struct vc4_dev *vc4;
-       struct device_node *node;
-       int ret = 0;
-@@ -291,6 +292,12 @@ static int vc4_drm_bind(struct device *d
-       if (ret)
-               goto gem_destroy;
-+      drm_for_each_crtc(crtc, drm) {
-+              ret = vc4_plane_create_additional_planes(drm, crtc);
-+              if (ret)
-+                      continue;
-+      }
-+
-       drm_fb_helper_remove_conflicting_framebuffers(NULL, "vc4drmfb", false);
-       ret = vc4_kms_load(drm);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0549-drm-vc4-crtc-Use-a-shared-interrupt.patch b/target/linux/bcm27xx/patches-5.4/950-0549-drm-vc4-crtc-Use-a-shared-interrupt.patch
new file mode 100644 (file)
index 0000000..b517b54
--- /dev/null
@@ -0,0 +1,26 @@
+From 5451dc04ff87dcf514c422f180ea5e23b7b60151 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 9 Jan 2020 18:40:49 +0100
+Subject: [PATCH] drm/vc4: crtc: Use a shared interrupt
+
+Some pixelvalves in vc5 use the same interrupt line so let's register our
+interrupt handler as a shared one.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 4 +++-
+ 1 file changed, 3 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -1177,7 +1177,9 @@ static int vc4_crtc_bind(struct device *
+       CRTC_WRITE(PV_INTEN, 0);
+       CRTC_WRITE(PV_INTSTAT, PV_INT_VFP_START);
+       ret = devm_request_irq(dev, platform_get_irq(pdev, 0),
+-                             vc4_crtc_irq_handler, 0, "vc4 crtc", vc4_crtc);
++                             vc4_crtc_irq_handler,
++                             IRQF_SHARED,
++                             "vc4 crtc", vc4_crtc);
+       if (ret)
+               goto err_destroy_planes;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0549-drm-vc4-plane-Register-all-the-planes-at-once.patch b/target/linux/bcm27xx/patches-5.4/950-0549-drm-vc4-plane-Register-all-the-planes-at-once.patch
deleted file mode 100644 (file)
index 28277f1..0000000
+++ /dev/null
@@ -1,128 +0,0 @@
-From bb2b068209d73b320cac7222a3b8ecef9b0dcc9a Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 6 Feb 2020 14:46:14 +0100
-Subject: [PATCH] drm/vc4: plane: Register all the planes at once
-
-Instead of creating planes for each CRTC, we eventually want to create all
-the planes for each CRTCs.
-
-In order to make that more convenient, let's iterate on the CRTCs in the
-plane creation function instead of its caller.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_drv.c   |  9 ++----
- drivers/gpu/drm/vc4/vc4_drv.h   |  3 +-
- drivers/gpu/drm/vc4/vc4_plane.c | 54 +++++++++++++++++----------------
- 3 files changed, 32 insertions(+), 34 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_drv.c
-+++ b/drivers/gpu/drm/vc4/vc4_drv.c
-@@ -253,7 +253,6 @@ static int vc4_drm_bind(struct device *d
- {
-       struct platform_device *pdev = to_platform_device(dev);
-       struct drm_device *drm;
--      struct drm_crtc *crtc;
-       struct vc4_dev *vc4;
-       struct device_node *node;
-       int ret = 0;
-@@ -292,11 +291,9 @@ static int vc4_drm_bind(struct device *d
-       if (ret)
-               goto gem_destroy;
--      drm_for_each_crtc(crtc, drm) {
--              ret = vc4_plane_create_additional_planes(drm, crtc);
--              if (ret)
--                      continue;
--      }
-+      ret = vc4_plane_create_additional_planes(drm);
-+      if (ret)
-+              goto unbind_all;
-       drm_fb_helper_remove_conflicting_framebuffers(NULL, "vc4drmfb", false);
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -855,8 +855,7 @@ int vc4_kms_load(struct drm_device *dev)
- /* vc4_plane.c */
- struct drm_plane *vc4_plane_init(struct drm_device *dev,
-                                enum drm_plane_type type);
--int vc4_plane_create_additional_planes(struct drm_device *dev,
--                                     struct drm_crtc *crtc);
-+int vc4_plane_create_additional_planes(struct drm_device *dev);
- u32 vc4_plane_write_dlist(struct drm_plane *plane, u32 __iomem *dlist);
- u32 vc4_plane_dlist_size(const struct drm_plane_state *state);
- void vc4_plane_async_set_fb(struct drm_plane *plane,
---- a/drivers/gpu/drm/vc4/vc4_plane.c
-+++ b/drivers/gpu/drm/vc4/vc4_plane.c
-@@ -1448,39 +1448,41 @@ struct drm_plane *vc4_plane_init(struct
-       return plane;
- }
--int vc4_plane_create_additional_planes(struct drm_device *drm,
--                                     struct drm_crtc *crtc)
-+int vc4_plane_create_additional_planes(struct drm_device *drm)
- {
-       struct drm_plane *cursor_plane;
-+      struct drm_crtc *crtc;
-       unsigned int i;
--      /* Set up some arbitrary number of planes.  We're not limited
--       * by a set number of physical registers, just the space in
--       * the HVS (16k) and how small an plane can be (28 bytes).
--       * However, each plane we set up takes up some memory, and
--       * increases the cost of looping over planes, which atomic
--       * modesetting does quite a bit.  As a result, we pick a
--       * modest number of planes to expose, that should hopefully
--       * still cover any sane usecase.
--       */
--      for (i = 0; i < 8; i++) {
--              struct drm_plane *plane =
--                      vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
--
--              if (IS_ERR(plane))
--                      continue;
--
--              plane->possible_crtcs = drm_crtc_mask(crtc);
--      }
--
--      /* Set up the legacy cursor after overlay initialization,
--       * since we overlay planes on the CRTC in the order they were
--       * initialized.
--       */
--      cursor_plane = vc4_plane_init(drm, DRM_PLANE_TYPE_CURSOR);
--      if (!IS_ERR(cursor_plane)) {
--              cursor_plane->possible_crtcs = drm_crtc_mask(crtc);
--              crtc->cursor = cursor_plane;
-+      drm_for_each_crtc(crtc, drm) {
-+              /* Set up some arbitrary number of planes.  We're not limited
-+               * by a set number of physical registers, just the space in
-+               * the HVS (16k) and how small an plane can be (28 bytes).
-+               * However, each plane we set up takes up some memory, and
-+               * increases the cost of looping over planes, which atomic
-+               * modesetting does quite a bit.  As a result, we pick a
-+               * modest number of planes to expose, that should hopefully
-+               * still cover any sane usecase.
-+               */
-+              for (i = 0; i < 8; i++) {
-+                      struct drm_plane *plane =
-+                              vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
-+
-+                      if (IS_ERR(plane))
-+                              continue;
-+
-+                      plane->possible_crtcs = drm_crtc_mask(crtc);
-+              }
-+
-+              /* Set up the legacy cursor after overlay initialization,
-+               * since we overlay planes on the CRTC in the order they were
-+               * initialized.
-+               */
-+              cursor_plane = vc4_plane_init(drm, DRM_PLANE_TYPE_CURSOR);
-+              if (!IS_ERR(cursor_plane)) {
-+                      cursor_plane->possible_crtcs = drm_crtc_mask(crtc);
-+                      crtc->cursor = cursor_plane;
-+              }
-       }
-       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0550-drm-vc4-crtc-Turn-static-const-variable-into-a-defin.patch b/target/linux/bcm27xx/patches-5.4/950-0550-drm-vc4-crtc-Turn-static-const-variable-into-a-defin.patch
new file mode 100644 (file)
index 0000000..3deefc3
--- /dev/null
@@ -0,0 +1,50 @@
+From c017882242d671cf81256301a3e9a6fc9eefdc13 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 13 Jan 2020 13:39:32 +0100
+Subject: [PATCH] drm/vc4: crtc: Turn static const variable into a
+ define
+
+The hvs_latency_pix variable doesn't need to be a variable and can just be
+defined.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 8 +++++---
+ 1 file changed, 5 insertions(+), 3 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -44,6 +44,8 @@
+ #include "vc4_drv.h"
+ #include "vc4_regs.h"
++#define HVS_FIFO_LATENCY_PIX  6
++
+ #define CRTC_WRITE(offset, val) writel(val, vc4_crtc->regs + (offset))
+ #define CRTC_READ(offset) readl(vc4_crtc->regs + (offset))
+@@ -227,21 +229,21 @@ vc4_crtc_update_gamma_lut(struct drm_crt
+       vc4_crtc_lut_load(crtc);
+ }
++
+ static u32 vc4_get_fifo_full_level(u32 format)
+ {
+       static const u32 fifo_len_bytes = 64;
+-      static const u32 hvs_latency_pix = 6;
+       switch (format) {
+       case PV_CONTROL_FORMAT_DSIV_16:
+       case PV_CONTROL_FORMAT_DSIC_16:
+-              return fifo_len_bytes - 2 * hvs_latency_pix;
++              return fifo_len_bytes - 2 * HVS_FIFO_LATENCY_PIX;
+       case PV_CONTROL_FORMAT_DSIV_18:
+               return fifo_len_bytes - 14;
+       case PV_CONTROL_FORMAT_24:
+       case PV_CONTROL_FORMAT_DSIV_24:
+       default:
+-              return fifo_len_bytes - 3 * hvs_latency_pix;
++              return fifo_len_bytes - 3 * HVS_FIFO_LATENCY_PIX;
+       }
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0550-drm-vc4-plane-Create-overlays-for-any-CRTC.patch b/target/linux/bcm27xx/patches-5.4/950-0550-drm-vc4-plane-Create-overlays-for-any-CRTC.patch
deleted file mode 100644 (file)
index 7b9ec02..0000000
+++ /dev/null
@@ -1,70 +0,0 @@
-From b65167e0bcce67f2e7b7e813dba536f1cca3ef9f Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 6 Feb 2020 14:50:06 +0100
-Subject: [PATCH] drm/vc4: plane: Create overlays for any CRTC
-
-Now that we have everything in place, we can now register all the overlay
-planes that can be assigned to all the CRTCs.
-
-This has two side effects:
-
-  - The number of overlay planes is reduced from 24 to 8. This is temporary
-    and will be increased again in the next patch.
-
-  - The ID of the various planes is changed again, and we will now have all
-    the primary planes, then all the overlay planes and finally the cursor
-    planes. This shouldn't cause any issue since the ordering between
-    primary, overlay and cursor planes is preserved.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_plane.c | 35 +++++++++++++++++----------------
- 1 file changed, 18 insertions(+), 17 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_plane.c
-+++ b/drivers/gpu/drm/vc4/vc4_plane.c
-@@ -1454,26 +1454,27 @@ int vc4_plane_create_additional_planes(s
-       struct drm_crtc *crtc;
-       unsigned int i;
--      drm_for_each_crtc(crtc, drm) {
--              /* Set up some arbitrary number of planes.  We're not limited
--               * by a set number of physical registers, just the space in
--               * the HVS (16k) and how small an plane can be (28 bytes).
--               * However, each plane we set up takes up some memory, and
--               * increases the cost of looping over planes, which atomic
--               * modesetting does quite a bit.  As a result, we pick a
--               * modest number of planes to expose, that should hopefully
--               * still cover any sane usecase.
--               */
--              for (i = 0; i < 8; i++) {
--                      struct drm_plane *plane =
--                              vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
-+      /* Set up some arbitrary number of planes.  We're not limited
-+       * by a set number of physical registers, just the space in
-+       * the HVS (16k) and how small an plane can be (28 bytes).
-+       * However, each plane we set up takes up some memory, and
-+       * increases the cost of looping over planes, which atomic
-+       * modesetting does quite a bit.  As a result, we pick a
-+       * modest number of planes to expose, that should hopefully
-+       * still cover any sane usecase.
-+       */
-+      for (i = 0; i < 8; i++) {
-+              struct drm_plane *plane =
-+                      vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
--                      if (IS_ERR(plane))
--                              continue;
-+              if (IS_ERR(plane))
-+                      continue;
--                      plane->possible_crtcs = drm_crtc_mask(crtc);
--              }
-+              plane->possible_crtcs =
-+                      GENMASK(drm->mode_config.num_crtc - 1, 0);
-+      }
-+      drm_for_each_crtc(crtc, drm) {
-               /* Set up the legacy cursor after overlay initialization,
-                * since we overlay planes on the CRTC in the order they were
-                * initialized.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0551-drm-vc4-crtc-Move-the-cob-allocation-outside-of-bind.patch b/target/linux/bcm27xx/patches-5.4/950-0551-drm-vc4-crtc-Move-the-cob-allocation-outside-of-bind.patch
new file mode 100644 (file)
index 0000000..83c4913
--- /dev/null
@@ -0,0 +1,110 @@
+From e93fc4ed811c7dcc6b0c93716f760431fc645ba2 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 26 Dec 2019 15:48:09 +0100
+Subject: [PATCH] drm/vc4: crtc: Move the cob allocation outside of
+ bind
+
+The COB allocation depends on the HVS channel used for a given
+pixelvalve.
+
+While the channel allocation was entirely static in vc4, vc5 changes
+that and at bind time, a pixelvalve can be assigned to multiple
+HVS channels.
+
+Let's prepare that rework by allocating the COB when it's actually
+needed.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 39 +++++++++++++++++-----------------
+ drivers/gpu/drm/vc4/vc4_drv.h  |  2 --
+ 2 files changed, 20 insertions(+), 21 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -65,6 +65,23 @@ static const struct debugfs_reg32 crtc_r
+       VC4_REG32(PV_HACT_ACT),
+ };
++static unsigned int
++vc4_crtc_get_cob_allocation(struct vc4_crtc *vc4_crtc, unsigned int channel)
++{
++      struct drm_device *drm = vc4_crtc->base.dev;
++      struct vc4_dev *vc4 = to_vc4_dev(drm);
++
++      u32 dispbase = HVS_READ(SCALER_DISPBASEX(channel));
++      /* Top/base are supposed to be 4-pixel aligned, but the
++       * Raspberry Pi firmware fills the low bits (which are
++       * presumably ignored).
++       */
++      u32 top = VC4_GET_FIELD(dispbase, SCALER_DISPBASEX_TOP) & ~3;
++      u32 base = VC4_GET_FIELD(dispbase, SCALER_DISPBASEX_BASE) & ~3;
++
++      return top - base + 4;
++}
++
+ bool vc4_crtc_get_scanoutpos(struct drm_device *dev, unsigned int crtc_id,
+                            bool in_vblank_irq, int *vpos, int *hpos,
+                            ktime_t *stime, ktime_t *etime,
+@@ -73,6 +90,7 @@ bool vc4_crtc_get_scanoutpos(struct drm_
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
+       struct drm_crtc *crtc = drm_crtc_from_index(dev, crtc_id);
+       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
++      unsigned int cob_size;
+       u32 val;
+       int fifo_lines;
+       int vblank_lines;
+@@ -108,8 +126,9 @@ bool vc4_crtc_get_scanoutpos(struct drm_
+                       *hpos += mode->crtc_htotal / 2;
+       }
++      cob_size = vc4_crtc_get_cob_allocation(vc4_crtc, vc4_crtc->channel);
+       /* This is the offset we need for translating hvs -> pv scanout pos. */
+-      fifo_lines = vc4_crtc->cob_size / mode->crtc_hdisplay;
++      fifo_lines = cob_size / mode->crtc_hdisplay;
+       if (fifo_lines > 0)
+               ret = true;
+@@ -1104,22 +1123,6 @@ static void vc4_set_crtc_possible_masks(
+       }
+ }
+-static void
+-vc4_crtc_get_cob_allocation(struct vc4_crtc *vc4_crtc)
+-{
+-      struct drm_device *drm = vc4_crtc->base.dev;
+-      struct vc4_dev *vc4 = to_vc4_dev(drm);
+-      u32 dispbase = HVS_READ(SCALER_DISPBASEX(vc4_crtc->channel));
+-      /* Top/base are supposed to be 4-pixel aligned, but the
+-       * Raspberry Pi firmware fills the low bits (which are
+-       * presumably ignored).
+-       */
+-      u32 top = VC4_GET_FIELD(dispbase, SCALER_DISPBASEX_TOP) & ~3;
+-      u32 base = VC4_GET_FIELD(dispbase, SCALER_DISPBASEX_BASE) & ~3;
+-
+-      vc4_crtc->cob_size = top - base + 4;
+-}
+-
+ static int vc4_crtc_bind(struct device *dev, struct device *master, void *data)
+ {
+       struct platform_device *pdev = to_platform_device(dev);
+@@ -1174,8 +1177,6 @@ static int vc4_crtc_bind(struct device *
+        */
+       drm_crtc_enable_color_mgmt(crtc, 0, true, crtc->gamma_size);
+-      vc4_crtc_get_cob_allocation(vc4_crtc);
+-
+       CRTC_WRITE(PV_INTEN, 0);
+       CRTC_WRITE(PV_INTSTAT, PV_INT_VFP_START);
+       ret = devm_request_irq(dev, platform_get_irq(pdev, 0),
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -477,8 +477,6 @@ struct vc4_crtc {
+       u8 lut_r[256];
+       u8 lut_g[256];
+       u8 lut_b[256];
+-      /* Size in pixels of the COB memory allocated to this CRTC. */
+-      u32 cob_size;
+       struct drm_pending_vblank_event *event;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0551-drm-vc4-plane-Create-more-planes.patch b/target/linux/bcm27xx/patches-5.4/950-0551-drm-vc4-plane-Create-more-planes.patch
deleted file mode 100644 (file)
index 931e2bd..0000000
+++ /dev/null
@@ -1,32 +0,0 @@
-From b79a33509a3aa863cdf54d24e1a4a0cc2c6fe84c Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 6 Feb 2020 14:52:42 +0100
-Subject: [PATCH] drm/vc4: plane: Create more planes
-
-Let's now create more planes that can be affected to all the CRTCs.
-
-vc4 has 3 CRTCs, 1 primary and 1 cursor each, and was having 24 (8
-planes per CRTC) overlays.
-
-However, vc5 has 5 CRTCs, so keeping the same logic would put us at 50
-planes which is well above the 32 planes limit imposed by DRM.
-
-Using 16 seems like a good tradeoff between staying under 32 and yet
-providing enough planes.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_plane.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_plane.c
-+++ b/drivers/gpu/drm/vc4/vc4_plane.c
-@@ -1463,7 +1463,7 @@ int vc4_plane_create_additional_planes(s
-        * modest number of planes to expose, that should hopefully
-        * still cover any sane usecase.
-        */
--      for (i = 0; i < 8; i++) {
-+      for (i = 0; i < 16; i++) {
-               struct drm_plane *plane =
-                       vc4_plane_init(drm, DRM_PLANE_TYPE_OVERLAY);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0552-drm-vc4-crtc-Rename-HVS-channel-to-output.patch b/target/linux/bcm27xx/patches-5.4/950-0552-drm-vc4-crtc-Rename-HVS-channel-to-output.patch
new file mode 100644 (file)
index 0000000..cf854fc
--- /dev/null
@@ -0,0 +1,80 @@
+From a106e57a643c957af9a71eb2ec3a62df69a1f371 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 26 Dec 2019 13:49:17 +0100
+Subject: [PATCH] drm/vc4: crtc: Rename HVS channel to output
+
+In vc5, the HVS has 6 outputs and 3 FIFOs (or channels), with
+pixelvalves each being assigned to a given output, but each output can
+then be muxed to feed from multiple FIFOs.
+
+Since vc4 had that entirely static, both were probably equivalent, but
+since that changes, let's rename hvs_channel to hvs_output in the
+vc4_crtc_data, since a pixelvalve is really connected to an output, and
+not to a FIFO.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 10 +++++-----
+ drivers/gpu/drm/vc4/vc4_drv.h  |  4 ++--
+ 2 files changed, 7 insertions(+), 7 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -1057,7 +1057,7 @@ static const struct drm_crtc_helper_func
+ };
+ static const struct vc4_crtc_data bcm2835_pv0_data = {
+-      .hvs_channel = 0,
++      .hvs_output = 0,
+       .debugfs_name = "crtc0_regs",
+       .pixels_per_clock = 1,
+       .encoder_types = {
+@@ -1067,7 +1067,7 @@ static const struct vc4_crtc_data bcm283
+ };
+ static const struct vc4_crtc_data bcm2835_pv1_data = {
+-      .hvs_channel = 2,
++      .hvs_output = 2,
+       .debugfs_name = "crtc1_regs",
+       .pixels_per_clock = 1,
+       .encoder_types = {
+@@ -1077,7 +1077,7 @@ static const struct vc4_crtc_data bcm283
+ };
+ static const struct vc4_crtc_data bcm2835_pv2_data = {
+-      .hvs_channel = 1,
++      .hvs_output = 1,
+       .debugfs_name = "crtc2_regs",
+       .pixels_per_clock = 1,
+       .encoder_types = {
+@@ -1106,7 +1106,7 @@ static void vc4_set_crtc_possible_masks(
+               int i;
+               /* HVS FIFO2 can feed the TXP IP. */
+-              if (crtc_data->hvs_channel == 2 &&
++              if (crtc_data->hvs_output == 2 &&
+                   encoder->encoder_type == DRM_MODE_ENCODER_VIRTUAL) {
+                       encoder->possible_crtcs |= drm_crtc_mask(crtc);
+                       continue;
+@@ -1168,7 +1168,7 @@ static int vc4_crtc_bind(struct device *
+       drm_crtc_init_with_planes(drm, crtc, primary_plane, NULL,
+                                 &vc4_crtc_funcs, NULL);
+       drm_crtc_helper_add(crtc, &vc4_crtc_helper_funcs);
+-      vc4_crtc->channel = vc4_crtc->data->hvs_channel;
++      vc4_crtc->channel = vc4_crtc->data->hvs_output;
+       drm_mode_crtc_set_gamma_size(crtc, ARRAY_SIZE(vc4_crtc->lut_r));
+       drm_crtc_enable_color_mgmt(crtc, 0, false, crtc->gamma_size);
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -452,8 +452,8 @@ to_vc4_encoder(struct drm_encoder *encod
+ }
+ struct vc4_crtc_data {
+-      /* Which channel of the HVS this pixelvalve sources from. */
+-      int hvs_channel;
++      /* Which output of the HVS this pixelvalve sources from. */
++      int hvs_output;
+       /* Number of pixels output per clock period */
+       u8 pixels_per_clock;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0552-drm-vc4-crtc-Rename-SoC-data-structures.patch b/target/linux/bcm27xx/patches-5.4/950-0552-drm-vc4-crtc-Rename-SoC-data-structures.patch
deleted file mode 100644 (file)
index 8b8eeea..0000000
+++ /dev/null
@@ -1,56 +0,0 @@
-From f071c70678b875d2e5411ead123015381647e9f9 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 26 Dec 2019 11:45:04 +0100
-Subject: [PATCH] drm/vc4: crtc: Rename SoC data structures
-
-Since we're going to introduce pixelvalve data structures for other SoCs
-than the BCM2835, let's rename the structures defined in the code to
-make it obvious which SoC we're targetting.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 12 ++++++------
- 1 file changed, 6 insertions(+), 6 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -1056,7 +1056,7 @@ static const struct drm_crtc_helper_func
-       .atomic_disable = vc4_crtc_atomic_disable,
- };
--static const struct vc4_crtc_data pv0_data = {
-+static const struct vc4_crtc_data bcm2835_pv0_data = {
-       .hvs_channel = 0,
-       .debugfs_name = "crtc0_regs",
-       .encoder_types = {
-@@ -1065,7 +1065,7 @@ static const struct vc4_crtc_data pv0_da
-       },
- };
--static const struct vc4_crtc_data pv1_data = {
-+static const struct vc4_crtc_data bcm2835_pv1_data = {
-       .hvs_channel = 2,
-       .debugfs_name = "crtc1_regs",
-       .encoder_types = {
-@@ -1074,7 +1074,7 @@ static const struct vc4_crtc_data pv1_da
-       },
- };
--static const struct vc4_crtc_data pv2_data = {
-+static const struct vc4_crtc_data bcm2835_pv2_data = {
-       .hvs_channel = 1,
-       .debugfs_name = "crtc2_regs",
-       .encoder_types = {
-@@ -1084,9 +1084,9 @@ static const struct vc4_crtc_data pv2_da
- };
- static const struct of_device_id vc4_crtc_dt_match[] = {
--      { .compatible = "brcm,bcm2835-pixelvalve0", .data = &pv0_data },
--      { .compatible = "brcm,bcm2835-pixelvalve1", .data = &pv1_data },
--      { .compatible = "brcm,bcm2835-pixelvalve2", .data = &pv2_data },
-+      { .compatible = "brcm,bcm2835-pixelvalve0", .data = &bcm2835_pv0_data },
-+      { .compatible = "brcm,bcm2835-pixelvalve1", .data = &bcm2835_pv1_data },
-+      { .compatible = "brcm,bcm2835-pixelvalve2", .data = &bcm2835_pv2_data },
-       {}
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0553-drm-vc4-crtc-Move-crtc-state-to-common-header.patch b/target/linux/bcm27xx/patches-5.4/950-0553-drm-vc4-crtc-Move-crtc-state-to-common-header.patch
deleted file mode 100644 (file)
index 6108f86..0000000
+++ /dev/null
@@ -1,74 +0,0 @@
-From 05293c3b61cdeb0004722cc86e03123183557de1 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 26 Dec 2019 15:45:04 +0100
-Subject: [PATCH] drm/vc4: crtc: Move crtc state to common header
-
-We'll need to access the crtc_state from outside of vc4_crtc.c, so let's
-move it to vc4_drv.h
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 21 ---------------------
- drivers/gpu/drm/vc4/vc4_drv.h  | 21 +++++++++++++++++++++
- 2 files changed, 21 insertions(+), 21 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -44,27 +44,6 @@
- #include "vc4_drv.h"
- #include "vc4_regs.h"
--struct vc4_crtc_state {
--      struct drm_crtc_state base;
--      /* Dlist area for this CRTC configuration. */
--      struct drm_mm_node mm;
--      bool feed_txp;
--      bool txp_armed;
--
--      struct {
--              unsigned int left;
--              unsigned int right;
--              unsigned int top;
--              unsigned int bottom;
--      } margins;
--};
--
--static inline struct vc4_crtc_state *
--to_vc4_crtc_state(struct drm_crtc_state *crtc_state)
--{
--      return (struct vc4_crtc_state *)crtc_state;
--}
--
- #define CRTC_WRITE(offset, val) writel(val, vc4_crtc->regs + (offset))
- #define CRTC_READ(offset) readl(vc4_crtc->regs + (offset))
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -488,6 +488,27 @@ to_vc4_crtc(struct drm_crtc *crtc)
-       return (struct vc4_crtc *)crtc;
- }
-+struct vc4_crtc_state {
-+      struct drm_crtc_state base;
-+      /* Dlist area for this CRTC configuration. */
-+      struct drm_mm_node mm;
-+      bool feed_txp;
-+      bool txp_armed;
-+
-+      struct {
-+              unsigned int left;
-+              unsigned int right;
-+              unsigned int top;
-+              unsigned int bottom;
-+      } margins;
-+};
-+
-+static inline struct vc4_crtc_state *
-+to_vc4_crtc_state(struct drm_crtc_state *crtc_state)
-+{
-+      return (struct vc4_crtc_state *)crtc_state;
-+}
-+
- #define V3D_READ(offset) readl(vc4->v3d->regs + offset)
- #define V3D_WRITE(offset, val) writel(val, vc4->v3d->regs + offset)
- #define HVS_READ(offset) readl(vc4->hvs->regs + offset)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0553-drm-vc4-crtc-Use-local-chan-variable.patch b/target/linux/bcm27xx/patches-5.4/950-0553-drm-vc4-crtc-Use-local-chan-variable.patch
new file mode 100644 (file)
index 0000000..6f77bac
--- /dev/null
@@ -0,0 +1,24 @@
+From 888e5149bdb810e67996828bb26955a57a482d4c Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 14 Jan 2020 13:37:27 +0100
+Subject: [PATCH] drm/vc4: crtc: Use local chan variable
+
+The vc4_crtc_handle_page_flip already has a local variable holding the
+value of vc4_crtc->channel, so let's use it instead.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -816,7 +816,7 @@ static void vc4_crtc_handle_page_flip(st
+                * underruns. This can be seen when reconfiguring the CRTC.
+                */
+               if (vc4->hvs)
+-                      vc4_hvs_unmask_underrun(dev, vc4_crtc->channel);
++                      vc4_hvs_unmask_underrun(dev, chan);
+       }
+       spin_unlock_irqrestore(&dev->event_lock, flags);
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0554-drm-vc4-crtc-Deal-with-different-number-of-pixel-per.patch b/target/linux/bcm27xx/patches-5.4/950-0554-drm-vc4-crtc-Deal-with-different-number-of-pixel-per.patch
deleted file mode 100644 (file)
index 5949e61..0000000
+++ /dev/null
@@ -1,86 +0,0 @@
-From b8714036be64c86a274ea49ba0066af0a81c6b98 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 26 Dec 2019 11:36:50 +0100
-Subject: [PATCH] drm/vc4: crtc: Deal with different number of pixel
- per clock
-
-Some of the HDMI pixelvalves in vc5 output two pixels per clock cycle.
-Let's put the number of pixel output per clock cycle in the CRTC data and
-update the various calculations to reflect that.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 17 ++++++++++-------
- drivers/gpu/drm/vc4/vc4_drv.h  |  3 +++
- 2 files changed, 13 insertions(+), 7 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -281,6 +281,7 @@ static void vc4_crtc_config_pv(struct dr
-       bool is_dsi = (vc4_encoder->type == VC4_ENCODER_TYPE_DSI0 ||
-                      vc4_encoder->type == VC4_ENCODER_TYPE_DSI1);
-       u32 format = is_dsi ? PV_CONTROL_FORMAT_DSIV_24 : PV_CONTROL_FORMAT_24;
-+      u8 ppc = vc4_crtc->data->pixels_per_clock;
-       /* Reset the PV fifo. */
-       CRTC_WRITE(PV_CONTROL, 0);
-@@ -288,17 +289,16 @@ static void vc4_crtc_config_pv(struct dr
-       CRTC_WRITE(PV_CONTROL, 0);
-       CRTC_WRITE(PV_HORZA,
--                 VC4_SET_FIELD((mode->htotal -
--                                mode->hsync_end) * pixel_rep,
-+                 VC4_SET_FIELD((mode->htotal - mode->hsync_end) * pixel_rep / ppc,
-                                PV_HORZA_HBP) |
--                 VC4_SET_FIELD((mode->hsync_end -
--                                mode->hsync_start) * pixel_rep,
-+                 VC4_SET_FIELD((mode->hsync_end - mode->hsync_start) * pixel_rep / ppc,
-                                PV_HORZA_HSYNC));
-+
-       CRTC_WRITE(PV_HORZB,
--                 VC4_SET_FIELD((mode->hsync_start -
--                                mode->hdisplay) * pixel_rep,
-+                 VC4_SET_FIELD((mode->hsync_start - mode->hdisplay) * pixel_rep / ppc,
-                                PV_HORZB_HFP) |
--                 VC4_SET_FIELD(mode->hdisplay * pixel_rep, PV_HORZB_HACTIVE));
-+                 VC4_SET_FIELD(mode->hdisplay * pixel_rep / ppc,
-+                               PV_HORZB_HACTIVE));
-       CRTC_WRITE(PV_VERTA,
-                  VC4_SET_FIELD(mode->crtc_vtotal - mode->crtc_vsync_end,
-@@ -1038,6 +1038,7 @@ static const struct drm_crtc_helper_func
- static const struct vc4_crtc_data bcm2835_pv0_data = {
-       .hvs_channel = 0,
-       .debugfs_name = "crtc0_regs",
-+      .pixels_per_clock = 1,
-       .encoder_types = {
-               [PV_CONTROL_CLK_SELECT_DSI] = VC4_ENCODER_TYPE_DSI0,
-               [PV_CONTROL_CLK_SELECT_DPI_SMI_HDMI] = VC4_ENCODER_TYPE_DPI,
-@@ -1047,6 +1048,7 @@ static const struct vc4_crtc_data bcm283
- static const struct vc4_crtc_data bcm2835_pv1_data = {
-       .hvs_channel = 2,
-       .debugfs_name = "crtc1_regs",
-+      .pixels_per_clock = 1,
-       .encoder_types = {
-               [PV_CONTROL_CLK_SELECT_DSI] = VC4_ENCODER_TYPE_DSI1,
-               [PV_CONTROL_CLK_SELECT_DPI_SMI_HDMI] = VC4_ENCODER_TYPE_SMI,
-@@ -1056,6 +1058,7 @@ static const struct vc4_crtc_data bcm283
- static const struct vc4_crtc_data bcm2835_pv2_data = {
-       .hvs_channel = 1,
-       .debugfs_name = "crtc2_regs",
-+      .pixels_per_clock = 1,
-       .encoder_types = {
-               [PV_CONTROL_CLK_SELECT_DPI_SMI_HDMI] = VC4_ENCODER_TYPE_HDMI,
-               [PV_CONTROL_CLK_SELECT_VEC] = VC4_ENCODER_TYPE_VEC,
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -455,6 +455,9 @@ struct vc4_crtc_data {
-       /* Which channel of the HVS this pixelvalve sources from. */
-       int hvs_channel;
-+      /* Number of pixels output per clock period */
-+      u8 pixels_per_clock;
-+
-       enum vc4_encoder_type encoder_types[4];
-       const char *debugfs_name;
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0554-drm-vc4-crtc-Enable-and-disable-the-PV-in-atomic_ena.patch b/target/linux/bcm27xx/patches-5.4/950-0554-drm-vc4-crtc-Enable-and-disable-the-PV-in-atomic_ena.patch
new file mode 100644 (file)
index 0000000..c5f06f2
--- /dev/null
@@ -0,0 +1,55 @@
+From 7bbbfef1c98e832cbd55e66ac2d7f13ec0a2b11e Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 21 Feb 2020 14:34:31 +0100
+Subject: [PATCH] drm/vc4: crtc: Enable and disable the PV in
+ atomic_enable / disable
+
+The VIDEN bit in the pixelvalve currently being used to enable or disable
+the pixelvalve seems to not be enough in some situations, which whill end
+up with the pixelvalve stalling.
+
+In such a case, even re-enabling VIDEN doesn't bring it back and we need to
+clear the FIFO. This can only be done if the pixelvalve is disabled though.
+
+In order to overcome this, we can configure the pixelvalve during
+mode_set_no_fb, but only enable it in atomic_enable and flush the FIFO
+there, and in atomic_disable disable the pixelvalve again.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 10 +++++++---
+ 1 file changed, 7 insertions(+), 3 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -374,9 +374,7 @@ static void vc4_crtc_config_pv(struct dr
+                  PV_CONTROL_TRIGGER_UNDERFLOW |
+                  PV_CONTROL_WAIT_HSTART |
+                  VC4_SET_FIELD(vc4_encoder->clock_select,
+-                               PV_CONTROL_CLK_SELECT) |
+-                 PV_CONTROL_FIFO_CLR |
+-                 PV_CONTROL_EN);
++                               PV_CONTROL_CLK_SELECT));
+ }
+ static void vc4_crtc_mode_set_nofb(struct drm_crtc *crtc)
+@@ -467,6 +465,8 @@ static void vc4_crtc_atomic_disable(stru
+       ret = wait_for(!(CRTC_READ(PV_V_CONTROL) & PV_VCONTROL_VIDEN), 1);
+       WARN_ONCE(ret, "Timeout waiting for !PV_VCONTROL_VIDEN\n");
++      CRTC_WRITE(PV_CONTROL, CRTC_READ(PV_CONTROL) & ~PV_CONTROL_EN);
++
+       if (HVS_READ(SCALER_DISPCTRLX(chan)) &
+           SCALER_DISPCTRLX_ENABLE) {
+               HVS_WRITE(SCALER_DISPCTRLX(chan),
+@@ -554,6 +554,10 @@ static void vc4_crtc_atomic_enable(struc
+       require_hvs_enabled(dev);
++      /* Reset the PV fifo. */
++      CRTC_WRITE(PV_CONTROL, CRTC_READ(PV_CONTROL) |
++                 PV_CONTROL_FIFO_CLR | PV_CONTROL_EN);
++
+       /* Enable vblank irq handling before crtc is started otherwise
+        * drm_crtc_get_vblank() fails in vc4_crtc_update_dlist().
+        */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0555-drm-vc4-crtc-Assign-output-to-channel-automatically.patch b/target/linux/bcm27xx/patches-5.4/950-0555-drm-vc4-crtc-Assign-output-to-channel-automatically.patch
new file mode 100644 (file)
index 0000000..d470f3b
--- /dev/null
@@ -0,0 +1,459 @@
+From 9efecb2ccd14a6d226ba2afa04f6e70b96026b3e Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 26 Dec 2019 17:53:18 +0100
+Subject: [PATCH] drm/vc4: crtc: Assign output to channel automatically
+
+The HVS found in the BCM2711 has 6 outputs and 3 FIFOs, with each output
+being connected to a pixelvalve, and some muxing between the FIFOs and
+outputs.
+
+Any output cannot feed from any FIFO though, and they all have a bunch of
+constraints.
+
+In order to support this, let's store the possible FIFOs each output can be
+assigned to in the vc4_crtc_data, and use that information at atomic_check
+time to iterate over all the CRTCs enabled and assign them FIFOs.
+
+The channel assigned is then set in the vc4_crtc_state so that the rest of
+the driver can use it.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c |  37 +++++----
+ drivers/gpu/drm/vc4/vc4_drv.h  |   7 +-
+ drivers/gpu/drm/vc4/vc4_kms.c  | 146 +++++++++++++++++++++++++++++++--
+ drivers/gpu/drm/vc4/vc4_regs.h |  10 +++
+ 4 files changed, 175 insertions(+), 25 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -90,6 +90,7 @@ bool vc4_crtc_get_scanoutpos(struct drm_
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
+       struct drm_crtc *crtc = drm_crtc_from_index(dev, crtc_id);
+       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
++      struct vc4_crtc_state *vc4_crtc_state = to_vc4_crtc_state(crtc->state);
+       unsigned int cob_size;
+       u32 val;
+       int fifo_lines;
+@@ -106,7 +107,7 @@ bool vc4_crtc_get_scanoutpos(struct drm_
+        * Read vertical scanline which is currently composed for our
+        * pixelvalve by the HVS, and also the scaler status.
+        */
+-      val = HVS_READ(SCALER_DISPSTATX(vc4_crtc->channel));
++      val = HVS_READ(SCALER_DISPSTATX(vc4_crtc_state->assigned_channel));
+       /* Get optional system timestamp after query. */
+       if (etime)
+@@ -126,7 +127,7 @@ bool vc4_crtc_get_scanoutpos(struct drm_
+                       *hpos += mode->crtc_htotal / 2;
+       }
+-      cob_size = vc4_crtc_get_cob_allocation(vc4_crtc, vc4_crtc->channel);
++      cob_size = vc4_crtc_get_cob_allocation(vc4_crtc, vc4_crtc_state->assigned_channel);
+       /* This is the offset we need for translating hvs -> pv scanout pos. */
+       fifo_lines = cob_size / mode->crtc_hdisplay;
+@@ -213,6 +214,7 @@ vc4_crtc_lut_load(struct drm_crtc *crtc)
+       struct drm_device *dev = crtc->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
+       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
++      struct vc4_crtc_state *vc4_crtc_state = to_vc4_crtc_state(crtc->state);
+       u32 i;
+       /* The LUT memory is laid out with each HVS channel in order,
+@@ -221,7 +223,7 @@ vc4_crtc_lut_load(struct drm_crtc *crtc)
+        */
+       HVS_WRITE(SCALER_GAMADDR,
+                 SCALER_GAMADDR_AUTOINC |
+-                (vc4_crtc->channel * 3 * crtc->gamma_size));
++                (vc4_crtc_state->assigned_channel * 3 * crtc->gamma_size));
+       for (i = 0; i < crtc->gamma_size; i++)
+               HVS_WRITE(SCALER_GAMDATA, vc4_crtc->lut_r[i]);
+@@ -394,7 +396,7 @@ static void vc4_crtc_mode_set_nofb(struc
+               drm_print_regset32(&p, &vc4_crtc->regset);
+       }
+-      if (vc4_crtc->channel == 2) {
++      if (vc4_crtc->data->hvs_output == 2) {
+               u32 dispctrl;
+               u32 dsp3_mux;
+@@ -421,7 +423,7 @@ static void vc4_crtc_mode_set_nofb(struc
+       if (!vc4_state->feed_txp)
+               vc4_crtc_config_pv(crtc);
+-      HVS_WRITE(SCALER_DISPBKGNDX(vc4_crtc->channel),
++      HVS_WRITE(SCALER_DISPBKGNDX(vc4_state->assigned_channel),
+                 SCALER_DISPBKGND_AUTOHS |
+                 SCALER_DISPBKGND_GAMMA |
+                 (interlace ? SCALER_DISPBKGND_INTERLACE : 0));
+@@ -453,7 +455,8 @@ static void vc4_crtc_atomic_disable(stru
+       struct drm_device *dev = crtc->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
+       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
+-      u32 chan = vc4_crtc->channel;
++      struct vc4_crtc_state *vc4_crtc_state = to_vc4_crtc_state(old_state);
++      u32 chan = vc4_crtc_state->assigned_channel;
+       int ret;
+       require_hvs_enabled(dev);
+@@ -532,12 +535,12 @@ static void vc4_crtc_update_dlist(struct
+                       crtc->state->event = NULL;
+               }
+-              HVS_WRITE(SCALER_DISPLISTX(vc4_crtc->channel),
++              HVS_WRITE(SCALER_DISPLISTX(vc4_state->assigned_channel),
+                         vc4_state->mm.start);
+               spin_unlock_irqrestore(&dev->event_lock, flags);
+       } else {
+-              HVS_WRITE(SCALER_DISPLISTX(vc4_crtc->channel),
++              HVS_WRITE(SCALER_DISPLISTX(vc4_state->assigned_channel),
+                         vc4_state->mm.start);
+       }
+ }
+@@ -586,7 +589,7 @@ static void vc4_crtc_atomic_enable(struc
+                           (vc4_state->feed_txp ?
+                                       SCALER5_DISPCTRLX_ONESHOT : 0);
+-      HVS_WRITE(SCALER_DISPCTRLX(vc4_crtc->channel), dispctrl);
++      HVS_WRITE(SCALER_DISPCTRLX(vc4_state->assigned_channel), dispctrl);
+       /* When feeding the transposer block the pixelvalve is unneeded and
+        * should not be enabled.
+@@ -702,7 +705,6 @@ static void vc4_crtc_atomic_flush(struct
+ {
+       struct drm_device *dev = crtc->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
+-      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
+       struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(crtc->state);
+       struct drm_plane *plane;
+       struct vc4_plane_state *vc4_plane_state;
+@@ -744,8 +746,8 @@ static void vc4_crtc_atomic_flush(struct
+               /* This sets a black background color fill, as is the case
+                * with other DRM drivers.
+                */
+-              HVS_WRITE(SCALER_DISPBKGNDX(vc4_crtc->channel),
+-                        HVS_READ(SCALER_DISPBKGNDX(vc4_crtc->channel)) |
++              HVS_WRITE(SCALER_DISPBKGNDX(vc4_state->assigned_channel),
++                        HVS_READ(SCALER_DISPBKGNDX(vc4_state->assigned_channel)) |
+                         SCALER_DISPBKGND_FILL);
+       /* Only update DISPLIST if the CRTC was already running and is not
+@@ -759,7 +761,7 @@ static void vc4_crtc_atomic_flush(struct
+               vc4_crtc_update_dlist(crtc);
+       if (crtc->state->color_mgmt_changed) {
+-              u32 dispbkgndx = HVS_READ(SCALER_DISPBKGNDX(vc4_crtc->channel));
++              u32 dispbkgndx = HVS_READ(SCALER_DISPBKGNDX(vc4_state->assigned_channel));
+               if (crtc->state->gamma_lut) {
+                       vc4_crtc_update_gamma_lut(crtc);
+@@ -771,7 +773,7 @@ static void vc4_crtc_atomic_flush(struct
+                        */
+                       dispbkgndx &= ~SCALER_DISPBKGND_GAMMA;
+               }
+-              HVS_WRITE(SCALER_DISPBKGNDX(vc4_crtc->channel), dispbkgndx);
++              HVS_WRITE(SCALER_DISPBKGNDX(vc4_state->assigned_channel), dispbkgndx);
+       }
+       if (debug_dump_regs) {
+@@ -802,7 +804,7 @@ static void vc4_crtc_handle_page_flip(st
+       struct drm_device *dev = crtc->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
+       struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(crtc->state);
+-      u32 chan = vc4_crtc->channel;
++      u32 chan = vc4_state->assigned_channel;
+       unsigned long flags;
+       spin_lock_irqsave(&dev->event_lock, flags);
+@@ -1002,6 +1004,7 @@ static struct drm_crtc_state *vc4_crtc_d
+       old_vc4_state = to_vc4_crtc_state(crtc->state);
+       vc4_state->feed_txp = old_vc4_state->feed_txp;
+       vc4_state->margins = old_vc4_state->margins;
++      vc4_state->assigned_channel = old_vc4_state->assigned_channel;
+       __drm_atomic_helper_crtc_duplicate_state(crtc, &vc4_state->base);
+       return &vc4_state->base;
+@@ -1061,6 +1064,7 @@ static const struct drm_crtc_helper_func
+ };
+ static const struct vc4_crtc_data bcm2835_pv0_data = {
++      .hvs_available_channels = BIT(0),
+       .hvs_output = 0,
+       .debugfs_name = "crtc0_regs",
+       .pixels_per_clock = 1,
+@@ -1071,6 +1075,7 @@ static const struct vc4_crtc_data bcm283
+ };
+ static const struct vc4_crtc_data bcm2835_pv1_data = {
++      .hvs_available_channels = BIT(2),
+       .hvs_output = 2,
+       .debugfs_name = "crtc1_regs",
+       .pixels_per_clock = 1,
+@@ -1081,6 +1086,7 @@ static const struct vc4_crtc_data bcm283
+ };
+ static const struct vc4_crtc_data bcm2835_pv2_data = {
++      .hvs_available_channels = BIT(1),
+       .hvs_output = 1,
+       .debugfs_name = "crtc2_regs",
+       .pixels_per_clock = 1,
+@@ -1172,7 +1178,6 @@ static int vc4_crtc_bind(struct device *
+       drm_crtc_init_with_planes(drm, crtc, primary_plane, NULL,
+                                 &vc4_crtc_funcs, NULL);
+       drm_crtc_helper_add(crtc, &vc4_crtc_helper_funcs);
+-      vc4_crtc->channel = vc4_crtc->data->hvs_output;
+       drm_mode_crtc_set_gamma_size(crtc, ARRAY_SIZE(vc4_crtc->lut_r));
+       drm_crtc_enable_color_mgmt(crtc, 0, false, crtc->gamma_size);
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -452,6 +452,9 @@ to_vc4_encoder(struct drm_encoder *encod
+ }
+ struct vc4_crtc_data {
++      /* Which channels of the HVS can the output source from */
++      unsigned int hvs_available_channels;
++
+       /* Which output of the HVS this pixelvalve sources from. */
+       int hvs_output;
+@@ -471,9 +474,6 @@ struct vc4_crtc {
+       /* Timestamp at start of vblank irq - unaffected by lock delays. */
+       ktime_t t_vblank;
+-      /* Which HVS channel we're using for our CRTC. */
+-      int channel;
+-
+       u8 lut_r[256];
+       u8 lut_g[256];
+       u8 lut_b[256];
+@@ -495,6 +495,7 @@ struct vc4_crtc_state {
+       struct drm_mm_node mm;
+       bool feed_txp;
+       bool txp_armed;
++      unsigned int assigned_channel;
+       struct {
+               unsigned int left;
+--- a/drivers/gpu/drm/vc4/vc4_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_kms.c
+@@ -11,6 +11,9 @@
+  * crtc, HDMI encoder).
+  */
++#include <linux/bitfield.h>
++#include <linux/bitops.h>
++
+ #include <drm/drm_atomic.h>
+ #include <drm/drm_atomic_helper.h>
+ #include <drm/drm_crtc.h>
+@@ -148,6 +151,72 @@ vc4_ctm_commit(struct vc4_dev *vc4, stru
+                 VC4_SET_FIELD(ctm_state->fifo, SCALER_OLEDOFFS_DISPFIFO));
+ }
++static void vc4_hvs_pv_muxing_commit(struct vc4_dev *vc4,
++                                   struct drm_atomic_state *state)
++{
++      struct drm_crtc_state *crtc_state;
++      struct drm_crtc *crtc;
++      unsigned char dsp2_mux = 0;
++      unsigned char dsp3_mux = 3;
++      unsigned char dsp4_mux = 3;
++      unsigned char dsp5_mux = 3;
++      unsigned int i;
++      u32 reg;
++
++      for_each_new_crtc_in_state(state, crtc, crtc_state, i) {
++              struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(crtc_state);
++              struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
++
++              if (!crtc_state->active)
++                      continue;
++
++              switch (vc4_crtc->data->hvs_output) {
++              case 2:
++                      dsp2_mux = (vc4_state->assigned_channel == 2) ? 1 : 0;
++                      break;
++
++              case 3:
++                      dsp3_mux = vc4_state->assigned_channel;
++                      break;
++
++              case 4:
++                      dsp4_mux = vc4_state->assigned_channel;
++                      break;
++
++              case 5:
++                      dsp5_mux = vc4_state->assigned_channel;
++                      break;
++
++              default:
++                      break;
++              }
++      }
++
++      reg = HVS_READ(SCALER_DISPECTRL);
++      if (FIELD_GET(SCALER_DISPECTRL_DSP2_MUX_MASK, reg) != dsp2_mux)
++              HVS_WRITE(SCALER_DISPECTRL,
++                        (reg & ~SCALER_DISPECTRL_DSP2_MUX_MASK) |
++                        VC4_SET_FIELD(dsp2_mux, SCALER_DISPECTRL_DSP2_MUX));
++
++      reg = HVS_READ(SCALER_DISPCTRL);
++      if (FIELD_GET(SCALER_DISPCTRL_DSP3_MUX_MASK, reg) != dsp3_mux)
++              HVS_WRITE(SCALER_DISPCTRL,
++                        (reg & ~SCALER_DISPCTRL_DSP3_MUX_MASK) |
++                        VC4_SET_FIELD(dsp3_mux, SCALER_DISPCTRL_DSP3_MUX));
++
++      reg = HVS_READ(SCALER_DISPEOLN);
++      if (FIELD_GET(SCALER_DISPEOLN_DSP4_MUX_MASK, reg) != dsp4_mux)
++              HVS_WRITE(SCALER_DISPEOLN,
++                        (reg & ~SCALER_DISPEOLN_DSP4_MUX_MASK) |
++                        VC4_SET_FIELD(dsp4_mux, SCALER_DISPEOLN_DSP4_MUX));
++
++      reg = HVS_READ(SCALER_DISPDITHER);
++      if (FIELD_GET(SCALER_DISPDITHER_DSP5_MUX_MASK, reg) != dsp5_mux)
++              HVS_WRITE(SCALER_DISPDITHER,
++                        (reg & ~SCALER_DISPDITHER_DSP5_MUX_MASK) |
++                        VC4_SET_FIELD(dsp5_mux, SCALER_DISPDITHER_DSP5_MUX));
++}
++
+ static void
+ vc4_atomic_complete_commit(struct drm_atomic_state *state)
+ {
+@@ -157,11 +226,15 @@ vc4_atomic_complete_commit(struct drm_at
+       int i;
+       for (i = 0; vc4->hvs && i < dev->mode_config.num_crtc; i++) {
+-              if (!state->crtcs[i].ptr || !state->crtcs[i].commit)
++              struct __drm_crtcs_state *_state = &state->crtcs[i];
++              struct vc4_crtc_state *vc4_crtc_state;
++
++              if (!_state->ptr || !_state->commit)
+                       continue;
+-              vc4_crtc = to_vc4_crtc(state->crtcs[i].ptr);
+-              vc4_hvs_mask_underrun(dev, vc4_crtc->channel);
++              vc4_crtc = to_vc4_crtc(_state->ptr);
++              vc4_crtc_state = to_vc4_crtc_state(_state->state);
++              vc4_hvs_mask_underrun(dev, vc4_crtc_state->assigned_channel);
+       }
+       drm_atomic_helper_wait_for_fences(dev, state, false);
+@@ -170,8 +243,10 @@ vc4_atomic_complete_commit(struct drm_at
+       drm_atomic_helper_commit_modeset_disables(dev, state);
+-      if (!vc4->firmware_kms)
++      if (!vc4->firmware_kms) {
+               vc4_ctm_commit(vc4, state);
++              vc4_hvs_pv_muxing_commit(vc4, state);
++      }
+       drm_atomic_helper_commit_planes(dev, state, 0);
+@@ -380,8 +455,11 @@ vc4_ctm_atomic_check(struct drm_device *
+               /* CTM is being enabled or the matrix changed. */
+               if (new_crtc_state->ctm) {
++                      struct vc4_crtc_state *vc4_crtc_state =
++                              to_vc4_crtc_state(new_crtc_state);
++
+                       /* fifo is 1-based since 0 disables CTM. */
+-                      int fifo = to_vc4_crtc(crtc)->channel + 1;
++                      int fifo = vc4_crtc_state->assigned_channel + 1;
+                       /* Check userland isn't trying to turn on CTM for more
+                        * than one CRTC at a time.
+@@ -494,10 +572,66 @@ static const struct drm_private_state_fu
+       .atomic_destroy_state = vc4_load_tracker_destroy_state,
+ };
++#define NUM_OUTPUTS  6
++#define NUM_CHANNELS 3
++
+ static int
+ vc4_atomic_check(struct drm_device *dev, struct drm_atomic_state *state)
+ {
+-      int ret;
++      unsigned long unassigned_channels = GENMASK(NUM_CHANNELS - 1, 0);
++      struct drm_crtc_state *crtc_state;
++      struct drm_crtc *crtc;
++      int i, ret;
++
++      for_each_new_crtc_in_state(state, crtc, crtc_state, i) {
++              struct vc4_crtc_state *vc4_crtc_state =
++                      to_vc4_crtc_state(crtc_state);
++              struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
++              bool is_assigned = false;
++              unsigned int channel;
++
++              if (!crtc_state->active)
++                      continue;
++
++              /*
++               * The problem we have to solve here is that we have
++               * up to 7 encoders, connected to up to 6 CRTCs.
++               *
++               * Those CRTCs, depending on the instance, can be
++               * routed to 1, 2 or 3 HVS FIFOs, and we need to set
++               * the change the muxing between FIFOs and outputs in
++               * the HVS accordingly.
++               *
++               * It would be pretty hard to come up with an
++               * algorithm that would generically solve
++               * this. However, the current routing trees we support
++               * allow us to simplify a bit the problem.
++               *
++               * Indeed, with the current supported layouts, if we
++               * try to assign in the ascending crtc index order the
++               * FIFOs, we can't fall into the situation where an
++               * earlier CRTC that had multiple routes is assigned
++               * one that was the only option for a later CRTC.
++               *
++               * If the layout changes and doesn't give us that in
++               * the future, we will need to have something smarter,
++               * but it works so far.
++               */
++              for_each_set_bit(channel, &unassigned_channels,
++                               sizeof(unassigned_channels)) {
++
++                      if (!(BIT(channel) & vc4_crtc->data->hvs_available_channels))
++                              continue;
++
++                      vc4_crtc_state->assigned_channel = channel;
++                      unassigned_channels &= ~BIT(channel);
++                      is_assigned = true;
++                      break;
++              }
++
++              if (!is_assigned)
++                      return -EINVAL;
++      }
+       ret = vc4_ctm_atomic_check(dev, state);
+       if (ret < 0)
+--- a/drivers/gpu/drm/vc4/vc4_regs.h
++++ b/drivers/gpu/drm/vc4/vc4_regs.h
+@@ -287,9 +287,19 @@
+ #define SCALER_DISPID                           0x00000008
+ #define SCALER_DISPECTRL                        0x0000000c
++# define SCALER_DISPECTRL_DSP2_MUX_SHIFT      31
++# define SCALER_DISPECTRL_DSP2_MUX_MASK               VC4_MASK(31, 31)
++
+ #define SCALER_DISPPROF                         0x00000010
++
+ #define SCALER_DISPDITHER                       0x00000014
++# define SCALER_DISPDITHER_DSP5_MUX_SHIFT     30
++# define SCALER_DISPDITHER_DSP5_MUX_MASK      VC4_MASK(31, 30)
++
+ #define SCALER_DISPEOLN                         0x00000018
++# define SCALER_DISPEOLN_DSP4_MUX_SHIFT               30
++# define SCALER_DISPEOLN_DSP4_MUX_MASK                VC4_MASK(31, 30)
++
+ #define SCALER_DISPLIST0                        0x00000020
+ #define SCALER_DISPLIST1                        0x00000024
+ #define SCALER_DISPLIST2                        0x00000028
diff --git a/target/linux/bcm27xx/patches-5.4/950-0555-drm-vc4-crtc-Use-a-shared-interrupt.patch b/target/linux/bcm27xx/patches-5.4/950-0555-drm-vc4-crtc-Use-a-shared-interrupt.patch
deleted file mode 100644 (file)
index b517b54..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From 5451dc04ff87dcf514c422f180ea5e23b7b60151 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 9 Jan 2020 18:40:49 +0100
-Subject: [PATCH] drm/vc4: crtc: Use a shared interrupt
-
-Some pixelvalves in vc5 use the same interrupt line so let's register our
-interrupt handler as a shared one.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 4 +++-
- 1 file changed, 3 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -1177,7 +1177,9 @@ static int vc4_crtc_bind(struct device *
-       CRTC_WRITE(PV_INTEN, 0);
-       CRTC_WRITE(PV_INTSTAT, PV_INT_VFP_START);
-       ret = devm_request_irq(dev, platform_get_irq(pdev, 0),
--                             vc4_crtc_irq_handler, 0, "vc4 crtc", vc4_crtc);
-+                             vc4_crtc_irq_handler,
-+                             IRQF_SHARED,
-+                             "vc4 crtc", vc4_crtc);
-       if (ret)
-               goto err_destroy_planes;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0556-drm-vc4-crtc-Add-FIFO-depth-to-vc4_crtc_data.patch b/target/linux/bcm27xx/patches-5.4/950-0556-drm-vc4-crtc-Add-FIFO-depth-to-vc4_crtc_data.patch
new file mode 100644 (file)
index 0000000..e920a0b
--- /dev/null
@@ -0,0 +1,86 @@
+From a294de7c4782f91fe724e4e5b05fd99798d50760 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 13 Jan 2020 13:39:20 +0100
+Subject: [PATCH] drm/vc4: crtc: Add FIFO depth to vc4_crtc_data
+
+Not all pixelvalve FIFOs in vc5 have the same depth, so we need to add that
+to our vc4_crtc_data structure to be able to compute the fill level
+properly later on.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 20 ++++++++++++++++----
+ drivers/gpu/drm/vc4/vc4_drv.h  |  3 +++
+ 2 files changed, 19 insertions(+), 4 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -250,11 +250,20 @@ vc4_crtc_update_gamma_lut(struct drm_crt
+       vc4_crtc_lut_load(crtc);
+ }
+-
+-static u32 vc4_get_fifo_full_level(u32 format)
++static u32 vc4_get_fifo_full_level(struct vc4_crtc *vc4_crtc, u32 format)
+ {
+-      static const u32 fifo_len_bytes = 64;
++      u32 fifo_len_bytes = vc4_crtc->data->fifo_depth;
++      /*
++       * Pixels are pulled from the HVS if the number of bytes is
++       * lower than the FIFO full level.
++       *
++       * The latency of the pixel fetch mechanism is 6 pixels, so we
++       * need to convert those 6 pixels in bytes, depending on the
++       * format, and then substract that from the length of the FIFO
++       * to make sure we never end up in a situation where the FIFO
++       * is full.
++       */
+       switch (format) {
+       case PV_CONTROL_FORMAT_DSIV_16:
+       case PV_CONTROL_FORMAT_DSIC_16:
+@@ -369,7 +378,7 @@ static void vc4_crtc_config_pv(struct dr
+       CRTC_WRITE(PV_CONTROL,
+                  VC4_SET_FIELD(format, PV_CONTROL_FORMAT) |
+-                 VC4_SET_FIELD(vc4_get_fifo_full_level(format),
++                 VC4_SET_FIELD(vc4_get_fifo_full_level(vc4_crtc, format),
+                                PV_CONTROL_FIFO_LEVEL) |
+                  VC4_SET_FIELD(pixel_rep - 1, PV_CONTROL_PIXEL_REP) |
+                  PV_CONTROL_CLR_AT_START |
+@@ -1067,6 +1076,7 @@ static const struct vc4_crtc_data bcm283
+       .hvs_available_channels = BIT(0),
+       .hvs_output = 0,
+       .debugfs_name = "crtc0_regs",
++      .fifo_depth = 64,
+       .pixels_per_clock = 1,
+       .encoder_types = {
+               [PV_CONTROL_CLK_SELECT_DSI] = VC4_ENCODER_TYPE_DSI0,
+@@ -1078,6 +1088,7 @@ static const struct vc4_crtc_data bcm283
+       .hvs_available_channels = BIT(2),
+       .hvs_output = 2,
+       .debugfs_name = "crtc1_regs",
++      .fifo_depth = 64,
+       .pixels_per_clock = 1,
+       .encoder_types = {
+               [PV_CONTROL_CLK_SELECT_DSI] = VC4_ENCODER_TYPE_DSI1,
+@@ -1089,6 +1100,7 @@ static const struct vc4_crtc_data bcm283
+       .hvs_available_channels = BIT(1),
+       .hvs_output = 1,
+       .debugfs_name = "crtc2_regs",
++      .fifo_depth = 64,
+       .pixels_per_clock = 1,
+       .encoder_types = {
+               [PV_CONTROL_CLK_SELECT_DPI_SMI_HDMI] = VC4_ENCODER_TYPE_HDMI,
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -452,6 +452,9 @@ to_vc4_encoder(struct drm_encoder *encod
+ }
+ struct vc4_crtc_data {
++      /* Depth of the PixelValve FIFO in bytes */
++      unsigned int fifo_depth;
++
+       /* Which channels of the HVS can the output source from */
+       unsigned int hvs_available_channels;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0556-drm-vc4-crtc-Turn-static-const-variable-into-a-defin.patch b/target/linux/bcm27xx/patches-5.4/950-0556-drm-vc4-crtc-Turn-static-const-variable-into-a-defin.patch
deleted file mode 100644 (file)
index 3deefc3..0000000
+++ /dev/null
@@ -1,50 +0,0 @@
-From c017882242d671cf81256301a3e9a6fc9eefdc13 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 13 Jan 2020 13:39:32 +0100
-Subject: [PATCH] drm/vc4: crtc: Turn static const variable into a
- define
-
-The hvs_latency_pix variable doesn't need to be a variable and can just be
-defined.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 8 +++++---
- 1 file changed, 5 insertions(+), 3 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -44,6 +44,8 @@
- #include "vc4_drv.h"
- #include "vc4_regs.h"
-+#define HVS_FIFO_LATENCY_PIX  6
-+
- #define CRTC_WRITE(offset, val) writel(val, vc4_crtc->regs + (offset))
- #define CRTC_READ(offset) readl(vc4_crtc->regs + (offset))
-@@ -227,21 +229,21 @@ vc4_crtc_update_gamma_lut(struct drm_crt
-       vc4_crtc_lut_load(crtc);
- }
-+
- static u32 vc4_get_fifo_full_level(u32 format)
- {
-       static const u32 fifo_len_bytes = 64;
--      static const u32 hvs_latency_pix = 6;
-       switch (format) {
-       case PV_CONTROL_FORMAT_DSIV_16:
-       case PV_CONTROL_FORMAT_DSIC_16:
--              return fifo_len_bytes - 2 * hvs_latency_pix;
-+              return fifo_len_bytes - 2 * HVS_FIFO_LATENCY_PIX;
-       case PV_CONTROL_FORMAT_DSIV_18:
-               return fifo_len_bytes - 14;
-       case PV_CONTROL_FORMAT_24:
-       case PV_CONTROL_FORMAT_DSIV_24:
-       default:
--              return fifo_len_bytes - 3 * hvs_latency_pix;
-+              return fifo_len_bytes - 3 * HVS_FIFO_LATENCY_PIX;
-       }
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0557-drm-vc4-crtc-Add-function-to-compute-FIFO-level-bits.patch b/target/linux/bcm27xx/patches-5.4/950-0557-drm-vc4-crtc-Add-function-to-compute-FIFO-level-bits.patch
new file mode 100644 (file)
index 0000000..77952c0
--- /dev/null
@@ -0,0 +1,44 @@
+From 2c241c25b76d105f798881e1a3c6e3c09c3b27ff Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 13 Jan 2020 13:40:37 +0100
+Subject: [PATCH] drm/vc4: crtc: Add function to compute FIFO level
+ bits
+
+The longer FIFOs in vc5 pixelvalves means that the FIFO full level
+doesn't fit in the original register field and that we also have a
+secondary field. In order to prepare for this, let's move the registers
+fill part to a helper function.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 11 +++++++++--
+ 1 file changed, 9 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -277,6 +277,14 @@ static u32 vc4_get_fifo_full_level(struc
+       }
+ }
++static u32 vc4_crtc_get_fifo_full_level_bits(struct vc4_crtc *vc4_crtc,
++                                           u32 format)
++{
++      u32 level = vc4_get_fifo_full_level(vc4_crtc, format);
++      return VC4_SET_FIELD(level & 0x3f,
++                           PV_CONTROL_FIFO_LEVEL);
++}
++
+ /*
+  * Returns the encoder attached to the CRTC.
+  *
+@@ -377,9 +385,8 @@ static void vc4_crtc_config_pv(struct dr
+       CRTC_WRITE(PV_HACT_ACT, mode->hdisplay * pixel_rep);
+       CRTC_WRITE(PV_CONTROL,
++                 vc4_crtc_get_fifo_full_level_bits(vc4_crtc, format) |
+                  VC4_SET_FIELD(format, PV_CONTROL_FORMAT) |
+-                 VC4_SET_FIELD(vc4_get_fifo_full_level(vc4_crtc, format),
+-                               PV_CONTROL_FIFO_LEVEL) |
+                  VC4_SET_FIELD(pixel_rep - 1, PV_CONTROL_PIXEL_REP) |
+                  PV_CONTROL_CLR_AT_START |
+                  PV_CONTROL_TRIGGER_UNDERFLOW |
diff --git a/target/linux/bcm27xx/patches-5.4/950-0557-drm-vc4-crtc-Move-the-cob-allocation-outside-of-bind.patch b/target/linux/bcm27xx/patches-5.4/950-0557-drm-vc4-crtc-Move-the-cob-allocation-outside-of-bind.patch
deleted file mode 100644 (file)
index 83c4913..0000000
+++ /dev/null
@@ -1,110 +0,0 @@
-From e93fc4ed811c7dcc6b0c93716f760431fc645ba2 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 26 Dec 2019 15:48:09 +0100
-Subject: [PATCH] drm/vc4: crtc: Move the cob allocation outside of
- bind
-
-The COB allocation depends on the HVS channel used for a given
-pixelvalve.
-
-While the channel allocation was entirely static in vc4, vc5 changes
-that and at bind time, a pixelvalve can be assigned to multiple
-HVS channels.
-
-Let's prepare that rework by allocating the COB when it's actually
-needed.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 39 +++++++++++++++++-----------------
- drivers/gpu/drm/vc4/vc4_drv.h  |  2 --
- 2 files changed, 20 insertions(+), 21 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -65,6 +65,23 @@ static const struct debugfs_reg32 crtc_r
-       VC4_REG32(PV_HACT_ACT),
- };
-+static unsigned int
-+vc4_crtc_get_cob_allocation(struct vc4_crtc *vc4_crtc, unsigned int channel)
-+{
-+      struct drm_device *drm = vc4_crtc->base.dev;
-+      struct vc4_dev *vc4 = to_vc4_dev(drm);
-+
-+      u32 dispbase = HVS_READ(SCALER_DISPBASEX(channel));
-+      /* Top/base are supposed to be 4-pixel aligned, but the
-+       * Raspberry Pi firmware fills the low bits (which are
-+       * presumably ignored).
-+       */
-+      u32 top = VC4_GET_FIELD(dispbase, SCALER_DISPBASEX_TOP) & ~3;
-+      u32 base = VC4_GET_FIELD(dispbase, SCALER_DISPBASEX_BASE) & ~3;
-+
-+      return top - base + 4;
-+}
-+
- bool vc4_crtc_get_scanoutpos(struct drm_device *dev, unsigned int crtc_id,
-                            bool in_vblank_irq, int *vpos, int *hpos,
-                            ktime_t *stime, ktime_t *etime,
-@@ -73,6 +90,7 @@ bool vc4_crtc_get_scanoutpos(struct drm_
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
-       struct drm_crtc *crtc = drm_crtc_from_index(dev, crtc_id);
-       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-+      unsigned int cob_size;
-       u32 val;
-       int fifo_lines;
-       int vblank_lines;
-@@ -108,8 +126,9 @@ bool vc4_crtc_get_scanoutpos(struct drm_
-                       *hpos += mode->crtc_htotal / 2;
-       }
-+      cob_size = vc4_crtc_get_cob_allocation(vc4_crtc, vc4_crtc->channel);
-       /* This is the offset we need for translating hvs -> pv scanout pos. */
--      fifo_lines = vc4_crtc->cob_size / mode->crtc_hdisplay;
-+      fifo_lines = cob_size / mode->crtc_hdisplay;
-       if (fifo_lines > 0)
-               ret = true;
-@@ -1104,22 +1123,6 @@ static void vc4_set_crtc_possible_masks(
-       }
- }
--static void
--vc4_crtc_get_cob_allocation(struct vc4_crtc *vc4_crtc)
--{
--      struct drm_device *drm = vc4_crtc->base.dev;
--      struct vc4_dev *vc4 = to_vc4_dev(drm);
--      u32 dispbase = HVS_READ(SCALER_DISPBASEX(vc4_crtc->channel));
--      /* Top/base are supposed to be 4-pixel aligned, but the
--       * Raspberry Pi firmware fills the low bits (which are
--       * presumably ignored).
--       */
--      u32 top = VC4_GET_FIELD(dispbase, SCALER_DISPBASEX_TOP) & ~3;
--      u32 base = VC4_GET_FIELD(dispbase, SCALER_DISPBASEX_BASE) & ~3;
--
--      vc4_crtc->cob_size = top - base + 4;
--}
--
- static int vc4_crtc_bind(struct device *dev, struct device *master, void *data)
- {
-       struct platform_device *pdev = to_platform_device(dev);
-@@ -1174,8 +1177,6 @@ static int vc4_crtc_bind(struct device *
-        */
-       drm_crtc_enable_color_mgmt(crtc, 0, true, crtc->gamma_size);
--      vc4_crtc_get_cob_allocation(vc4_crtc);
--
-       CRTC_WRITE(PV_INTEN, 0);
-       CRTC_WRITE(PV_INTSTAT, PV_INT_VFP_START);
-       ret = devm_request_irq(dev, platform_get_irq(pdev, 0),
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -477,8 +477,6 @@ struct vc4_crtc {
-       u8 lut_r[256];
-       u8 lut_g[256];
-       u8 lut_b[256];
--      /* Size in pixels of the COB memory allocated to this CRTC. */
--      u32 cob_size;
-       struct drm_pending_vblank_event *event;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0558-drm-vc4-crtc-Rename-HDMI-encoder-type-to-HDMI0.patch b/target/linux/bcm27xx/patches-5.4/950-0558-drm-vc4-crtc-Rename-HDMI-encoder-type-to-HDMI0.patch
new file mode 100644 (file)
index 0000000..fa6a8f9
--- /dev/null
@@ -0,0 +1,49 @@
+From ad4c39a27e141626c93b7b97df621d258bfdcbbe Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 9 Jan 2020 18:35:13 +0100
+Subject: [PATCH] drm/vc4: crtc: Rename HDMI encoder type to HDMI0
+
+The previous generations were only supporting a single HDMI controller, but
+that's about to change, so put an index as well to differentiate between
+the two controllers.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 2 +-
+ drivers/gpu/drm/vc4/vc4_drv.h  | 2 +-
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 2 +-
+ 3 files changed, 3 insertions(+), 3 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -1110,7 +1110,7 @@ static const struct vc4_crtc_data bcm283
+       .fifo_depth = 64,
+       .pixels_per_clock = 1,
+       .encoder_types = {
+-              [PV_CONTROL_CLK_SELECT_DPI_SMI_HDMI] = VC4_ENCODER_TYPE_HDMI,
++              [PV_CONTROL_CLK_SELECT_DPI_SMI_HDMI] = VC4_ENCODER_TYPE_HDMI0,
+               [PV_CONTROL_CLK_SELECT_VEC] = VC4_ENCODER_TYPE_VEC,
+       },
+ };
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -431,7 +431,7 @@ to_vc4_plane_state(struct drm_plane_stat
+ enum vc4_encoder_type {
+       VC4_ENCODER_TYPE_NONE,
+-      VC4_ENCODER_TYPE_HDMI,
++      VC4_ENCODER_TYPE_HDMI0,
+       VC4_ENCODER_TYPE_VEC,
+       VC4_ENCODER_TYPE_DSI0,
+       VC4_ENCODER_TYPE_DSI1,
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1320,7 +1320,7 @@ static int vc4_hdmi_bind(struct device *
+                                       GFP_KERNEL);
+       if (!vc4_hdmi_encoder)
+               return -ENOMEM;
+-      vc4_hdmi_encoder->base.type = VC4_ENCODER_TYPE_HDMI;
++      vc4_hdmi_encoder->base.type = VC4_ENCODER_TYPE_HDMI0;
+       hdmi->encoder = &vc4_hdmi_encoder->base.base;
+       hdmi->pdev = pdev;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0558-drm-vc4-crtc-Rename-HVS-channel-to-output.patch b/target/linux/bcm27xx/patches-5.4/950-0558-drm-vc4-crtc-Rename-HVS-channel-to-output.patch
deleted file mode 100644 (file)
index cf854fc..0000000
+++ /dev/null
@@ -1,80 +0,0 @@
-From a106e57a643c957af9a71eb2ec3a62df69a1f371 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 26 Dec 2019 13:49:17 +0100
-Subject: [PATCH] drm/vc4: crtc: Rename HVS channel to output
-
-In vc5, the HVS has 6 outputs and 3 FIFOs (or channels), with
-pixelvalves each being assigned to a given output, but each output can
-then be muxed to feed from multiple FIFOs.
-
-Since vc4 had that entirely static, both were probably equivalent, but
-since that changes, let's rename hvs_channel to hvs_output in the
-vc4_crtc_data, since a pixelvalve is really connected to an output, and
-not to a FIFO.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 10 +++++-----
- drivers/gpu/drm/vc4/vc4_drv.h  |  4 ++--
- 2 files changed, 7 insertions(+), 7 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -1057,7 +1057,7 @@ static const struct drm_crtc_helper_func
- };
- static const struct vc4_crtc_data bcm2835_pv0_data = {
--      .hvs_channel = 0,
-+      .hvs_output = 0,
-       .debugfs_name = "crtc0_regs",
-       .pixels_per_clock = 1,
-       .encoder_types = {
-@@ -1067,7 +1067,7 @@ static const struct vc4_crtc_data bcm283
- };
- static const struct vc4_crtc_data bcm2835_pv1_data = {
--      .hvs_channel = 2,
-+      .hvs_output = 2,
-       .debugfs_name = "crtc1_regs",
-       .pixels_per_clock = 1,
-       .encoder_types = {
-@@ -1077,7 +1077,7 @@ static const struct vc4_crtc_data bcm283
- };
- static const struct vc4_crtc_data bcm2835_pv2_data = {
--      .hvs_channel = 1,
-+      .hvs_output = 1,
-       .debugfs_name = "crtc2_regs",
-       .pixels_per_clock = 1,
-       .encoder_types = {
-@@ -1106,7 +1106,7 @@ static void vc4_set_crtc_possible_masks(
-               int i;
-               /* HVS FIFO2 can feed the TXP IP. */
--              if (crtc_data->hvs_channel == 2 &&
-+              if (crtc_data->hvs_output == 2 &&
-                   encoder->encoder_type == DRM_MODE_ENCODER_VIRTUAL) {
-                       encoder->possible_crtcs |= drm_crtc_mask(crtc);
-                       continue;
-@@ -1168,7 +1168,7 @@ static int vc4_crtc_bind(struct device *
-       drm_crtc_init_with_planes(drm, crtc, primary_plane, NULL,
-                                 &vc4_crtc_funcs, NULL);
-       drm_crtc_helper_add(crtc, &vc4_crtc_helper_funcs);
--      vc4_crtc->channel = vc4_crtc->data->hvs_channel;
-+      vc4_crtc->channel = vc4_crtc->data->hvs_output;
-       drm_mode_crtc_set_gamma_size(crtc, ARRAY_SIZE(vc4_crtc->lut_r));
-       drm_crtc_enable_color_mgmt(crtc, 0, false, crtc->gamma_size);
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -452,8 +452,8 @@ to_vc4_encoder(struct drm_encoder *encod
- }
- struct vc4_crtc_data {
--      /* Which channel of the HVS this pixelvalve sources from. */
--      int hvs_channel;
-+      /* Which output of the HVS this pixelvalve sources from. */
-+      int hvs_output;
-       /* Number of pixels output per clock period */
-       u8 pixels_per_clock;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0559-drm-vc4-crtc-Add-HDMI1-encoder-type.patch b/target/linux/bcm27xx/patches-5.4/950-0559-drm-vc4-crtc-Add-HDMI1-encoder-type.patch
new file mode 100644 (file)
index 0000000..00ad755
--- /dev/null
@@ -0,0 +1,23 @@
+From 9df4f0e2da72c825d86f4f637983c712173ed272 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 9 Jan 2020 18:39:30 +0100
+Subject: [PATCH] drm/vc4: crtc: Add HDMI1 encoder type
+
+The BCM2711 sports a second HDMI controller, so let's add that second HDMI
+encoder type.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_drv.h | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -432,6 +432,7 @@ to_vc4_plane_state(struct drm_plane_stat
+ enum vc4_encoder_type {
+       VC4_ENCODER_TYPE_NONE,
+       VC4_ENCODER_TYPE_HDMI0,
++      VC4_ENCODER_TYPE_HDMI1,
+       VC4_ENCODER_TYPE_VEC,
+       VC4_ENCODER_TYPE_DSI0,
+       VC4_ENCODER_TYPE_DSI1,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0559-drm-vc4-crtc-Use-local-chan-variable.patch b/target/linux/bcm27xx/patches-5.4/950-0559-drm-vc4-crtc-Use-local-chan-variable.patch
deleted file mode 100644 (file)
index 6f77bac..0000000
+++ /dev/null
@@ -1,24 +0,0 @@
-From 888e5149bdb810e67996828bb26955a57a482d4c Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Tue, 14 Jan 2020 13:37:27 +0100
-Subject: [PATCH] drm/vc4: crtc: Use local chan variable
-
-The vc4_crtc_handle_page_flip already has a local variable holding the
-value of vc4_crtc->channel, so let's use it instead.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -816,7 +816,7 @@ static void vc4_crtc_handle_page_flip(st
-                * underruns. This can be seen when reconfiguring the CRTC.
-                */
-               if (vc4->hvs)
--                      vc4_hvs_unmask_underrun(dev, vc4_crtc->channel);
-+                      vc4_hvs_unmask_underrun(dev, chan);
-       }
-       spin_unlock_irqrestore(&dev->event_lock, flags);
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0560-drm-vc4-crtc-Enable-and-disable-the-PV-in-atomic_ena.patch b/target/linux/bcm27xx/patches-5.4/950-0560-drm-vc4-crtc-Enable-and-disable-the-PV-in-atomic_ena.patch
deleted file mode 100644 (file)
index c5f06f2..0000000
+++ /dev/null
@@ -1,55 +0,0 @@
-From 7bbbfef1c98e832cbd55e66ac2d7f13ec0a2b11e Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 21 Feb 2020 14:34:31 +0100
-Subject: [PATCH] drm/vc4: crtc: Enable and disable the PV in
- atomic_enable / disable
-
-The VIDEN bit in the pixelvalve currently being used to enable or disable
-the pixelvalve seems to not be enough in some situations, which whill end
-up with the pixelvalve stalling.
-
-In such a case, even re-enabling VIDEN doesn't bring it back and we need to
-clear the FIFO. This can only be done if the pixelvalve is disabled though.
-
-In order to overcome this, we can configure the pixelvalve during
-mode_set_no_fb, but only enable it in atomic_enable and flush the FIFO
-there, and in atomic_disable disable the pixelvalve again.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 10 +++++++---
- 1 file changed, 7 insertions(+), 3 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -374,9 +374,7 @@ static void vc4_crtc_config_pv(struct dr
-                  PV_CONTROL_TRIGGER_UNDERFLOW |
-                  PV_CONTROL_WAIT_HSTART |
-                  VC4_SET_FIELD(vc4_encoder->clock_select,
--                               PV_CONTROL_CLK_SELECT) |
--                 PV_CONTROL_FIFO_CLR |
--                 PV_CONTROL_EN);
-+                               PV_CONTROL_CLK_SELECT));
- }
- static void vc4_crtc_mode_set_nofb(struct drm_crtc *crtc)
-@@ -467,6 +465,8 @@ static void vc4_crtc_atomic_disable(stru
-       ret = wait_for(!(CRTC_READ(PV_V_CONTROL) & PV_VCONTROL_VIDEN), 1);
-       WARN_ONCE(ret, "Timeout waiting for !PV_VCONTROL_VIDEN\n");
-+      CRTC_WRITE(PV_CONTROL, CRTC_READ(PV_CONTROL) & ~PV_CONTROL_EN);
-+
-       if (HVS_READ(SCALER_DISPCTRLX(chan)) &
-           SCALER_DISPCTRLX_ENABLE) {
-               HVS_WRITE(SCALER_DISPCTRLX(chan),
-@@ -554,6 +554,10 @@ static void vc4_crtc_atomic_enable(struc
-       require_hvs_enabled(dev);
-+      /* Reset the PV fifo. */
-+      CRTC_WRITE(PV_CONTROL, CRTC_READ(PV_CONTROL) |
-+                 PV_CONTROL_FIFO_CLR | PV_CONTROL_EN);
-+
-       /* Enable vblank irq handling before crtc is started otherwise
-        * drm_crtc_get_vblank() fails in vc4_crtc_update_dlist().
-        */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0560-drm-vc4-crtc-Remove-redundant-call-to-drm_crtc_enabl.patch b/target/linux/bcm27xx/patches-5.4/950-0560-drm-vc4-crtc-Remove-redundant-call-to-drm_crtc_enabl.patch
new file mode 100644 (file)
index 0000000..5704952
--- /dev/null
@@ -0,0 +1,24 @@
+From 278c3da2ce8c2cda6cb60946c55b5e7040dfc35a Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 21 Feb 2020 16:48:19 +0100
+Subject: [PATCH] drm/vc4: crtc: Remove redundant call to
+ drm_crtc_enable_color_mgmt
+
+The driver calls the helper to add the color management properties twice,
+which is redundant. Remove the first one.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 1 -
+ 1 file changed, 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -1198,7 +1198,6 @@ static int vc4_crtc_bind(struct device *
+                                 &vc4_crtc_funcs, NULL);
+       drm_crtc_helper_add(crtc, &vc4_crtc_helper_funcs);
+       drm_mode_crtc_set_gamma_size(crtc, ARRAY_SIZE(vc4_crtc->lut_r));
+-      drm_crtc_enable_color_mgmt(crtc, 0, false, crtc->gamma_size);
+       /* We support CTM, but only for one CRTC at a time. It's therefore
+        * implemented as private driver state in vc4_kms, not here.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0561-drm-vc4-crtc-Assign-output-to-channel-automatically.patch b/target/linux/bcm27xx/patches-5.4/950-0561-drm-vc4-crtc-Assign-output-to-channel-automatically.patch
deleted file mode 100644 (file)
index d470f3b..0000000
+++ /dev/null
@@ -1,459 +0,0 @@
-From 9efecb2ccd14a6d226ba2afa04f6e70b96026b3e Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 26 Dec 2019 17:53:18 +0100
-Subject: [PATCH] drm/vc4: crtc: Assign output to channel automatically
-
-The HVS found in the BCM2711 has 6 outputs and 3 FIFOs, with each output
-being connected to a pixelvalve, and some muxing between the FIFOs and
-outputs.
-
-Any output cannot feed from any FIFO though, and they all have a bunch of
-constraints.
-
-In order to support this, let's store the possible FIFOs each output can be
-assigned to in the vc4_crtc_data, and use that information at atomic_check
-time to iterate over all the CRTCs enabled and assign them FIFOs.
-
-The channel assigned is then set in the vc4_crtc_state so that the rest of
-the driver can use it.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c |  37 +++++----
- drivers/gpu/drm/vc4/vc4_drv.h  |   7 +-
- drivers/gpu/drm/vc4/vc4_kms.c  | 146 +++++++++++++++++++++++++++++++--
- drivers/gpu/drm/vc4/vc4_regs.h |  10 +++
- 4 files changed, 175 insertions(+), 25 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -90,6 +90,7 @@ bool vc4_crtc_get_scanoutpos(struct drm_
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
-       struct drm_crtc *crtc = drm_crtc_from_index(dev, crtc_id);
-       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-+      struct vc4_crtc_state *vc4_crtc_state = to_vc4_crtc_state(crtc->state);
-       unsigned int cob_size;
-       u32 val;
-       int fifo_lines;
-@@ -106,7 +107,7 @@ bool vc4_crtc_get_scanoutpos(struct drm_
-        * Read vertical scanline which is currently composed for our
-        * pixelvalve by the HVS, and also the scaler status.
-        */
--      val = HVS_READ(SCALER_DISPSTATX(vc4_crtc->channel));
-+      val = HVS_READ(SCALER_DISPSTATX(vc4_crtc_state->assigned_channel));
-       /* Get optional system timestamp after query. */
-       if (etime)
-@@ -126,7 +127,7 @@ bool vc4_crtc_get_scanoutpos(struct drm_
-                       *hpos += mode->crtc_htotal / 2;
-       }
--      cob_size = vc4_crtc_get_cob_allocation(vc4_crtc, vc4_crtc->channel);
-+      cob_size = vc4_crtc_get_cob_allocation(vc4_crtc, vc4_crtc_state->assigned_channel);
-       /* This is the offset we need for translating hvs -> pv scanout pos. */
-       fifo_lines = cob_size / mode->crtc_hdisplay;
-@@ -213,6 +214,7 @@ vc4_crtc_lut_load(struct drm_crtc *crtc)
-       struct drm_device *dev = crtc->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
-       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-+      struct vc4_crtc_state *vc4_crtc_state = to_vc4_crtc_state(crtc->state);
-       u32 i;
-       /* The LUT memory is laid out with each HVS channel in order,
-@@ -221,7 +223,7 @@ vc4_crtc_lut_load(struct drm_crtc *crtc)
-        */
-       HVS_WRITE(SCALER_GAMADDR,
-                 SCALER_GAMADDR_AUTOINC |
--                (vc4_crtc->channel * 3 * crtc->gamma_size));
-+                (vc4_crtc_state->assigned_channel * 3 * crtc->gamma_size));
-       for (i = 0; i < crtc->gamma_size; i++)
-               HVS_WRITE(SCALER_GAMDATA, vc4_crtc->lut_r[i]);
-@@ -394,7 +396,7 @@ static void vc4_crtc_mode_set_nofb(struc
-               drm_print_regset32(&p, &vc4_crtc->regset);
-       }
--      if (vc4_crtc->channel == 2) {
-+      if (vc4_crtc->data->hvs_output == 2) {
-               u32 dispctrl;
-               u32 dsp3_mux;
-@@ -421,7 +423,7 @@ static void vc4_crtc_mode_set_nofb(struc
-       if (!vc4_state->feed_txp)
-               vc4_crtc_config_pv(crtc);
--      HVS_WRITE(SCALER_DISPBKGNDX(vc4_crtc->channel),
-+      HVS_WRITE(SCALER_DISPBKGNDX(vc4_state->assigned_channel),
-                 SCALER_DISPBKGND_AUTOHS |
-                 SCALER_DISPBKGND_GAMMA |
-                 (interlace ? SCALER_DISPBKGND_INTERLACE : 0));
-@@ -453,7 +455,8 @@ static void vc4_crtc_atomic_disable(stru
-       struct drm_device *dev = crtc->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
-       struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
--      u32 chan = vc4_crtc->channel;
-+      struct vc4_crtc_state *vc4_crtc_state = to_vc4_crtc_state(old_state);
-+      u32 chan = vc4_crtc_state->assigned_channel;
-       int ret;
-       require_hvs_enabled(dev);
-@@ -532,12 +535,12 @@ static void vc4_crtc_update_dlist(struct
-                       crtc->state->event = NULL;
-               }
--              HVS_WRITE(SCALER_DISPLISTX(vc4_crtc->channel),
-+              HVS_WRITE(SCALER_DISPLISTX(vc4_state->assigned_channel),
-                         vc4_state->mm.start);
-               spin_unlock_irqrestore(&dev->event_lock, flags);
-       } else {
--              HVS_WRITE(SCALER_DISPLISTX(vc4_crtc->channel),
-+              HVS_WRITE(SCALER_DISPLISTX(vc4_state->assigned_channel),
-                         vc4_state->mm.start);
-       }
- }
-@@ -586,7 +589,7 @@ static void vc4_crtc_atomic_enable(struc
-                           (vc4_state->feed_txp ?
-                                       SCALER5_DISPCTRLX_ONESHOT : 0);
--      HVS_WRITE(SCALER_DISPCTRLX(vc4_crtc->channel), dispctrl);
-+      HVS_WRITE(SCALER_DISPCTRLX(vc4_state->assigned_channel), dispctrl);
-       /* When feeding the transposer block the pixelvalve is unneeded and
-        * should not be enabled.
-@@ -702,7 +705,6 @@ static void vc4_crtc_atomic_flush(struct
- {
-       struct drm_device *dev = crtc->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
--      struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-       struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(crtc->state);
-       struct drm_plane *plane;
-       struct vc4_plane_state *vc4_plane_state;
-@@ -744,8 +746,8 @@ static void vc4_crtc_atomic_flush(struct
-               /* This sets a black background color fill, as is the case
-                * with other DRM drivers.
-                */
--              HVS_WRITE(SCALER_DISPBKGNDX(vc4_crtc->channel),
--                        HVS_READ(SCALER_DISPBKGNDX(vc4_crtc->channel)) |
-+              HVS_WRITE(SCALER_DISPBKGNDX(vc4_state->assigned_channel),
-+                        HVS_READ(SCALER_DISPBKGNDX(vc4_state->assigned_channel)) |
-                         SCALER_DISPBKGND_FILL);
-       /* Only update DISPLIST if the CRTC was already running and is not
-@@ -759,7 +761,7 @@ static void vc4_crtc_atomic_flush(struct
-               vc4_crtc_update_dlist(crtc);
-       if (crtc->state->color_mgmt_changed) {
--              u32 dispbkgndx = HVS_READ(SCALER_DISPBKGNDX(vc4_crtc->channel));
-+              u32 dispbkgndx = HVS_READ(SCALER_DISPBKGNDX(vc4_state->assigned_channel));
-               if (crtc->state->gamma_lut) {
-                       vc4_crtc_update_gamma_lut(crtc);
-@@ -771,7 +773,7 @@ static void vc4_crtc_atomic_flush(struct
-                        */
-                       dispbkgndx &= ~SCALER_DISPBKGND_GAMMA;
-               }
--              HVS_WRITE(SCALER_DISPBKGNDX(vc4_crtc->channel), dispbkgndx);
-+              HVS_WRITE(SCALER_DISPBKGNDX(vc4_state->assigned_channel), dispbkgndx);
-       }
-       if (debug_dump_regs) {
-@@ -802,7 +804,7 @@ static void vc4_crtc_handle_page_flip(st
-       struct drm_device *dev = crtc->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
-       struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(crtc->state);
--      u32 chan = vc4_crtc->channel;
-+      u32 chan = vc4_state->assigned_channel;
-       unsigned long flags;
-       spin_lock_irqsave(&dev->event_lock, flags);
-@@ -1002,6 +1004,7 @@ static struct drm_crtc_state *vc4_crtc_d
-       old_vc4_state = to_vc4_crtc_state(crtc->state);
-       vc4_state->feed_txp = old_vc4_state->feed_txp;
-       vc4_state->margins = old_vc4_state->margins;
-+      vc4_state->assigned_channel = old_vc4_state->assigned_channel;
-       __drm_atomic_helper_crtc_duplicate_state(crtc, &vc4_state->base);
-       return &vc4_state->base;
-@@ -1061,6 +1064,7 @@ static const struct drm_crtc_helper_func
- };
- static const struct vc4_crtc_data bcm2835_pv0_data = {
-+      .hvs_available_channels = BIT(0),
-       .hvs_output = 0,
-       .debugfs_name = "crtc0_regs",
-       .pixels_per_clock = 1,
-@@ -1071,6 +1075,7 @@ static const struct vc4_crtc_data bcm283
- };
- static const struct vc4_crtc_data bcm2835_pv1_data = {
-+      .hvs_available_channels = BIT(2),
-       .hvs_output = 2,
-       .debugfs_name = "crtc1_regs",
-       .pixels_per_clock = 1,
-@@ -1081,6 +1086,7 @@ static const struct vc4_crtc_data bcm283
- };
- static const struct vc4_crtc_data bcm2835_pv2_data = {
-+      .hvs_available_channels = BIT(1),
-       .hvs_output = 1,
-       .debugfs_name = "crtc2_regs",
-       .pixels_per_clock = 1,
-@@ -1172,7 +1178,6 @@ static int vc4_crtc_bind(struct device *
-       drm_crtc_init_with_planes(drm, crtc, primary_plane, NULL,
-                                 &vc4_crtc_funcs, NULL);
-       drm_crtc_helper_add(crtc, &vc4_crtc_helper_funcs);
--      vc4_crtc->channel = vc4_crtc->data->hvs_output;
-       drm_mode_crtc_set_gamma_size(crtc, ARRAY_SIZE(vc4_crtc->lut_r));
-       drm_crtc_enable_color_mgmt(crtc, 0, false, crtc->gamma_size);
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -452,6 +452,9 @@ to_vc4_encoder(struct drm_encoder *encod
- }
- struct vc4_crtc_data {
-+      /* Which channels of the HVS can the output source from */
-+      unsigned int hvs_available_channels;
-+
-       /* Which output of the HVS this pixelvalve sources from. */
-       int hvs_output;
-@@ -471,9 +474,6 @@ struct vc4_crtc {
-       /* Timestamp at start of vblank irq - unaffected by lock delays. */
-       ktime_t t_vblank;
--      /* Which HVS channel we're using for our CRTC. */
--      int channel;
--
-       u8 lut_r[256];
-       u8 lut_g[256];
-       u8 lut_b[256];
-@@ -495,6 +495,7 @@ struct vc4_crtc_state {
-       struct drm_mm_node mm;
-       bool feed_txp;
-       bool txp_armed;
-+      unsigned int assigned_channel;
-       struct {
-               unsigned int left;
---- a/drivers/gpu/drm/vc4/vc4_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_kms.c
-@@ -11,6 +11,9 @@
-  * crtc, HDMI encoder).
-  */
-+#include <linux/bitfield.h>
-+#include <linux/bitops.h>
-+
- #include <drm/drm_atomic.h>
- #include <drm/drm_atomic_helper.h>
- #include <drm/drm_crtc.h>
-@@ -148,6 +151,72 @@ vc4_ctm_commit(struct vc4_dev *vc4, stru
-                 VC4_SET_FIELD(ctm_state->fifo, SCALER_OLEDOFFS_DISPFIFO));
- }
-+static void vc4_hvs_pv_muxing_commit(struct vc4_dev *vc4,
-+                                   struct drm_atomic_state *state)
-+{
-+      struct drm_crtc_state *crtc_state;
-+      struct drm_crtc *crtc;
-+      unsigned char dsp2_mux = 0;
-+      unsigned char dsp3_mux = 3;
-+      unsigned char dsp4_mux = 3;
-+      unsigned char dsp5_mux = 3;
-+      unsigned int i;
-+      u32 reg;
-+
-+      for_each_new_crtc_in_state(state, crtc, crtc_state, i) {
-+              struct vc4_crtc_state *vc4_state = to_vc4_crtc_state(crtc_state);
-+              struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-+
-+              if (!crtc_state->active)
-+                      continue;
-+
-+              switch (vc4_crtc->data->hvs_output) {
-+              case 2:
-+                      dsp2_mux = (vc4_state->assigned_channel == 2) ? 1 : 0;
-+                      break;
-+
-+              case 3:
-+                      dsp3_mux = vc4_state->assigned_channel;
-+                      break;
-+
-+              case 4:
-+                      dsp4_mux = vc4_state->assigned_channel;
-+                      break;
-+
-+              case 5:
-+                      dsp5_mux = vc4_state->assigned_channel;
-+                      break;
-+
-+              default:
-+                      break;
-+              }
-+      }
-+
-+      reg = HVS_READ(SCALER_DISPECTRL);
-+      if (FIELD_GET(SCALER_DISPECTRL_DSP2_MUX_MASK, reg) != dsp2_mux)
-+              HVS_WRITE(SCALER_DISPECTRL,
-+                        (reg & ~SCALER_DISPECTRL_DSP2_MUX_MASK) |
-+                        VC4_SET_FIELD(dsp2_mux, SCALER_DISPECTRL_DSP2_MUX));
-+
-+      reg = HVS_READ(SCALER_DISPCTRL);
-+      if (FIELD_GET(SCALER_DISPCTRL_DSP3_MUX_MASK, reg) != dsp3_mux)
-+              HVS_WRITE(SCALER_DISPCTRL,
-+                        (reg & ~SCALER_DISPCTRL_DSP3_MUX_MASK) |
-+                        VC4_SET_FIELD(dsp3_mux, SCALER_DISPCTRL_DSP3_MUX));
-+
-+      reg = HVS_READ(SCALER_DISPEOLN);
-+      if (FIELD_GET(SCALER_DISPEOLN_DSP4_MUX_MASK, reg) != dsp4_mux)
-+              HVS_WRITE(SCALER_DISPEOLN,
-+                        (reg & ~SCALER_DISPEOLN_DSP4_MUX_MASK) |
-+                        VC4_SET_FIELD(dsp4_mux, SCALER_DISPEOLN_DSP4_MUX));
-+
-+      reg = HVS_READ(SCALER_DISPDITHER);
-+      if (FIELD_GET(SCALER_DISPDITHER_DSP5_MUX_MASK, reg) != dsp5_mux)
-+              HVS_WRITE(SCALER_DISPDITHER,
-+                        (reg & ~SCALER_DISPDITHER_DSP5_MUX_MASK) |
-+                        VC4_SET_FIELD(dsp5_mux, SCALER_DISPDITHER_DSP5_MUX));
-+}
-+
- static void
- vc4_atomic_complete_commit(struct drm_atomic_state *state)
- {
-@@ -157,11 +226,15 @@ vc4_atomic_complete_commit(struct drm_at
-       int i;
-       for (i = 0; vc4->hvs && i < dev->mode_config.num_crtc; i++) {
--              if (!state->crtcs[i].ptr || !state->crtcs[i].commit)
-+              struct __drm_crtcs_state *_state = &state->crtcs[i];
-+              struct vc4_crtc_state *vc4_crtc_state;
-+
-+              if (!_state->ptr || !_state->commit)
-                       continue;
--              vc4_crtc = to_vc4_crtc(state->crtcs[i].ptr);
--              vc4_hvs_mask_underrun(dev, vc4_crtc->channel);
-+              vc4_crtc = to_vc4_crtc(_state->ptr);
-+              vc4_crtc_state = to_vc4_crtc_state(_state->state);
-+              vc4_hvs_mask_underrun(dev, vc4_crtc_state->assigned_channel);
-       }
-       drm_atomic_helper_wait_for_fences(dev, state, false);
-@@ -170,8 +243,10 @@ vc4_atomic_complete_commit(struct drm_at
-       drm_atomic_helper_commit_modeset_disables(dev, state);
--      if (!vc4->firmware_kms)
-+      if (!vc4->firmware_kms) {
-               vc4_ctm_commit(vc4, state);
-+              vc4_hvs_pv_muxing_commit(vc4, state);
-+      }
-       drm_atomic_helper_commit_planes(dev, state, 0);
-@@ -380,8 +455,11 @@ vc4_ctm_atomic_check(struct drm_device *
-               /* CTM is being enabled or the matrix changed. */
-               if (new_crtc_state->ctm) {
-+                      struct vc4_crtc_state *vc4_crtc_state =
-+                              to_vc4_crtc_state(new_crtc_state);
-+
-                       /* fifo is 1-based since 0 disables CTM. */
--                      int fifo = to_vc4_crtc(crtc)->channel + 1;
-+                      int fifo = vc4_crtc_state->assigned_channel + 1;
-                       /* Check userland isn't trying to turn on CTM for more
-                        * than one CRTC at a time.
-@@ -494,10 +572,66 @@ static const struct drm_private_state_fu
-       .atomic_destroy_state = vc4_load_tracker_destroy_state,
- };
-+#define NUM_OUTPUTS  6
-+#define NUM_CHANNELS 3
-+
- static int
- vc4_atomic_check(struct drm_device *dev, struct drm_atomic_state *state)
- {
--      int ret;
-+      unsigned long unassigned_channels = GENMASK(NUM_CHANNELS - 1, 0);
-+      struct drm_crtc_state *crtc_state;
-+      struct drm_crtc *crtc;
-+      int i, ret;
-+
-+      for_each_new_crtc_in_state(state, crtc, crtc_state, i) {
-+              struct vc4_crtc_state *vc4_crtc_state =
-+                      to_vc4_crtc_state(crtc_state);
-+              struct vc4_crtc *vc4_crtc = to_vc4_crtc(crtc);
-+              bool is_assigned = false;
-+              unsigned int channel;
-+
-+              if (!crtc_state->active)
-+                      continue;
-+
-+              /*
-+               * The problem we have to solve here is that we have
-+               * up to 7 encoders, connected to up to 6 CRTCs.
-+               *
-+               * Those CRTCs, depending on the instance, can be
-+               * routed to 1, 2 or 3 HVS FIFOs, and we need to set
-+               * the change the muxing between FIFOs and outputs in
-+               * the HVS accordingly.
-+               *
-+               * It would be pretty hard to come up with an
-+               * algorithm that would generically solve
-+               * this. However, the current routing trees we support
-+               * allow us to simplify a bit the problem.
-+               *
-+               * Indeed, with the current supported layouts, if we
-+               * try to assign in the ascending crtc index order the
-+               * FIFOs, we can't fall into the situation where an
-+               * earlier CRTC that had multiple routes is assigned
-+               * one that was the only option for a later CRTC.
-+               *
-+               * If the layout changes and doesn't give us that in
-+               * the future, we will need to have something smarter,
-+               * but it works so far.
-+               */
-+              for_each_set_bit(channel, &unassigned_channels,
-+                               sizeof(unassigned_channels)) {
-+
-+                      if (!(BIT(channel) & vc4_crtc->data->hvs_available_channels))
-+                              continue;
-+
-+                      vc4_crtc_state->assigned_channel = channel;
-+                      unassigned_channels &= ~BIT(channel);
-+                      is_assigned = true;
-+                      break;
-+              }
-+
-+              if (!is_assigned)
-+                      return -EINVAL;
-+      }
-       ret = vc4_ctm_atomic_check(dev, state);
-       if (ret < 0)
---- a/drivers/gpu/drm/vc4/vc4_regs.h
-+++ b/drivers/gpu/drm/vc4/vc4_regs.h
-@@ -287,9 +287,19 @@
- #define SCALER_DISPID                           0x00000008
- #define SCALER_DISPECTRL                        0x0000000c
-+# define SCALER_DISPECTRL_DSP2_MUX_SHIFT      31
-+# define SCALER_DISPECTRL_DSP2_MUX_MASK               VC4_MASK(31, 31)
-+
- #define SCALER_DISPPROF                         0x00000010
-+
- #define SCALER_DISPDITHER                       0x00000014
-+# define SCALER_DISPDITHER_DSP5_MUX_SHIFT     30
-+# define SCALER_DISPDITHER_DSP5_MUX_MASK      VC4_MASK(31, 30)
-+
- #define SCALER_DISPEOLN                         0x00000018
-+# define SCALER_DISPEOLN_DSP4_MUX_SHIFT               30
-+# define SCALER_DISPEOLN_DSP4_MUX_MASK                VC4_MASK(31, 30)
-+
- #define SCALER_DISPLIST0                        0x00000020
- #define SCALER_DISPLIST1                        0x00000024
- #define SCALER_DISPLIST2                        0x00000028
diff --git a/target/linux/bcm27xx/patches-5.4/950-0561-drm-vc4-crtc-Disable-color-management-for-HVS5.patch b/target/linux/bcm27xx/patches-5.4/950-0561-drm-vc4-crtc-Disable-color-management-for-HVS5.patch
new file mode 100644 (file)
index 0000000..bd487df
--- /dev/null
@@ -0,0 +1,54 @@
+From 9e134cea82d5c69e5d564e87cda2b5cf3ec14768 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 21 Feb 2020 16:54:21 +0100
+Subject: [PATCH] drm/vc4: crtc: Disable color management for HVS5
+
+The HVS5 uses different color matrices. Disable color management support
+for now.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 17 +++++++++++------
+ 1 file changed, 11 insertions(+), 6 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -441,7 +441,7 @@ static void vc4_crtc_mode_set_nofb(struc
+       HVS_WRITE(SCALER_DISPBKGNDX(vc4_state->assigned_channel),
+                 SCALER_DISPBKGND_AUTOHS |
+-                SCALER_DISPBKGND_GAMMA |
++                ((!vc4->hvs->hvs5) ? SCALER_DISPBKGND_GAMMA : 0) |
+                 (interlace ? SCALER_DISPBKGND_INTERLACE : 0));
+       /* Reload the LUT, since the SRAMs would have been disabled if
+@@ -1156,6 +1156,7 @@ static int vc4_crtc_bind(struct device *
+ {
+       struct platform_device *pdev = to_platform_device(dev);
+       struct drm_device *drm = dev_get_drvdata(master);
++      struct vc4_dev *vc4 = to_vc4_dev(drm);
+       struct vc4_crtc *vc4_crtc;
+       struct drm_crtc *crtc;
+       struct drm_plane *primary_plane, *destroy_plane, *temp;
+@@ -1197,12 +1198,16 @@ static int vc4_crtc_bind(struct device *
+       drm_crtc_init_with_planes(drm, crtc, primary_plane, NULL,
+                                 &vc4_crtc_funcs, NULL);
+       drm_crtc_helper_add(crtc, &vc4_crtc_helper_funcs);
+-      drm_mode_crtc_set_gamma_size(crtc, ARRAY_SIZE(vc4_crtc->lut_r));
+-      /* We support CTM, but only for one CRTC at a time. It's therefore
+-       * implemented as private driver state in vc4_kms, not here.
+-       */
+-      drm_crtc_enable_color_mgmt(crtc, 0, true, crtc->gamma_size);
++      if (!vc4->hvs->hvs5) {
++              drm_mode_crtc_set_gamma_size(crtc, ARRAY_SIZE(vc4_crtc->lut_r));
++
++              /* We support CTM, but only for one CRTC at a
++               * time. It's therefore implemented as private driver
++               * state in vc4_kms, not here.
++               */
++              drm_crtc_enable_color_mgmt(crtc, 0, true, crtc->gamma_size);
++      }
+       CRTC_WRITE(PV_INTEN, 0);
+       CRTC_WRITE(PV_INTSTAT, PV_INT_VFP_START);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0562-drm-vc4-crtc-Add-FIFO-depth-to-vc4_crtc_data.patch b/target/linux/bcm27xx/patches-5.4/950-0562-drm-vc4-crtc-Add-FIFO-depth-to-vc4_crtc_data.patch
deleted file mode 100644 (file)
index e920a0b..0000000
+++ /dev/null
@@ -1,86 +0,0 @@
-From a294de7c4782f91fe724e4e5b05fd99798d50760 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 13 Jan 2020 13:39:20 +0100
-Subject: [PATCH] drm/vc4: crtc: Add FIFO depth to vc4_crtc_data
-
-Not all pixelvalve FIFOs in vc5 have the same depth, so we need to add that
-to our vc4_crtc_data structure to be able to compute the fill level
-properly later on.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 20 ++++++++++++++++----
- drivers/gpu/drm/vc4/vc4_drv.h  |  3 +++
- 2 files changed, 19 insertions(+), 4 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -250,11 +250,20 @@ vc4_crtc_update_gamma_lut(struct drm_crt
-       vc4_crtc_lut_load(crtc);
- }
--
--static u32 vc4_get_fifo_full_level(u32 format)
-+static u32 vc4_get_fifo_full_level(struct vc4_crtc *vc4_crtc, u32 format)
- {
--      static const u32 fifo_len_bytes = 64;
-+      u32 fifo_len_bytes = vc4_crtc->data->fifo_depth;
-+      /*
-+       * Pixels are pulled from the HVS if the number of bytes is
-+       * lower than the FIFO full level.
-+       *
-+       * The latency of the pixel fetch mechanism is 6 pixels, so we
-+       * need to convert those 6 pixels in bytes, depending on the
-+       * format, and then substract that from the length of the FIFO
-+       * to make sure we never end up in a situation where the FIFO
-+       * is full.
-+       */
-       switch (format) {
-       case PV_CONTROL_FORMAT_DSIV_16:
-       case PV_CONTROL_FORMAT_DSIC_16:
-@@ -369,7 +378,7 @@ static void vc4_crtc_config_pv(struct dr
-       CRTC_WRITE(PV_CONTROL,
-                  VC4_SET_FIELD(format, PV_CONTROL_FORMAT) |
--                 VC4_SET_FIELD(vc4_get_fifo_full_level(format),
-+                 VC4_SET_FIELD(vc4_get_fifo_full_level(vc4_crtc, format),
-                                PV_CONTROL_FIFO_LEVEL) |
-                  VC4_SET_FIELD(pixel_rep - 1, PV_CONTROL_PIXEL_REP) |
-                  PV_CONTROL_CLR_AT_START |
-@@ -1067,6 +1076,7 @@ static const struct vc4_crtc_data bcm283
-       .hvs_available_channels = BIT(0),
-       .hvs_output = 0,
-       .debugfs_name = "crtc0_regs",
-+      .fifo_depth = 64,
-       .pixels_per_clock = 1,
-       .encoder_types = {
-               [PV_CONTROL_CLK_SELECT_DSI] = VC4_ENCODER_TYPE_DSI0,
-@@ -1078,6 +1088,7 @@ static const struct vc4_crtc_data bcm283
-       .hvs_available_channels = BIT(2),
-       .hvs_output = 2,
-       .debugfs_name = "crtc1_regs",
-+      .fifo_depth = 64,
-       .pixels_per_clock = 1,
-       .encoder_types = {
-               [PV_CONTROL_CLK_SELECT_DSI] = VC4_ENCODER_TYPE_DSI1,
-@@ -1089,6 +1100,7 @@ static const struct vc4_crtc_data bcm283
-       .hvs_available_channels = BIT(1),
-       .hvs_output = 1,
-       .debugfs_name = "crtc2_regs",
-+      .fifo_depth = 64,
-       .pixels_per_clock = 1,
-       .encoder_types = {
-               [PV_CONTROL_CLK_SELECT_DPI_SMI_HDMI] = VC4_ENCODER_TYPE_HDMI,
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -452,6 +452,9 @@ to_vc4_encoder(struct drm_encoder *encod
- }
- struct vc4_crtc_data {
-+      /* Depth of the PixelValve FIFO in bytes */
-+      unsigned int fifo_depth;
-+
-       /* Which channels of the HVS can the output source from */
-       unsigned int hvs_available_channels;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0562-dt-bindings-display-vc4-pv-Add-BCM2711-pixel-valves.patch b/target/linux/bcm27xx/patches-5.4/950-0562-dt-bindings-display-vc4-pv-Add-BCM2711-pixel-valves.patch
new file mode 100644 (file)
index 0000000..6bf6ab7
--- /dev/null
@@ -0,0 +1,30 @@
+From 26613c79b74224154703d75c4f2d2aa120cc2e84 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 13 Feb 2020 17:07:02 +0100
+Subject: [PATCH] dt-bindings: display: vc4: pv: Add BCM2711 pixel
+ valves
+
+The BCM2711 comes with other pixelvalves that have different requirements
+and capabilities. Let's document their compatible.
+
+Cc: devicetree@vger.kernel.org
+Reviewed-by: Rob Herring <robh+dt@kernel.org>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ .../bindings/display/brcm,bcm2835-pixelvalve0.yaml           | 5 +++++
+ 1 file changed, 5 insertions(+)
+
+--- a/Documentation/devicetree/bindings/display/brcm,bcm2835-pixelvalve0.yaml
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-pixelvalve0.yaml
+@@ -15,6 +15,11 @@ properties:
+       - brcm,bcm2835-pixelvalve0
+       - brcm,bcm2835-pixelvalve1
+       - brcm,bcm2835-pixelvalve2
++      - brcm,bcm2711-pixelvalve0
++      - brcm,bcm2711-pixelvalve1
++      - brcm,bcm2711-pixelvalve2
++      - brcm,bcm2711-pixelvalve3
++      - brcm,bcm2711-pixelvalve4
+   reg:
+     maxItems: 1
diff --git a/target/linux/bcm27xx/patches-5.4/950-0563-drm-vc4-crtc-Add-BCM2711-pixelvalves.patch b/target/linux/bcm27xx/patches-5.4/950-0563-drm-vc4-crtc-Add-BCM2711-pixelvalves.patch
new file mode 100644 (file)
index 0000000..3ff57ef
--- /dev/null
@@ -0,0 +1,152 @@
+From aa43601d97bf9136b657259f44c03a6a30b70d07 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 26 Dec 2019 11:35:58 +0100
+Subject: [PATCH] drm/vc4: crtc: Add BCM2711 pixelvalves
+
+The BCM2711 has 5 pixelvalves, so now that our driver is ready, let's add
+support for them.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_crtc.c | 82 +++++++++++++++++++++++++++++++++-
+ drivers/gpu/drm/vc4/vc4_regs.h |  6 +++
+ 2 files changed, 86 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_crtc.c
++++ b/drivers/gpu/drm/vc4/vc4_crtc.c
+@@ -273,6 +273,13 @@ static u32 vc4_get_fifo_full_level(struc
+       case PV_CONTROL_FORMAT_24:
+       case PV_CONTROL_FORMAT_DSIV_24:
+       default:
++              /*
++               * For some reason, the pixelvalve4 doesn't work with
++               * the usual formula and will only work with 32.
++               */
++              if (vc4_crtc->data->hvs_output == 5)
++                      return 32;
++
+               return fifo_len_bytes - 3 * HVS_FIFO_LATENCY_PIX;
+       }
+ }
+@@ -281,8 +288,14 @@ static u32 vc4_crtc_get_fifo_full_level_
+                                            u32 format)
+ {
+       u32 level = vc4_get_fifo_full_level(vc4_crtc, format);
+-      return VC4_SET_FIELD(level & 0x3f,
+-                           PV_CONTROL_FIFO_LEVEL);
++      u32 ret = 0;
++
++      if (level > 0x3f)
++              ret |= VC4_SET_FIELD((level >> 6) & 0x3,
++                                   PV5_CONTROL_FIFO_LEVEL_HIGH);
++
++      return ret | VC4_SET_FIELD(level & 0x3f,
++                                 PV_CONTROL_FIFO_LEVEL);
+ }
+ /*
+@@ -328,6 +341,9 @@ static void vc4_crtc_config_pv(struct dr
+       CRTC_WRITE(PV_CONTROL, PV_CONTROL_FIFO_CLR | PV_CONTROL_EN);
+       CRTC_WRITE(PV_CONTROL, 0);
++      CRTC_WRITE(PV_MUX_CFG,
++                 VC4_SET_FIELD(8, PV_MUX_CFG_RGB_PIXEL_MUX_MODE));
++
+       CRTC_WRITE(PV_HORZA,
+                  VC4_SET_FIELD((mode->htotal - mode->hsync_end) * pixel_rep / ppc,
+                                PV_HORZA_HBP) |
+@@ -1115,10 +1131,72 @@ static const struct vc4_crtc_data bcm283
+       },
+ };
++static const struct vc4_crtc_data bcm2711_pv0_data = {
++      .debugfs_name = "crtc0_regs",
++      .hvs_available_channels = BIT(0),
++      .hvs_output = 0,
++      .fifo_depth = 64,
++      .pixels_per_clock = 1,
++      .encoder_types = {
++              [0] = VC4_ENCODER_TYPE_DSI0,
++              [1] = VC4_ENCODER_TYPE_DPI,
++      },
++};
++
++static const struct vc4_crtc_data bcm2711_pv1_data = {
++      .debugfs_name = "crtc1_regs",
++      .hvs_available_channels = BIT(0) | BIT(1) | BIT(2),
++      .hvs_output = 3,
++      .fifo_depth = 64,
++      .pixels_per_clock = 1,
++      .encoder_types = {
++              [0] = VC4_ENCODER_TYPE_DSI1,
++              [1] = VC4_ENCODER_TYPE_SMI,
++      },
++};
++
++static const struct vc4_crtc_data bcm2711_pv2_data = {
++      .debugfs_name = "crtc2_regs",
++      .hvs_available_channels = BIT(0) | BIT(1) | BIT(2),
++      .hvs_output = 4,
++      .fifo_depth = 256,
++      .pixels_per_clock = 2,
++      .encoder_types = {
++              [0] = VC4_ENCODER_TYPE_HDMI0,
++      },
++};
++
++static const struct vc4_crtc_data bcm2711_pv3_data = {
++      .debugfs_name = "crtc3_regs",
++      .hvs_available_channels = BIT(1),
++      .hvs_output = 1,
++      .fifo_depth = 64,
++      .pixels_per_clock = 1,
++      .encoder_types = {
++              [0] = VC4_ENCODER_TYPE_VEC,
++      },
++};
++
++static const struct vc4_crtc_data bcm2711_pv4_data = {
++      .debugfs_name = "crtc4_regs",
++      .hvs_available_channels = BIT(0) | BIT(1) | BIT(2),
++      .hvs_output = 5,
++      .fifo_depth = 64,
++      .pixels_per_clock = 2,
++      .encoder_types = {
++              [0] = VC4_ENCODER_TYPE_HDMI1,
++      },
++};
++
+ static const struct of_device_id vc4_crtc_dt_match[] = {
+       { .compatible = "brcm,bcm2835-pixelvalve0", .data = &bcm2835_pv0_data },
+       { .compatible = "brcm,bcm2835-pixelvalve1", .data = &bcm2835_pv1_data },
+       { .compatible = "brcm,bcm2835-pixelvalve2", .data = &bcm2835_pv2_data },
++      { .compatible = "brcm,bcm2711-pixelvalve0", .data = &bcm2711_pv0_data },
++      { .compatible = "brcm,bcm2711-pixelvalve1", .data = &bcm2711_pv1_data },
++      { .compatible = "brcm,bcm2711-pixelvalve2", .data = &bcm2711_pv2_data },
++      { .compatible = "brcm,bcm2711-pixelvalve3", .data = &bcm2711_pv3_data },
++      { .compatible = "brcm,bcm2711-pixelvalve4", .data = &bcm2711_pv4_data },
+       {}
+ };
+--- a/drivers/gpu/drm/vc4/vc4_regs.h
++++ b/drivers/gpu/drm/vc4/vc4_regs.h
+@@ -130,6 +130,8 @@
+ #define V3D_ERRSTAT  0x00f20
+ #define PV_CONTROL                            0x00
++# define PV5_CONTROL_FIFO_LEVEL_HIGH_MASK     VC4_MASK(26, 25)
++# define PV5_CONTROL_FIFO_LEVEL_HIGH_SHIFT    25
+ # define PV_CONTROL_FORMAT_MASK                       VC4_MASK(23, 21)
+ # define PV_CONTROL_FORMAT_SHIFT              21
+ # define PV_CONTROL_FORMAT_24                 0
+@@ -209,6 +211,10 @@
+ #define PV_HACT_ACT                           0x30
++#define PV_MUX_CFG                            0x34
++# define PV_MUX_CFG_RGB_PIXEL_MUX_MODE_MASK   VC4_MASK(5, 2)
++# define PV_MUX_CFG_RGB_PIXEL_MUX_MODE_SHIFT  2
++
+ #define SCALER_CHANNELS_COUNT                 3
+ #define SCALER_DISPCTRL                         0x00000000
diff --git a/target/linux/bcm27xx/patches-5.4/950-0563-drm-vc4-crtc-Add-function-to-compute-FIFO-level-bits.patch b/target/linux/bcm27xx/patches-5.4/950-0563-drm-vc4-crtc-Add-function-to-compute-FIFO-level-bits.patch
deleted file mode 100644 (file)
index 77952c0..0000000
+++ /dev/null
@@ -1,44 +0,0 @@
-From 2c241c25b76d105f798881e1a3c6e3c09c3b27ff Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 13 Jan 2020 13:40:37 +0100
-Subject: [PATCH] drm/vc4: crtc: Add function to compute FIFO level
- bits
-
-The longer FIFOs in vc5 pixelvalves means that the FIFO full level
-doesn't fit in the original register field and that we also have a
-secondary field. In order to prepare for this, let's move the registers
-fill part to a helper function.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 11 +++++++++--
- 1 file changed, 9 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -277,6 +277,14 @@ static u32 vc4_get_fifo_full_level(struc
-       }
- }
-+static u32 vc4_crtc_get_fifo_full_level_bits(struct vc4_crtc *vc4_crtc,
-+                                           u32 format)
-+{
-+      u32 level = vc4_get_fifo_full_level(vc4_crtc, format);
-+      return VC4_SET_FIELD(level & 0x3f,
-+                           PV_CONTROL_FIFO_LEVEL);
-+}
-+
- /*
-  * Returns the encoder attached to the CRTC.
-  *
-@@ -377,9 +385,8 @@ static void vc4_crtc_config_pv(struct dr
-       CRTC_WRITE(PV_HACT_ACT, mode->hdisplay * pixel_rep);
-       CRTC_WRITE(PV_CONTROL,
-+                 vc4_crtc_get_fifo_full_level_bits(vc4_crtc, format) |
-                  VC4_SET_FIELD(format, PV_CONTROL_FORMAT) |
--                 VC4_SET_FIELD(vc4_get_fifo_full_level(vc4_crtc, format),
--                               PV_CONTROL_FIFO_LEVEL) |
-                  VC4_SET_FIELD(pixel_rep - 1, PV_CONTROL_PIXEL_REP) |
-                  PV_CONTROL_CLR_AT_START |
-                  PV_CONTROL_TRIGGER_UNDERFLOW |
diff --git a/target/linux/bcm27xx/patches-5.4/950-0564-drm-vc4-crtc-Rename-HDMI-encoder-type-to-HDMI0.patch b/target/linux/bcm27xx/patches-5.4/950-0564-drm-vc4-crtc-Rename-HDMI-encoder-type-to-HDMI0.patch
deleted file mode 100644 (file)
index fa6a8f9..0000000
+++ /dev/null
@@ -1,49 +0,0 @@
-From ad4c39a27e141626c93b7b97df621d258bfdcbbe Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 9 Jan 2020 18:35:13 +0100
-Subject: [PATCH] drm/vc4: crtc: Rename HDMI encoder type to HDMI0
-
-The previous generations were only supporting a single HDMI controller, but
-that's about to change, so put an index as well to differentiate between
-the two controllers.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 2 +-
- drivers/gpu/drm/vc4/vc4_drv.h  | 2 +-
- drivers/gpu/drm/vc4/vc4_hdmi.c | 2 +-
- 3 files changed, 3 insertions(+), 3 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -1110,7 +1110,7 @@ static const struct vc4_crtc_data bcm283
-       .fifo_depth = 64,
-       .pixels_per_clock = 1,
-       .encoder_types = {
--              [PV_CONTROL_CLK_SELECT_DPI_SMI_HDMI] = VC4_ENCODER_TYPE_HDMI,
-+              [PV_CONTROL_CLK_SELECT_DPI_SMI_HDMI] = VC4_ENCODER_TYPE_HDMI0,
-               [PV_CONTROL_CLK_SELECT_VEC] = VC4_ENCODER_TYPE_VEC,
-       },
- };
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -431,7 +431,7 @@ to_vc4_plane_state(struct drm_plane_stat
- enum vc4_encoder_type {
-       VC4_ENCODER_TYPE_NONE,
--      VC4_ENCODER_TYPE_HDMI,
-+      VC4_ENCODER_TYPE_HDMI0,
-       VC4_ENCODER_TYPE_VEC,
-       VC4_ENCODER_TYPE_DSI0,
-       VC4_ENCODER_TYPE_DSI1,
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1320,7 +1320,7 @@ static int vc4_hdmi_bind(struct device *
-                                       GFP_KERNEL);
-       if (!vc4_hdmi_encoder)
-               return -ENOMEM;
--      vc4_hdmi_encoder->base.type = VC4_ENCODER_TYPE_HDMI;
-+      vc4_hdmi_encoder->base.type = VC4_ENCODER_TYPE_HDMI0;
-       hdmi->encoder = &vc4_hdmi_encoder->base.base;
-       hdmi->pdev = pdev;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0564-drm-vc4-hdmi-Use-debugfs-private-field.patch b/target/linux/bcm27xx/patches-5.4/950-0564-drm-vc4-hdmi-Use-debugfs-private-field.patch
new file mode 100644 (file)
index 0000000..89d8aae
--- /dev/null
@@ -0,0 +1,30 @@
+From 26fbd25a3f99a85c09d5f1ed44980c506a3eac81 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 14 Jan 2020 17:24:32 +0100
+Subject: [PATCH] drm/vc4: hdmi: Use debugfs private field
+
+We're calling vc4_debugfs_add_file with our struct vc4_hdmi pointer set
+in the private field, but we don't use that field and go through the
+main struct vc4_dev to get it.
+
+Let's use the private field directly, that will save us some trouble
+later on.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 4 +---
+ 1 file changed, 1 insertion(+), 3 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -182,9 +182,7 @@ static const struct debugfs_reg32 hd_reg
+ static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
+ {
+       struct drm_info_node *node = (struct drm_info_node *)m->private;
+-      struct drm_device *dev = node->minor->dev;
+-      struct vc4_dev *vc4 = to_vc4_dev(dev);
+-      struct vc4_hdmi *hdmi = vc4->hdmi;
++      struct vc4_hdmi *hdmi = node->info_ent->data;
+       struct drm_printer p = drm_seq_file_printer(m);
+       drm_print_regset32(&p, &hdmi->hdmi_regset);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0565-drm-vc4-crtc-Add-HDMI1-encoder-type.patch b/target/linux/bcm27xx/patches-5.4/950-0565-drm-vc4-crtc-Add-HDMI1-encoder-type.patch
deleted file mode 100644 (file)
index 00ad755..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-From 9df4f0e2da72c825d86f4f637983c712173ed272 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 9 Jan 2020 18:39:30 +0100
-Subject: [PATCH] drm/vc4: crtc: Add HDMI1 encoder type
-
-The BCM2711 sports a second HDMI controller, so let's add that second HDMI
-encoder type.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_drv.h | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -432,6 +432,7 @@ to_vc4_plane_state(struct drm_plane_stat
- enum vc4_encoder_type {
-       VC4_ENCODER_TYPE_NONE,
-       VC4_ENCODER_TYPE_HDMI0,
-+      VC4_ENCODER_TYPE_HDMI1,
-       VC4_ENCODER_TYPE_VEC,
-       VC4_ENCODER_TYPE_DSI0,
-       VC4_ENCODER_TYPE_DSI1,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0565-drm-vc4-hdmi-Move-structure-to-header.patch b/target/linux/bcm27xx/patches-5.4/950-0565-drm-vc4-hdmi-Move-structure-to-header.patch
new file mode 100644 (file)
index 0000000..430fc04
--- /dev/null
@@ -0,0 +1,195 @@
+From 13bb65d33681b0095214033a5e80186faa325854 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Wed, 18 Dec 2019 18:35:12 +0100
+Subject: [PATCH] drm/vc4: hdmi: Move structure to header
+
+We will need to share the vc4_hdmi and related structures with multiple
+files, so let's create a header for it.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 76 +-----------------------------
+ drivers/gpu/drm/vc4/vc4_hdmi.h | 86 ++++++++++++++++++++++++++++++++++
+ 2 files changed, 87 insertions(+), 75 deletions(-)
+ create mode 100644 drivers/gpu/drm/vc4/vc4_hdmi.h
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -48,87 +48,13 @@
+ #include <sound/soc.h>
+ #include "media/cec.h"
+ #include "vc4_drv.h"
++#include "vc4_hdmi.h"
+ #include "vc4_regs.h"
+ #define HSM_CLOCK_FREQ 163682864
+ #define CEC_CLOCK_FREQ 40000
+ #define CEC_CLOCK_DIV  (HSM_CLOCK_FREQ / CEC_CLOCK_FREQ)
+-/* HDMI audio information */
+-struct vc4_hdmi_audio {
+-      struct snd_soc_card card;
+-      struct snd_soc_dai_link link;
+-      struct snd_soc_dai_link_component cpu;
+-      struct snd_soc_dai_link_component codec;
+-      struct snd_soc_dai_link_component platform;
+-      int samplerate;
+-      int channels;
+-      struct snd_dmaengine_dai_dma_data dma_data;
+-      struct snd_pcm_substream *substream;
+-};
+-
+-/* General HDMI hardware state. */
+-struct vc4_hdmi {
+-      struct platform_device *pdev;
+-
+-      struct drm_encoder *encoder;
+-      struct drm_connector *connector;
+-
+-      struct vc4_hdmi_audio audio;
+-
+-      struct i2c_adapter *ddc;
+-      void __iomem *hdmicore_regs;
+-      void __iomem *hd_regs;
+-      int hpd_gpio;
+-      bool hpd_active_low;
+-
+-      struct cec_adapter *cec_adap;
+-      struct cec_msg cec_rx_msg;
+-      bool cec_tx_ok;
+-      bool cec_irq_was_rx;
+-
+-      struct clk *pixel_clock;
+-      struct clk *hsm_clock;
+-
+-      struct debugfs_regset32 hdmi_regset;
+-      struct debugfs_regset32 hd_regset;
+-};
+-
+-#define HDMI_READ(offset) readl(vc4->hdmi->hdmicore_regs + offset)
+-#define HDMI_WRITE(offset, val) writel(val, vc4->hdmi->hdmicore_regs + offset)
+-#define HD_READ(offset) readl(vc4->hdmi->hd_regs + offset)
+-#define HD_WRITE(offset, val) writel(val, vc4->hdmi->hd_regs + offset)
+-
+-/* VC4 HDMI encoder KMS struct */
+-struct vc4_hdmi_encoder {
+-      struct vc4_encoder base;
+-      bool hdmi_monitor;
+-      bool limited_rgb_range;
+-};
+-
+-static inline struct vc4_hdmi_encoder *
+-to_vc4_hdmi_encoder(struct drm_encoder *encoder)
+-{
+-      return container_of(encoder, struct vc4_hdmi_encoder, base.base);
+-}
+-
+-/* VC4 HDMI connector KMS struct */
+-struct vc4_hdmi_connector {
+-      struct drm_connector base;
+-
+-      /* Since the connector is attached to just the one encoder,
+-       * this is the reference to it so we can do the best_encoder()
+-       * hook.
+-       */
+-      struct drm_encoder *encoder;
+-};
+-
+-static inline struct vc4_hdmi_connector *
+-to_vc4_hdmi_connector(struct drm_connector *connector)
+-{
+-      return container_of(connector, struct vc4_hdmi_connector, base);
+-}
+-
+ static const struct debugfs_reg32 hdmi_regs[] = {
+       VC4_REG32(VC4_HDMI_CORE_REV),
+       VC4_REG32(VC4_HDMI_SW_RESET_CONTROL),
+--- /dev/null
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -0,0 +1,86 @@
++#ifndef _VC4_HDMI_H_
++#define _VC4_HDMI_H_
++
++#include <drm/drm_connector.h>
++#include <media/cec.h>
++#include <sound/dmaengine_pcm.h>
++#include <sound/soc.h>
++
++#include "vc4_drv.h"
++
++/* HDMI audio information */
++struct vc4_hdmi_audio {
++      struct snd_soc_card card;
++      struct snd_soc_dai_link link;
++      struct snd_soc_dai_link_component cpu;
++      struct snd_soc_dai_link_component codec;
++      struct snd_soc_dai_link_component platform;
++      int samplerate;
++      int channels;
++      struct snd_dmaengine_dai_dma_data dma_data;
++      struct snd_pcm_substream *substream;
++};
++
++/* General HDMI hardware state. */
++struct vc4_hdmi {
++      struct platform_device *pdev;
++
++      struct drm_encoder *encoder;
++      struct drm_connector *connector;
++
++      struct vc4_hdmi_audio audio;
++
++      struct i2c_adapter *ddc;
++      void __iomem *hdmicore_regs;
++      void __iomem *hd_regs;
++      int hpd_gpio;
++      bool hpd_active_low;
++
++      struct cec_adapter *cec_adap;
++      struct cec_msg cec_rx_msg;
++      bool cec_tx_ok;
++      bool cec_irq_was_rx;
++
++      struct clk *pixel_clock;
++      struct clk *hsm_clock;
++
++      struct debugfs_regset32 hdmi_regset;
++      struct debugfs_regset32 hd_regset;
++};
++
++#define HDMI_READ(offset) readl(vc4->hdmi->hdmicore_regs + offset)
++#define HDMI_WRITE(offset, val) writel(val, vc4->hdmi->hdmicore_regs + offset)
++#define HD_READ(offset) readl(vc4->hdmi->hd_regs + offset)
++#define HD_WRITE(offset, val) writel(val, vc4->hdmi->hd_regs + offset)
++
++/* VC4 HDMI encoder KMS struct */
++struct vc4_hdmi_encoder {
++      struct vc4_encoder base;
++      bool hdmi_monitor;
++      bool limited_rgb_range;
++};
++
++static inline struct vc4_hdmi_encoder *
++to_vc4_hdmi_encoder(struct drm_encoder *encoder)
++{
++      return container_of(encoder, struct vc4_hdmi_encoder, base.base);
++}
++
++/* VC4 HDMI connector KMS struct */
++struct vc4_hdmi_connector {
++      struct drm_connector base;
++
++      /* Since the connector is attached to just the one encoder,
++       * this is the reference to it so we can do the best_encoder()
++       * hook.
++       */
++      struct drm_encoder *encoder;
++};
++
++static inline struct vc4_hdmi_connector *
++to_vc4_hdmi_connector(struct drm_connector *connector)
++{
++      return container_of(connector, struct vc4_hdmi_connector, base);
++}
++
++#endif /* _VC4_HDMI_H_ */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0566-drm-vc4-crtc-Remove-redundant-call-to-drm_crtc_enabl.patch b/target/linux/bcm27xx/patches-5.4/950-0566-drm-vc4-crtc-Remove-redundant-call-to-drm_crtc_enabl.patch
deleted file mode 100644 (file)
index 5704952..0000000
+++ /dev/null
@@ -1,24 +0,0 @@
-From 278c3da2ce8c2cda6cb60946c55b5e7040dfc35a Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 21 Feb 2020 16:48:19 +0100
-Subject: [PATCH] drm/vc4: crtc: Remove redundant call to
- drm_crtc_enable_color_mgmt
-
-The driver calls the helper to add the color management properties twice,
-which is redundant. Remove the first one.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 1 -
- 1 file changed, 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -1198,7 +1198,6 @@ static int vc4_crtc_bind(struct device *
-                                 &vc4_crtc_funcs, NULL);
-       drm_crtc_helper_add(crtc, &vc4_crtc_helper_funcs);
-       drm_mode_crtc_set_gamma_size(crtc, ARRAY_SIZE(vc4_crtc->lut_r));
--      drm_crtc_enable_color_mgmt(crtc, 0, false, crtc->gamma_size);
-       /* We support CTM, but only for one CRTC at a time. It's therefore
-        * implemented as private driver state in vc4_kms, not here.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0566-drm-vc4-hdmi-rework-connectors-and-encoders.patch b/target/linux/bcm27xx/patches-5.4/950-0566-drm-vc4-hdmi-rework-connectors-and-encoders.patch
new file mode 100644 (file)
index 0000000..ebe6432
--- /dev/null
@@ -0,0 +1,348 @@
+From 50e7e810cf403c4b217c68c8ae2544d16f8063d1 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 6 Jan 2020 17:17:29 +0100
+Subject: [PATCH] drm/vc4: hdmi: rework connectors and encoders
+
+the vc4_hdmi driver has some custom structures to hold the data it needs to
+associate with the drm_encoder and drm_connector structures.
+
+However, it allocates them separately from the vc4_hdmi structure which
+makes it more complicated than it needs to be.
+
+Move those structures to be contained by vc4_hdmi and update the code
+accordingly.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 84 ++++++++++++++++------------------
+ drivers/gpu/drm/vc4/vc4_hdmi.h | 64 +++++++++++++-------------
+ 2 files changed, 71 insertions(+), 77 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -190,19 +190,14 @@ static const struct drm_connector_helper
+       .get_modes = vc4_hdmi_connector_get_modes,
+ };
+-static struct drm_connector *vc4_hdmi_connector_init(struct drm_device *dev,
+-                                                   struct drm_encoder *encoder)
++static int vc4_hdmi_connector_init(struct drm_device *dev,
++                                 struct vc4_hdmi *vc4_hdmi)
+ {
+-      struct drm_connector *connector;
+-      struct vc4_hdmi_connector *hdmi_connector;
++      struct vc4_hdmi_connector *hdmi_connector = &vc4_hdmi->connector;
++      struct drm_connector *connector = &hdmi_connector->base;
++      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+       int ret;
+-      hdmi_connector = devm_kzalloc(dev->dev, sizeof(*hdmi_connector),
+-                                    GFP_KERNEL);
+-      if (!hdmi_connector)
+-              return ERR_PTR(-ENOMEM);
+-      connector = &hdmi_connector->base;
+-
+       hdmi_connector->encoder = encoder;
+       drm_connector_init(dev, connector, &vc4_hdmi_connector_funcs,
+@@ -212,7 +207,7 @@ static struct drm_connector *vc4_hdmi_co
+       /* Create and attach TV margin props to this connector. */
+       ret = drm_mode_create_tv_margin_properties(dev);
+       if (ret)
+-              return ERR_PTR(ret);
++              return ret;
+       drm_connector_attach_tv_margin_properties(connector);
+@@ -224,7 +219,7 @@ static struct drm_connector *vc4_hdmi_co
+       drm_connector_attach_encoder(connector, encoder);
+-      return connector;
++      return 0;
+ }
+ static void vc4_hdmi_encoder_destroy(struct drm_encoder *encoder)
+@@ -303,21 +298,22 @@ static void vc4_hdmi_set_avi_infoframe(s
+       struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
+       struct vc4_dev *vc4 = encoder->dev->dev_private;
+       struct vc4_hdmi *hdmi = vc4->hdmi;
+-      struct drm_connector_state *cstate = hdmi->connector->state;
++      struct drm_connector *connector = &hdmi->connector.base;
++      struct drm_connector_state *cstate = connector->state;
+       struct drm_crtc *crtc = encoder->crtc;
+       const struct drm_display_mode *mode = &crtc->state->adjusted_mode;
+       union hdmi_infoframe frame;
+       int ret;
+       ret = drm_hdmi_avi_infoframe_from_display_mode(&frame.avi,
+-                                                     hdmi->connector, mode);
++                                                     connector, mode);
+       if (ret < 0) {
+               DRM_ERROR("couldn't fill AVI infoframe\n");
+               return;
+       }
+       drm_hdmi_avi_infoframe_quant_range(&frame.avi,
+-                                         hdmi->connector, mode,
++                                         connector, mode,
+                                          vc4_encoder->limited_rgb_range ?
+                                          HDMI_QUANTIZATION_RANGE_LIMITED :
+                                          HDMI_QUANTIZATION_RANGE_FULL);
+@@ -636,7 +632,8 @@ static const struct drm_encoder_helper_f
+ /* HDMI audio codec callbacks */
+ static void vc4_hdmi_audio_set_mai_clock(struct vc4_hdmi *hdmi)
+ {
+-      struct drm_device *drm = hdmi->encoder->dev;
++      struct drm_encoder *encoder = &hdmi->encoder.base.base;
++      struct drm_device *drm = encoder->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(drm);
+       u32 hsm_clock = clk_get_rate(hdmi->hsm_clock);
+       unsigned long n, m;
+@@ -655,7 +652,7 @@ static void vc4_hdmi_audio_set_mai_clock
+ static void vc4_hdmi_set_n_cts(struct vc4_hdmi *hdmi)
+ {
+-      struct drm_encoder *encoder = hdmi->encoder;
++      struct drm_encoder *encoder = &hdmi->encoder.base.base;
+       struct drm_crtc *crtc = encoder->crtc;
+       struct drm_device *drm = encoder->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(drm);
+@@ -693,7 +690,8 @@ static int vc4_hdmi_audio_startup(struct
+                                 struct snd_soc_dai *dai)
+ {
+       struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
+-      struct drm_encoder *encoder = hdmi->encoder;
++      struct drm_encoder *encoder = &hdmi->encoder.base.base;
++      struct drm_connector *connector = &hdmi->connector.base;
+       struct vc4_dev *vc4 = to_vc4_dev(encoder->dev);
+       int ret;
+@@ -710,8 +708,7 @@ static int vc4_hdmi_audio_startup(struct
+                               VC4_HDMI_RAM_PACKET_ENABLE))
+               return -ENODEV;
+-      ret = snd_pcm_hw_constraint_eld(substream->runtime,
+-                                      hdmi->connector->eld);
++      ret = snd_pcm_hw_constraint_eld(substream->runtime, connector->eld);
+       if (ret)
+               return ret;
+@@ -725,7 +722,7 @@ static int vc4_hdmi_audio_set_fmt(struct
+ static void vc4_hdmi_audio_reset(struct vc4_hdmi *hdmi)
+ {
+-      struct drm_encoder *encoder = hdmi->encoder;
++      struct drm_encoder *encoder = &hdmi->encoder.base.base;
+       struct drm_device *drm = encoder->dev;
+       struct device *dev = &hdmi->pdev->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(drm);
+@@ -759,7 +756,7 @@ static int vc4_hdmi_audio_hw_params(stru
+                                   struct snd_soc_dai *dai)
+ {
+       struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
+-      struct drm_encoder *encoder = hdmi->encoder;
++      struct drm_encoder *encoder = &hdmi->encoder.base.base;
+       struct drm_device *drm = encoder->dev;
+       struct device *dev = &hdmi->pdev->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(drm);
+@@ -832,7 +829,7 @@ static int vc4_hdmi_audio_trigger(struct
+                                 struct snd_soc_dai *dai)
+ {
+       struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
+-      struct drm_encoder *encoder = hdmi->encoder;
++      struct drm_encoder *encoder = &hdmi->encoder.base.base;
+       struct drm_device *drm = encoder->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(drm);
+@@ -876,9 +873,10 @@ static int vc4_hdmi_audio_eld_ctl_info(s
+ {
+       struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
+       struct vc4_hdmi *hdmi = snd_component_to_hdmi(component);
++      struct drm_connector *connector = &hdmi->connector.base;
+       uinfo->type = SNDRV_CTL_ELEM_TYPE_BYTES;
+-      uinfo->count = sizeof(hdmi->connector->eld);
++      uinfo->count = sizeof(connector->eld);
+       return 0;
+ }
+@@ -888,9 +886,10 @@ static int vc4_hdmi_audio_eld_ctl_get(st
+ {
+       struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
+       struct vc4_hdmi *hdmi = snd_component_to_hdmi(component);
++      struct drm_connector *connector = &hdmi->connector.base;
+-      memcpy(ucontrol->value.bytes.data, hdmi->connector->eld,
+-             sizeof(hdmi->connector->eld));
++      memcpy(ucontrol->value.bytes.data, connector->eld,
++             sizeof(connector->eld));
+       return 0;
+ }
+@@ -1231,7 +1230,7 @@ static int vc4_hdmi_bind(struct device *
+       struct drm_device *drm = dev_get_drvdata(master);
+       struct vc4_dev *vc4 = drm->dev_private;
+       struct vc4_hdmi *hdmi;
+-      struct vc4_hdmi_encoder *vc4_hdmi_encoder;
++      struct drm_encoder *encoder;
+       struct device_node *ddc_node;
+       u32 value;
+       int ret;
+@@ -1240,14 +1239,10 @@ static int vc4_hdmi_bind(struct device *
+       if (!hdmi)
+               return -ENOMEM;
+-      vc4_hdmi_encoder = devm_kzalloc(dev, sizeof(*vc4_hdmi_encoder),
+-                                      GFP_KERNEL);
+-      if (!vc4_hdmi_encoder)
+-              return -ENOMEM;
+-      vc4_hdmi_encoder->base.type = VC4_ENCODER_TYPE_HDMI0;
+-      hdmi->encoder = &vc4_hdmi_encoder->base.base;
+-
+       hdmi->pdev = pdev;
++      encoder = &hdmi->encoder.base.base;
++      encoder->base.type = VC4_ENCODER_TYPE_HDMI0;
++
+       hdmi->hdmicore_regs = vc4_ioremap_regs(pdev, 0);
+       if (IS_ERR(hdmi->hdmicore_regs))
+               return PTR_ERR(hdmi->hdmicore_regs);
+@@ -1333,15 +1328,14 @@ static int vc4_hdmi_bind(struct device *
+       }
+       pm_runtime_enable(dev);
+-      drm_encoder_init(drm, hdmi->encoder, &vc4_hdmi_encoder_funcs,
++      drm_encoder_init(drm, encoder, &vc4_hdmi_encoder_funcs,
+                        DRM_MODE_ENCODER_TMDS, NULL);
+-      drm_encoder_helper_add(hdmi->encoder, &vc4_hdmi_encoder_helper_funcs);
++      drm_encoder_helper_add(encoder, &vc4_hdmi_encoder_helper_funcs);
+-      hdmi->connector = vc4_hdmi_connector_init(drm, hdmi->encoder);
+-      if (IS_ERR(hdmi->connector)) {
+-              ret = PTR_ERR(hdmi->connector);
++      ret = vc4_hdmi_connector_init(drm, hdmi);
++      if (ret)
+               goto err_destroy_encoder;
+-      }
++
+ #ifdef CONFIG_DRM_VC4_HDMI_CEC
+       hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
+                                             vc4, "vc4",
+@@ -1351,7 +1345,7 @@ static int vc4_hdmi_bind(struct device *
+       if (ret < 0)
+               goto err_destroy_conn;
+-      cec_fill_conn_info_from_drm(&conn_info, hdmi->connector);
++      cec_fill_conn_info_from_drm(&conn_info, &hdmi->connector.base);
+       cec_s_conn_info(hdmi->cec_adap, &conn_info);
+       HDMI_WRITE(VC4_HDMI_CPU_MASK_SET, 0xffffffff);
+@@ -1388,10 +1382,10 @@ static int vc4_hdmi_bind(struct device *
+ err_delete_cec_adap:
+       cec_delete_adapter(hdmi->cec_adap);
+ err_destroy_conn:
+-      vc4_hdmi_connector_destroy(hdmi->connector);
++      vc4_hdmi_connector_destroy(&hdmi->connector.base);
+ #endif
+ err_destroy_encoder:
+-      vc4_hdmi_encoder_destroy(hdmi->encoder);
++      vc4_hdmi_encoder_destroy(encoder);
+ err_unprepare_hsm:
+       clk_disable_unprepare(hdmi->hsm_clock);
+       pm_runtime_disable(dev);
+@@ -1409,8 +1403,8 @@ static void vc4_hdmi_unbind(struct devic
+       struct vc4_hdmi *hdmi = vc4->hdmi;
+       cec_unregister_adapter(hdmi->cec_adap);
+-      vc4_hdmi_connector_destroy(hdmi->connector);
+-      vc4_hdmi_encoder_destroy(hdmi->encoder);
++      vc4_hdmi_connector_destroy(&hdmi->connector.base);
++      vc4_hdmi_encoder_destroy(&hdmi->encoder.base.base);
+       clk_disable_unprepare(hdmi->hsm_clock);
+       pm_runtime_disable(dev);
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -8,6 +8,36 @@
+ #include "vc4_drv.h"
++/* VC4 HDMI encoder KMS struct */
++struct vc4_hdmi_encoder {
++      struct vc4_encoder base;
++      bool hdmi_monitor;
++      bool limited_rgb_range;
++};
++
++static inline struct vc4_hdmi_encoder *
++to_vc4_hdmi_encoder(struct drm_encoder *encoder)
++{
++      return container_of(encoder, struct vc4_hdmi_encoder, base.base);
++}
++
++/* VC4 HDMI connector KMS struct */
++struct vc4_hdmi_connector {
++      struct drm_connector base;
++
++      /* Since the connector is attached to just the one encoder,
++       * this is the reference to it so we can do the best_encoder()
++       * hook.
++       */
++      struct drm_encoder *encoder;
++};
++
++static inline struct vc4_hdmi_connector *
++to_vc4_hdmi_connector(struct drm_connector *connector)
++{
++      return container_of(connector, struct vc4_hdmi_connector, base);
++}
++
+ /* HDMI audio information */
+ struct vc4_hdmi_audio {
+       struct snd_soc_card card;
+@@ -25,8 +55,8 @@ struct vc4_hdmi_audio {
+ struct vc4_hdmi {
+       struct platform_device *pdev;
+-      struct drm_encoder *encoder;
+-      struct drm_connector *connector;
++      struct vc4_hdmi_encoder encoder;
++      struct vc4_hdmi_connector connector;
+       struct vc4_hdmi_audio audio;
+@@ -53,34 +83,4 @@ struct vc4_hdmi {
+ #define HD_READ(offset) readl(vc4->hdmi->hd_regs + offset)
+ #define HD_WRITE(offset, val) writel(val, vc4->hdmi->hd_regs + offset)
+-/* VC4 HDMI encoder KMS struct */
+-struct vc4_hdmi_encoder {
+-      struct vc4_encoder base;
+-      bool hdmi_monitor;
+-      bool limited_rgb_range;
+-};
+-
+-static inline struct vc4_hdmi_encoder *
+-to_vc4_hdmi_encoder(struct drm_encoder *encoder)
+-{
+-      return container_of(encoder, struct vc4_hdmi_encoder, base.base);
+-}
+-
+-/* VC4 HDMI connector KMS struct */
+-struct vc4_hdmi_connector {
+-      struct drm_connector base;
+-
+-      /* Since the connector is attached to just the one encoder,
+-       * this is the reference to it so we can do the best_encoder()
+-       * hook.
+-       */
+-      struct drm_encoder *encoder;
+-};
+-
+-static inline struct vc4_hdmi_connector *
+-to_vc4_hdmi_connector(struct drm_connector *connector)
+-{
+-      return container_of(connector, struct vc4_hdmi_connector, base);
+-}
+-
+ #endif /* _VC4_HDMI_H_ */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0567-drm-vc4-crtc-Disable-color-management-for-HVS5.patch b/target/linux/bcm27xx/patches-5.4/950-0567-drm-vc4-crtc-Disable-color-management-for-HVS5.patch
deleted file mode 100644 (file)
index bd487df..0000000
+++ /dev/null
@@ -1,54 +0,0 @@
-From 9e134cea82d5c69e5d564e87cda2b5cf3ec14768 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 21 Feb 2020 16:54:21 +0100
-Subject: [PATCH] drm/vc4: crtc: Disable color management for HVS5
-
-The HVS5 uses different color matrices. Disable color management support
-for now.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 17 +++++++++++------
- 1 file changed, 11 insertions(+), 6 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -441,7 +441,7 @@ static void vc4_crtc_mode_set_nofb(struc
-       HVS_WRITE(SCALER_DISPBKGNDX(vc4_state->assigned_channel),
-                 SCALER_DISPBKGND_AUTOHS |
--                SCALER_DISPBKGND_GAMMA |
-+                ((!vc4->hvs->hvs5) ? SCALER_DISPBKGND_GAMMA : 0) |
-                 (interlace ? SCALER_DISPBKGND_INTERLACE : 0));
-       /* Reload the LUT, since the SRAMs would have been disabled if
-@@ -1156,6 +1156,7 @@ static int vc4_crtc_bind(struct device *
- {
-       struct platform_device *pdev = to_platform_device(dev);
-       struct drm_device *drm = dev_get_drvdata(master);
-+      struct vc4_dev *vc4 = to_vc4_dev(drm);
-       struct vc4_crtc *vc4_crtc;
-       struct drm_crtc *crtc;
-       struct drm_plane *primary_plane, *destroy_plane, *temp;
-@@ -1197,12 +1198,16 @@ static int vc4_crtc_bind(struct device *
-       drm_crtc_init_with_planes(drm, crtc, primary_plane, NULL,
-                                 &vc4_crtc_funcs, NULL);
-       drm_crtc_helper_add(crtc, &vc4_crtc_helper_funcs);
--      drm_mode_crtc_set_gamma_size(crtc, ARRAY_SIZE(vc4_crtc->lut_r));
--      /* We support CTM, but only for one CRTC at a time. It's therefore
--       * implemented as private driver state in vc4_kms, not here.
--       */
--      drm_crtc_enable_color_mgmt(crtc, 0, true, crtc->gamma_size);
-+      if (!vc4->hvs->hvs5) {
-+              drm_mode_crtc_set_gamma_size(crtc, ARRAY_SIZE(vc4_crtc->lut_r));
-+
-+              /* We support CTM, but only for one CRTC at a
-+               * time. It's therefore implemented as private driver
-+               * state in vc4_kms, not here.
-+               */
-+              drm_crtc_enable_color_mgmt(crtc, 0, true, crtc->gamma_size);
-+      }
-       CRTC_WRITE(PV_INTEN, 0);
-       CRTC_WRITE(PV_INTSTAT, PV_INT_VFP_START);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0567-drm-vc4-hdmi-Rename-hdmi-to-vc4_hdmi.patch b/target/linux/bcm27xx/patches-5.4/950-0567-drm-vc4-hdmi-Rename-hdmi-to-vc4_hdmi.patch
new file mode 100644 (file)
index 0000000..19296e9
--- /dev/null
@@ -0,0 +1,682 @@
+From 02b7a6ed6b9fc110dd26598d26c31c0837af6184 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 6 Jan 2020 18:07:05 +0100
+Subject: [PATCH] drm/vc4: hdmi: Rename hdmi to vc4_hdmi
+
+The driver isn't consistent with the name given to the vc4_hdmi
+structure pointer in its functions. Make sure to use a consistent name.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 271 +++++++++++++++++----------------
+ 1 file changed, 136 insertions(+), 135 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -108,11 +108,11 @@ static const struct debugfs_reg32 hd_reg
+ static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
+ {
+       struct drm_info_node *node = (struct drm_info_node *)m->private;
+-      struct vc4_hdmi *hdmi = node->info_ent->data;
++      struct vc4_hdmi *vc4_hdmi = node->info_ent->data;
+       struct drm_printer p = drm_seq_file_printer(m);
+-      drm_print_regset32(&p, &hdmi->hdmi_regset);
+-      drm_print_regset32(&p, &hdmi->hd_regset);
++      drm_print_regset32(&p, &vc4_hdmi->hdmi_regset);
++      drm_print_regset32(&p, &vc4_hdmi->hd_regset);
+       return 0;
+ }
+@@ -297,8 +297,8 @@ static void vc4_hdmi_set_avi_infoframe(s
+ {
+       struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
+       struct vc4_dev *vc4 = encoder->dev->dev_private;
+-      struct vc4_hdmi *hdmi = vc4->hdmi;
+-      struct drm_connector *connector = &hdmi->connector.base;
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      struct drm_connector *connector = &vc4_hdmi->connector.base;
+       struct drm_connector_state *cstate = connector->state;
+       struct drm_crtc *crtc = encoder->crtc;
+       const struct drm_display_mode *mode = &crtc->state->adjusted_mode;
+@@ -346,7 +346,7 @@ static void vc4_hdmi_set_audio_infoframe
+ {
+       struct drm_device *drm = encoder->dev;
+       struct vc4_dev *vc4 = drm->dev_private;
+-      struct vc4_hdmi *hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+       union hdmi_infoframe frame;
+       int ret;
+@@ -355,7 +355,7 @@ static void vc4_hdmi_set_audio_infoframe
+       frame.audio.coding_type = HDMI_AUDIO_CODING_TYPE_STREAM;
+       frame.audio.sample_frequency = HDMI_AUDIO_SAMPLE_FREQUENCY_STREAM;
+       frame.audio.sample_size = HDMI_AUDIO_SAMPLE_SIZE_STREAM;
+-      frame.audio.channels = hdmi->audio.channels;
++      frame.audio.channels = vc4_hdmi->audio.channels;
+       vc4_hdmi_write_infoframe(encoder, &frame);
+ }
+@@ -370,7 +370,7 @@ static void vc4_hdmi_encoder_disable(str
+ {
+       struct drm_device *dev = encoder->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
+-      struct vc4_hdmi *hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+       int ret;
+       HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG, 0);
+@@ -379,9 +379,9 @@ static void vc4_hdmi_encoder_disable(str
+       HD_WRITE(VC4_HD_VID_CTL,
+                HD_READ(VC4_HD_VID_CTL) & ~VC4_HD_VID_CTL_ENABLE);
+-      clk_disable_unprepare(hdmi->pixel_clock);
++      clk_disable_unprepare(vc4_hdmi->pixel_clock);
+-      ret = pm_runtime_put(&hdmi->pdev->dev);
++      ret = pm_runtime_put(&vc4_hdmi->pdev->dev);
+       if (ret < 0)
+               DRM_ERROR("Failed to release power domain: %d\n", ret);
+ }
+@@ -392,7 +392,7 @@ static void vc4_hdmi_encoder_enable(stru
+       struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
+       struct drm_device *dev = encoder->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
+-      struct vc4_hdmi *hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+       bool debug_dump_regs = false;
+       bool hsync_pos = mode->flags & DRM_MODE_FLAG_PHSYNC;
+       bool vsync_pos = mode->flags & DRM_MODE_FLAG_PVSYNC;
+@@ -414,13 +414,13 @@ static void vc4_hdmi_encoder_enable(stru
+       u32 csc_ctl;
+       int ret;
+-      ret = pm_runtime_get_sync(&hdmi->pdev->dev);
++      ret = pm_runtime_get_sync(&vc4_hdmi->pdev->dev);
+       if (ret < 0) {
+               DRM_ERROR("Failed to retain power domain: %d\n", ret);
+               return;
+       }
+-      ret = clk_set_rate(hdmi->pixel_clock,
++      ret = clk_set_rate(vc4_hdmi->pixel_clock,
+                          mode->clock * 1000 *
+                          ((mode->flags & DRM_MODE_FLAG_DBLCLK) ? 2 : 1));
+       if (ret) {
+@@ -428,7 +428,7 @@ static void vc4_hdmi_encoder_enable(stru
+               return;
+       }
+-      ret = clk_prepare_enable(hdmi->pixel_clock);
++      ret = clk_prepare_enable(vc4_hdmi->pixel_clock);
+       if (ret) {
+               DRM_ERROR("Failed to turn on pixel clock: %d\n", ret);
+               return;
+@@ -448,11 +448,11 @@ static void vc4_hdmi_encoder_enable(stru
+       HDMI_WRITE(VC4_HDMI_TX_PHY_RESET_CTL, 0);
+       if (debug_dump_regs) {
+-              struct drm_printer p = drm_info_printer(&hdmi->pdev->dev);
++              struct drm_printer p = drm_info_printer(&vc4_hdmi->pdev->dev);
+-              dev_info(&hdmi->pdev->dev, "HDMI regs before:\n");
+-              drm_print_regset32(&p, &hdmi->hdmi_regset);
+-              drm_print_regset32(&p, &hdmi->hd_regset);
++              dev_info(&vc4_hdmi->pdev->dev, "HDMI regs before:\n");
++              drm_print_regset32(&p, &vc4_hdmi->hdmi_regset);
++              drm_print_regset32(&p, &vc4_hdmi->hd_regset);
+       }
+       HD_WRITE(VC4_HD_VID_CTL, 0);
+@@ -527,11 +527,11 @@ static void vc4_hdmi_encoder_enable(stru
+       HDMI_WRITE(VC4_HDMI_FIFO_CTL, VC4_HDMI_FIFO_CTL_MASTER_SLAVE_N);
+       if (debug_dump_regs) {
+-              struct drm_printer p = drm_info_printer(&hdmi->pdev->dev);
++              struct drm_printer p = drm_info_printer(&vc4_hdmi->pdev->dev);
+-              dev_info(&hdmi->pdev->dev, "HDMI regs after:\n");
+-              drm_print_regset32(&p, &hdmi->hdmi_regset);
+-              drm_print_regset32(&p, &hdmi->hd_regset);
++              dev_info(&vc4_hdmi->pdev->dev, "HDMI regs after:\n");
++              drm_print_regset32(&p, &vc4_hdmi->hdmi_regset);
++              drm_print_regset32(&p, &vc4_hdmi->hd_regset);
+       }
+       HD_WRITE(VC4_HD_VID_CTL,
+@@ -630,15 +630,15 @@ static const struct drm_encoder_helper_f
+ };
+ /* HDMI audio codec callbacks */
+-static void vc4_hdmi_audio_set_mai_clock(struct vc4_hdmi *hdmi)
++static void vc4_hdmi_audio_set_mai_clock(struct vc4_hdmi *vc4_hdmi)
+ {
+-      struct drm_encoder *encoder = &hdmi->encoder.base.base;
++      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+       struct drm_device *drm = encoder->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(drm);
+-      u32 hsm_clock = clk_get_rate(hdmi->hsm_clock);
++      u32 hsm_clock = clk_get_rate(vc4_hdmi->hsm_clock);
+       unsigned long n, m;
+-      rational_best_approximation(hsm_clock, hdmi->audio.samplerate,
++      rational_best_approximation(hsm_clock, vc4_hdmi->audio.samplerate,
+                                   VC4_HD_MAI_SMP_N_MASK >>
+                                   VC4_HD_MAI_SMP_N_SHIFT,
+                                   (VC4_HD_MAI_SMP_M_MASK >>
+@@ -650,14 +650,14 @@ static void vc4_hdmi_audio_set_mai_clock
+                VC4_SET_FIELD(m - 1, VC4_HD_MAI_SMP_M));
+ }
+-static void vc4_hdmi_set_n_cts(struct vc4_hdmi *hdmi)
++static void vc4_hdmi_set_n_cts(struct vc4_hdmi *vc4_hdmi)
+ {
+-      struct drm_encoder *encoder = &hdmi->encoder.base.base;
++      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+       struct drm_crtc *crtc = encoder->crtc;
+       struct drm_device *drm = encoder->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(drm);
+       const struct drm_display_mode *mode = &crtc->state->adjusted_mode;
+-      u32 samplerate = hdmi->audio.samplerate;
++      u32 samplerate = vc4_hdmi->audio.samplerate;
+       u32 n, cts;
+       u64 tmp;
+@@ -689,16 +689,16 @@ static inline struct vc4_hdmi *dai_to_hd
+ static int vc4_hdmi_audio_startup(struct snd_pcm_substream *substream,
+                                 struct snd_soc_dai *dai)
+ {
+-      struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
+-      struct drm_encoder *encoder = &hdmi->encoder.base.base;
+-      struct drm_connector *connector = &hdmi->connector.base;
++      struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
++      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
++      struct drm_connector *connector = &vc4_hdmi->connector.base;
+       struct vc4_dev *vc4 = to_vc4_dev(encoder->dev);
+       int ret;
+-      if (hdmi->audio.substream && hdmi->audio.substream != substream)
++      if (vc4_hdmi->audio.substream && vc4_hdmi->audio.substream != substream)
+               return -EINVAL;
+-      hdmi->audio.substream = substream;
++      vc4_hdmi->audio.substream = substream;
+       /*
+        * If the HDMI encoder hasn't probed, or the encoder is
+@@ -720,11 +720,11 @@ static int vc4_hdmi_audio_set_fmt(struct
+       return 0;
+ }
+-static void vc4_hdmi_audio_reset(struct vc4_hdmi *hdmi)
++static void vc4_hdmi_audio_reset(struct vc4_hdmi *vc4_hdmi)
+ {
+-      struct drm_encoder *encoder = &hdmi->encoder.base.base;
++      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+       struct drm_device *drm = encoder->dev;
+-      struct device *dev = &hdmi->pdev->dev;
++      struct device *dev = &vc4_hdmi->pdev->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(drm);
+       int ret;
+@@ -740,14 +740,14 @@ static void vc4_hdmi_audio_reset(struct
+ static void vc4_hdmi_audio_shutdown(struct snd_pcm_substream *substream,
+                                   struct snd_soc_dai *dai)
+ {
+-      struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
++      struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
+-      if (substream != hdmi->audio.substream)
++      if (substream != vc4_hdmi->audio.substream)
+               return;
+-      vc4_hdmi_audio_reset(hdmi);
++      vc4_hdmi_audio_reset(vc4_hdmi);
+-      hdmi->audio.substream = NULL;
++      vc4_hdmi->audio.substream = NULL;
+ }
+ /* HDMI audio codec callbacks */
+@@ -755,23 +755,23 @@ static int vc4_hdmi_audio_hw_params(stru
+                                   struct snd_pcm_hw_params *params,
+                                   struct snd_soc_dai *dai)
+ {
+-      struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
+-      struct drm_encoder *encoder = &hdmi->encoder.base.base;
++      struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
++      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+       struct drm_device *drm = encoder->dev;
+-      struct device *dev = &hdmi->pdev->dev;
++      struct device *dev = &vc4_hdmi->pdev->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(drm);
+       u32 audio_packet_config, channel_mask;
+       u32 channel_map, i;
+-      if (substream != hdmi->audio.substream)
++      if (substream != vc4_hdmi->audio.substream)
+               return -EINVAL;
+       dev_dbg(dev, "%s: %u Hz, %d bit, %d channels\n", __func__,
+               params_rate(params), params_width(params),
+               params_channels(params));
+-      hdmi->audio.channels = params_channels(params);
+-      hdmi->audio.samplerate = params_rate(params);
++      vc4_hdmi->audio.channels = params_channels(params);
++      vc4_hdmi->audio.samplerate = params_rate(params);
+       HD_WRITE(VC4_HD_MAI_CTL,
+                VC4_HD_MAI_CTL_RESET |
+@@ -780,23 +780,23 @@ static int vc4_hdmi_audio_hw_params(stru
+                VC4_HD_MAI_CTL_ERRORE |
+                VC4_HD_MAI_CTL_ERRORF);
+-      vc4_hdmi_audio_set_mai_clock(hdmi);
++      vc4_hdmi_audio_set_mai_clock(vc4_hdmi);
+       audio_packet_config =
+               VC4_HDMI_AUDIO_PACKET_ZERO_DATA_ON_SAMPLE_FLAT |
+               VC4_HDMI_AUDIO_PACKET_ZERO_DATA_ON_INACTIVE_CHANNELS |
+               VC4_SET_FIELD(0xf, VC4_HDMI_AUDIO_PACKET_B_FRAME_IDENTIFIER);
+-      channel_mask = GENMASK(hdmi->audio.channels - 1, 0);
++      channel_mask = GENMASK(vc4_hdmi->audio.channels - 1, 0);
+       audio_packet_config |= VC4_SET_FIELD(channel_mask,
+                                            VC4_HDMI_AUDIO_PACKET_CEA_MASK);
+       /* Set the MAI threshold.  This logic mimics the firmware's. */
+-      if (hdmi->audio.samplerate > 96000) {
++      if (vc4_hdmi->audio.samplerate > 96000) {
+               HD_WRITE(VC4_HD_MAI_THR,
+                        VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQHIGH) |
+                        VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQLOW));
+-      } else if (hdmi->audio.samplerate > 48000) {
++      } else if (vc4_hdmi->audio.samplerate > 48000) {
+               HD_WRITE(VC4_HD_MAI_THR,
+                        VC4_SET_FIELD(0x14, VC4_HD_MAI_THR_DREQHIGH) |
+                        VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQLOW));
+@@ -820,7 +820,7 @@ static int vc4_hdmi_audio_hw_params(stru
+       HDMI_WRITE(VC4_HDMI_MAI_CHANNEL_MAP, channel_map);
+       HDMI_WRITE(VC4_HDMI_AUDIO_PACKET_CONFIG, audio_packet_config);
+-      vc4_hdmi_set_n_cts(hdmi);
++      vc4_hdmi_set_n_cts(vc4_hdmi);
+       return 0;
+ }
+@@ -828,8 +828,8 @@ static int vc4_hdmi_audio_hw_params(stru
+ static int vc4_hdmi_audio_trigger(struct snd_pcm_substream *substream, int cmd,
+                                 struct snd_soc_dai *dai)
+ {
+-      struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
+-      struct drm_encoder *encoder = &hdmi->encoder.base.base;
++      struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
++      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+       struct drm_device *drm = encoder->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(drm);
+@@ -840,7 +840,7 @@ static int vc4_hdmi_audio_trigger(struct
+                          HDMI_READ(VC4_HDMI_TX_PHY_CTL0) &
+                          ~VC4_HDMI_TX_PHY_RNG_PWRDN);
+               HD_WRITE(VC4_HD_MAI_CTL,
+-                       VC4_SET_FIELD(hdmi->audio.channels,
++                       VC4_SET_FIELD(vc4_hdmi->audio.channels,
+                                      VC4_HD_MAI_CTL_CHNUM) |
+                        VC4_HD_MAI_CTL_ENABLE);
+               break;
+@@ -872,8 +872,8 @@ static int vc4_hdmi_audio_eld_ctl_info(s
+                                      struct snd_ctl_elem_info *uinfo)
+ {
+       struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
+-      struct vc4_hdmi *hdmi = snd_component_to_hdmi(component);
+-      struct drm_connector *connector = &hdmi->connector.base;
++      struct vc4_hdmi *vc4_hdmi = snd_component_to_hdmi(component);
++      struct drm_connector *connector = &vc4_hdmi->connector.base;
+       uinfo->type = SNDRV_CTL_ELEM_TYPE_BYTES;
+       uinfo->count = sizeof(connector->eld);
+@@ -885,8 +885,8 @@ static int vc4_hdmi_audio_eld_ctl_get(st
+                                     struct snd_ctl_elem_value *ucontrol)
+ {
+       struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
+-      struct vc4_hdmi *hdmi = snd_component_to_hdmi(component);
+-      struct drm_connector *connector = &hdmi->connector.base;
++      struct vc4_hdmi *vc4_hdmi = snd_component_to_hdmi(component);
++      struct drm_connector *connector = &vc4_hdmi->connector.base;
+       memcpy(ucontrol->value.bytes.data, connector->eld,
+              sizeof(connector->eld));
+@@ -954,9 +954,9 @@ static const struct snd_soc_component_dr
+ static int vc4_hdmi_audio_cpu_dai_probe(struct snd_soc_dai *dai)
+ {
+-      struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
++      struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
+-      snd_soc_dai_init_dma_data(dai, &hdmi->audio.dma_data, NULL);
++      snd_soc_dai_init_dma_data(dai, &vc4_hdmi->audio.dma_data, NULL);
+       return 0;
+ }
+@@ -982,11 +982,11 @@ static const struct snd_dmaengine_pcm_co
+       .prepare_slave_config = snd_dmaengine_pcm_prepare_slave_config,
+ };
+-static int vc4_hdmi_audio_init(struct vc4_hdmi *hdmi)
++static int vc4_hdmi_audio_init(struct vc4_hdmi *vc4_hdmi)
+ {
+-      struct snd_soc_dai_link *dai_link = &hdmi->audio.link;
+-      struct snd_soc_card *card = &hdmi->audio.card;
+-      struct device *dev = &hdmi->pdev->dev;
++      struct snd_soc_dai_link *dai_link = &vc4_hdmi->audio.link;
++      struct snd_soc_card *card = &vc4_hdmi->audio.card;
++      struct device *dev = &vc4_hdmi->pdev->dev;
+       const __be32 *addr;
+       int ret;
+       int len;
+@@ -1006,9 +1006,9 @@ static int vc4_hdmi_audio_init(struct vc
+        * This VC/MMU should probably be exposed to avoid this kind of hacks.
+        */
+       addr = of_get_address(dev->of_node, 1, NULL, NULL);
+-      hdmi->audio.dma_data.addr = be32_to_cpup(addr) + VC4_HD_MAI_DATA;
+-      hdmi->audio.dma_data.addr_width = DMA_SLAVE_BUSWIDTH_4_BYTES;
+-      hdmi->audio.dma_data.maxburst = 2;
++      vc4_hdmi->audio.dma_data.addr = be32_to_cpup(addr) + VC4_HD_MAI_DATA;
++      vc4_hdmi->audio.dma_data.addr_width = DMA_SLAVE_BUSWIDTH_4_BYTES;
++      vc4_hdmi->audio.dma_data.maxburst = 2;
+       ret = devm_snd_dmaengine_pcm_register(dev, &pcm_conf, 0);
+       if (ret) {
+@@ -1031,9 +1031,9 @@ static int vc4_hdmi_audio_init(struct vc
+               return ret;
+       }
+-      dai_link->cpus          = &hdmi->audio.cpu;
+-      dai_link->codecs        = &hdmi->audio.codec;
+-      dai_link->platforms     = &hdmi->audio.platform;
++      dai_link->cpus          = &vc4_hdmi->audio.cpu;
++      dai_link->codecs        = &vc4_hdmi->audio.codec;
++      dai_link->platforms     = &vc4_hdmi->audio.platform;
+       dai_link->num_cpus      = 1;
+       dai_link->num_codecs    = 1;
+@@ -1059,7 +1059,7 @@ static int vc4_hdmi_audio_init(struct vc
+        * now stored in card->drvdata and should be retrieved with
+        * snd_soc_card_get_drvdata() if needed.
+        */
+-      snd_soc_card_set_drvdata(card, hdmi);
++      snd_soc_card_set_drvdata(card, vc4_hdmi);
+       ret = devm_snd_soc_register_card(dev, card);
+       if (ret)
+               dev_err(dev, "Could not register sound card: %d\n", ret);
+@@ -1072,20 +1072,21 @@ static int vc4_hdmi_audio_init(struct vc
+ static irqreturn_t vc4_cec_irq_handler_thread(int irq, void *priv)
+ {
+       struct vc4_dev *vc4 = priv;
+-      struct vc4_hdmi *hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+-      if (hdmi->cec_irq_was_rx) {
+-              if (hdmi->cec_rx_msg.len)
+-                      cec_received_msg(hdmi->cec_adap, &hdmi->cec_rx_msg);
+-      } else if (hdmi->cec_tx_ok) {
+-              cec_transmit_done(hdmi->cec_adap, CEC_TX_STATUS_OK,
++      if (vc4_hdmi->cec_irq_was_rx) {
++              if (vc4_hdmi->cec_rx_msg.len)
++                      cec_received_msg(vc4_hdmi->cec_adap,
++                                       &vc4_hdmi->cec_rx_msg);
++      } else if (vc4_hdmi->cec_tx_ok) {
++              cec_transmit_done(vc4_hdmi->cec_adap, CEC_TX_STATUS_OK,
+                                 0, 0, 0, 0);
+       } else {
+               /*
+                * This CEC implementation makes 1 retry, so if we
+                * get a NACK, then that means it made 2 attempts.
+                */
+-              cec_transmit_done(hdmi->cec_adap, CEC_TX_STATUS_NACK,
++              cec_transmit_done(vc4_hdmi->cec_adap, CEC_TX_STATUS_NACK,
+                                 0, 2, 0, 0);
+       }
+       return IRQ_HANDLED;
+@@ -1111,23 +1112,23 @@ static void vc4_cec_read_msg(struct vc4_
+ static irqreturn_t vc4_cec_irq_handler(int irq, void *priv)
+ {
+       struct vc4_dev *vc4 = priv;
+-      struct vc4_hdmi *hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+       u32 stat = HDMI_READ(VC4_HDMI_CPU_STATUS);
+       u32 cntrl1, cntrl5;
+       if (!(stat & VC4_HDMI_CPU_CEC))
+               return IRQ_NONE;
+-      hdmi->cec_rx_msg.len = 0;
++      vc4_hdmi->cec_rx_msg.len = 0;
+       cntrl1 = HDMI_READ(VC4_HDMI_CEC_CNTRL_1);
+       cntrl5 = HDMI_READ(VC4_HDMI_CEC_CNTRL_5);
+-      hdmi->cec_irq_was_rx = cntrl5 & VC4_HDMI_CEC_RX_CEC_INT;
+-      if (hdmi->cec_irq_was_rx) {
++      vc4_hdmi->cec_irq_was_rx = cntrl5 & VC4_HDMI_CEC_RX_CEC_INT;
++      if (vc4_hdmi->cec_irq_was_rx) {
+               vc4_cec_read_msg(vc4, cntrl1);
+               cntrl1 |= VC4_HDMI_CEC_CLEAR_RECEIVE_OFF;
+               HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, cntrl1);
+               cntrl1 &= ~VC4_HDMI_CEC_CLEAR_RECEIVE_OFF;
+       } else {
+-              hdmi->cec_tx_ok = cntrl1 & VC4_HDMI_CEC_TX_STATUS_GOOD;
++              vc4_hdmi->cec_tx_ok = cntrl1 & VC4_HDMI_CEC_TX_STATUS_GOOD;
+               cntrl1 &= ~VC4_HDMI_CEC_START_XMIT_BEGIN;
+       }
+       HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, cntrl1);
+@@ -1229,44 +1230,44 @@ static int vc4_hdmi_bind(struct device *
+       struct platform_device *pdev = to_platform_device(dev);
+       struct drm_device *drm = dev_get_drvdata(master);
+       struct vc4_dev *vc4 = drm->dev_private;
+-      struct vc4_hdmi *hdmi;
++      struct vc4_hdmi *vc4_hdmi;
+       struct drm_encoder *encoder;
+       struct device_node *ddc_node;
+       u32 value;
+       int ret;
+-      hdmi = devm_kzalloc(dev, sizeof(*hdmi), GFP_KERNEL);
+-      if (!hdmi)
++      vc4_hdmi = devm_kzalloc(dev, sizeof(*vc4_hdmi), GFP_KERNEL);
++      if (!vc4_hdmi)
+               return -ENOMEM;
+-      hdmi->pdev = pdev;
+-      encoder = &hdmi->encoder.base.base;
++      vc4_hdmi->pdev = pdev;
++      encoder = &vc4_hdmi->encoder.base.base;
+       encoder->base.type = VC4_ENCODER_TYPE_HDMI0;
+-      hdmi->hdmicore_regs = vc4_ioremap_regs(pdev, 0);
+-      if (IS_ERR(hdmi->hdmicore_regs))
+-              return PTR_ERR(hdmi->hdmicore_regs);
+-
+-      hdmi->hd_regs = vc4_ioremap_regs(pdev, 1);
+-      if (IS_ERR(hdmi->hd_regs))
+-              return PTR_ERR(hdmi->hd_regs);
+-
+-      hdmi->hdmi_regset.base = hdmi->hdmicore_regs;
+-      hdmi->hdmi_regset.regs = hdmi_regs;
+-      hdmi->hdmi_regset.nregs = ARRAY_SIZE(hdmi_regs);
+-      hdmi->hd_regset.base = hdmi->hd_regs;
+-      hdmi->hd_regset.regs = hd_regs;
+-      hdmi->hd_regset.nregs = ARRAY_SIZE(hd_regs);
++      vc4_hdmi->hdmicore_regs = vc4_ioremap_regs(pdev, 0);
++      if (IS_ERR(vc4_hdmi->hdmicore_regs))
++              return PTR_ERR(vc4_hdmi->hdmicore_regs);
++
++      vc4_hdmi->hd_regs = vc4_ioremap_regs(pdev, 1);
++      if (IS_ERR(vc4_hdmi->hd_regs))
++              return PTR_ERR(vc4_hdmi->hd_regs);
++
++      vc4_hdmi->hdmi_regset.base = vc4_hdmi->hdmicore_regs;
++      vc4_hdmi->hdmi_regset.regs = hdmi_regs;
++      vc4_hdmi->hdmi_regset.nregs = ARRAY_SIZE(hdmi_regs);
++      vc4_hdmi->hd_regset.base = vc4_hdmi->hd_regs;
++      vc4_hdmi->hd_regset.regs = hd_regs;
++      vc4_hdmi->hd_regset.nregs = ARRAY_SIZE(hd_regs);
+-      hdmi->pixel_clock = devm_clk_get(dev, "pixel");
+-      if (IS_ERR(hdmi->pixel_clock)) {
++      vc4_hdmi->pixel_clock = devm_clk_get(dev, "pixel");
++      if (IS_ERR(vc4_hdmi->pixel_clock)) {
+               DRM_ERROR("Failed to get pixel clock\n");
+-              return PTR_ERR(hdmi->pixel_clock);
++              return PTR_ERR(vc4_hdmi->pixel_clock);
+       }
+-      hdmi->hsm_clock = devm_clk_get(dev, "hdmi");
+-      if (IS_ERR(hdmi->hsm_clock)) {
++      vc4_hdmi->hsm_clock = devm_clk_get(dev, "hdmi");
++      if (IS_ERR(vc4_hdmi->hsm_clock)) {
+               DRM_ERROR("Failed to get HDMI state machine clock\n");
+-              return PTR_ERR(hdmi->hsm_clock);
++              return PTR_ERR(vc4_hdmi->hsm_clock);
+       }
+       ddc_node = of_parse_phandle(dev->of_node, "ddc", 0);
+@@ -1275,9 +1276,9 @@ static int vc4_hdmi_bind(struct device *
+               return -ENODEV;
+       }
+-      hdmi->ddc = of_find_i2c_adapter_by_node(ddc_node);
++      vc4_hdmi->ddc = of_find_i2c_adapter_by_node(ddc_node);
+       of_node_put(ddc_node);
+-      if (!hdmi->ddc) {
++      if (!vc4_hdmi->ddc) {
+               DRM_DEBUG("Failed to get ddc i2c adapter by node\n");
+               return -EPROBE_DEFER;
+       }
+@@ -1286,13 +1287,13 @@ static int vc4_hdmi_bind(struct device *
+        * needs to be a bit higher than the pixel clock rate
+        * (generally 148.5Mhz).
+        */
+-      ret = clk_set_rate(hdmi->hsm_clock, HSM_CLOCK_FREQ);
++      ret = clk_set_rate(vc4_hdmi->hsm_clock, HSM_CLOCK_FREQ);
+       if (ret) {
+               DRM_ERROR("Failed to set HSM clock rate: %d\n", ret);
+               goto err_put_i2c;
+       }
+-      ret = clk_prepare_enable(hdmi->hsm_clock);
++      ret = clk_prepare_enable(vc4_hdmi->hsm_clock);
+       if (ret) {
+               DRM_ERROR("Failed to turn on HDMI state machine clock: %d\n",
+                         ret);
+@@ -1305,18 +1306,18 @@ static int vc4_hdmi_bind(struct device *
+       if (of_find_property(dev->of_node, "hpd-gpios", &value)) {
+               enum of_gpio_flags hpd_gpio_flags;
+-              hdmi->hpd_gpio = of_get_named_gpio_flags(dev->of_node,
++              vc4_hdmi->hpd_gpio = of_get_named_gpio_flags(dev->of_node,
+                                                        "hpd-gpios", 0,
+                                                        &hpd_gpio_flags);
+-              if (hdmi->hpd_gpio < 0) {
+-                      ret = hdmi->hpd_gpio;
++              if (vc4_hdmi->hpd_gpio < 0) {
++                      ret = vc4_hdmi->hpd_gpio;
+                       goto err_unprepare_hsm;
+               }
+-              hdmi->hpd_active_low = hpd_gpio_flags & OF_GPIO_ACTIVE_LOW;
++              vc4_hdmi->hpd_active_low = hpd_gpio_flags & OF_GPIO_ACTIVE_LOW;
+       }
+-      vc4->hdmi = hdmi;
++      vc4->hdmi = vc4_hdmi;
+       /* HDMI core must be enabled. */
+       if (!(HD_READ(VC4_HD_M_CTL) & VC4_HD_M_ENABLE)) {
+@@ -1332,21 +1333,21 @@ static int vc4_hdmi_bind(struct device *
+                        DRM_MODE_ENCODER_TMDS, NULL);
+       drm_encoder_helper_add(encoder, &vc4_hdmi_encoder_helper_funcs);
+-      ret = vc4_hdmi_connector_init(drm, hdmi);
++      ret = vc4_hdmi_connector_init(drm, vc4_hdmi);
+       if (ret)
+               goto err_destroy_encoder;
+ #ifdef CONFIG_DRM_VC4_HDMI_CEC
+-      hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
++      vc4_hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
+                                             vc4, "vc4",
+                                             CEC_CAP_DEFAULTS |
+                                             CEC_CAP_CONNECTOR_INFO, 1);
+-      ret = PTR_ERR_OR_ZERO(hdmi->cec_adap);
++      ret = PTR_ERR_OR_ZERO(vc4_hdmi->cec_adap);
+       if (ret < 0)
+               goto err_destroy_conn;
+-      cec_fill_conn_info_from_drm(&conn_info, &hdmi->connector.base);
+-      cec_s_conn_info(hdmi->cec_adap, &conn_info);
++      cec_fill_conn_info_from_drm(&conn_info, &vc4_hdmi->connector.base);
++      cec_s_conn_info(vc4_hdmi->cec_adap, &conn_info);
+       HDMI_WRITE(VC4_HDMI_CPU_MASK_SET, 0xffffffff);
+       value = HDMI_READ(VC4_HDMI_CEC_CNTRL_1);
+@@ -1365,32 +1366,32 @@ static int vc4_hdmi_bind(struct device *
+                                       "vc4 hdmi cec", vc4);
+       if (ret)
+               goto err_delete_cec_adap;
+-      ret = cec_register_adapter(hdmi->cec_adap, dev);
++      ret = cec_register_adapter(vc4_hdmi->cec_adap, dev);
+       if (ret < 0)
+               goto err_delete_cec_adap;
+ #endif
+-      ret = vc4_hdmi_audio_init(hdmi);
++      ret = vc4_hdmi_audio_init(vc4_hdmi);
+       if (ret)
+               goto err_destroy_encoder;
+-      vc4_debugfs_add_file(drm, "hdmi_regs", vc4_hdmi_debugfs_regs, hdmi);
++      vc4_debugfs_add_file(drm, "hdmi_regs", vc4_hdmi_debugfs_regs, vc4_hdmi);
+       return 0;
+ #ifdef CONFIG_DRM_VC4_HDMI_CEC
+ err_delete_cec_adap:
+-      cec_delete_adapter(hdmi->cec_adap);
++      cec_delete_adapter(vc4_hdmi->cec_adap);
+ err_destroy_conn:
+-      vc4_hdmi_connector_destroy(&hdmi->connector.base);
++      vc4_hdmi_connector_destroy(&vc4_hdmi->connector.base);
+ #endif
+ err_destroy_encoder:
+       vc4_hdmi_encoder_destroy(encoder);
+ err_unprepare_hsm:
+-      clk_disable_unprepare(hdmi->hsm_clock);
++      clk_disable_unprepare(vc4_hdmi->hsm_clock);
+       pm_runtime_disable(dev);
+ err_put_i2c:
+-      put_device(&hdmi->ddc->dev);
++      put_device(&vc4_hdmi->ddc->dev);
+       return ret;
+ }
+@@ -1400,16 +1401,16 @@ static void vc4_hdmi_unbind(struct devic
+ {
+       struct drm_device *drm = dev_get_drvdata(master);
+       struct vc4_dev *vc4 = drm->dev_private;
+-      struct vc4_hdmi *hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+-      cec_unregister_adapter(hdmi->cec_adap);
+-      vc4_hdmi_connector_destroy(&hdmi->connector.base);
+-      vc4_hdmi_encoder_destroy(&hdmi->encoder.base.base);
++      cec_unregister_adapter(vc4_hdmi->cec_adap);
++      vc4_hdmi_connector_destroy(&vc4_hdmi->connector.base);
++      vc4_hdmi_encoder_destroy(&vc4_hdmi->encoder.base.base);
+-      clk_disable_unprepare(hdmi->hsm_clock);
++      clk_disable_unprepare(vc4_hdmi->hsm_clock);
+       pm_runtime_disable(dev);
+-      put_device(&hdmi->ddc->dev);
++      put_device(&vc4_hdmi->ddc->dev);
+       vc4->hdmi = NULL;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0568-drm-vc4-hdmi-Move-accessors-to-vc4_hdmi.patch b/target/linux/bcm27xx/patches-5.4/950-0568-drm-vc4-hdmi-Move-accessors-to-vc4_hdmi.patch
new file mode 100644 (file)
index 0000000..1e50c0c
--- /dev/null
@@ -0,0 +1,152 @@
+From d1ced662ff5ed90a489b6610144d480bfd7a64e9 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 6 Jan 2020 18:21:44 +0100
+Subject: [PATCH] drm/vc4: hdmi: Move accessors to vc4_hdmi
+
+The current driver only supports a single HDMI controller, and part of
+the issue is that the main vc4_dev structure holds a pointer to its
+(only) HDMI controller, and the HDMI registers accessors will use it to
+retrieve the mapped addresses.
+
+Let's modify those accessors to use directly the vc4_hdmi structure so
+that we can eventually get rid of that single global pointer.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 22 ++++++++--------------
+ drivers/gpu/drm/vc4/vc4_hdmi.h |  8 ++++----
+ 2 files changed, 12 insertions(+), 18 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -122,6 +122,7 @@ vc4_hdmi_connector_detect(struct drm_con
+ {
+       struct drm_device *dev = connector->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+       if (vc4->hdmi->hpd_gpio) {
+               if (gpio_get_value_cansleep(vc4->hdmi->hpd_gpio) ^
+@@ -236,6 +237,7 @@ static int vc4_hdmi_stop_packet(struct d
+ {
+       struct drm_device *dev = encoder->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+       u32 packet_id = type - 0x80;
+       HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG,
+@@ -250,6 +252,7 @@ static void vc4_hdmi_write_infoframe(str
+ {
+       struct drm_device *dev = encoder->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+       u32 packet_id = frame->any.type - 0x80;
+       u32 packet_reg = VC4_HDMI_RAM_PACKET(packet_id);
+       uint8_t buffer[VC4_HDMI_PACKET_STRIDE];
+@@ -632,9 +635,6 @@ static const struct drm_encoder_helper_f
+ /* HDMI audio codec callbacks */
+ static void vc4_hdmi_audio_set_mai_clock(struct vc4_hdmi *vc4_hdmi)
+ {
+-      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+-      struct drm_device *drm = encoder->dev;
+-      struct vc4_dev *vc4 = to_vc4_dev(drm);
+       u32 hsm_clock = clk_get_rate(vc4_hdmi->hsm_clock);
+       unsigned long n, m;
+@@ -654,8 +654,6 @@ static void vc4_hdmi_set_n_cts(struct vc
+ {
+       struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+       struct drm_crtc *crtc = encoder->crtc;
+-      struct drm_device *drm = encoder->dev;
+-      struct vc4_dev *vc4 = to_vc4_dev(drm);
+       const struct drm_display_mode *mode = &crtc->state->adjusted_mode;
+       u32 samplerate = vc4_hdmi->audio.samplerate;
+       u32 n, cts;
+@@ -692,7 +690,6 @@ static int vc4_hdmi_audio_startup(struct
+       struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
+       struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+       struct drm_connector *connector = &vc4_hdmi->connector.base;
+-      struct vc4_dev *vc4 = to_vc4_dev(encoder->dev);
+       int ret;
+       if (vc4_hdmi->audio.substream && vc4_hdmi->audio.substream != substream)
+@@ -723,9 +720,7 @@ static int vc4_hdmi_audio_set_fmt(struct
+ static void vc4_hdmi_audio_reset(struct vc4_hdmi *vc4_hdmi)
+ {
+       struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+-      struct drm_device *drm = encoder->dev;
+       struct device *dev = &vc4_hdmi->pdev->dev;
+-      struct vc4_dev *vc4 = to_vc4_dev(drm);
+       int ret;
+       ret = vc4_hdmi_stop_packet(encoder, HDMI_INFOFRAME_TYPE_AUDIO);
+@@ -756,10 +751,7 @@ static int vc4_hdmi_audio_hw_params(stru
+                                   struct snd_soc_dai *dai)
+ {
+       struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
+-      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+-      struct drm_device *drm = encoder->dev;
+       struct device *dev = &vc4_hdmi->pdev->dev;
+-      struct vc4_dev *vc4 = to_vc4_dev(drm);
+       u32 audio_packet_config, channel_mask;
+       u32 channel_map, i;
+@@ -830,8 +822,6 @@ static int vc4_hdmi_audio_trigger(struct
+ {
+       struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
+       struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+-      struct drm_device *drm = encoder->dev;
+-      struct vc4_dev *vc4 = to_vc4_dev(drm);
+       switch (cmd) {
+       case SNDRV_PCM_TRIGGER_START:
+@@ -1094,7 +1084,8 @@ static irqreturn_t vc4_cec_irq_handler_t
+ static void vc4_cec_read_msg(struct vc4_dev *vc4, u32 cntrl1)
+ {
+-      struct cec_msg *msg = &vc4->hdmi->cec_rx_msg;
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      struct cec_msg *msg = &vc4_hdmi->cec_rx_msg;
+       unsigned int i;
+       msg->len = 1 + ((cntrl1 & VC4_HDMI_CEC_REC_WRD_CNT_MASK) >>
+@@ -1140,6 +1131,7 @@ static irqreturn_t vc4_cec_irq_handler(i
+ static int vc4_hdmi_cec_adap_enable(struct cec_adapter *adap, bool enable)
+ {
+       struct vc4_dev *vc4 = cec_get_drvdata(adap);
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+       /* clock period in microseconds */
+       const u32 usecs = 1000000 / CEC_CLOCK_FREQ;
+       u32 val = HDMI_READ(VC4_HDMI_CEC_CNTRL_5);
+@@ -1183,6 +1175,7 @@ static int vc4_hdmi_cec_adap_enable(stru
+ static int vc4_hdmi_cec_adap_log_addr(struct cec_adapter *adap, u8 log_addr)
+ {
+       struct vc4_dev *vc4 = cec_get_drvdata(adap);
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+       HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1,
+                  (HDMI_READ(VC4_HDMI_CEC_CNTRL_1) & ~VC4_HDMI_CEC_ADDR_MASK) |
+@@ -1194,6 +1187,7 @@ static int vc4_hdmi_cec_adap_transmit(st
+                                     u32 signal_free_time, struct cec_msg *msg)
+ {
+       struct vc4_dev *vc4 = cec_get_drvdata(adap);
++      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+       u32 val;
+       unsigned int i;
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -78,9 +78,9 @@ struct vc4_hdmi {
+       struct debugfs_regset32 hd_regset;
+ };
+-#define HDMI_READ(offset) readl(vc4->hdmi->hdmicore_regs + offset)
+-#define HDMI_WRITE(offset, val) writel(val, vc4->hdmi->hdmicore_regs + offset)
+-#define HD_READ(offset) readl(vc4->hdmi->hd_regs + offset)
+-#define HD_WRITE(offset, val) writel(val, vc4->hdmi->hd_regs + offset)
++#define HDMI_READ(offset) readl(vc4_hdmi->hdmicore_regs + offset)
++#define HDMI_WRITE(offset, val) writel(val, vc4_hdmi->hdmicore_regs + offset)
++#define HD_READ(offset) readl(vc4_hdmi->hd_regs + offset)
++#define HD_WRITE(offset, val) writel(val, vc4_hdmi->hd_regs + offset)
+ #endif /* _VC4_HDMI_H_ */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0568-dt-bindings-display-vc4-pv-Add-BCM2711-pixel-valves.patch b/target/linux/bcm27xx/patches-5.4/950-0568-dt-bindings-display-vc4-pv-Add-BCM2711-pixel-valves.patch
deleted file mode 100644 (file)
index 6bf6ab7..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-From 26613c79b74224154703d75c4f2d2aa120cc2e84 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 13 Feb 2020 17:07:02 +0100
-Subject: [PATCH] dt-bindings: display: vc4: pv: Add BCM2711 pixel
- valves
-
-The BCM2711 comes with other pixelvalves that have different requirements
-and capabilities. Let's document their compatible.
-
-Cc: devicetree@vger.kernel.org
-Reviewed-by: Rob Herring <robh+dt@kernel.org>
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- .../bindings/display/brcm,bcm2835-pixelvalve0.yaml           | 5 +++++
- 1 file changed, 5 insertions(+)
-
---- a/Documentation/devicetree/bindings/display/brcm,bcm2835-pixelvalve0.yaml
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-pixelvalve0.yaml
-@@ -15,6 +15,11 @@ properties:
-       - brcm,bcm2835-pixelvalve0
-       - brcm,bcm2835-pixelvalve1
-       - brcm,bcm2835-pixelvalve2
-+      - brcm,bcm2711-pixelvalve0
-+      - brcm,bcm2711-pixelvalve1
-+      - brcm,bcm2711-pixelvalve2
-+      - brcm,bcm2711-pixelvalve3
-+      - brcm,bcm2711-pixelvalve4
-   reg:
-     maxItems: 1
diff --git a/target/linux/bcm27xx/patches-5.4/950-0569-drm-vc4-crtc-Add-BCM2711-pixelvalves.patch b/target/linux/bcm27xx/patches-5.4/950-0569-drm-vc4-crtc-Add-BCM2711-pixelvalves.patch
deleted file mode 100644 (file)
index 3ff57ef..0000000
+++ /dev/null
@@ -1,152 +0,0 @@
-From aa43601d97bf9136b657259f44c03a6a30b70d07 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 26 Dec 2019 11:35:58 +0100
-Subject: [PATCH] drm/vc4: crtc: Add BCM2711 pixelvalves
-
-The BCM2711 has 5 pixelvalves, so now that our driver is ready, let's add
-support for them.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_crtc.c | 82 +++++++++++++++++++++++++++++++++-
- drivers/gpu/drm/vc4/vc4_regs.h |  6 +++
- 2 files changed, 86 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_crtc.c
-+++ b/drivers/gpu/drm/vc4/vc4_crtc.c
-@@ -273,6 +273,13 @@ static u32 vc4_get_fifo_full_level(struc
-       case PV_CONTROL_FORMAT_24:
-       case PV_CONTROL_FORMAT_DSIV_24:
-       default:
-+              /*
-+               * For some reason, the pixelvalve4 doesn't work with
-+               * the usual formula and will only work with 32.
-+               */
-+              if (vc4_crtc->data->hvs_output == 5)
-+                      return 32;
-+
-               return fifo_len_bytes - 3 * HVS_FIFO_LATENCY_PIX;
-       }
- }
-@@ -281,8 +288,14 @@ static u32 vc4_crtc_get_fifo_full_level_
-                                            u32 format)
- {
-       u32 level = vc4_get_fifo_full_level(vc4_crtc, format);
--      return VC4_SET_FIELD(level & 0x3f,
--                           PV_CONTROL_FIFO_LEVEL);
-+      u32 ret = 0;
-+
-+      if (level > 0x3f)
-+              ret |= VC4_SET_FIELD((level >> 6) & 0x3,
-+                                   PV5_CONTROL_FIFO_LEVEL_HIGH);
-+
-+      return ret | VC4_SET_FIELD(level & 0x3f,
-+                                 PV_CONTROL_FIFO_LEVEL);
- }
- /*
-@@ -328,6 +341,9 @@ static void vc4_crtc_config_pv(struct dr
-       CRTC_WRITE(PV_CONTROL, PV_CONTROL_FIFO_CLR | PV_CONTROL_EN);
-       CRTC_WRITE(PV_CONTROL, 0);
-+      CRTC_WRITE(PV_MUX_CFG,
-+                 VC4_SET_FIELD(8, PV_MUX_CFG_RGB_PIXEL_MUX_MODE));
-+
-       CRTC_WRITE(PV_HORZA,
-                  VC4_SET_FIELD((mode->htotal - mode->hsync_end) * pixel_rep / ppc,
-                                PV_HORZA_HBP) |
-@@ -1115,10 +1131,72 @@ static const struct vc4_crtc_data bcm283
-       },
- };
-+static const struct vc4_crtc_data bcm2711_pv0_data = {
-+      .debugfs_name = "crtc0_regs",
-+      .hvs_available_channels = BIT(0),
-+      .hvs_output = 0,
-+      .fifo_depth = 64,
-+      .pixels_per_clock = 1,
-+      .encoder_types = {
-+              [0] = VC4_ENCODER_TYPE_DSI0,
-+              [1] = VC4_ENCODER_TYPE_DPI,
-+      },
-+};
-+
-+static const struct vc4_crtc_data bcm2711_pv1_data = {
-+      .debugfs_name = "crtc1_regs",
-+      .hvs_available_channels = BIT(0) | BIT(1) | BIT(2),
-+      .hvs_output = 3,
-+      .fifo_depth = 64,
-+      .pixels_per_clock = 1,
-+      .encoder_types = {
-+              [0] = VC4_ENCODER_TYPE_DSI1,
-+              [1] = VC4_ENCODER_TYPE_SMI,
-+      },
-+};
-+
-+static const struct vc4_crtc_data bcm2711_pv2_data = {
-+      .debugfs_name = "crtc2_regs",
-+      .hvs_available_channels = BIT(0) | BIT(1) | BIT(2),
-+      .hvs_output = 4,
-+      .fifo_depth = 256,
-+      .pixels_per_clock = 2,
-+      .encoder_types = {
-+              [0] = VC4_ENCODER_TYPE_HDMI0,
-+      },
-+};
-+
-+static const struct vc4_crtc_data bcm2711_pv3_data = {
-+      .debugfs_name = "crtc3_regs",
-+      .hvs_available_channels = BIT(1),
-+      .hvs_output = 1,
-+      .fifo_depth = 64,
-+      .pixels_per_clock = 1,
-+      .encoder_types = {
-+              [0] = VC4_ENCODER_TYPE_VEC,
-+      },
-+};
-+
-+static const struct vc4_crtc_data bcm2711_pv4_data = {
-+      .debugfs_name = "crtc4_regs",
-+      .hvs_available_channels = BIT(0) | BIT(1) | BIT(2),
-+      .hvs_output = 5,
-+      .fifo_depth = 64,
-+      .pixels_per_clock = 2,
-+      .encoder_types = {
-+              [0] = VC4_ENCODER_TYPE_HDMI1,
-+      },
-+};
-+
- static const struct of_device_id vc4_crtc_dt_match[] = {
-       { .compatible = "brcm,bcm2835-pixelvalve0", .data = &bcm2835_pv0_data },
-       { .compatible = "brcm,bcm2835-pixelvalve1", .data = &bcm2835_pv1_data },
-       { .compatible = "brcm,bcm2835-pixelvalve2", .data = &bcm2835_pv2_data },
-+      { .compatible = "brcm,bcm2711-pixelvalve0", .data = &bcm2711_pv0_data },
-+      { .compatible = "brcm,bcm2711-pixelvalve1", .data = &bcm2711_pv1_data },
-+      { .compatible = "brcm,bcm2711-pixelvalve2", .data = &bcm2711_pv2_data },
-+      { .compatible = "brcm,bcm2711-pixelvalve3", .data = &bcm2711_pv3_data },
-+      { .compatible = "brcm,bcm2711-pixelvalve4", .data = &bcm2711_pv4_data },
-       {}
- };
---- a/drivers/gpu/drm/vc4/vc4_regs.h
-+++ b/drivers/gpu/drm/vc4/vc4_regs.h
-@@ -130,6 +130,8 @@
- #define V3D_ERRSTAT  0x00f20
- #define PV_CONTROL                            0x00
-+# define PV5_CONTROL_FIFO_LEVEL_HIGH_MASK     VC4_MASK(26, 25)
-+# define PV5_CONTROL_FIFO_LEVEL_HIGH_SHIFT    25
- # define PV_CONTROL_FORMAT_MASK                       VC4_MASK(23, 21)
- # define PV_CONTROL_FORMAT_SHIFT              21
- # define PV_CONTROL_FORMAT_24                 0
-@@ -209,6 +211,10 @@
- #define PV_HACT_ACT                           0x30
-+#define PV_MUX_CFG                            0x34
-+# define PV_MUX_CFG_RGB_PIXEL_MUX_MODE_MASK   VC4_MASK(5, 2)
-+# define PV_MUX_CFG_RGB_PIXEL_MUX_MODE_SHIFT  2
-+
- #define SCALER_CHANNELS_COUNT                 3
- #define SCALER_DISPCTRL                         0x00000000
diff --git a/target/linux/bcm27xx/patches-5.4/950-0569-drm-vc4-hdmi-Use-local-vc4_hdmi-directly.patch b/target/linux/bcm27xx/patches-5.4/950-0569-drm-vc4-hdmi-Use-local-vc4_hdmi-directly.patch
new file mode 100644 (file)
index 0000000..9b60fbb
--- /dev/null
@@ -0,0 +1,45 @@
+From 985efd0f9da3d2b60e34d10efee969e4dfd85a12 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 6 Jan 2020 18:44:36 +0100
+Subject: [PATCH] drm/vc4: hdmi: Use local vc4_hdmi directly
+
+The function vc4_hdmi_connector_detect access its vc4_hdmi struct by
+dereferencing the pointer in the structure vc4_dev. This will cause some
+issues when we will have multiple HDMI controllers, so let's just use the
+local variable for now instead of dereferencing that pointer all the time,
+and we'll fix the local variable later.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 12 ++++++------
+ 1 file changed, 6 insertions(+), 6 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -124,20 +124,20 @@ vc4_hdmi_connector_detect(struct drm_con
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
+       struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+-      if (vc4->hdmi->hpd_gpio) {
+-              if (gpio_get_value_cansleep(vc4->hdmi->hpd_gpio) ^
+-                  vc4->hdmi->hpd_active_low)
++      if (vc4_hdmi->hpd_gpio) {
++              if (gpio_get_value_cansleep(vc4_hdmi->hpd_gpio) ^
++                  vc4_hdmi->hpd_active_low)
+                       return connector_status_connected;
+-              cec_phys_addr_invalidate(vc4->hdmi->cec_adap);
++              cec_phys_addr_invalidate(vc4_hdmi->cec_adap);
+               return connector_status_disconnected;
+       }
+-      if (drm_probe_ddc(vc4->hdmi->ddc))
++      if (drm_probe_ddc(vc4_hdmi->ddc))
+               return connector_status_connected;
+       if (HDMI_READ(VC4_HDMI_HOTPLUG) & VC4_HDMI_HOTPLUG_CONNECTED)
+               return connector_status_connected;
+-      cec_phys_addr_invalidate(vc4->hdmi->cec_adap);
++      cec_phys_addr_invalidate(vc4_hdmi->cec_adap);
+       return connector_status_disconnected;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0570-drm-vc4-hdmi-Add-container_of-macros-for-encoders-an.patch b/target/linux/bcm27xx/patches-5.4/950-0570-drm-vc4-hdmi-Add-container_of-macros-for-encoders-an.patch
new file mode 100644 (file)
index 0000000..1d70ca8
--- /dev/null
@@ -0,0 +1,151 @@
+From fe19f02dbfd020df9b028cf2c580417c4edc31b3 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 6 Jan 2020 18:45:46 +0100
+Subject: [PATCH] drm/vc4: hdmi: Add container_of macros for encoders
+ and connectors
+
+Whenever the code needs to access the vc4_hdmi structure from a DRM
+connector or encoder, it first accesses the drm_device associated to the
+connector, then retrieve the drm_dev private data which gives it a
+pointer to our vc4_dev, and will finally follow the vc4_hdmi pointer in
+that structure.
+
+That will also give us some trouble when having multiple controllers,
+but now that we have our encoder and connector structures that are part
+of vc4_hdmi, we can simply call container_of on the DRM connector or
+encoder and retrieve the vc4_hdmi structure directly.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 41 ++++++++++------------------------
+ drivers/gpu/drm/vc4/vc4_hdmi.h | 16 +++++++++++++
+ 2 files changed, 28 insertions(+), 29 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -120,9 +120,7 @@ static int vc4_hdmi_debugfs_regs(struct
+ static enum drm_connector_status
+ vc4_hdmi_connector_detect(struct drm_connector *connector, bool force)
+ {
+-      struct drm_device *dev = connector->dev;
+-      struct vc4_dev *vc4 = to_vc4_dev(dev);
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = connector_to_vc4_hdmi(connector);
+       if (vc4_hdmi->hpd_gpio) {
+               if (gpio_get_value_cansleep(vc4_hdmi->hpd_gpio) ^
+@@ -149,17 +147,13 @@ static void vc4_hdmi_connector_destroy(s
+ static int vc4_hdmi_connector_get_modes(struct drm_connector *connector)
+ {
+-      struct vc4_hdmi_connector *vc4_connector =
+-              to_vc4_hdmi_connector(connector);
+-      struct drm_encoder *encoder = vc4_connector->encoder;
+-      struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
+-      struct drm_device *dev = connector->dev;
+-      struct vc4_dev *vc4 = to_vc4_dev(dev);
++      struct vc4_hdmi *vc4_hdmi = connector_to_vc4_hdmi(connector);
++      struct vc4_hdmi_encoder *vc4_encoder = &vc4_hdmi->encoder;
+       int ret = 0;
+       struct edid *edid;
+-      edid = drm_get_edid(connector, vc4->hdmi->ddc);
+-      cec_s_phys_addr_from_edid(vc4->hdmi->cec_adap, edid);
++      edid = drm_get_edid(connector, vc4_hdmi->ddc);
++      cec_s_phys_addr_from_edid(vc4_hdmi->cec_adap, edid);
+       if (!edid)
+               return -ENODEV;
+@@ -235,9 +229,7 @@ static const struct drm_encoder_funcs vc
+ static int vc4_hdmi_stop_packet(struct drm_encoder *encoder,
+                               enum hdmi_infoframe_type type)
+ {
+-      struct drm_device *dev = encoder->dev;
+-      struct vc4_dev *vc4 = to_vc4_dev(dev);
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
+       u32 packet_id = type - 0x80;
+       HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG,
+@@ -250,9 +242,7 @@ static int vc4_hdmi_stop_packet(struct d
+ static void vc4_hdmi_write_infoframe(struct drm_encoder *encoder,
+                                    union hdmi_infoframe *frame)
+ {
+-      struct drm_device *dev = encoder->dev;
+-      struct vc4_dev *vc4 = to_vc4_dev(dev);
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
+       u32 packet_id = frame->any.type - 0x80;
+       u32 packet_reg = VC4_HDMI_RAM_PACKET(packet_id);
+       uint8_t buffer[VC4_HDMI_PACKET_STRIDE];
+@@ -298,9 +288,8 @@ static void vc4_hdmi_write_infoframe(str
+ static void vc4_hdmi_set_avi_infoframe(struct drm_encoder *encoder)
+ {
++      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
+       struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
+-      struct vc4_dev *vc4 = encoder->dev->dev_private;
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+       struct drm_connector *connector = &vc4_hdmi->connector.base;
+       struct drm_connector_state *cstate = connector->state;
+       struct drm_crtc *crtc = encoder->crtc;
+@@ -347,9 +336,7 @@ static void vc4_hdmi_set_spd_infoframe(s
+ static void vc4_hdmi_set_audio_infoframe(struct drm_encoder *encoder)
+ {
+-      struct drm_device *drm = encoder->dev;
+-      struct vc4_dev *vc4 = drm->dev_private;
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
+       union hdmi_infoframe frame;
+       int ret;
+@@ -371,9 +358,7 @@ static void vc4_hdmi_set_infoframes(stru
+ static void vc4_hdmi_encoder_disable(struct drm_encoder *encoder)
+ {
+-      struct drm_device *dev = encoder->dev;
+-      struct vc4_dev *vc4 = to_vc4_dev(dev);
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
+       int ret;
+       HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG, 0);
+@@ -392,10 +377,8 @@ static void vc4_hdmi_encoder_disable(str
+ static void vc4_hdmi_encoder_enable(struct drm_encoder *encoder)
+ {
+       struct drm_display_mode *mode = &encoder->crtc->state->adjusted_mode;
+-      struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
+-      struct drm_device *dev = encoder->dev;
+-      struct vc4_dev *vc4 = to_vc4_dev(dev);
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
++      struct vc4_hdmi_encoder *vc4_encoder = &vc4_hdmi->encoder;
+       bool debug_dump_regs = false;
+       bool hsync_pos = mode->flags & DRM_MODE_FLAG_PHSYNC;
+       bool vsync_pos = mode->flags & DRM_MODE_FLAG_PVSYNC;
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -78,6 +78,22 @@ struct vc4_hdmi {
+       struct debugfs_regset32 hd_regset;
+ };
++static inline struct vc4_hdmi *
++connector_to_vc4_hdmi(struct drm_connector *connector)
++{
++      struct vc4_hdmi_connector *_connector = to_vc4_hdmi_connector(connector);
++
++      return container_of(_connector, struct vc4_hdmi, connector);
++}
++
++static inline struct vc4_hdmi *
++encoder_to_vc4_hdmi(struct drm_encoder *encoder)
++{
++      struct vc4_hdmi_encoder *_encoder = to_vc4_hdmi_encoder(encoder);
++
++      return container_of(_encoder, struct vc4_hdmi, encoder);
++}
++
+ #define HDMI_READ(offset) readl(vc4_hdmi->hdmicore_regs + offset)
+ #define HDMI_WRITE(offset, val) writel(val, vc4_hdmi->hdmicore_regs + offset)
+ #define HD_READ(offset) readl(vc4_hdmi->hd_regs + offset)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0570-drm-vc4-hdmi-Use-debugfs-private-field.patch b/target/linux/bcm27xx/patches-5.4/950-0570-drm-vc4-hdmi-Use-debugfs-private-field.patch
deleted file mode 100644 (file)
index 89d8aae..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-From 26fbd25a3f99a85c09d5f1ed44980c506a3eac81 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Tue, 14 Jan 2020 17:24:32 +0100
-Subject: [PATCH] drm/vc4: hdmi: Use debugfs private field
-
-We're calling vc4_debugfs_add_file with our struct vc4_hdmi pointer set
-in the private field, but we don't use that field and go through the
-main struct vc4_dev to get it.
-
-Let's use the private field directly, that will save us some trouble
-later on.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 4 +---
- 1 file changed, 1 insertion(+), 3 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -182,9 +182,7 @@ static const struct debugfs_reg32 hd_reg
- static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
- {
-       struct drm_info_node *node = (struct drm_info_node *)m->private;
--      struct drm_device *dev = node->minor->dev;
--      struct vc4_dev *vc4 = to_vc4_dev(dev);
--      struct vc4_hdmi *hdmi = vc4->hdmi;
-+      struct vc4_hdmi *hdmi = node->info_ent->data;
-       struct drm_printer p = drm_seq_file_printer(m);
-       drm_print_regset32(&p, &hdmi->hdmi_regset);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0571-drm-vc4-hdmi-Move-structure-to-header.patch b/target/linux/bcm27xx/patches-5.4/950-0571-drm-vc4-hdmi-Move-structure-to-header.patch
deleted file mode 100644 (file)
index 430fc04..0000000
+++ /dev/null
@@ -1,195 +0,0 @@
-From 13bb65d33681b0095214033a5e80186faa325854 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Wed, 18 Dec 2019 18:35:12 +0100
-Subject: [PATCH] drm/vc4: hdmi: Move structure to header
-
-We will need to share the vc4_hdmi and related structures with multiple
-files, so let's create a header for it.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 76 +-----------------------------
- drivers/gpu/drm/vc4/vc4_hdmi.h | 86 ++++++++++++++++++++++++++++++++++
- 2 files changed, 87 insertions(+), 75 deletions(-)
- create mode 100644 drivers/gpu/drm/vc4/vc4_hdmi.h
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -48,87 +48,13 @@
- #include <sound/soc.h>
- #include "media/cec.h"
- #include "vc4_drv.h"
-+#include "vc4_hdmi.h"
- #include "vc4_regs.h"
- #define HSM_CLOCK_FREQ 163682864
- #define CEC_CLOCK_FREQ 40000
- #define CEC_CLOCK_DIV  (HSM_CLOCK_FREQ / CEC_CLOCK_FREQ)
--/* HDMI audio information */
--struct vc4_hdmi_audio {
--      struct snd_soc_card card;
--      struct snd_soc_dai_link link;
--      struct snd_soc_dai_link_component cpu;
--      struct snd_soc_dai_link_component codec;
--      struct snd_soc_dai_link_component platform;
--      int samplerate;
--      int channels;
--      struct snd_dmaengine_dai_dma_data dma_data;
--      struct snd_pcm_substream *substream;
--};
--
--/* General HDMI hardware state. */
--struct vc4_hdmi {
--      struct platform_device *pdev;
--
--      struct drm_encoder *encoder;
--      struct drm_connector *connector;
--
--      struct vc4_hdmi_audio audio;
--
--      struct i2c_adapter *ddc;
--      void __iomem *hdmicore_regs;
--      void __iomem *hd_regs;
--      int hpd_gpio;
--      bool hpd_active_low;
--
--      struct cec_adapter *cec_adap;
--      struct cec_msg cec_rx_msg;
--      bool cec_tx_ok;
--      bool cec_irq_was_rx;
--
--      struct clk *pixel_clock;
--      struct clk *hsm_clock;
--
--      struct debugfs_regset32 hdmi_regset;
--      struct debugfs_regset32 hd_regset;
--};
--
--#define HDMI_READ(offset) readl(vc4->hdmi->hdmicore_regs + offset)
--#define HDMI_WRITE(offset, val) writel(val, vc4->hdmi->hdmicore_regs + offset)
--#define HD_READ(offset) readl(vc4->hdmi->hd_regs + offset)
--#define HD_WRITE(offset, val) writel(val, vc4->hdmi->hd_regs + offset)
--
--/* VC4 HDMI encoder KMS struct */
--struct vc4_hdmi_encoder {
--      struct vc4_encoder base;
--      bool hdmi_monitor;
--      bool limited_rgb_range;
--};
--
--static inline struct vc4_hdmi_encoder *
--to_vc4_hdmi_encoder(struct drm_encoder *encoder)
--{
--      return container_of(encoder, struct vc4_hdmi_encoder, base.base);
--}
--
--/* VC4 HDMI connector KMS struct */
--struct vc4_hdmi_connector {
--      struct drm_connector base;
--
--      /* Since the connector is attached to just the one encoder,
--       * this is the reference to it so we can do the best_encoder()
--       * hook.
--       */
--      struct drm_encoder *encoder;
--};
--
--static inline struct vc4_hdmi_connector *
--to_vc4_hdmi_connector(struct drm_connector *connector)
--{
--      return container_of(connector, struct vc4_hdmi_connector, base);
--}
--
- static const struct debugfs_reg32 hdmi_regs[] = {
-       VC4_REG32(VC4_HDMI_CORE_REV),
-       VC4_REG32(VC4_HDMI_SW_RESET_CONTROL),
---- /dev/null
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -0,0 +1,86 @@
-+#ifndef _VC4_HDMI_H_
-+#define _VC4_HDMI_H_
-+
-+#include <drm/drm_connector.h>
-+#include <media/cec.h>
-+#include <sound/dmaengine_pcm.h>
-+#include <sound/soc.h>
-+
-+#include "vc4_drv.h"
-+
-+/* HDMI audio information */
-+struct vc4_hdmi_audio {
-+      struct snd_soc_card card;
-+      struct snd_soc_dai_link link;
-+      struct snd_soc_dai_link_component cpu;
-+      struct snd_soc_dai_link_component codec;
-+      struct snd_soc_dai_link_component platform;
-+      int samplerate;
-+      int channels;
-+      struct snd_dmaengine_dai_dma_data dma_data;
-+      struct snd_pcm_substream *substream;
-+};
-+
-+/* General HDMI hardware state. */
-+struct vc4_hdmi {
-+      struct platform_device *pdev;
-+
-+      struct drm_encoder *encoder;
-+      struct drm_connector *connector;
-+
-+      struct vc4_hdmi_audio audio;
-+
-+      struct i2c_adapter *ddc;
-+      void __iomem *hdmicore_regs;
-+      void __iomem *hd_regs;
-+      int hpd_gpio;
-+      bool hpd_active_low;
-+
-+      struct cec_adapter *cec_adap;
-+      struct cec_msg cec_rx_msg;
-+      bool cec_tx_ok;
-+      bool cec_irq_was_rx;
-+
-+      struct clk *pixel_clock;
-+      struct clk *hsm_clock;
-+
-+      struct debugfs_regset32 hdmi_regset;
-+      struct debugfs_regset32 hd_regset;
-+};
-+
-+#define HDMI_READ(offset) readl(vc4->hdmi->hdmicore_regs + offset)
-+#define HDMI_WRITE(offset, val) writel(val, vc4->hdmi->hdmicore_regs + offset)
-+#define HD_READ(offset) readl(vc4->hdmi->hd_regs + offset)
-+#define HD_WRITE(offset, val) writel(val, vc4->hdmi->hd_regs + offset)
-+
-+/* VC4 HDMI encoder KMS struct */
-+struct vc4_hdmi_encoder {
-+      struct vc4_encoder base;
-+      bool hdmi_monitor;
-+      bool limited_rgb_range;
-+};
-+
-+static inline struct vc4_hdmi_encoder *
-+to_vc4_hdmi_encoder(struct drm_encoder *encoder)
-+{
-+      return container_of(encoder, struct vc4_hdmi_encoder, base.base);
-+}
-+
-+/* VC4 HDMI connector KMS struct */
-+struct vc4_hdmi_connector {
-+      struct drm_connector base;
-+
-+      /* Since the connector is attached to just the one encoder,
-+       * this is the reference to it so we can do the best_encoder()
-+       * hook.
-+       */
-+      struct drm_encoder *encoder;
-+};
-+
-+static inline struct vc4_hdmi_connector *
-+to_vc4_hdmi_connector(struct drm_connector *connector)
-+{
-+      return container_of(connector, struct vc4_hdmi_connector, base);
-+}
-+
-+#endif /* _VC4_HDMI_H_ */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0571-drm-vc4-hdmi-Pass-vc4_hdmi-to-CEC-code.patch b/target/linux/bcm27xx/patches-5.4/950-0571-drm-vc4-hdmi-Pass-vc4_hdmi-to-CEC-code.patch
new file mode 100644 (file)
index 0000000..092efc1
--- /dev/null
@@ -0,0 +1,107 @@
+From 8af2552e862100e843b8d1f36543b718dde393ad Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 6 Jan 2020 18:47:53 +0100
+Subject: [PATCH] drm/vc4: hdmi: Pass vc4_hdmi to CEC code
+
+Our CEC code also retrieves the associated vc4_hdmi by setting the
+vc4_dev pointer as its private data, and then dereferences its vc4_hdmi
+pointer.
+
+In order to eventually get rid of that pointer, we can simply pass the
+vc4_hdmi pointer directly.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 24 +++++++++---------------
+ 1 file changed, 9 insertions(+), 15 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1044,8 +1044,7 @@ static int vc4_hdmi_audio_init(struct vc
+ #ifdef CONFIG_DRM_VC4_HDMI_CEC
+ static irqreturn_t vc4_cec_irq_handler_thread(int irq, void *priv)
+ {
+-      struct vc4_dev *vc4 = priv;
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = priv;
+       if (vc4_hdmi->cec_irq_was_rx) {
+               if (vc4_hdmi->cec_rx_msg.len)
+@@ -1065,9 +1064,8 @@ static irqreturn_t vc4_cec_irq_handler_t
+       return IRQ_HANDLED;
+ }
+-static void vc4_cec_read_msg(struct vc4_dev *vc4, u32 cntrl1)
++static void vc4_cec_read_msg(struct vc4_hdmi *vc4_hdmi, u32 cntrl1)
+ {
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
+       struct cec_msg *msg = &vc4_hdmi->cec_rx_msg;
+       unsigned int i;
+@@ -1085,8 +1083,7 @@ static void vc4_cec_read_msg(struct vc4_
+ static irqreturn_t vc4_cec_irq_handler(int irq, void *priv)
+ {
+-      struct vc4_dev *vc4 = priv;
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = priv;
+       u32 stat = HDMI_READ(VC4_HDMI_CPU_STATUS);
+       u32 cntrl1, cntrl5;
+@@ -1097,7 +1094,7 @@ static irqreturn_t vc4_cec_irq_handler(i
+       cntrl5 = HDMI_READ(VC4_HDMI_CEC_CNTRL_5);
+       vc4_hdmi->cec_irq_was_rx = cntrl5 & VC4_HDMI_CEC_RX_CEC_INT;
+       if (vc4_hdmi->cec_irq_was_rx) {
+-              vc4_cec_read_msg(vc4, cntrl1);
++              vc4_cec_read_msg(vc4_hdmi, cntrl1);
+               cntrl1 |= VC4_HDMI_CEC_CLEAR_RECEIVE_OFF;
+               HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, cntrl1);
+               cntrl1 &= ~VC4_HDMI_CEC_CLEAR_RECEIVE_OFF;
+@@ -1113,8 +1110,7 @@ static irqreturn_t vc4_cec_irq_handler(i
+ static int vc4_hdmi_cec_adap_enable(struct cec_adapter *adap, bool enable)
+ {
+-      struct vc4_dev *vc4 = cec_get_drvdata(adap);
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = cec_get_drvdata(adap);
+       /* clock period in microseconds */
+       const u32 usecs = 1000000 / CEC_CLOCK_FREQ;
+       u32 val = HDMI_READ(VC4_HDMI_CEC_CNTRL_5);
+@@ -1157,8 +1153,7 @@ static int vc4_hdmi_cec_adap_enable(stru
+ static int vc4_hdmi_cec_adap_log_addr(struct cec_adapter *adap, u8 log_addr)
+ {
+-      struct vc4_dev *vc4 = cec_get_drvdata(adap);
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = cec_get_drvdata(adap);
+       HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1,
+                  (HDMI_READ(VC4_HDMI_CEC_CNTRL_1) & ~VC4_HDMI_CEC_ADDR_MASK) |
+@@ -1169,8 +1164,7 @@ static int vc4_hdmi_cec_adap_log_addr(st
+ static int vc4_hdmi_cec_adap_transmit(struct cec_adapter *adap, u8 attempts,
+                                     u32 signal_free_time, struct cec_msg *msg)
+ {
+-      struct vc4_dev *vc4 = cec_get_drvdata(adap);
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      struct vc4_hdmi *vc4_hdmi = cec_get_drvdata(adap);
+       u32 val;
+       unsigned int i;
+@@ -1316,7 +1310,7 @@ static int vc4_hdmi_bind(struct device *
+ #ifdef CONFIG_DRM_VC4_HDMI_CEC
+       vc4_hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
+-                                            vc4, "vc4",
++                                            vc4_hdmi, "vc4",
+                                             CEC_CAP_DEFAULTS |
+                                             CEC_CAP_CONNECTOR_INFO, 1);
+       ret = PTR_ERR_OR_ZERO(vc4_hdmi->cec_adap);
+@@ -1340,7 +1334,7 @@ static int vc4_hdmi_bind(struct device *
+       ret = devm_request_threaded_irq(dev, platform_get_irq(pdev, 0),
+                                       vc4_cec_irq_handler,
+                                       vc4_cec_irq_handler_thread, 0,
+-                                      "vc4 hdmi cec", vc4);
++                                      "vc4 hdmi cec", vc4_hdmi);
+       if (ret)
+               goto err_delete_cec_adap;
+       ret = cec_register_adapter(vc4_hdmi->cec_adap, dev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0572-drm-vc4-hdmi-Remove-vc4_dev-hdmi-pointer.patch b/target/linux/bcm27xx/patches-5.4/950-0572-drm-vc4-hdmi-Remove-vc4_dev-hdmi-pointer.patch
new file mode 100644 (file)
index 0000000..24e4229
--- /dev/null
@@ -0,0 +1,67 @@
+From 9e56da09cb8d8f65a26cfa0a957e295646ca47f8 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 6 Jan 2020 18:49:11 +0100
+Subject: [PATCH] drm/vc4: hdmi: Remove vc4_dev hdmi pointer
+
+Now that we don't have any users anymore, we can kill that pointer.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_drv.h  |  1 -
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 14 ++++++--------
+ 2 files changed, 6 insertions(+), 9 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -76,7 +76,6 @@ struct vc4_dev {
+       bool firmware_kms;
+       struct rpi_firmware *firmware;
+-      struct vc4_hdmi *hdmi;
+       struct vc4_hvs *hvs;
+       struct vc4_v3d *v3d;
+       struct vc4_dpi *dpi;
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1200,7 +1200,6 @@ static int vc4_hdmi_bind(struct device *
+ #endif
+       struct platform_device *pdev = to_platform_device(dev);
+       struct drm_device *drm = dev_get_drvdata(master);
+-      struct vc4_dev *vc4 = drm->dev_private;
+       struct vc4_hdmi *vc4_hdmi;
+       struct drm_encoder *encoder;
+       struct device_node *ddc_node;
+@@ -1288,8 +1287,6 @@ static int vc4_hdmi_bind(struct device *
+               vc4_hdmi->hpd_active_low = hpd_gpio_flags & OF_GPIO_ACTIVE_LOW;
+       }
+-      vc4->hdmi = vc4_hdmi;
+-
+       /* HDMI core must be enabled. */
+       if (!(HD_READ(VC4_HD_M_CTL) & VC4_HD_M_ENABLE)) {
+               HD_WRITE(VC4_HD_M_CTL, VC4_HD_M_SW_RST);
+@@ -1370,9 +1367,12 @@ err_put_i2c:
+ static void vc4_hdmi_unbind(struct device *dev, struct device *master,
+                           void *data)
+ {
+-      struct drm_device *drm = dev_get_drvdata(master);
+-      struct vc4_dev *vc4 = drm->dev_private;
+-      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
++      /*
++       * snd_soc_register_card will set the device drvdata pointer
++       * to the card being registered.
++       */
++      struct snd_soc_card *card = dev_get_drvdata(dev);
++      struct vc4_hdmi *vc4_hdmi = snd_soc_card_get_drvdata(card);
+       cec_unregister_adapter(vc4_hdmi->cec_adap);
+       vc4_hdmi_connector_destroy(&vc4_hdmi->connector.base);
+@@ -1382,8 +1382,6 @@ static void vc4_hdmi_unbind(struct devic
+       pm_runtime_disable(dev);
+       put_device(&vc4_hdmi->ddc->dev);
+-
+-      vc4->hdmi = NULL;
+ }
+ static const struct component_ops vc4_hdmi_ops = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0572-drm-vc4-hdmi-rework-connectors-and-encoders.patch b/target/linux/bcm27xx/patches-5.4/950-0572-drm-vc4-hdmi-rework-connectors-and-encoders.patch
deleted file mode 100644 (file)
index ebe6432..0000000
+++ /dev/null
@@ -1,348 +0,0 @@
-From 50e7e810cf403c4b217c68c8ae2544d16f8063d1 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 6 Jan 2020 17:17:29 +0100
-Subject: [PATCH] drm/vc4: hdmi: rework connectors and encoders
-
-the vc4_hdmi driver has some custom structures to hold the data it needs to
-associate with the drm_encoder and drm_connector structures.
-
-However, it allocates them separately from the vc4_hdmi structure which
-makes it more complicated than it needs to be.
-
-Move those structures to be contained by vc4_hdmi and update the code
-accordingly.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 84 ++++++++++++++++------------------
- drivers/gpu/drm/vc4/vc4_hdmi.h | 64 +++++++++++++-------------
- 2 files changed, 71 insertions(+), 77 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -190,19 +190,14 @@ static const struct drm_connector_helper
-       .get_modes = vc4_hdmi_connector_get_modes,
- };
--static struct drm_connector *vc4_hdmi_connector_init(struct drm_device *dev,
--                                                   struct drm_encoder *encoder)
-+static int vc4_hdmi_connector_init(struct drm_device *dev,
-+                                 struct vc4_hdmi *vc4_hdmi)
- {
--      struct drm_connector *connector;
--      struct vc4_hdmi_connector *hdmi_connector;
-+      struct vc4_hdmi_connector *hdmi_connector = &vc4_hdmi->connector;
-+      struct drm_connector *connector = &hdmi_connector->base;
-+      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
-       int ret;
--      hdmi_connector = devm_kzalloc(dev->dev, sizeof(*hdmi_connector),
--                                    GFP_KERNEL);
--      if (!hdmi_connector)
--              return ERR_PTR(-ENOMEM);
--      connector = &hdmi_connector->base;
--
-       hdmi_connector->encoder = encoder;
-       drm_connector_init(dev, connector, &vc4_hdmi_connector_funcs,
-@@ -212,7 +207,7 @@ static struct drm_connector *vc4_hdmi_co
-       /* Create and attach TV margin props to this connector. */
-       ret = drm_mode_create_tv_margin_properties(dev);
-       if (ret)
--              return ERR_PTR(ret);
-+              return ret;
-       drm_connector_attach_tv_margin_properties(connector);
-@@ -224,7 +219,7 @@ static struct drm_connector *vc4_hdmi_co
-       drm_connector_attach_encoder(connector, encoder);
--      return connector;
-+      return 0;
- }
- static void vc4_hdmi_encoder_destroy(struct drm_encoder *encoder)
-@@ -303,21 +298,22 @@ static void vc4_hdmi_set_avi_infoframe(s
-       struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
-       struct vc4_dev *vc4 = encoder->dev->dev_private;
-       struct vc4_hdmi *hdmi = vc4->hdmi;
--      struct drm_connector_state *cstate = hdmi->connector->state;
-+      struct drm_connector *connector = &hdmi->connector.base;
-+      struct drm_connector_state *cstate = connector->state;
-       struct drm_crtc *crtc = encoder->crtc;
-       const struct drm_display_mode *mode = &crtc->state->adjusted_mode;
-       union hdmi_infoframe frame;
-       int ret;
-       ret = drm_hdmi_avi_infoframe_from_display_mode(&frame.avi,
--                                                     hdmi->connector, mode);
-+                                                     connector, mode);
-       if (ret < 0) {
-               DRM_ERROR("couldn't fill AVI infoframe\n");
-               return;
-       }
-       drm_hdmi_avi_infoframe_quant_range(&frame.avi,
--                                         hdmi->connector, mode,
-+                                         connector, mode,
-                                          vc4_encoder->limited_rgb_range ?
-                                          HDMI_QUANTIZATION_RANGE_LIMITED :
-                                          HDMI_QUANTIZATION_RANGE_FULL);
-@@ -636,7 +632,8 @@ static const struct drm_encoder_helper_f
- /* HDMI audio codec callbacks */
- static void vc4_hdmi_audio_set_mai_clock(struct vc4_hdmi *hdmi)
- {
--      struct drm_device *drm = hdmi->encoder->dev;
-+      struct drm_encoder *encoder = &hdmi->encoder.base.base;
-+      struct drm_device *drm = encoder->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(drm);
-       u32 hsm_clock = clk_get_rate(hdmi->hsm_clock);
-       unsigned long n, m;
-@@ -655,7 +652,7 @@ static void vc4_hdmi_audio_set_mai_clock
- static void vc4_hdmi_set_n_cts(struct vc4_hdmi *hdmi)
- {
--      struct drm_encoder *encoder = hdmi->encoder;
-+      struct drm_encoder *encoder = &hdmi->encoder.base.base;
-       struct drm_crtc *crtc = encoder->crtc;
-       struct drm_device *drm = encoder->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(drm);
-@@ -693,7 +690,8 @@ static int vc4_hdmi_audio_startup(struct
-                                 struct snd_soc_dai *dai)
- {
-       struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
--      struct drm_encoder *encoder = hdmi->encoder;
-+      struct drm_encoder *encoder = &hdmi->encoder.base.base;
-+      struct drm_connector *connector = &hdmi->connector.base;
-       struct vc4_dev *vc4 = to_vc4_dev(encoder->dev);
-       int ret;
-@@ -710,8 +708,7 @@ static int vc4_hdmi_audio_startup(struct
-                               VC4_HDMI_RAM_PACKET_ENABLE))
-               return -ENODEV;
--      ret = snd_pcm_hw_constraint_eld(substream->runtime,
--                                      hdmi->connector->eld);
-+      ret = snd_pcm_hw_constraint_eld(substream->runtime, connector->eld);
-       if (ret)
-               return ret;
-@@ -725,7 +722,7 @@ static int vc4_hdmi_audio_set_fmt(struct
- static void vc4_hdmi_audio_reset(struct vc4_hdmi *hdmi)
- {
--      struct drm_encoder *encoder = hdmi->encoder;
-+      struct drm_encoder *encoder = &hdmi->encoder.base.base;
-       struct drm_device *drm = encoder->dev;
-       struct device *dev = &hdmi->pdev->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(drm);
-@@ -759,7 +756,7 @@ static int vc4_hdmi_audio_hw_params(stru
-                                   struct snd_soc_dai *dai)
- {
-       struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
--      struct drm_encoder *encoder = hdmi->encoder;
-+      struct drm_encoder *encoder = &hdmi->encoder.base.base;
-       struct drm_device *drm = encoder->dev;
-       struct device *dev = &hdmi->pdev->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(drm);
-@@ -832,7 +829,7 @@ static int vc4_hdmi_audio_trigger(struct
-                                 struct snd_soc_dai *dai)
- {
-       struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
--      struct drm_encoder *encoder = hdmi->encoder;
-+      struct drm_encoder *encoder = &hdmi->encoder.base.base;
-       struct drm_device *drm = encoder->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(drm);
-@@ -876,9 +873,10 @@ static int vc4_hdmi_audio_eld_ctl_info(s
- {
-       struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
-       struct vc4_hdmi *hdmi = snd_component_to_hdmi(component);
-+      struct drm_connector *connector = &hdmi->connector.base;
-       uinfo->type = SNDRV_CTL_ELEM_TYPE_BYTES;
--      uinfo->count = sizeof(hdmi->connector->eld);
-+      uinfo->count = sizeof(connector->eld);
-       return 0;
- }
-@@ -888,9 +886,10 @@ static int vc4_hdmi_audio_eld_ctl_get(st
- {
-       struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
-       struct vc4_hdmi *hdmi = snd_component_to_hdmi(component);
-+      struct drm_connector *connector = &hdmi->connector.base;
--      memcpy(ucontrol->value.bytes.data, hdmi->connector->eld,
--             sizeof(hdmi->connector->eld));
-+      memcpy(ucontrol->value.bytes.data, connector->eld,
-+             sizeof(connector->eld));
-       return 0;
- }
-@@ -1231,7 +1230,7 @@ static int vc4_hdmi_bind(struct device *
-       struct drm_device *drm = dev_get_drvdata(master);
-       struct vc4_dev *vc4 = drm->dev_private;
-       struct vc4_hdmi *hdmi;
--      struct vc4_hdmi_encoder *vc4_hdmi_encoder;
-+      struct drm_encoder *encoder;
-       struct device_node *ddc_node;
-       u32 value;
-       int ret;
-@@ -1240,14 +1239,10 @@ static int vc4_hdmi_bind(struct device *
-       if (!hdmi)
-               return -ENOMEM;
--      vc4_hdmi_encoder = devm_kzalloc(dev, sizeof(*vc4_hdmi_encoder),
--                                      GFP_KERNEL);
--      if (!vc4_hdmi_encoder)
--              return -ENOMEM;
--      vc4_hdmi_encoder->base.type = VC4_ENCODER_TYPE_HDMI0;
--      hdmi->encoder = &vc4_hdmi_encoder->base.base;
--
-       hdmi->pdev = pdev;
-+      encoder = &hdmi->encoder.base.base;
-+      encoder->base.type = VC4_ENCODER_TYPE_HDMI0;
-+
-       hdmi->hdmicore_regs = vc4_ioremap_regs(pdev, 0);
-       if (IS_ERR(hdmi->hdmicore_regs))
-               return PTR_ERR(hdmi->hdmicore_regs);
-@@ -1333,15 +1328,14 @@ static int vc4_hdmi_bind(struct device *
-       }
-       pm_runtime_enable(dev);
--      drm_encoder_init(drm, hdmi->encoder, &vc4_hdmi_encoder_funcs,
-+      drm_encoder_init(drm, encoder, &vc4_hdmi_encoder_funcs,
-                        DRM_MODE_ENCODER_TMDS, NULL);
--      drm_encoder_helper_add(hdmi->encoder, &vc4_hdmi_encoder_helper_funcs);
-+      drm_encoder_helper_add(encoder, &vc4_hdmi_encoder_helper_funcs);
--      hdmi->connector = vc4_hdmi_connector_init(drm, hdmi->encoder);
--      if (IS_ERR(hdmi->connector)) {
--              ret = PTR_ERR(hdmi->connector);
-+      ret = vc4_hdmi_connector_init(drm, hdmi);
-+      if (ret)
-               goto err_destroy_encoder;
--      }
-+
- #ifdef CONFIG_DRM_VC4_HDMI_CEC
-       hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
-                                             vc4, "vc4",
-@@ -1351,7 +1345,7 @@ static int vc4_hdmi_bind(struct device *
-       if (ret < 0)
-               goto err_destroy_conn;
--      cec_fill_conn_info_from_drm(&conn_info, hdmi->connector);
-+      cec_fill_conn_info_from_drm(&conn_info, &hdmi->connector.base);
-       cec_s_conn_info(hdmi->cec_adap, &conn_info);
-       HDMI_WRITE(VC4_HDMI_CPU_MASK_SET, 0xffffffff);
-@@ -1388,10 +1382,10 @@ static int vc4_hdmi_bind(struct device *
- err_delete_cec_adap:
-       cec_delete_adapter(hdmi->cec_adap);
- err_destroy_conn:
--      vc4_hdmi_connector_destroy(hdmi->connector);
-+      vc4_hdmi_connector_destroy(&hdmi->connector.base);
- #endif
- err_destroy_encoder:
--      vc4_hdmi_encoder_destroy(hdmi->encoder);
-+      vc4_hdmi_encoder_destroy(encoder);
- err_unprepare_hsm:
-       clk_disable_unprepare(hdmi->hsm_clock);
-       pm_runtime_disable(dev);
-@@ -1409,8 +1403,8 @@ static void vc4_hdmi_unbind(struct devic
-       struct vc4_hdmi *hdmi = vc4->hdmi;
-       cec_unregister_adapter(hdmi->cec_adap);
--      vc4_hdmi_connector_destroy(hdmi->connector);
--      vc4_hdmi_encoder_destroy(hdmi->encoder);
-+      vc4_hdmi_connector_destroy(&hdmi->connector.base);
-+      vc4_hdmi_encoder_destroy(&hdmi->encoder.base.base);
-       clk_disable_unprepare(hdmi->hsm_clock);
-       pm_runtime_disable(dev);
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -8,6 +8,36 @@
- #include "vc4_drv.h"
-+/* VC4 HDMI encoder KMS struct */
-+struct vc4_hdmi_encoder {
-+      struct vc4_encoder base;
-+      bool hdmi_monitor;
-+      bool limited_rgb_range;
-+};
-+
-+static inline struct vc4_hdmi_encoder *
-+to_vc4_hdmi_encoder(struct drm_encoder *encoder)
-+{
-+      return container_of(encoder, struct vc4_hdmi_encoder, base.base);
-+}
-+
-+/* VC4 HDMI connector KMS struct */
-+struct vc4_hdmi_connector {
-+      struct drm_connector base;
-+
-+      /* Since the connector is attached to just the one encoder,
-+       * this is the reference to it so we can do the best_encoder()
-+       * hook.
-+       */
-+      struct drm_encoder *encoder;
-+};
-+
-+static inline struct vc4_hdmi_connector *
-+to_vc4_hdmi_connector(struct drm_connector *connector)
-+{
-+      return container_of(connector, struct vc4_hdmi_connector, base);
-+}
-+
- /* HDMI audio information */
- struct vc4_hdmi_audio {
-       struct snd_soc_card card;
-@@ -25,8 +55,8 @@ struct vc4_hdmi_audio {
- struct vc4_hdmi {
-       struct platform_device *pdev;
--      struct drm_encoder *encoder;
--      struct drm_connector *connector;
-+      struct vc4_hdmi_encoder encoder;
-+      struct vc4_hdmi_connector connector;
-       struct vc4_hdmi_audio audio;
-@@ -53,34 +83,4 @@ struct vc4_hdmi {
- #define HD_READ(offset) readl(vc4->hdmi->hd_regs + offset)
- #define HD_WRITE(offset, val) writel(val, vc4->hdmi->hd_regs + offset)
--/* VC4 HDMI encoder KMS struct */
--struct vc4_hdmi_encoder {
--      struct vc4_encoder base;
--      bool hdmi_monitor;
--      bool limited_rgb_range;
--};
--
--static inline struct vc4_hdmi_encoder *
--to_vc4_hdmi_encoder(struct drm_encoder *encoder)
--{
--      return container_of(encoder, struct vc4_hdmi_encoder, base.base);
--}
--
--/* VC4 HDMI connector KMS struct */
--struct vc4_hdmi_connector {
--      struct drm_connector base;
--
--      /* Since the connector is attached to just the one encoder,
--       * this is the reference to it so we can do the best_encoder()
--       * hook.
--       */
--      struct drm_encoder *encoder;
--};
--
--static inline struct vc4_hdmi_connector *
--to_vc4_hdmi_connector(struct drm_connector *connector)
--{
--      return container_of(connector, struct vc4_hdmi_connector, base);
--}
--
- #endif /* _VC4_HDMI_H_ */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0573-drm-vc4-hdmi-Remove-vc4_hdmi_connector.patch b/target/linux/bcm27xx/patches-5.4/950-0573-drm-vc4-hdmi-Remove-vc4_hdmi_connector.patch
new file mode 100644 (file)
index 0000000..15d15c6
--- /dev/null
@@ -0,0 +1,141 @@
+From 6fdf2c94a028e04e1e20791aae5e0adaf905df77 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 6 Jan 2020 18:57:16 +0100
+Subject: [PATCH] drm/vc4: hdmi: Remove vc4_hdmi_connector
+
+The vc4_hdmi_connector was only used to switch between drm_connector to
+drm_encoder. However, we can now use vc4_hdmi to do the switch, so that
+structure is redundant.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 19 ++++++++-----------
+ drivers/gpu/drm/vc4/vc4_hdmi.h | 23 ++---------------------
+ 2 files changed, 10 insertions(+), 32 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -188,13 +188,10 @@ static const struct drm_connector_helper
+ static int vc4_hdmi_connector_init(struct drm_device *dev,
+                                  struct vc4_hdmi *vc4_hdmi)
+ {
+-      struct vc4_hdmi_connector *hdmi_connector = &vc4_hdmi->connector;
+-      struct drm_connector *connector = &hdmi_connector->base;
++      struct drm_connector *connector = &vc4_hdmi->connector;
+       struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+       int ret;
+-      hdmi_connector->encoder = encoder;
+-
+       drm_connector_init(dev, connector, &vc4_hdmi_connector_funcs,
+                          DRM_MODE_CONNECTOR_HDMIA);
+       drm_connector_helper_add(connector, &vc4_hdmi_connector_helper_funcs);
+@@ -290,7 +287,7 @@ static void vc4_hdmi_set_avi_infoframe(s
+ {
+       struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
+       struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
+-      struct drm_connector *connector = &vc4_hdmi->connector.base;
++      struct drm_connector *connector = &vc4_hdmi->connector;
+       struct drm_connector_state *cstate = connector->state;
+       struct drm_crtc *crtc = encoder->crtc;
+       const struct drm_display_mode *mode = &crtc->state->adjusted_mode;
+@@ -672,7 +669,7 @@ static int vc4_hdmi_audio_startup(struct
+ {
+       struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
+       struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
+-      struct drm_connector *connector = &vc4_hdmi->connector.base;
++      struct drm_connector *connector = &vc4_hdmi->connector;
+       int ret;
+       if (vc4_hdmi->audio.substream && vc4_hdmi->audio.substream != substream)
+@@ -846,7 +843,7 @@ static int vc4_hdmi_audio_eld_ctl_info(s
+ {
+       struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
+       struct vc4_hdmi *vc4_hdmi = snd_component_to_hdmi(component);
+-      struct drm_connector *connector = &vc4_hdmi->connector.base;
++      struct drm_connector *connector = &vc4_hdmi->connector;
+       uinfo->type = SNDRV_CTL_ELEM_TYPE_BYTES;
+       uinfo->count = sizeof(connector->eld);
+@@ -859,7 +856,7 @@ static int vc4_hdmi_audio_eld_ctl_get(st
+ {
+       struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
+       struct vc4_hdmi *vc4_hdmi = snd_component_to_hdmi(component);
+-      struct drm_connector *connector = &vc4_hdmi->connector.base;
++      struct drm_connector *connector = &vc4_hdmi->connector;
+       memcpy(ucontrol->value.bytes.data, connector->eld,
+              sizeof(connector->eld));
+@@ -1314,7 +1311,7 @@ static int vc4_hdmi_bind(struct device *
+       if (ret < 0)
+               goto err_destroy_conn;
+-      cec_fill_conn_info_from_drm(&conn_info, &vc4_hdmi->connector.base);
++      cec_fill_conn_info_from_drm(&conn_info, &vc4_hdmi->connector);
+       cec_s_conn_info(vc4_hdmi->cec_adap, &conn_info);
+       HDMI_WRITE(VC4_HDMI_CPU_MASK_SET, 0xffffffff);
+@@ -1351,7 +1348,7 @@ static int vc4_hdmi_bind(struct device *
+ err_delete_cec_adap:
+       cec_delete_adapter(vc4_hdmi->cec_adap);
+ err_destroy_conn:
+-      vc4_hdmi_connector_destroy(&vc4_hdmi->connector.base);
++      vc4_hdmi_connector_destroy(&vc4_hdmi->connector);
+ #endif
+ err_destroy_encoder:
+       vc4_hdmi_encoder_destroy(encoder);
+@@ -1375,7 +1372,7 @@ static void vc4_hdmi_unbind(struct devic
+       struct vc4_hdmi *vc4_hdmi = snd_soc_card_get_drvdata(card);
+       cec_unregister_adapter(vc4_hdmi->cec_adap);
+-      vc4_hdmi_connector_destroy(&vc4_hdmi->connector.base);
++      vc4_hdmi_connector_destroy(&vc4_hdmi->connector);
+       vc4_hdmi_encoder_destroy(&vc4_hdmi->encoder.base.base);
+       clk_disable_unprepare(vc4_hdmi->hsm_clock);
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -21,23 +21,6 @@ to_vc4_hdmi_encoder(struct drm_encoder *
+       return container_of(encoder, struct vc4_hdmi_encoder, base.base);
+ }
+-/* VC4 HDMI connector KMS struct */
+-struct vc4_hdmi_connector {
+-      struct drm_connector base;
+-
+-      /* Since the connector is attached to just the one encoder,
+-       * this is the reference to it so we can do the best_encoder()
+-       * hook.
+-       */
+-      struct drm_encoder *encoder;
+-};
+-
+-static inline struct vc4_hdmi_connector *
+-to_vc4_hdmi_connector(struct drm_connector *connector)
+-{
+-      return container_of(connector, struct vc4_hdmi_connector, base);
+-}
+-
+ /* HDMI audio information */
+ struct vc4_hdmi_audio {
+       struct snd_soc_card card;
+@@ -56,7 +39,7 @@ struct vc4_hdmi {
+       struct platform_device *pdev;
+       struct vc4_hdmi_encoder encoder;
+-      struct vc4_hdmi_connector connector;
++      struct drm_connector connector;
+       struct vc4_hdmi_audio audio;
+@@ -81,9 +64,7 @@ struct vc4_hdmi {
+ static inline struct vc4_hdmi *
+ connector_to_vc4_hdmi(struct drm_connector *connector)
+ {
+-      struct vc4_hdmi_connector *_connector = to_vc4_hdmi_connector(connector);
+-
+-      return container_of(_connector, struct vc4_hdmi, connector);
++      return container_of(connector, struct vc4_hdmi, connector);
+ }
+ static inline struct vc4_hdmi *
diff --git a/target/linux/bcm27xx/patches-5.4/950-0573-drm-vc4-hdmi-Rename-hdmi-to-vc4_hdmi.patch b/target/linux/bcm27xx/patches-5.4/950-0573-drm-vc4-hdmi-Rename-hdmi-to-vc4_hdmi.patch
deleted file mode 100644 (file)
index 19296e9..0000000
+++ /dev/null
@@ -1,682 +0,0 @@
-From 02b7a6ed6b9fc110dd26598d26c31c0837af6184 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 6 Jan 2020 18:07:05 +0100
-Subject: [PATCH] drm/vc4: hdmi: Rename hdmi to vc4_hdmi
-
-The driver isn't consistent with the name given to the vc4_hdmi
-structure pointer in its functions. Make sure to use a consistent name.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 271 +++++++++++++++++----------------
- 1 file changed, 136 insertions(+), 135 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -108,11 +108,11 @@ static const struct debugfs_reg32 hd_reg
- static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
- {
-       struct drm_info_node *node = (struct drm_info_node *)m->private;
--      struct vc4_hdmi *hdmi = node->info_ent->data;
-+      struct vc4_hdmi *vc4_hdmi = node->info_ent->data;
-       struct drm_printer p = drm_seq_file_printer(m);
--      drm_print_regset32(&p, &hdmi->hdmi_regset);
--      drm_print_regset32(&p, &hdmi->hd_regset);
-+      drm_print_regset32(&p, &vc4_hdmi->hdmi_regset);
-+      drm_print_regset32(&p, &vc4_hdmi->hd_regset);
-       return 0;
- }
-@@ -297,8 +297,8 @@ static void vc4_hdmi_set_avi_infoframe(s
- {
-       struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
-       struct vc4_dev *vc4 = encoder->dev->dev_private;
--      struct vc4_hdmi *hdmi = vc4->hdmi;
--      struct drm_connector *connector = &hdmi->connector.base;
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      struct drm_connector *connector = &vc4_hdmi->connector.base;
-       struct drm_connector_state *cstate = connector->state;
-       struct drm_crtc *crtc = encoder->crtc;
-       const struct drm_display_mode *mode = &crtc->state->adjusted_mode;
-@@ -346,7 +346,7 @@ static void vc4_hdmi_set_audio_infoframe
- {
-       struct drm_device *drm = encoder->dev;
-       struct vc4_dev *vc4 = drm->dev_private;
--      struct vc4_hdmi *hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-       union hdmi_infoframe frame;
-       int ret;
-@@ -355,7 +355,7 @@ static void vc4_hdmi_set_audio_infoframe
-       frame.audio.coding_type = HDMI_AUDIO_CODING_TYPE_STREAM;
-       frame.audio.sample_frequency = HDMI_AUDIO_SAMPLE_FREQUENCY_STREAM;
-       frame.audio.sample_size = HDMI_AUDIO_SAMPLE_SIZE_STREAM;
--      frame.audio.channels = hdmi->audio.channels;
-+      frame.audio.channels = vc4_hdmi->audio.channels;
-       vc4_hdmi_write_infoframe(encoder, &frame);
- }
-@@ -370,7 +370,7 @@ static void vc4_hdmi_encoder_disable(str
- {
-       struct drm_device *dev = encoder->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
--      struct vc4_hdmi *hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-       int ret;
-       HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG, 0);
-@@ -379,9 +379,9 @@ static void vc4_hdmi_encoder_disable(str
-       HD_WRITE(VC4_HD_VID_CTL,
-                HD_READ(VC4_HD_VID_CTL) & ~VC4_HD_VID_CTL_ENABLE);
--      clk_disable_unprepare(hdmi->pixel_clock);
-+      clk_disable_unprepare(vc4_hdmi->pixel_clock);
--      ret = pm_runtime_put(&hdmi->pdev->dev);
-+      ret = pm_runtime_put(&vc4_hdmi->pdev->dev);
-       if (ret < 0)
-               DRM_ERROR("Failed to release power domain: %d\n", ret);
- }
-@@ -392,7 +392,7 @@ static void vc4_hdmi_encoder_enable(stru
-       struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
-       struct drm_device *dev = encoder->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
--      struct vc4_hdmi *hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-       bool debug_dump_regs = false;
-       bool hsync_pos = mode->flags & DRM_MODE_FLAG_PHSYNC;
-       bool vsync_pos = mode->flags & DRM_MODE_FLAG_PVSYNC;
-@@ -414,13 +414,13 @@ static void vc4_hdmi_encoder_enable(stru
-       u32 csc_ctl;
-       int ret;
--      ret = pm_runtime_get_sync(&hdmi->pdev->dev);
-+      ret = pm_runtime_get_sync(&vc4_hdmi->pdev->dev);
-       if (ret < 0) {
-               DRM_ERROR("Failed to retain power domain: %d\n", ret);
-               return;
-       }
--      ret = clk_set_rate(hdmi->pixel_clock,
-+      ret = clk_set_rate(vc4_hdmi->pixel_clock,
-                          mode->clock * 1000 *
-                          ((mode->flags & DRM_MODE_FLAG_DBLCLK) ? 2 : 1));
-       if (ret) {
-@@ -428,7 +428,7 @@ static void vc4_hdmi_encoder_enable(stru
-               return;
-       }
--      ret = clk_prepare_enable(hdmi->pixel_clock);
-+      ret = clk_prepare_enable(vc4_hdmi->pixel_clock);
-       if (ret) {
-               DRM_ERROR("Failed to turn on pixel clock: %d\n", ret);
-               return;
-@@ -448,11 +448,11 @@ static void vc4_hdmi_encoder_enable(stru
-       HDMI_WRITE(VC4_HDMI_TX_PHY_RESET_CTL, 0);
-       if (debug_dump_regs) {
--              struct drm_printer p = drm_info_printer(&hdmi->pdev->dev);
-+              struct drm_printer p = drm_info_printer(&vc4_hdmi->pdev->dev);
--              dev_info(&hdmi->pdev->dev, "HDMI regs before:\n");
--              drm_print_regset32(&p, &hdmi->hdmi_regset);
--              drm_print_regset32(&p, &hdmi->hd_regset);
-+              dev_info(&vc4_hdmi->pdev->dev, "HDMI regs before:\n");
-+              drm_print_regset32(&p, &vc4_hdmi->hdmi_regset);
-+              drm_print_regset32(&p, &vc4_hdmi->hd_regset);
-       }
-       HD_WRITE(VC4_HD_VID_CTL, 0);
-@@ -527,11 +527,11 @@ static void vc4_hdmi_encoder_enable(stru
-       HDMI_WRITE(VC4_HDMI_FIFO_CTL, VC4_HDMI_FIFO_CTL_MASTER_SLAVE_N);
-       if (debug_dump_regs) {
--              struct drm_printer p = drm_info_printer(&hdmi->pdev->dev);
-+              struct drm_printer p = drm_info_printer(&vc4_hdmi->pdev->dev);
--              dev_info(&hdmi->pdev->dev, "HDMI regs after:\n");
--              drm_print_regset32(&p, &hdmi->hdmi_regset);
--              drm_print_regset32(&p, &hdmi->hd_regset);
-+              dev_info(&vc4_hdmi->pdev->dev, "HDMI regs after:\n");
-+              drm_print_regset32(&p, &vc4_hdmi->hdmi_regset);
-+              drm_print_regset32(&p, &vc4_hdmi->hd_regset);
-       }
-       HD_WRITE(VC4_HD_VID_CTL,
-@@ -630,15 +630,15 @@ static const struct drm_encoder_helper_f
- };
- /* HDMI audio codec callbacks */
--static void vc4_hdmi_audio_set_mai_clock(struct vc4_hdmi *hdmi)
-+static void vc4_hdmi_audio_set_mai_clock(struct vc4_hdmi *vc4_hdmi)
- {
--      struct drm_encoder *encoder = &hdmi->encoder.base.base;
-+      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
-       struct drm_device *drm = encoder->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(drm);
--      u32 hsm_clock = clk_get_rate(hdmi->hsm_clock);
-+      u32 hsm_clock = clk_get_rate(vc4_hdmi->hsm_clock);
-       unsigned long n, m;
--      rational_best_approximation(hsm_clock, hdmi->audio.samplerate,
-+      rational_best_approximation(hsm_clock, vc4_hdmi->audio.samplerate,
-                                   VC4_HD_MAI_SMP_N_MASK >>
-                                   VC4_HD_MAI_SMP_N_SHIFT,
-                                   (VC4_HD_MAI_SMP_M_MASK >>
-@@ -650,14 +650,14 @@ static void vc4_hdmi_audio_set_mai_clock
-                VC4_SET_FIELD(m - 1, VC4_HD_MAI_SMP_M));
- }
--static void vc4_hdmi_set_n_cts(struct vc4_hdmi *hdmi)
-+static void vc4_hdmi_set_n_cts(struct vc4_hdmi *vc4_hdmi)
- {
--      struct drm_encoder *encoder = &hdmi->encoder.base.base;
-+      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
-       struct drm_crtc *crtc = encoder->crtc;
-       struct drm_device *drm = encoder->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(drm);
-       const struct drm_display_mode *mode = &crtc->state->adjusted_mode;
--      u32 samplerate = hdmi->audio.samplerate;
-+      u32 samplerate = vc4_hdmi->audio.samplerate;
-       u32 n, cts;
-       u64 tmp;
-@@ -689,16 +689,16 @@ static inline struct vc4_hdmi *dai_to_hd
- static int vc4_hdmi_audio_startup(struct snd_pcm_substream *substream,
-                                 struct snd_soc_dai *dai)
- {
--      struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
--      struct drm_encoder *encoder = &hdmi->encoder.base.base;
--      struct drm_connector *connector = &hdmi->connector.base;
-+      struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
-+      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
-+      struct drm_connector *connector = &vc4_hdmi->connector.base;
-       struct vc4_dev *vc4 = to_vc4_dev(encoder->dev);
-       int ret;
--      if (hdmi->audio.substream && hdmi->audio.substream != substream)
-+      if (vc4_hdmi->audio.substream && vc4_hdmi->audio.substream != substream)
-               return -EINVAL;
--      hdmi->audio.substream = substream;
-+      vc4_hdmi->audio.substream = substream;
-       /*
-        * If the HDMI encoder hasn't probed, or the encoder is
-@@ -720,11 +720,11 @@ static int vc4_hdmi_audio_set_fmt(struct
-       return 0;
- }
--static void vc4_hdmi_audio_reset(struct vc4_hdmi *hdmi)
-+static void vc4_hdmi_audio_reset(struct vc4_hdmi *vc4_hdmi)
- {
--      struct drm_encoder *encoder = &hdmi->encoder.base.base;
-+      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
-       struct drm_device *drm = encoder->dev;
--      struct device *dev = &hdmi->pdev->dev;
-+      struct device *dev = &vc4_hdmi->pdev->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(drm);
-       int ret;
-@@ -740,14 +740,14 @@ static void vc4_hdmi_audio_reset(struct
- static void vc4_hdmi_audio_shutdown(struct snd_pcm_substream *substream,
-                                   struct snd_soc_dai *dai)
- {
--      struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
-+      struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
--      if (substream != hdmi->audio.substream)
-+      if (substream != vc4_hdmi->audio.substream)
-               return;
--      vc4_hdmi_audio_reset(hdmi);
-+      vc4_hdmi_audio_reset(vc4_hdmi);
--      hdmi->audio.substream = NULL;
-+      vc4_hdmi->audio.substream = NULL;
- }
- /* HDMI audio codec callbacks */
-@@ -755,23 +755,23 @@ static int vc4_hdmi_audio_hw_params(stru
-                                   struct snd_pcm_hw_params *params,
-                                   struct snd_soc_dai *dai)
- {
--      struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
--      struct drm_encoder *encoder = &hdmi->encoder.base.base;
-+      struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
-+      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
-       struct drm_device *drm = encoder->dev;
--      struct device *dev = &hdmi->pdev->dev;
-+      struct device *dev = &vc4_hdmi->pdev->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(drm);
-       u32 audio_packet_config, channel_mask;
-       u32 channel_map, i;
--      if (substream != hdmi->audio.substream)
-+      if (substream != vc4_hdmi->audio.substream)
-               return -EINVAL;
-       dev_dbg(dev, "%s: %u Hz, %d bit, %d channels\n", __func__,
-               params_rate(params), params_width(params),
-               params_channels(params));
--      hdmi->audio.channels = params_channels(params);
--      hdmi->audio.samplerate = params_rate(params);
-+      vc4_hdmi->audio.channels = params_channels(params);
-+      vc4_hdmi->audio.samplerate = params_rate(params);
-       HD_WRITE(VC4_HD_MAI_CTL,
-                VC4_HD_MAI_CTL_RESET |
-@@ -780,23 +780,23 @@ static int vc4_hdmi_audio_hw_params(stru
-                VC4_HD_MAI_CTL_ERRORE |
-                VC4_HD_MAI_CTL_ERRORF);
--      vc4_hdmi_audio_set_mai_clock(hdmi);
-+      vc4_hdmi_audio_set_mai_clock(vc4_hdmi);
-       audio_packet_config =
-               VC4_HDMI_AUDIO_PACKET_ZERO_DATA_ON_SAMPLE_FLAT |
-               VC4_HDMI_AUDIO_PACKET_ZERO_DATA_ON_INACTIVE_CHANNELS |
-               VC4_SET_FIELD(0xf, VC4_HDMI_AUDIO_PACKET_B_FRAME_IDENTIFIER);
--      channel_mask = GENMASK(hdmi->audio.channels - 1, 0);
-+      channel_mask = GENMASK(vc4_hdmi->audio.channels - 1, 0);
-       audio_packet_config |= VC4_SET_FIELD(channel_mask,
-                                            VC4_HDMI_AUDIO_PACKET_CEA_MASK);
-       /* Set the MAI threshold.  This logic mimics the firmware's. */
--      if (hdmi->audio.samplerate > 96000) {
-+      if (vc4_hdmi->audio.samplerate > 96000) {
-               HD_WRITE(VC4_HD_MAI_THR,
-                        VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQHIGH) |
-                        VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQLOW));
--      } else if (hdmi->audio.samplerate > 48000) {
-+      } else if (vc4_hdmi->audio.samplerate > 48000) {
-               HD_WRITE(VC4_HD_MAI_THR,
-                        VC4_SET_FIELD(0x14, VC4_HD_MAI_THR_DREQHIGH) |
-                        VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQLOW));
-@@ -820,7 +820,7 @@ static int vc4_hdmi_audio_hw_params(stru
-       HDMI_WRITE(VC4_HDMI_MAI_CHANNEL_MAP, channel_map);
-       HDMI_WRITE(VC4_HDMI_AUDIO_PACKET_CONFIG, audio_packet_config);
--      vc4_hdmi_set_n_cts(hdmi);
-+      vc4_hdmi_set_n_cts(vc4_hdmi);
-       return 0;
- }
-@@ -828,8 +828,8 @@ static int vc4_hdmi_audio_hw_params(stru
- static int vc4_hdmi_audio_trigger(struct snd_pcm_substream *substream, int cmd,
-                                 struct snd_soc_dai *dai)
- {
--      struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
--      struct drm_encoder *encoder = &hdmi->encoder.base.base;
-+      struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
-+      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
-       struct drm_device *drm = encoder->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(drm);
-@@ -840,7 +840,7 @@ static int vc4_hdmi_audio_trigger(struct
-                          HDMI_READ(VC4_HDMI_TX_PHY_CTL0) &
-                          ~VC4_HDMI_TX_PHY_RNG_PWRDN);
-               HD_WRITE(VC4_HD_MAI_CTL,
--                       VC4_SET_FIELD(hdmi->audio.channels,
-+                       VC4_SET_FIELD(vc4_hdmi->audio.channels,
-                                      VC4_HD_MAI_CTL_CHNUM) |
-                        VC4_HD_MAI_CTL_ENABLE);
-               break;
-@@ -872,8 +872,8 @@ static int vc4_hdmi_audio_eld_ctl_info(s
-                                      struct snd_ctl_elem_info *uinfo)
- {
-       struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
--      struct vc4_hdmi *hdmi = snd_component_to_hdmi(component);
--      struct drm_connector *connector = &hdmi->connector.base;
-+      struct vc4_hdmi *vc4_hdmi = snd_component_to_hdmi(component);
-+      struct drm_connector *connector = &vc4_hdmi->connector.base;
-       uinfo->type = SNDRV_CTL_ELEM_TYPE_BYTES;
-       uinfo->count = sizeof(connector->eld);
-@@ -885,8 +885,8 @@ static int vc4_hdmi_audio_eld_ctl_get(st
-                                     struct snd_ctl_elem_value *ucontrol)
- {
-       struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
--      struct vc4_hdmi *hdmi = snd_component_to_hdmi(component);
--      struct drm_connector *connector = &hdmi->connector.base;
-+      struct vc4_hdmi *vc4_hdmi = snd_component_to_hdmi(component);
-+      struct drm_connector *connector = &vc4_hdmi->connector.base;
-       memcpy(ucontrol->value.bytes.data, connector->eld,
-              sizeof(connector->eld));
-@@ -954,9 +954,9 @@ static const struct snd_soc_component_dr
- static int vc4_hdmi_audio_cpu_dai_probe(struct snd_soc_dai *dai)
- {
--      struct vc4_hdmi *hdmi = dai_to_hdmi(dai);
-+      struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
--      snd_soc_dai_init_dma_data(dai, &hdmi->audio.dma_data, NULL);
-+      snd_soc_dai_init_dma_data(dai, &vc4_hdmi->audio.dma_data, NULL);
-       return 0;
- }
-@@ -982,11 +982,11 @@ static const struct snd_dmaengine_pcm_co
-       .prepare_slave_config = snd_dmaengine_pcm_prepare_slave_config,
- };
--static int vc4_hdmi_audio_init(struct vc4_hdmi *hdmi)
-+static int vc4_hdmi_audio_init(struct vc4_hdmi *vc4_hdmi)
- {
--      struct snd_soc_dai_link *dai_link = &hdmi->audio.link;
--      struct snd_soc_card *card = &hdmi->audio.card;
--      struct device *dev = &hdmi->pdev->dev;
-+      struct snd_soc_dai_link *dai_link = &vc4_hdmi->audio.link;
-+      struct snd_soc_card *card = &vc4_hdmi->audio.card;
-+      struct device *dev = &vc4_hdmi->pdev->dev;
-       const __be32 *addr;
-       int ret;
-       int len;
-@@ -1006,9 +1006,9 @@ static int vc4_hdmi_audio_init(struct vc
-        * This VC/MMU should probably be exposed to avoid this kind of hacks.
-        */
-       addr = of_get_address(dev->of_node, 1, NULL, NULL);
--      hdmi->audio.dma_data.addr = be32_to_cpup(addr) + VC4_HD_MAI_DATA;
--      hdmi->audio.dma_data.addr_width = DMA_SLAVE_BUSWIDTH_4_BYTES;
--      hdmi->audio.dma_data.maxburst = 2;
-+      vc4_hdmi->audio.dma_data.addr = be32_to_cpup(addr) + VC4_HD_MAI_DATA;
-+      vc4_hdmi->audio.dma_data.addr_width = DMA_SLAVE_BUSWIDTH_4_BYTES;
-+      vc4_hdmi->audio.dma_data.maxburst = 2;
-       ret = devm_snd_dmaengine_pcm_register(dev, &pcm_conf, 0);
-       if (ret) {
-@@ -1031,9 +1031,9 @@ static int vc4_hdmi_audio_init(struct vc
-               return ret;
-       }
--      dai_link->cpus          = &hdmi->audio.cpu;
--      dai_link->codecs        = &hdmi->audio.codec;
--      dai_link->platforms     = &hdmi->audio.platform;
-+      dai_link->cpus          = &vc4_hdmi->audio.cpu;
-+      dai_link->codecs        = &vc4_hdmi->audio.codec;
-+      dai_link->platforms     = &vc4_hdmi->audio.platform;
-       dai_link->num_cpus      = 1;
-       dai_link->num_codecs    = 1;
-@@ -1059,7 +1059,7 @@ static int vc4_hdmi_audio_init(struct vc
-        * now stored in card->drvdata and should be retrieved with
-        * snd_soc_card_get_drvdata() if needed.
-        */
--      snd_soc_card_set_drvdata(card, hdmi);
-+      snd_soc_card_set_drvdata(card, vc4_hdmi);
-       ret = devm_snd_soc_register_card(dev, card);
-       if (ret)
-               dev_err(dev, "Could not register sound card: %d\n", ret);
-@@ -1072,20 +1072,21 @@ static int vc4_hdmi_audio_init(struct vc
- static irqreturn_t vc4_cec_irq_handler_thread(int irq, void *priv)
- {
-       struct vc4_dev *vc4 = priv;
--      struct vc4_hdmi *hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
--      if (hdmi->cec_irq_was_rx) {
--              if (hdmi->cec_rx_msg.len)
--                      cec_received_msg(hdmi->cec_adap, &hdmi->cec_rx_msg);
--      } else if (hdmi->cec_tx_ok) {
--              cec_transmit_done(hdmi->cec_adap, CEC_TX_STATUS_OK,
-+      if (vc4_hdmi->cec_irq_was_rx) {
-+              if (vc4_hdmi->cec_rx_msg.len)
-+                      cec_received_msg(vc4_hdmi->cec_adap,
-+                                       &vc4_hdmi->cec_rx_msg);
-+      } else if (vc4_hdmi->cec_tx_ok) {
-+              cec_transmit_done(vc4_hdmi->cec_adap, CEC_TX_STATUS_OK,
-                                 0, 0, 0, 0);
-       } else {
-               /*
-                * This CEC implementation makes 1 retry, so if we
-                * get a NACK, then that means it made 2 attempts.
-                */
--              cec_transmit_done(hdmi->cec_adap, CEC_TX_STATUS_NACK,
-+              cec_transmit_done(vc4_hdmi->cec_adap, CEC_TX_STATUS_NACK,
-                                 0, 2, 0, 0);
-       }
-       return IRQ_HANDLED;
-@@ -1111,23 +1112,23 @@ static void vc4_cec_read_msg(struct vc4_
- static irqreturn_t vc4_cec_irq_handler(int irq, void *priv)
- {
-       struct vc4_dev *vc4 = priv;
--      struct vc4_hdmi *hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-       u32 stat = HDMI_READ(VC4_HDMI_CPU_STATUS);
-       u32 cntrl1, cntrl5;
-       if (!(stat & VC4_HDMI_CPU_CEC))
-               return IRQ_NONE;
--      hdmi->cec_rx_msg.len = 0;
-+      vc4_hdmi->cec_rx_msg.len = 0;
-       cntrl1 = HDMI_READ(VC4_HDMI_CEC_CNTRL_1);
-       cntrl5 = HDMI_READ(VC4_HDMI_CEC_CNTRL_5);
--      hdmi->cec_irq_was_rx = cntrl5 & VC4_HDMI_CEC_RX_CEC_INT;
--      if (hdmi->cec_irq_was_rx) {
-+      vc4_hdmi->cec_irq_was_rx = cntrl5 & VC4_HDMI_CEC_RX_CEC_INT;
-+      if (vc4_hdmi->cec_irq_was_rx) {
-               vc4_cec_read_msg(vc4, cntrl1);
-               cntrl1 |= VC4_HDMI_CEC_CLEAR_RECEIVE_OFF;
-               HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, cntrl1);
-               cntrl1 &= ~VC4_HDMI_CEC_CLEAR_RECEIVE_OFF;
-       } else {
--              hdmi->cec_tx_ok = cntrl1 & VC4_HDMI_CEC_TX_STATUS_GOOD;
-+              vc4_hdmi->cec_tx_ok = cntrl1 & VC4_HDMI_CEC_TX_STATUS_GOOD;
-               cntrl1 &= ~VC4_HDMI_CEC_START_XMIT_BEGIN;
-       }
-       HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, cntrl1);
-@@ -1229,44 +1230,44 @@ static int vc4_hdmi_bind(struct device *
-       struct platform_device *pdev = to_platform_device(dev);
-       struct drm_device *drm = dev_get_drvdata(master);
-       struct vc4_dev *vc4 = drm->dev_private;
--      struct vc4_hdmi *hdmi;
-+      struct vc4_hdmi *vc4_hdmi;
-       struct drm_encoder *encoder;
-       struct device_node *ddc_node;
-       u32 value;
-       int ret;
--      hdmi = devm_kzalloc(dev, sizeof(*hdmi), GFP_KERNEL);
--      if (!hdmi)
-+      vc4_hdmi = devm_kzalloc(dev, sizeof(*vc4_hdmi), GFP_KERNEL);
-+      if (!vc4_hdmi)
-               return -ENOMEM;
--      hdmi->pdev = pdev;
--      encoder = &hdmi->encoder.base.base;
-+      vc4_hdmi->pdev = pdev;
-+      encoder = &vc4_hdmi->encoder.base.base;
-       encoder->base.type = VC4_ENCODER_TYPE_HDMI0;
--      hdmi->hdmicore_regs = vc4_ioremap_regs(pdev, 0);
--      if (IS_ERR(hdmi->hdmicore_regs))
--              return PTR_ERR(hdmi->hdmicore_regs);
--
--      hdmi->hd_regs = vc4_ioremap_regs(pdev, 1);
--      if (IS_ERR(hdmi->hd_regs))
--              return PTR_ERR(hdmi->hd_regs);
--
--      hdmi->hdmi_regset.base = hdmi->hdmicore_regs;
--      hdmi->hdmi_regset.regs = hdmi_regs;
--      hdmi->hdmi_regset.nregs = ARRAY_SIZE(hdmi_regs);
--      hdmi->hd_regset.base = hdmi->hd_regs;
--      hdmi->hd_regset.regs = hd_regs;
--      hdmi->hd_regset.nregs = ARRAY_SIZE(hd_regs);
-+      vc4_hdmi->hdmicore_regs = vc4_ioremap_regs(pdev, 0);
-+      if (IS_ERR(vc4_hdmi->hdmicore_regs))
-+              return PTR_ERR(vc4_hdmi->hdmicore_regs);
-+
-+      vc4_hdmi->hd_regs = vc4_ioremap_regs(pdev, 1);
-+      if (IS_ERR(vc4_hdmi->hd_regs))
-+              return PTR_ERR(vc4_hdmi->hd_regs);
-+
-+      vc4_hdmi->hdmi_regset.base = vc4_hdmi->hdmicore_regs;
-+      vc4_hdmi->hdmi_regset.regs = hdmi_regs;
-+      vc4_hdmi->hdmi_regset.nregs = ARRAY_SIZE(hdmi_regs);
-+      vc4_hdmi->hd_regset.base = vc4_hdmi->hd_regs;
-+      vc4_hdmi->hd_regset.regs = hd_regs;
-+      vc4_hdmi->hd_regset.nregs = ARRAY_SIZE(hd_regs);
--      hdmi->pixel_clock = devm_clk_get(dev, "pixel");
--      if (IS_ERR(hdmi->pixel_clock)) {
-+      vc4_hdmi->pixel_clock = devm_clk_get(dev, "pixel");
-+      if (IS_ERR(vc4_hdmi->pixel_clock)) {
-               DRM_ERROR("Failed to get pixel clock\n");
--              return PTR_ERR(hdmi->pixel_clock);
-+              return PTR_ERR(vc4_hdmi->pixel_clock);
-       }
--      hdmi->hsm_clock = devm_clk_get(dev, "hdmi");
--      if (IS_ERR(hdmi->hsm_clock)) {
-+      vc4_hdmi->hsm_clock = devm_clk_get(dev, "hdmi");
-+      if (IS_ERR(vc4_hdmi->hsm_clock)) {
-               DRM_ERROR("Failed to get HDMI state machine clock\n");
--              return PTR_ERR(hdmi->hsm_clock);
-+              return PTR_ERR(vc4_hdmi->hsm_clock);
-       }
-       ddc_node = of_parse_phandle(dev->of_node, "ddc", 0);
-@@ -1275,9 +1276,9 @@ static int vc4_hdmi_bind(struct device *
-               return -ENODEV;
-       }
--      hdmi->ddc = of_find_i2c_adapter_by_node(ddc_node);
-+      vc4_hdmi->ddc = of_find_i2c_adapter_by_node(ddc_node);
-       of_node_put(ddc_node);
--      if (!hdmi->ddc) {
-+      if (!vc4_hdmi->ddc) {
-               DRM_DEBUG("Failed to get ddc i2c adapter by node\n");
-               return -EPROBE_DEFER;
-       }
-@@ -1286,13 +1287,13 @@ static int vc4_hdmi_bind(struct device *
-        * needs to be a bit higher than the pixel clock rate
-        * (generally 148.5Mhz).
-        */
--      ret = clk_set_rate(hdmi->hsm_clock, HSM_CLOCK_FREQ);
-+      ret = clk_set_rate(vc4_hdmi->hsm_clock, HSM_CLOCK_FREQ);
-       if (ret) {
-               DRM_ERROR("Failed to set HSM clock rate: %d\n", ret);
-               goto err_put_i2c;
-       }
--      ret = clk_prepare_enable(hdmi->hsm_clock);
-+      ret = clk_prepare_enable(vc4_hdmi->hsm_clock);
-       if (ret) {
-               DRM_ERROR("Failed to turn on HDMI state machine clock: %d\n",
-                         ret);
-@@ -1305,18 +1306,18 @@ static int vc4_hdmi_bind(struct device *
-       if (of_find_property(dev->of_node, "hpd-gpios", &value)) {
-               enum of_gpio_flags hpd_gpio_flags;
--              hdmi->hpd_gpio = of_get_named_gpio_flags(dev->of_node,
-+              vc4_hdmi->hpd_gpio = of_get_named_gpio_flags(dev->of_node,
-                                                        "hpd-gpios", 0,
-                                                        &hpd_gpio_flags);
--              if (hdmi->hpd_gpio < 0) {
--                      ret = hdmi->hpd_gpio;
-+              if (vc4_hdmi->hpd_gpio < 0) {
-+                      ret = vc4_hdmi->hpd_gpio;
-                       goto err_unprepare_hsm;
-               }
--              hdmi->hpd_active_low = hpd_gpio_flags & OF_GPIO_ACTIVE_LOW;
-+              vc4_hdmi->hpd_active_low = hpd_gpio_flags & OF_GPIO_ACTIVE_LOW;
-       }
--      vc4->hdmi = hdmi;
-+      vc4->hdmi = vc4_hdmi;
-       /* HDMI core must be enabled. */
-       if (!(HD_READ(VC4_HD_M_CTL) & VC4_HD_M_ENABLE)) {
-@@ -1332,21 +1333,21 @@ static int vc4_hdmi_bind(struct device *
-                        DRM_MODE_ENCODER_TMDS, NULL);
-       drm_encoder_helper_add(encoder, &vc4_hdmi_encoder_helper_funcs);
--      ret = vc4_hdmi_connector_init(drm, hdmi);
-+      ret = vc4_hdmi_connector_init(drm, vc4_hdmi);
-       if (ret)
-               goto err_destroy_encoder;
- #ifdef CONFIG_DRM_VC4_HDMI_CEC
--      hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
-+      vc4_hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
-                                             vc4, "vc4",
-                                             CEC_CAP_DEFAULTS |
-                                             CEC_CAP_CONNECTOR_INFO, 1);
--      ret = PTR_ERR_OR_ZERO(hdmi->cec_adap);
-+      ret = PTR_ERR_OR_ZERO(vc4_hdmi->cec_adap);
-       if (ret < 0)
-               goto err_destroy_conn;
--      cec_fill_conn_info_from_drm(&conn_info, &hdmi->connector.base);
--      cec_s_conn_info(hdmi->cec_adap, &conn_info);
-+      cec_fill_conn_info_from_drm(&conn_info, &vc4_hdmi->connector.base);
-+      cec_s_conn_info(vc4_hdmi->cec_adap, &conn_info);
-       HDMI_WRITE(VC4_HDMI_CPU_MASK_SET, 0xffffffff);
-       value = HDMI_READ(VC4_HDMI_CEC_CNTRL_1);
-@@ -1365,32 +1366,32 @@ static int vc4_hdmi_bind(struct device *
-                                       "vc4 hdmi cec", vc4);
-       if (ret)
-               goto err_delete_cec_adap;
--      ret = cec_register_adapter(hdmi->cec_adap, dev);
-+      ret = cec_register_adapter(vc4_hdmi->cec_adap, dev);
-       if (ret < 0)
-               goto err_delete_cec_adap;
- #endif
--      ret = vc4_hdmi_audio_init(hdmi);
-+      ret = vc4_hdmi_audio_init(vc4_hdmi);
-       if (ret)
-               goto err_destroy_encoder;
--      vc4_debugfs_add_file(drm, "hdmi_regs", vc4_hdmi_debugfs_regs, hdmi);
-+      vc4_debugfs_add_file(drm, "hdmi_regs", vc4_hdmi_debugfs_regs, vc4_hdmi);
-       return 0;
- #ifdef CONFIG_DRM_VC4_HDMI_CEC
- err_delete_cec_adap:
--      cec_delete_adapter(hdmi->cec_adap);
-+      cec_delete_adapter(vc4_hdmi->cec_adap);
- err_destroy_conn:
--      vc4_hdmi_connector_destroy(&hdmi->connector.base);
-+      vc4_hdmi_connector_destroy(&vc4_hdmi->connector.base);
- #endif
- err_destroy_encoder:
-       vc4_hdmi_encoder_destroy(encoder);
- err_unprepare_hsm:
--      clk_disable_unprepare(hdmi->hsm_clock);
-+      clk_disable_unprepare(vc4_hdmi->hsm_clock);
-       pm_runtime_disable(dev);
- err_put_i2c:
--      put_device(&hdmi->ddc->dev);
-+      put_device(&vc4_hdmi->ddc->dev);
-       return ret;
- }
-@@ -1400,16 +1401,16 @@ static void vc4_hdmi_unbind(struct devic
- {
-       struct drm_device *drm = dev_get_drvdata(master);
-       struct vc4_dev *vc4 = drm->dev_private;
--      struct vc4_hdmi *hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
--      cec_unregister_adapter(hdmi->cec_adap);
--      vc4_hdmi_connector_destroy(&hdmi->connector.base);
--      vc4_hdmi_encoder_destroy(&hdmi->encoder.base.base);
-+      cec_unregister_adapter(vc4_hdmi->cec_adap);
-+      vc4_hdmi_connector_destroy(&vc4_hdmi->connector.base);
-+      vc4_hdmi_encoder_destroy(&vc4_hdmi->encoder.base.base);
--      clk_disable_unprepare(hdmi->hsm_clock);
-+      clk_disable_unprepare(vc4_hdmi->hsm_clock);
-       pm_runtime_disable(dev);
--      put_device(&hdmi->ddc->dev);
-+      put_device(&vc4_hdmi->ddc->dev);
-       vc4->hdmi = NULL;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0574-drm-vc4-hdmi-Introduce-resource-init-and-variant.patch b/target/linux/bcm27xx/patches-5.4/950-0574-drm-vc4-hdmi-Introduce-resource-init-and-variant.patch
new file mode 100644 (file)
index 0000000..c3a4a76
--- /dev/null
@@ -0,0 +1,151 @@
+From 9fa3342da883f6e111952768b36ca1df4d529660 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Wed, 18 Dec 2019 11:30:54 +0100
+Subject: [PATCH] drm/vc4: hdmi: Introduce resource init and variant
+
+The HDMI controllers found in the BCM2711 has a pretty different clock and
+registers areas than found in the older BCM283x SoCs.
+
+Let's create a variant structure to store the various adjustments we'll
+need later on, and a function to get the resources needed for one
+particular version.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 67 ++++++++++++++++++++++------------
+ drivers/gpu/drm/vc4/vc4_hdmi.h | 10 +++++
+ 2 files changed, 54 insertions(+), 23 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1190,38 +1190,23 @@ static const struct cec_adap_ops vc4_hdm
+ };
+ #endif
+-static int vc4_hdmi_bind(struct device *dev, struct device *master, void *data)
++static int vc4_hdmi_init_resources(struct vc4_hdmi *vc4_hdmi)
+ {
+-#ifdef CONFIG_DRM_VC4_HDMI_CEC
+-      struct cec_connector_info conn_info;
+-#endif
+-      struct platform_device *pdev = to_platform_device(dev);
+-      struct drm_device *drm = dev_get_drvdata(master);
+-      struct vc4_hdmi *vc4_hdmi;
+-      struct drm_encoder *encoder;
+-      struct device_node *ddc_node;
+-      u32 value;
+-      int ret;
+-
+-      vc4_hdmi = devm_kzalloc(dev, sizeof(*vc4_hdmi), GFP_KERNEL);
+-      if (!vc4_hdmi)
+-              return -ENOMEM;
+-
+-      vc4_hdmi->pdev = pdev;
+-      encoder = &vc4_hdmi->encoder.base.base;
+-      encoder->base.type = VC4_ENCODER_TYPE_HDMI0;
++      struct platform_device *pdev = vc4_hdmi->pdev;
++      struct device *dev = &pdev->dev;
+       vc4_hdmi->hdmicore_regs = vc4_ioremap_regs(pdev, 0);
+       if (IS_ERR(vc4_hdmi->hdmicore_regs))
+               return PTR_ERR(vc4_hdmi->hdmicore_regs);
++      vc4_hdmi->hdmi_regset.base = vc4_hdmi->hdmicore_regs;
++      vc4_hdmi->hdmi_regset.regs = hdmi_regs;
++      vc4_hdmi->hdmi_regset.nregs = ARRAY_SIZE(hdmi_regs);
++
+       vc4_hdmi->hd_regs = vc4_ioremap_regs(pdev, 1);
+       if (IS_ERR(vc4_hdmi->hd_regs))
+               return PTR_ERR(vc4_hdmi->hd_regs);
+-      vc4_hdmi->hdmi_regset.base = vc4_hdmi->hdmicore_regs;
+-      vc4_hdmi->hdmi_regset.regs = hdmi_regs;
+-      vc4_hdmi->hdmi_regset.nregs = ARRAY_SIZE(hdmi_regs);
+       vc4_hdmi->hd_regset.base = vc4_hdmi->hd_regs;
+       vc4_hdmi->hd_regset.regs = hd_regs;
+       vc4_hdmi->hd_regset.nregs = ARRAY_SIZE(hd_regs);
+@@ -1231,12 +1216,44 @@ static int vc4_hdmi_bind(struct device *
+               DRM_ERROR("Failed to get pixel clock\n");
+               return PTR_ERR(vc4_hdmi->pixel_clock);
+       }
++
+       vc4_hdmi->hsm_clock = devm_clk_get(dev, "hdmi");
+       if (IS_ERR(vc4_hdmi->hsm_clock)) {
+               DRM_ERROR("Failed to get HDMI state machine clock\n");
+               return PTR_ERR(vc4_hdmi->hsm_clock);
+       }
++      return 0;
++}
++
++static int vc4_hdmi_bind(struct device *dev, struct device *master, void *data)
++{
++#ifdef CONFIG_DRM_VC4_HDMI_CEC
++      struct cec_connector_info conn_info;
++#endif
++      struct platform_device *pdev = to_platform_device(dev);
++      struct drm_device *drm = dev_get_drvdata(master);
++      const struct vc4_hdmi_variant *variant;
++      struct vc4_hdmi *vc4_hdmi;
++      struct drm_encoder *encoder;
++      struct device_node *ddc_node;
++      u32 value;
++      int ret;
++
++      vc4_hdmi = devm_kzalloc(dev, sizeof(*vc4_hdmi), GFP_KERNEL);
++      if (!vc4_hdmi)
++              return -ENOMEM;
++
++      vc4_hdmi->pdev = pdev;
++      variant = of_device_get_match_data(dev);
++      vc4_hdmi->variant = variant;
++      vc4_hdmi->encoder.base.type = VC4_ENCODER_TYPE_HDMI0;
++      encoder = &vc4_hdmi->encoder.base.base;
++
++      ret = variant->init_resources(vc4_hdmi);
++      if (ret)
++              return ret;
++
+       ddc_node = of_parse_phandle(dev->of_node, "ddc", 0);
+       if (!ddc_node) {
+               DRM_ERROR("Failed to find ddc node in device tree\n");
+@@ -1397,8 +1414,12 @@ static int vc4_hdmi_dev_remove(struct pl
+       return 0;
+ }
++static const struct vc4_hdmi_variant bcm2835_variant = {
++      .init_resources         = vc4_hdmi_init_resources,
++};
++
+ static const struct of_device_id vc4_hdmi_dt_match[] = {
+-      { .compatible = "brcm,bcm2835-hdmi" },
++      { .compatible = "brcm,bcm2835-hdmi", .data = &bcm2835_variant },
+       {}
+ };
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -21,6 +21,15 @@ to_vc4_hdmi_encoder(struct drm_encoder *
+       return container_of(encoder, struct vc4_hdmi_encoder, base.base);
+ }
++struct vc4_hdmi;
++
++struct vc4_hdmi_variant {
++      /* Callback to get the resources (memory region, interrupts,
++       * clocks, etc) for that variant.
++       */
++      int (*init_resources)(struct vc4_hdmi *vc4_hdmi);
++};
++
+ /* HDMI audio information */
+ struct vc4_hdmi_audio {
+       struct snd_soc_card card;
+@@ -37,6 +46,7 @@ struct vc4_hdmi_audio {
+ /* General HDMI hardware state. */
+ struct vc4_hdmi {
+       struct platform_device *pdev;
++      const struct vc4_hdmi_variant *variant;
+       struct vc4_hdmi_encoder encoder;
+       struct drm_connector connector;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0574-drm-vc4-hdmi-Move-accessors-to-vc4_hdmi.patch b/target/linux/bcm27xx/patches-5.4/950-0574-drm-vc4-hdmi-Move-accessors-to-vc4_hdmi.patch
deleted file mode 100644 (file)
index 1e50c0c..0000000
+++ /dev/null
@@ -1,152 +0,0 @@
-From d1ced662ff5ed90a489b6610144d480bfd7a64e9 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 6 Jan 2020 18:21:44 +0100
-Subject: [PATCH] drm/vc4: hdmi: Move accessors to vc4_hdmi
-
-The current driver only supports a single HDMI controller, and part of
-the issue is that the main vc4_dev structure holds a pointer to its
-(only) HDMI controller, and the HDMI registers accessors will use it to
-retrieve the mapped addresses.
-
-Let's modify those accessors to use directly the vc4_hdmi structure so
-that we can eventually get rid of that single global pointer.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 22 ++++++++--------------
- drivers/gpu/drm/vc4/vc4_hdmi.h |  8 ++++----
- 2 files changed, 12 insertions(+), 18 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -122,6 +122,7 @@ vc4_hdmi_connector_detect(struct drm_con
- {
-       struct drm_device *dev = connector->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-       if (vc4->hdmi->hpd_gpio) {
-               if (gpio_get_value_cansleep(vc4->hdmi->hpd_gpio) ^
-@@ -236,6 +237,7 @@ static int vc4_hdmi_stop_packet(struct d
- {
-       struct drm_device *dev = encoder->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-       u32 packet_id = type - 0x80;
-       HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG,
-@@ -250,6 +252,7 @@ static void vc4_hdmi_write_infoframe(str
- {
-       struct drm_device *dev = encoder->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-       u32 packet_id = frame->any.type - 0x80;
-       u32 packet_reg = VC4_HDMI_RAM_PACKET(packet_id);
-       uint8_t buffer[VC4_HDMI_PACKET_STRIDE];
-@@ -632,9 +635,6 @@ static const struct drm_encoder_helper_f
- /* HDMI audio codec callbacks */
- static void vc4_hdmi_audio_set_mai_clock(struct vc4_hdmi *vc4_hdmi)
- {
--      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
--      struct drm_device *drm = encoder->dev;
--      struct vc4_dev *vc4 = to_vc4_dev(drm);
-       u32 hsm_clock = clk_get_rate(vc4_hdmi->hsm_clock);
-       unsigned long n, m;
-@@ -654,8 +654,6 @@ static void vc4_hdmi_set_n_cts(struct vc
- {
-       struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
-       struct drm_crtc *crtc = encoder->crtc;
--      struct drm_device *drm = encoder->dev;
--      struct vc4_dev *vc4 = to_vc4_dev(drm);
-       const struct drm_display_mode *mode = &crtc->state->adjusted_mode;
-       u32 samplerate = vc4_hdmi->audio.samplerate;
-       u32 n, cts;
-@@ -692,7 +690,6 @@ static int vc4_hdmi_audio_startup(struct
-       struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
-       struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
-       struct drm_connector *connector = &vc4_hdmi->connector.base;
--      struct vc4_dev *vc4 = to_vc4_dev(encoder->dev);
-       int ret;
-       if (vc4_hdmi->audio.substream && vc4_hdmi->audio.substream != substream)
-@@ -723,9 +720,7 @@ static int vc4_hdmi_audio_set_fmt(struct
- static void vc4_hdmi_audio_reset(struct vc4_hdmi *vc4_hdmi)
- {
-       struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
--      struct drm_device *drm = encoder->dev;
-       struct device *dev = &vc4_hdmi->pdev->dev;
--      struct vc4_dev *vc4 = to_vc4_dev(drm);
-       int ret;
-       ret = vc4_hdmi_stop_packet(encoder, HDMI_INFOFRAME_TYPE_AUDIO);
-@@ -756,10 +751,7 @@ static int vc4_hdmi_audio_hw_params(stru
-                                   struct snd_soc_dai *dai)
- {
-       struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
--      struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
--      struct drm_device *drm = encoder->dev;
-       struct device *dev = &vc4_hdmi->pdev->dev;
--      struct vc4_dev *vc4 = to_vc4_dev(drm);
-       u32 audio_packet_config, channel_mask;
-       u32 channel_map, i;
-@@ -830,8 +822,6 @@ static int vc4_hdmi_audio_trigger(struct
- {
-       struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
-       struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
--      struct drm_device *drm = encoder->dev;
--      struct vc4_dev *vc4 = to_vc4_dev(drm);
-       switch (cmd) {
-       case SNDRV_PCM_TRIGGER_START:
-@@ -1094,7 +1084,8 @@ static irqreturn_t vc4_cec_irq_handler_t
- static void vc4_cec_read_msg(struct vc4_dev *vc4, u32 cntrl1)
- {
--      struct cec_msg *msg = &vc4->hdmi->cec_rx_msg;
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      struct cec_msg *msg = &vc4_hdmi->cec_rx_msg;
-       unsigned int i;
-       msg->len = 1 + ((cntrl1 & VC4_HDMI_CEC_REC_WRD_CNT_MASK) >>
-@@ -1140,6 +1131,7 @@ static irqreturn_t vc4_cec_irq_handler(i
- static int vc4_hdmi_cec_adap_enable(struct cec_adapter *adap, bool enable)
- {
-       struct vc4_dev *vc4 = cec_get_drvdata(adap);
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-       /* clock period in microseconds */
-       const u32 usecs = 1000000 / CEC_CLOCK_FREQ;
-       u32 val = HDMI_READ(VC4_HDMI_CEC_CNTRL_5);
-@@ -1183,6 +1175,7 @@ static int vc4_hdmi_cec_adap_enable(stru
- static int vc4_hdmi_cec_adap_log_addr(struct cec_adapter *adap, u8 log_addr)
- {
-       struct vc4_dev *vc4 = cec_get_drvdata(adap);
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-       HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1,
-                  (HDMI_READ(VC4_HDMI_CEC_CNTRL_1) & ~VC4_HDMI_CEC_ADDR_MASK) |
-@@ -1194,6 +1187,7 @@ static int vc4_hdmi_cec_adap_transmit(st
-                                     u32 signal_free_time, struct cec_msg *msg)
- {
-       struct vc4_dev *vc4 = cec_get_drvdata(adap);
-+      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-       u32 val;
-       unsigned int i;
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -78,9 +78,9 @@ struct vc4_hdmi {
-       struct debugfs_regset32 hd_regset;
- };
--#define HDMI_READ(offset) readl(vc4->hdmi->hdmicore_regs + offset)
--#define HDMI_WRITE(offset, val) writel(val, vc4->hdmi->hdmicore_regs + offset)
--#define HD_READ(offset) readl(vc4->hdmi->hd_regs + offset)
--#define HD_WRITE(offset, val) writel(val, vc4->hdmi->hd_regs + offset)
-+#define HDMI_READ(offset) readl(vc4_hdmi->hdmicore_regs + offset)
-+#define HDMI_WRITE(offset, val) writel(val, vc4_hdmi->hdmicore_regs + offset)
-+#define HD_READ(offset) readl(vc4_hdmi->hd_regs + offset)
-+#define HD_WRITE(offset, val) writel(val, vc4_hdmi->hd_regs + offset)
- #endif /* _VC4_HDMI_H_ */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0575-drm-vc4-hdmi-Implement-a-register-layout-abstraction.patch b/target/linux/bcm27xx/patches-5.4/950-0575-drm-vc4-hdmi-Implement-a-register-layout-abstraction.patch
new file mode 100644 (file)
index 0000000..dbfb08e
--- /dev/null
@@ -0,0 +1,1310 @@
+From 261b3072275937fe64af287c1b61cbb63aca830e Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Wed, 18 Dec 2019 19:15:08 +0100
+Subject: [PATCH] drm/vc4: hdmi: Implement a register layout
+ abstraction
+
+The HDMI controllers found in the BCM2711 have most of the registers
+reorganized in multiple registers areas and at different offsets than
+previously found.
+
+The logic however remains pretty much the same, so it doesn't really make
+sense to create a whole new driver and we should share the code as much as
+possible.
+
+Let's implement some indirection to wrap around a register and depending on
+the variant will lookup the associated register on that particular variant.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c      | 354 ++++++++++++++--------------
+ drivers/gpu/drm/vc4/vc4_hdmi.h      |  12 +-
+ drivers/gpu/drm/vc4/vc4_hdmi_regs.h | 250 ++++++++++++++++++++
+ drivers/gpu/drm/vc4/vc4_regs.h      |  92 --------
+ 4 files changed, 437 insertions(+), 271 deletions(-)
+ create mode 100644 drivers/gpu/drm/vc4/vc4_hdmi_regs.h
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -49,62 +49,13 @@
+ #include "media/cec.h"
+ #include "vc4_drv.h"
+ #include "vc4_hdmi.h"
++#include "vc4_hdmi_regs.h"
+ #include "vc4_regs.h"
+ #define HSM_CLOCK_FREQ 163682864
+ #define CEC_CLOCK_FREQ 40000
+ #define CEC_CLOCK_DIV  (HSM_CLOCK_FREQ / CEC_CLOCK_FREQ)
+-static const struct debugfs_reg32 hdmi_regs[] = {
+-      VC4_REG32(VC4_HDMI_CORE_REV),
+-      VC4_REG32(VC4_HDMI_SW_RESET_CONTROL),
+-      VC4_REG32(VC4_HDMI_HOTPLUG_INT),
+-      VC4_REG32(VC4_HDMI_HOTPLUG),
+-      VC4_REG32(VC4_HDMI_MAI_CHANNEL_MAP),
+-      VC4_REG32(VC4_HDMI_MAI_CONFIG),
+-      VC4_REG32(VC4_HDMI_MAI_FORMAT),
+-      VC4_REG32(VC4_HDMI_AUDIO_PACKET_CONFIG),
+-      VC4_REG32(VC4_HDMI_RAM_PACKET_CONFIG),
+-      VC4_REG32(VC4_HDMI_HORZA),
+-      VC4_REG32(VC4_HDMI_HORZB),
+-      VC4_REG32(VC4_HDMI_FIFO_CTL),
+-      VC4_REG32(VC4_HDMI_SCHEDULER_CONTROL),
+-      VC4_REG32(VC4_HDMI_VERTA0),
+-      VC4_REG32(VC4_HDMI_VERTA1),
+-      VC4_REG32(VC4_HDMI_VERTB0),
+-      VC4_REG32(VC4_HDMI_VERTB1),
+-      VC4_REG32(VC4_HDMI_TX_PHY_RESET_CTL),
+-      VC4_REG32(VC4_HDMI_TX_PHY_CTL0),
+-
+-      VC4_REG32(VC4_HDMI_CEC_CNTRL_1),
+-      VC4_REG32(VC4_HDMI_CEC_CNTRL_2),
+-      VC4_REG32(VC4_HDMI_CEC_CNTRL_3),
+-      VC4_REG32(VC4_HDMI_CEC_CNTRL_4),
+-      VC4_REG32(VC4_HDMI_CEC_CNTRL_5),
+-      VC4_REG32(VC4_HDMI_CPU_STATUS),
+-      VC4_REG32(VC4_HDMI_CPU_MASK_STATUS),
+-
+-      VC4_REG32(VC4_HDMI_CEC_RX_DATA_1),
+-      VC4_REG32(VC4_HDMI_CEC_RX_DATA_2),
+-      VC4_REG32(VC4_HDMI_CEC_RX_DATA_3),
+-      VC4_REG32(VC4_HDMI_CEC_RX_DATA_4),
+-      VC4_REG32(VC4_HDMI_CEC_TX_DATA_1),
+-      VC4_REG32(VC4_HDMI_CEC_TX_DATA_2),
+-      VC4_REG32(VC4_HDMI_CEC_TX_DATA_3),
+-      VC4_REG32(VC4_HDMI_CEC_TX_DATA_4),
+-};
+-
+-static const struct debugfs_reg32 hd_regs[] = {
+-      VC4_REG32(VC4_HD_M_CTL),
+-      VC4_REG32(VC4_HD_MAI_CTL),
+-      VC4_REG32(VC4_HD_MAI_THR),
+-      VC4_REG32(VC4_HD_MAI_FMT),
+-      VC4_REG32(VC4_HD_MAI_SMP),
+-      VC4_REG32(VC4_HD_VID_CTL),
+-      VC4_REG32(VC4_HD_CSC_CTL),
+-      VC4_REG32(VC4_HD_FRAME_COUNT),
+-};
+-
+ static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
+ {
+       struct drm_info_node *node = (struct drm_info_node *)m->private;
+@@ -133,7 +84,7 @@ vc4_hdmi_connector_detect(struct drm_con
+       if (drm_probe_ddc(vc4_hdmi->ddc))
+               return connector_status_connected;
+-      if (HDMI_READ(VC4_HDMI_HOTPLUG) & VC4_HDMI_HOTPLUG_CONNECTED)
++      if (HDMI_READ(HDMI_HOTPLUG) & VC4_HDMI_HOTPLUG_CONNECTED)
+               return connector_status_connected;
+       cec_phys_addr_invalidate(vc4_hdmi->cec_adap);
+       return connector_status_disconnected;
+@@ -229,10 +180,10 @@ static int vc4_hdmi_stop_packet(struct d
+       struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
+       u32 packet_id = type - 0x80;
+-      HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG,
+-                 HDMI_READ(VC4_HDMI_RAM_PACKET_CONFIG) & ~BIT(packet_id));
++      HDMI_WRITE(HDMI_RAM_PACKET_CONFIG,
++                 HDMI_READ(HDMI_RAM_PACKET_CONFIG) & ~BIT(packet_id));
+-      return wait_for(!(HDMI_READ(VC4_HDMI_RAM_PACKET_STATUS) &
++      return wait_for(!(HDMI_READ(HDMI_RAM_PACKET_STATUS) &
+                         BIT(packet_id)), 100);
+ }
+@@ -241,12 +192,16 @@ static void vc4_hdmi_write_infoframe(str
+ {
+       struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
+       u32 packet_id = frame->any.type - 0x80;
+-      u32 packet_reg = VC4_HDMI_RAM_PACKET(packet_id);
++      const struct vc4_hdmi_register *ram_packet_start =
++              &vc4_hdmi->variant->registers[HDMI_RAM_PACKET_START];
++      u32 packet_reg = ram_packet_start->offset + VC4_HDMI_PACKET_STRIDE * packet_id;
++      void __iomem *base = __vc4_hdmi_get_field_base(vc4_hdmi,
++                                                     ram_packet_start->reg);
+       uint8_t buffer[VC4_HDMI_PACKET_STRIDE];
+       ssize_t len, i;
+       int ret;
+-      WARN_ONCE(!(HDMI_READ(VC4_HDMI_RAM_PACKET_CONFIG) &
++      WARN_ONCE(!(HDMI_READ(HDMI_RAM_PACKET_CONFIG) &
+                   VC4_HDMI_RAM_PACKET_ENABLE),
+                 "Packet RAM has to be on to store the packet.");
+@@ -261,23 +216,23 @@ static void vc4_hdmi_write_infoframe(str
+       }
+       for (i = 0; i < len; i += 7) {
+-              HDMI_WRITE(packet_reg,
+-                         buffer[i + 0] << 0 |
+-                         buffer[i + 1] << 8 |
+-                         buffer[i + 2] << 16);
++              writel(buffer[i + 0] << 0 |
++                     buffer[i + 1] << 8 |
++                     buffer[i + 2] << 16,
++                     base + packet_reg);
+               packet_reg += 4;
+-              HDMI_WRITE(packet_reg,
+-                         buffer[i + 3] << 0 |
+-                         buffer[i + 4] << 8 |
+-                         buffer[i + 5] << 16 |
+-                         buffer[i + 6] << 24);
++              writel(buffer[i + 3] << 0 |
++                     buffer[i + 4] << 8 |
++                     buffer[i + 5] << 16 |
++                     buffer[i + 6] << 24,
++                     base + packet_reg);
+               packet_reg += 4;
+       }
+-      HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG,
+-                 HDMI_READ(VC4_HDMI_RAM_PACKET_CONFIG) | BIT(packet_id));
+-      ret = wait_for((HDMI_READ(VC4_HDMI_RAM_PACKET_STATUS) &
++      HDMI_WRITE(HDMI_RAM_PACKET_CONFIG,
++                 HDMI_READ(HDMI_RAM_PACKET_CONFIG) | BIT(packet_id));
++      ret = wait_for((HDMI_READ(HDMI_RAM_PACKET_STATUS) &
+                       BIT(packet_id)), 100);
+       if (ret)
+               DRM_ERROR("Failed to wait for infoframe to start: %d\n", ret);
+@@ -358,11 +313,11 @@ static void vc4_hdmi_encoder_disable(str
+       struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
+       int ret;
+-      HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG, 0);
++      HDMI_WRITE(HDMI_RAM_PACKET_CONFIG, 0);
+-      HDMI_WRITE(VC4_HDMI_TX_PHY_RESET_CTL, 0xf << 16);
+-      HD_WRITE(VC4_HD_VID_CTL,
+-               HD_READ(VC4_HD_VID_CTL) & ~VC4_HD_VID_CTL_ENABLE);
++      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
++      HDMI_WRITE(HDMI_VID_CTL,
++                 HDMI_READ(HDMI_VID_CTL) & ~VC4_HD_VID_CTL_ENABLE);
+       clk_disable_unprepare(vc4_hdmi->pixel_clock);
+@@ -417,18 +372,18 @@ static void vc4_hdmi_encoder_enable(stru
+               return;
+       }
+-      HDMI_WRITE(VC4_HDMI_SW_RESET_CONTROL,
++      HDMI_WRITE(HDMI_SW_RESET_CONTROL,
+                  VC4_HDMI_SW_RESET_HDMI |
+                  VC4_HDMI_SW_RESET_FORMAT_DETECT);
+-      HDMI_WRITE(VC4_HDMI_SW_RESET_CONTROL, 0);
++      HDMI_WRITE(HDMI_SW_RESET_CONTROL, 0);
+       /* PHY should be in reset, like
+        * vc4_hdmi_encoder_disable() does.
+        */
+-      HDMI_WRITE(VC4_HDMI_TX_PHY_RESET_CTL, 0xf << 16);
++      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
+-      HDMI_WRITE(VC4_HDMI_TX_PHY_RESET_CTL, 0);
++      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0);
+       if (debug_dump_regs) {
+               struct drm_printer p = drm_info_printer(&vc4_hdmi->pdev->dev);
+@@ -438,20 +393,20 @@ static void vc4_hdmi_encoder_enable(stru
+               drm_print_regset32(&p, &vc4_hdmi->hd_regset);
+       }
+-      HD_WRITE(VC4_HD_VID_CTL, 0);
++      HDMI_WRITE(HDMI_VID_CTL, 0);
+-      HDMI_WRITE(VC4_HDMI_SCHEDULER_CONTROL,
+-                 HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) |
++      HDMI_WRITE(HDMI_SCHEDULER_CONTROL,
++                 HDMI_READ(HDMI_SCHEDULER_CONTROL) |
+                  VC4_HDMI_SCHEDULER_CONTROL_MANUAL_FORMAT |
+                  VC4_HDMI_SCHEDULER_CONTROL_IGNORE_VSYNC_PREDICTS);
+-      HDMI_WRITE(VC4_HDMI_HORZA,
++      HDMI_WRITE(HDMI_HORZA,
+                  (vsync_pos ? VC4_HDMI_HORZA_VPOS : 0) |
+                  (hsync_pos ? VC4_HDMI_HORZA_HPOS : 0) |
+                  VC4_SET_FIELD(mode->hdisplay * pixel_rep,
+                                VC4_HDMI_HORZA_HAP));
+-      HDMI_WRITE(VC4_HDMI_HORZB,
++      HDMI_WRITE(HDMI_HORZB,
+                  VC4_SET_FIELD((mode->htotal -
+                                 mode->hsync_end) * pixel_rep,
+                                VC4_HDMI_HORZB_HBP) |
+@@ -462,13 +417,13 @@ static void vc4_hdmi_encoder_enable(stru
+                                 mode->hdisplay) * pixel_rep,
+                                VC4_HDMI_HORZB_HFP));
+-      HDMI_WRITE(VC4_HDMI_VERTA0, verta);
+-      HDMI_WRITE(VC4_HDMI_VERTA1, verta);
++      HDMI_WRITE(HDMI_VERTA0, verta);
++      HDMI_WRITE(HDMI_VERTA1, verta);
+-      HDMI_WRITE(VC4_HDMI_VERTB0, vertb_even);
+-      HDMI_WRITE(VC4_HDMI_VERTB1, vertb);
++      HDMI_WRITE(HDMI_VERTB0, vertb_even);
++      HDMI_WRITE(HDMI_VERTB1, vertb);
+-      HD_WRITE(VC4_HD_VID_CTL,
++      HDMI_WRITE(HDMI_VID_CTL,
+                (vsync_pos ? 0 : VC4_HD_VID_CTL_VSYNC_LOW) |
+                (hsync_pos ? 0 : VC4_HD_VID_CTL_HSYNC_LOW));
+@@ -493,21 +448,21 @@ static void vc4_hdmi_encoder_enable(stru
+               csc_ctl |= VC4_SET_FIELD(VC4_HD_CSC_CTL_MODE_CUSTOM,
+                                        VC4_HD_CSC_CTL_MODE);
+-              HD_WRITE(VC4_HD_CSC_12_11, (0x000 << 16) | 0x000);
+-              HD_WRITE(VC4_HD_CSC_14_13, (0x100 << 16) | 0x6e0);
+-              HD_WRITE(VC4_HD_CSC_22_21, (0x6e0 << 16) | 0x000);
+-              HD_WRITE(VC4_HD_CSC_24_23, (0x100 << 16) | 0x000);
+-              HD_WRITE(VC4_HD_CSC_32_31, (0x000 << 16) | 0x6e0);
+-              HD_WRITE(VC4_HD_CSC_34_33, (0x100 << 16) | 0x000);
++              HDMI_WRITE(HDMI_CSC_12_11, (0x000 << 16) | 0x000);
++              HDMI_WRITE(HDMI_CSC_14_13, (0x100 << 16) | 0x6e0);
++              HDMI_WRITE(HDMI_CSC_22_21, (0x6e0 << 16) | 0x000);
++              HDMI_WRITE(HDMI_CSC_24_23, (0x100 << 16) | 0x000);
++              HDMI_WRITE(HDMI_CSC_32_31, (0x000 << 16) | 0x6e0);
++              HDMI_WRITE(HDMI_CSC_34_33, (0x100 << 16) | 0x000);
+               vc4_encoder->limited_rgb_range = true;
+       } else {
+               vc4_encoder->limited_rgb_range = false;
+       }
+       /* The RGB order applies even when CSC is disabled. */
+-      HD_WRITE(VC4_HD_CSC_CTL, csc_ctl);
++      HDMI_WRITE(HDMI_CSC_CTL, csc_ctl);
+-      HDMI_WRITE(VC4_HDMI_FIFO_CTL, VC4_HDMI_FIFO_CTL_MASTER_SLAVE_N);
++      HDMI_WRITE(HDMI_FIFO_CTL, VC4_HDMI_FIFO_CTL_MASTER_SLAVE_N);
+       if (debug_dump_regs) {
+               struct drm_printer p = drm_info_printer(&vc4_hdmi->pdev->dev);
+@@ -517,30 +472,30 @@ static void vc4_hdmi_encoder_enable(stru
+               drm_print_regset32(&p, &vc4_hdmi->hd_regset);
+       }
+-      HD_WRITE(VC4_HD_VID_CTL,
+-               HD_READ(VC4_HD_VID_CTL) |
+-               VC4_HD_VID_CTL_ENABLE |
+-               VC4_HD_VID_CTL_UNDERFLOW_ENABLE |
+-               VC4_HD_VID_CTL_FRAME_COUNTER_RESET);
++      HDMI_WRITE(HDMI_VID_CTL,
++                 HDMI_READ(HDMI_VID_CTL) |
++                 VC4_HD_VID_CTL_ENABLE |
++                 VC4_HD_VID_CTL_UNDERFLOW_ENABLE |
++                 VC4_HD_VID_CTL_FRAME_COUNTER_RESET);
+       if (vc4_encoder->hdmi_monitor) {
+-              HDMI_WRITE(VC4_HDMI_SCHEDULER_CONTROL,
+-                         HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) |
++              HDMI_WRITE(HDMI_SCHEDULER_CONTROL,
++                         HDMI_READ(HDMI_SCHEDULER_CONTROL) |
+                          VC4_HDMI_SCHEDULER_CONTROL_MODE_HDMI);
+-              ret = wait_for(HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) &
++              ret = wait_for(HDMI_READ(HDMI_SCHEDULER_CONTROL) &
+                              VC4_HDMI_SCHEDULER_CONTROL_HDMI_ACTIVE, 1000);
+               WARN_ONCE(ret, "Timeout waiting for "
+                         "VC4_HDMI_SCHEDULER_CONTROL_HDMI_ACTIVE\n");
+       } else {
+-              HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG,
+-                         HDMI_READ(VC4_HDMI_RAM_PACKET_CONFIG) &
++              HDMI_WRITE(HDMI_RAM_PACKET_CONFIG,
++                         HDMI_READ(HDMI_RAM_PACKET_CONFIG) &
+                          ~(VC4_HDMI_RAM_PACKET_ENABLE));
+-              HDMI_WRITE(VC4_HDMI_SCHEDULER_CONTROL,
+-                         HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) &
++              HDMI_WRITE(HDMI_SCHEDULER_CONTROL,
++                         HDMI_READ(HDMI_SCHEDULER_CONTROL) &
+                          ~VC4_HDMI_SCHEDULER_CONTROL_MODE_HDMI);
+-              ret = wait_for(!(HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) &
++              ret = wait_for(!(HDMI_READ(HDMI_SCHEDULER_CONTROL) &
+                                VC4_HDMI_SCHEDULER_CONTROL_HDMI_ACTIVE), 1000);
+               WARN_ONCE(ret, "Timeout waiting for "
+                         "!VC4_HDMI_SCHEDULER_CONTROL_HDMI_ACTIVE\n");
+@@ -549,31 +504,31 @@ static void vc4_hdmi_encoder_enable(stru
+       if (vc4_encoder->hdmi_monitor) {
+               u32 drift;
+-              WARN_ON(!(HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) &
++              WARN_ON(!(HDMI_READ(HDMI_SCHEDULER_CONTROL) &
+                         VC4_HDMI_SCHEDULER_CONTROL_HDMI_ACTIVE));
+-              HDMI_WRITE(VC4_HDMI_SCHEDULER_CONTROL,
+-                         HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) |
++              HDMI_WRITE(HDMI_SCHEDULER_CONTROL,
++                         HDMI_READ(HDMI_SCHEDULER_CONTROL) |
+                          VC4_HDMI_SCHEDULER_CONTROL_VERT_ALWAYS_KEEPOUT);
+-              HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG,
++              HDMI_WRITE(HDMI_RAM_PACKET_CONFIG,
+                          VC4_HDMI_RAM_PACKET_ENABLE);
+               vc4_hdmi_set_infoframes(encoder);
+-              drift = HDMI_READ(VC4_HDMI_FIFO_CTL);
++              drift = HDMI_READ(HDMI_FIFO_CTL);
+               drift &= VC4_HDMI_FIFO_VALID_WRITE_MASK;
+-              HDMI_WRITE(VC4_HDMI_FIFO_CTL,
++              HDMI_WRITE(HDMI_FIFO_CTL,
+                          drift & ~VC4_HDMI_FIFO_CTL_RECENTER);
+-              HDMI_WRITE(VC4_HDMI_FIFO_CTL,
++              HDMI_WRITE(HDMI_FIFO_CTL,
+                          drift | VC4_HDMI_FIFO_CTL_RECENTER);
+               usleep_range(1000, 1100);
+-              HDMI_WRITE(VC4_HDMI_FIFO_CTL,
++              HDMI_WRITE(HDMI_FIFO_CTL,
+                          drift & ~VC4_HDMI_FIFO_CTL_RECENTER);
+-              HDMI_WRITE(VC4_HDMI_FIFO_CTL,
++              HDMI_WRITE(HDMI_FIFO_CTL,
+                          drift | VC4_HDMI_FIFO_CTL_RECENTER);
+-              ret = wait_for(HDMI_READ(VC4_HDMI_FIFO_CTL) &
++              ret = wait_for(HDMI_READ(HDMI_FIFO_CTL) &
+                              VC4_HDMI_FIFO_CTL_RECENTER_DONE, 1);
+               WARN_ONCE(ret, "Timeout waiting for "
+                         "VC4_HDMI_FIFO_CTL_RECENTER_DONE");
+@@ -625,7 +580,7 @@ static void vc4_hdmi_audio_set_mai_clock
+                                    VC4_HD_MAI_SMP_M_SHIFT) + 1,
+                                   &n, &m);
+-      HD_WRITE(VC4_HD_MAI_SMP,
++      HDMI_WRITE(HDMI_MAI_SMP,
+                VC4_SET_FIELD(n, VC4_HD_MAI_SMP_N) |
+                VC4_SET_FIELD(m - 1, VC4_HD_MAI_SMP_M));
+ }
+@@ -644,7 +599,7 @@ static void vc4_hdmi_set_n_cts(struct vc
+       do_div(tmp, 128 * samplerate);
+       cts = tmp;
+-      HDMI_WRITE(VC4_HDMI_CRP_CFG,
++      HDMI_WRITE(HDMI_CRP_CFG,
+                  VC4_HDMI_CRP_CFG_EXTERNAL_CTS_EN |
+                  VC4_SET_FIELD(n, VC4_HDMI_CRP_CFG_N));
+@@ -653,8 +608,8 @@ static void vc4_hdmi_set_n_cts(struct vc
+        * providing a CTS_1 value.  The two CTS values are alternated
+        * between based on the period fields
+        */
+-      HDMI_WRITE(VC4_HDMI_CTS_0, cts);
+-      HDMI_WRITE(VC4_HDMI_CTS_1, cts);
++      HDMI_WRITE(HDMI_CTS_0, cts);
++      HDMI_WRITE(HDMI_CTS_1, cts);
+ }
+ static inline struct vc4_hdmi *dai_to_hdmi(struct snd_soc_dai *dai)
+@@ -681,7 +636,7 @@ static int vc4_hdmi_audio_startup(struct
+        * If the HDMI encoder hasn't probed, or the encoder is
+        * currently in DVI mode, treat the codec dai as missing.
+        */
+-      if (!encoder->crtc || !(HDMI_READ(VC4_HDMI_RAM_PACKET_CONFIG) &
++      if (!encoder->crtc || !(HDMI_READ(HDMI_RAM_PACKET_CONFIG) &
+                               VC4_HDMI_RAM_PACKET_ENABLE))
+               return -ENODEV;
+@@ -707,9 +662,9 @@ static void vc4_hdmi_audio_reset(struct
+       if (ret)
+               dev_err(dev, "Failed to stop audio infoframe: %d\n", ret);
+-      HD_WRITE(VC4_HD_MAI_CTL, VC4_HD_MAI_CTL_RESET);
+-      HD_WRITE(VC4_HD_MAI_CTL, VC4_HD_MAI_CTL_ERRORF);
+-      HD_WRITE(VC4_HD_MAI_CTL, VC4_HD_MAI_CTL_FLUSH);
++      HDMI_WRITE(HDMI_MAI_CTL, VC4_HD_MAI_CTL_RESET);
++      HDMI_WRITE(HDMI_MAI_CTL, VC4_HD_MAI_CTL_ERRORF);
++      HDMI_WRITE(HDMI_MAI_CTL, VC4_HD_MAI_CTL_FLUSH);
+ }
+ static void vc4_hdmi_audio_shutdown(struct snd_pcm_substream *substream,
+@@ -745,7 +700,7 @@ static int vc4_hdmi_audio_hw_params(stru
+       vc4_hdmi->audio.channels = params_channels(params);
+       vc4_hdmi->audio.samplerate = params_rate(params);
+-      HD_WRITE(VC4_HD_MAI_CTL,
++      HDMI_WRITE(HDMI_MAI_CTL,
+                VC4_HD_MAI_CTL_RESET |
+                VC4_HD_MAI_CTL_FLUSH |
+                VC4_HD_MAI_CTL_DLATE |
+@@ -765,22 +720,22 @@ static int vc4_hdmi_audio_hw_params(stru
+       /* Set the MAI threshold.  This logic mimics the firmware's. */
+       if (vc4_hdmi->audio.samplerate > 96000) {
+-              HD_WRITE(VC4_HD_MAI_THR,
++              HDMI_WRITE(HDMI_MAI_THR,
+                        VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQHIGH) |
+                        VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQLOW));
+       } else if (vc4_hdmi->audio.samplerate > 48000) {
+-              HD_WRITE(VC4_HD_MAI_THR,
++              HDMI_WRITE(HDMI_MAI_THR,
+                        VC4_SET_FIELD(0x14, VC4_HD_MAI_THR_DREQHIGH) |
+                        VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQLOW));
+       } else {
+-              HD_WRITE(VC4_HD_MAI_THR,
++              HDMI_WRITE(HDMI_MAI_THR,
+                        VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_PANICHIGH) |
+                        VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_PANICLOW) |
+                        VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_DREQHIGH) |
+                        VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_DREQLOW));
+       }
+-      HDMI_WRITE(VC4_HDMI_MAI_CONFIG,
++      HDMI_WRITE(HDMI_MAI_CONFIG,
+                  VC4_HDMI_MAI_CONFIG_BIT_REVERSE |
+                  VC4_SET_FIELD(channel_mask, VC4_HDMI_MAI_CHANNEL_MASK));
+@@ -790,8 +745,8 @@ static int vc4_hdmi_audio_hw_params(stru
+                       channel_map |= i << (3 * i);
+       }
+-      HDMI_WRITE(VC4_HDMI_MAI_CHANNEL_MAP, channel_map);
+-      HDMI_WRITE(VC4_HDMI_AUDIO_PACKET_CONFIG, audio_packet_config);
++      HDMI_WRITE(HDMI_MAI_CHANNEL_MAP, channel_map);
++      HDMI_WRITE(HDMI_AUDIO_PACKET_CONFIG, audio_packet_config);
+       vc4_hdmi_set_n_cts(vc4_hdmi);
+       return 0;
+@@ -806,21 +761,22 @@ static int vc4_hdmi_audio_trigger(struct
+       switch (cmd) {
+       case SNDRV_PCM_TRIGGER_START:
+               vc4_hdmi_set_audio_infoframe(encoder);
+-              HDMI_WRITE(VC4_HDMI_TX_PHY_CTL0,
+-                         HDMI_READ(VC4_HDMI_TX_PHY_CTL0) &
++              HDMI_WRITE(HDMI_TX_PHY_CTL_0,
++                         HDMI_READ(HDMI_TX_PHY_CTL_0) &
+                          ~VC4_HDMI_TX_PHY_RNG_PWRDN);
+-              HD_WRITE(VC4_HD_MAI_CTL,
++
++              HDMI_WRITE(HDMI_MAI_CTL,
+                        VC4_SET_FIELD(vc4_hdmi->audio.channels,
+                                      VC4_HD_MAI_CTL_CHNUM) |
+                        VC4_HD_MAI_CTL_ENABLE);
+               break;
+       case SNDRV_PCM_TRIGGER_STOP:
+-              HD_WRITE(VC4_HD_MAI_CTL,
++              HDMI_WRITE(HDMI_MAI_CTL,
+                        VC4_HD_MAI_CTL_DLATE |
+                        VC4_HD_MAI_CTL_ERRORE |
+                        VC4_HD_MAI_CTL_ERRORF);
+-              HDMI_WRITE(VC4_HDMI_TX_PHY_CTL0,
+-                         HDMI_READ(VC4_HDMI_TX_PHY_CTL0) |
++              HDMI_WRITE(HDMI_TX_PHY_CTL_0,
++                         HDMI_READ(HDMI_TX_PHY_CTL_0) |
+                          VC4_HDMI_TX_PHY_RNG_PWRDN);
+               break;
+       default:
+@@ -954,6 +910,8 @@ static const struct snd_dmaengine_pcm_co
+ static int vc4_hdmi_audio_init(struct vc4_hdmi *vc4_hdmi)
+ {
++      const struct vc4_hdmi_register *mai_data =
++              &vc4_hdmi->variant->registers[HDMI_MAI_DATA];
+       struct snd_soc_dai_link *dai_link = &vc4_hdmi->audio.link;
+       struct snd_soc_card *card = &vc4_hdmi->audio.card;
+       struct device *dev = &vc4_hdmi->pdev->dev;
+@@ -968,6 +926,11 @@ static int vc4_hdmi_audio_init(struct vc
+               return 0;
+       }
++      if (mai_data->reg != VC4_HD) {
++              WARN_ONCE(true, "MAI isn't in the HD block\n");
++              return -EINVAL;
++      }
++
+       /*
+        * Get the physical address of VC4_HD_MAI_DATA. We need to retrieve
+        * the bus address specified in the DT, because the physical address
+@@ -976,7 +939,7 @@ static int vc4_hdmi_audio_init(struct vc
+        * This VC/MMU should probably be exposed to avoid this kind of hacks.
+        */
+       addr = of_get_address(dev->of_node, 1, NULL, NULL);
+-      vc4_hdmi->audio.dma_data.addr = be32_to_cpup(addr) + VC4_HD_MAI_DATA;
++      vc4_hdmi->audio.dma_data.addr = be32_to_cpup(addr) + mai_data->offset;
+       vc4_hdmi->audio.dma_data.addr_width = DMA_SLAVE_BUSWIDTH_4_BYTES;
+       vc4_hdmi->audio.dma_data.maxburst = 2;
+@@ -1069,7 +1032,7 @@ static void vc4_cec_read_msg(struct vc4_
+       msg->len = 1 + ((cntrl1 & VC4_HDMI_CEC_REC_WRD_CNT_MASK) >>
+                                       VC4_HDMI_CEC_REC_WRD_CNT_SHIFT);
+       for (i = 0; i < msg->len; i += 4) {
+-              u32 val = HDMI_READ(VC4_HDMI_CEC_RX_DATA_1 + i);
++              u32 val = HDMI_READ(HDMI_CEC_RX_DATA_1 + i);
+               msg->msg[i] = val & 0xff;
+               msg->msg[i + 1] = (val >> 8) & 0xff;
+@@ -1081,26 +1044,26 @@ static void vc4_cec_read_msg(struct vc4_
+ static irqreturn_t vc4_cec_irq_handler(int irq, void *priv)
+ {
+       struct vc4_hdmi *vc4_hdmi = priv;
+-      u32 stat = HDMI_READ(VC4_HDMI_CPU_STATUS);
++      u32 stat = HDMI_READ(HDMI_CEC_CPU_STATUS);
+       u32 cntrl1, cntrl5;
+       if (!(stat & VC4_HDMI_CPU_CEC))
+               return IRQ_NONE;
+       vc4_hdmi->cec_rx_msg.len = 0;
+-      cntrl1 = HDMI_READ(VC4_HDMI_CEC_CNTRL_1);
+-      cntrl5 = HDMI_READ(VC4_HDMI_CEC_CNTRL_5);
++      cntrl1 = HDMI_READ(HDMI_CEC_CNTRL_1);
++      cntrl5 = HDMI_READ(HDMI_CEC_CNTRL_5);
+       vc4_hdmi->cec_irq_was_rx = cntrl5 & VC4_HDMI_CEC_RX_CEC_INT;
+       if (vc4_hdmi->cec_irq_was_rx) {
+               vc4_cec_read_msg(vc4_hdmi, cntrl1);
+               cntrl1 |= VC4_HDMI_CEC_CLEAR_RECEIVE_OFF;
+-              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, cntrl1);
++              HDMI_WRITE(HDMI_CEC_CNTRL_1, cntrl1);
+               cntrl1 &= ~VC4_HDMI_CEC_CLEAR_RECEIVE_OFF;
+       } else {
+               vc4_hdmi->cec_tx_ok = cntrl1 & VC4_HDMI_CEC_TX_STATUS_GOOD;
+               cntrl1 &= ~VC4_HDMI_CEC_START_XMIT_BEGIN;
+       }
+-      HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, cntrl1);
+-      HDMI_WRITE(VC4_HDMI_CPU_CLEAR, VC4_HDMI_CPU_CEC);
++      HDMI_WRITE(HDMI_CEC_CNTRL_1, cntrl1);
++      HDMI_WRITE(HDMI_CEC_CPU_CLEAR, VC4_HDMI_CPU_CEC);
+       return IRQ_WAKE_THREAD;
+ }
+@@ -1110,7 +1073,7 @@ static int vc4_hdmi_cec_adap_enable(stru
+       struct vc4_hdmi *vc4_hdmi = cec_get_drvdata(adap);
+       /* clock period in microseconds */
+       const u32 usecs = 1000000 / CEC_CLOCK_FREQ;
+-      u32 val = HDMI_READ(VC4_HDMI_CEC_CNTRL_5);
++      u32 val = HDMI_READ(HDMI_CEC_CNTRL_5);
+       val &= ~(VC4_HDMI_CEC_TX_SW_RESET | VC4_HDMI_CEC_RX_SW_RESET |
+                VC4_HDMI_CEC_CNT_TO_4700_US_MASK |
+@@ -1119,30 +1082,30 @@ static int vc4_hdmi_cec_adap_enable(stru
+              ((4500 / usecs) << VC4_HDMI_CEC_CNT_TO_4500_US_SHIFT);
+       if (enable) {
+-              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_5, val |
++              HDMI_WRITE(HDMI_CEC_CNTRL_5, val |
+                          VC4_HDMI_CEC_TX_SW_RESET | VC4_HDMI_CEC_RX_SW_RESET);
+-              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_5, val);
+-              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_2,
++              HDMI_WRITE(HDMI_CEC_CNTRL_5, val);
++              HDMI_WRITE(HDMI_CEC_CNTRL_2,
+                        ((1500 / usecs) << VC4_HDMI_CEC_CNT_TO_1500_US_SHIFT) |
+                        ((1300 / usecs) << VC4_HDMI_CEC_CNT_TO_1300_US_SHIFT) |
+                        ((800 / usecs) << VC4_HDMI_CEC_CNT_TO_800_US_SHIFT) |
+                        ((600 / usecs) << VC4_HDMI_CEC_CNT_TO_600_US_SHIFT) |
+                        ((400 / usecs) << VC4_HDMI_CEC_CNT_TO_400_US_SHIFT));
+-              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_3,
++              HDMI_WRITE(HDMI_CEC_CNTRL_3,
+                        ((2750 / usecs) << VC4_HDMI_CEC_CNT_TO_2750_US_SHIFT) |
+                        ((2400 / usecs) << VC4_HDMI_CEC_CNT_TO_2400_US_SHIFT) |
+                        ((2050 / usecs) << VC4_HDMI_CEC_CNT_TO_2050_US_SHIFT) |
+                        ((1700 / usecs) << VC4_HDMI_CEC_CNT_TO_1700_US_SHIFT));
+-              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_4,
++              HDMI_WRITE(HDMI_CEC_CNTRL_4,
+                        ((4300 / usecs) << VC4_HDMI_CEC_CNT_TO_4300_US_SHIFT) |
+                        ((3900 / usecs) << VC4_HDMI_CEC_CNT_TO_3900_US_SHIFT) |
+                        ((3600 / usecs) << VC4_HDMI_CEC_CNT_TO_3600_US_SHIFT) |
+                        ((3500 / usecs) << VC4_HDMI_CEC_CNT_TO_3500_US_SHIFT));
+-              HDMI_WRITE(VC4_HDMI_CPU_MASK_CLEAR, VC4_HDMI_CPU_CEC);
++              HDMI_WRITE(HDMI_CEC_CPU_MASK_CLEAR, VC4_HDMI_CPU_CEC);
+       } else {
+-              HDMI_WRITE(VC4_HDMI_CPU_MASK_SET, VC4_HDMI_CPU_CEC);
+-              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_5, val |
++              HDMI_WRITE(HDMI_CEC_CPU_MASK_SET, VC4_HDMI_CPU_CEC);
++              HDMI_WRITE(HDMI_CEC_CNTRL_5, val |
+                          VC4_HDMI_CEC_TX_SW_RESET | VC4_HDMI_CEC_RX_SW_RESET);
+       }
+       return 0;
+@@ -1152,8 +1115,8 @@ static int vc4_hdmi_cec_adap_log_addr(st
+ {
+       struct vc4_hdmi *vc4_hdmi = cec_get_drvdata(adap);
+-      HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1,
+-                 (HDMI_READ(VC4_HDMI_CEC_CNTRL_1) & ~VC4_HDMI_CEC_ADDR_MASK) |
++      HDMI_WRITE(HDMI_CEC_CNTRL_1,
++                 (HDMI_READ(HDMI_CEC_CNTRL_1) & ~VC4_HDMI_CEC_ADDR_MASK) |
+                  (log_addr & 0xf) << VC4_HDMI_CEC_ADDR_SHIFT);
+       return 0;
+ }
+@@ -1166,20 +1129,20 @@ static int vc4_hdmi_cec_adap_transmit(st
+       unsigned int i;
+       for (i = 0; i < msg->len; i += 4)
+-              HDMI_WRITE(VC4_HDMI_CEC_TX_DATA_1 + i,
++              HDMI_WRITE(HDMI_CEC_TX_DATA_1 + i,
+                          (msg->msg[i]) |
+                          (msg->msg[i + 1] << 8) |
+                          (msg->msg[i + 2] << 16) |
+                          (msg->msg[i + 3] << 24));
+-      val = HDMI_READ(VC4_HDMI_CEC_CNTRL_1);
++      val = HDMI_READ(HDMI_CEC_CNTRL_1);
+       val &= ~VC4_HDMI_CEC_START_XMIT_BEGIN;
+-      HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, val);
++      HDMI_WRITE(HDMI_CEC_CNTRL_1, val);
+       val &= ~VC4_HDMI_CEC_MESSAGE_LENGTH_MASK;
+       val |= (msg->len - 1) << VC4_HDMI_CEC_MESSAGE_LENGTH_SHIFT;
+       val |= VC4_HDMI_CEC_START_XMIT_BEGIN;
+-      HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, val);
++      HDMI_WRITE(HDMI_CEC_CNTRL_1, val);
+       return 0;
+ }
+@@ -1190,26 +1153,63 @@ static const struct cec_adap_ops vc4_hdm
+ };
+ #endif
++static int vc4_hdmi_build_regset(struct vc4_hdmi *vc4_hdmi,
++                               struct debugfs_regset32 *regset,
++                               enum vc4_hdmi_regs reg)
++{
++      const struct vc4_hdmi_variant *variant = vc4_hdmi->variant;
++      struct debugfs_reg32 *regs;
++      unsigned int count = 0;
++      unsigned int i;
++
++      regs = kzalloc(variant->num_registers * sizeof(*regs),
++                     GFP_KERNEL);
++      if (!regs)
++              return -ENOMEM;
++
++      for (i = 0; i < variant->num_registers; i++) {
++              const struct vc4_hdmi_register *field = &variant->registers[i];
++
++              if (field->reg != reg)
++                      continue;
++
++              regs[count].name = field->name;
++              regs[count].offset = field->offset;
++              count++;
++      }
++
++      regs = krealloc(regs, count * sizeof(*regs), GFP_KERNEL);
++      if (!regs)
++              return -ENOMEM;
++
++      regset->base = __vc4_hdmi_get_field_base(vc4_hdmi, reg);
++      regset->regs = regs;
++      regset->nregs = count;
++
++      return 0;
++}
++
+ static int vc4_hdmi_init_resources(struct vc4_hdmi *vc4_hdmi)
+ {
+       struct platform_device *pdev = vc4_hdmi->pdev;
+       struct device *dev = &pdev->dev;
++      int ret;
+       vc4_hdmi->hdmicore_regs = vc4_ioremap_regs(pdev, 0);
+       if (IS_ERR(vc4_hdmi->hdmicore_regs))
+               return PTR_ERR(vc4_hdmi->hdmicore_regs);
+-      vc4_hdmi->hdmi_regset.base = vc4_hdmi->hdmicore_regs;
+-      vc4_hdmi->hdmi_regset.regs = hdmi_regs;
+-      vc4_hdmi->hdmi_regset.nregs = ARRAY_SIZE(hdmi_regs);
++      ret = vc4_hdmi_build_regset(vc4_hdmi, &vc4_hdmi->hd_regset, VC4_HD);
++      if (ret)
++              return ret;
+       vc4_hdmi->hd_regs = vc4_ioremap_regs(pdev, 1);
+       if (IS_ERR(vc4_hdmi->hd_regs))
+               return PTR_ERR(vc4_hdmi->hd_regs);
+-      vc4_hdmi->hd_regset.base = vc4_hdmi->hd_regs;
+-      vc4_hdmi->hd_regset.regs = hd_regs;
+-      vc4_hdmi->hd_regset.nregs = ARRAY_SIZE(hd_regs);
++      ret = vc4_hdmi_build_regset(vc4_hdmi, &vc4_hdmi->hdmi_regset, VC4_HDMI);
++      if (ret)
++              return ret;
+       vc4_hdmi->pixel_clock = devm_clk_get(dev, "pixel");
+       if (IS_ERR(vc4_hdmi->pixel_clock)) {
+@@ -1302,12 +1302,12 @@ static int vc4_hdmi_bind(struct device *
+       }
+       /* HDMI core must be enabled. */
+-      if (!(HD_READ(VC4_HD_M_CTL) & VC4_HD_M_ENABLE)) {
+-              HD_WRITE(VC4_HD_M_CTL, VC4_HD_M_SW_RST);
++      if (!(HDMI_READ(HDMI_M_CTL) & VC4_HD_M_ENABLE)) {
++              HDMI_WRITE(HDMI_M_CTL, VC4_HD_M_SW_RST);
+               udelay(1);
+-              HD_WRITE(VC4_HD_M_CTL, 0);
++              HDMI_WRITE(HDMI_M_CTL, 0);
+-              HD_WRITE(VC4_HD_M_CTL, VC4_HD_M_ENABLE);
++              HDMI_WRITE(HDMI_M_CTL, VC4_HD_M_ENABLE);
+       }
+       pm_runtime_enable(dev);
+@@ -1331,8 +1331,8 @@ static int vc4_hdmi_bind(struct device *
+       cec_fill_conn_info_from_drm(&conn_info, &vc4_hdmi->connector);
+       cec_s_conn_info(vc4_hdmi->cec_adap, &conn_info);
+-      HDMI_WRITE(VC4_HDMI_CPU_MASK_SET, 0xffffffff);
+-      value = HDMI_READ(VC4_HDMI_CEC_CNTRL_1);
++      HDMI_WRITE(HDMI_CEC_CPU_MASK_SET, 0xffffffff);
++      value = HDMI_READ(HDMI_CEC_CNTRL_1);
+       value &= ~VC4_HDMI_CEC_DIV_CLK_CNT_MASK;
+       /*
+        * Set the logical address to Unregistered and set the clock
+@@ -1341,7 +1341,7 @@ static int vc4_hdmi_bind(struct device *
+        */
+       value |= VC4_HDMI_CEC_ADDR_MASK |
+                (4091 << VC4_HDMI_CEC_DIV_CLK_CNT_SHIFT);
+-      HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, value);
++      HDMI_WRITE(HDMI_CEC_CNTRL_1, value);
+       ret = devm_request_threaded_irq(dev, platform_get_irq(pdev, 0),
+                                       vc4_cec_irq_handler,
+                                       vc4_cec_irq_handler_thread, 0,
+@@ -1388,6 +1388,9 @@ static void vc4_hdmi_unbind(struct devic
+       struct snd_soc_card *card = dev_get_drvdata(dev);
+       struct vc4_hdmi *vc4_hdmi = snd_soc_card_get_drvdata(card);
++      kfree(vc4_hdmi->hdmi_regset.regs);
++      kfree(vc4_hdmi->hd_regset.regs);
++
+       cec_unregister_adapter(vc4_hdmi->cec_adap);
+       vc4_hdmi_connector_destroy(&vc4_hdmi->connector);
+       vc4_hdmi_encoder_destroy(&vc4_hdmi->encoder.base.base);
+@@ -1415,6 +1418,9 @@ static int vc4_hdmi_dev_remove(struct pl
+ }
+ static const struct vc4_hdmi_variant bcm2835_variant = {
++      .registers              = vc4_hdmi_fields,
++      .num_registers          = ARRAY_SIZE(vc4_hdmi_fields),
++
+       .init_resources         = vc4_hdmi_init_resources,
+ };
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -22,8 +22,15 @@ to_vc4_hdmi_encoder(struct drm_encoder *
+ }
+ struct vc4_hdmi;
++struct vc4_hdmi_register;
+ struct vc4_hdmi_variant {
++      /* List of the registers available on that variant */
++      const struct vc4_hdmi_register *registers;
++
++      /* Number of registers on that variant */
++      unsigned int num_registers;
++
+       /* Callback to get the resources (memory region, interrupts,
+        * clocks, etc) for that variant.
+        */
+@@ -85,9 +92,4 @@ encoder_to_vc4_hdmi(struct drm_encoder *
+       return container_of(_encoder, struct vc4_hdmi, encoder);
+ }
+-#define HDMI_READ(offset) readl(vc4_hdmi->hdmicore_regs + offset)
+-#define HDMI_WRITE(offset, val) writel(val, vc4_hdmi->hdmicore_regs + offset)
+-#define HD_READ(offset) readl(vc4_hdmi->hd_regs + offset)
+-#define HD_WRITE(offset, val) writel(val, vc4_hdmi->hd_regs + offset)
+-
+ #endif /* _VC4_HDMI_H_ */
+--- /dev/null
++++ b/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
+@@ -0,0 +1,250 @@
++#ifndef _VC4_HDMI_REGS_H_
++#define _VC4_HDMI_REGS_H_
++
++#include "vc4_hdmi.h"
++
++#define VC4_MASK(high, low) ((u32)GENMASK(high, low))
++/* Using the GNU statement expression extension */
++#define VC4_SET_FIELD(value, field)                                   \
++      ({                                                              \
++              uint32_t fieldval = (value) << field##_SHIFT;           \
++              WARN_ON((fieldval & ~field##_MASK) != 0);               \
++              fieldval & field##_MASK;                                \
++       })
++
++#define VC4_HDMI_PACKET_STRIDE                        0x24
++
++enum vc4_hdmi_regs {
++      VC4_INVALID = 0,
++      VC4_HDMI,
++      VC4_HD,
++};
++
++enum vc4_hdmi_field {
++      HDMI_AUDIO_PACKET_CONFIG,
++      HDMI_CEC_CNTRL_1,
++      HDMI_CEC_CNTRL_2,
++      HDMI_CEC_CNTRL_3,
++      HDMI_CEC_CNTRL_4,
++      HDMI_CEC_CNTRL_5,
++      HDMI_CEC_CPU_CLEAR,
++      HDMI_CEC_CPU_MASK_CLEAR,
++      HDMI_CEC_CPU_MASK_SET,
++      HDMI_CEC_CPU_MASK_STATUS,
++      HDMI_CEC_CPU_STATUS,
++
++      /*
++       * Transmit data, first byte is low byte of the 32-bit reg.
++       * MSB of each byte transmitted first.
++       */
++      HDMI_CEC_RX_DATA_1,
++      HDMI_CEC_RX_DATA_2,
++      HDMI_CEC_RX_DATA_3,
++      HDMI_CEC_RX_DATA_4,
++      HDMI_CEC_TX_DATA_1,
++      HDMI_CEC_TX_DATA_2,
++      HDMI_CEC_TX_DATA_3,
++      HDMI_CEC_TX_DATA_4,
++      HDMI_CORE_REV,
++      HDMI_CRP_CFG,
++      HDMI_CSC_12_11,
++      HDMI_CSC_14_13,
++      HDMI_CSC_22_21,
++      HDMI_CSC_24_23,
++      HDMI_CSC_32_31,
++      HDMI_CSC_34_33,
++      HDMI_CSC_CTL,
++
++      /*
++       * 20-bit fields containing CTS values to be transmitted if
++       * !EXTERNAL_CTS_EN
++       */
++      HDMI_CTS_0,
++      HDMI_CTS_1,
++      HDMI_FIFO_CTL,
++      HDMI_FRAME_COUNT,
++      HDMI_HORZA,
++      HDMI_HORZB,
++      HDMI_HOTPLUG,
++      HDMI_HOTPLUG_INT,
++
++      /*
++       * 3 bits per field, where each field maps from that
++       * corresponding MAI bus channel to the given HDMI channel.
++       */
++      HDMI_MAI_CHANNEL_MAP,
++      HDMI_MAI_CONFIG,
++      HDMI_MAI_CTL,
++
++      /*
++       * Register for DMAing in audio data to be transported over
++       * the MAI bus to the Falcon core.
++       */
++      HDMI_MAI_DATA,
++
++      /* Format header to be placed on the MAI data. Unused. */
++      HDMI_MAI_FMT,
++
++      /* Last received format word on the MAI bus. */
++      HDMI_MAI_FORMAT,
++      HDMI_MAI_SMP,
++      HDMI_MAI_THR,
++      HDMI_M_CTL,
++      HDMI_RAM_PACKET_CONFIG,
++      HDMI_RAM_PACKET_START,
++      HDMI_RAM_PACKET_STATUS,
++      HDMI_SCHEDULER_CONTROL,
++      HDMI_SW_RESET_CONTROL,
++      HDMI_TX_PHY_CTL_0,
++      HDMI_TX_PHY_RESET_CTL,
++      HDMI_VERTA0,
++      HDMI_VERTA1,
++      HDMI_VERTB0,
++      HDMI_VERTB1,
++      HDMI_VID_CTL,
++};
++
++struct vc4_hdmi_register {
++      char *name;
++      enum vc4_hdmi_regs reg;
++      unsigned int offset;
++};
++
++#define _VC4_REG(_base, _reg, _offset)        \
++      [_reg] = {                              \
++              .name = #_reg,                  \
++              .reg = _base,                   \
++              .offset = _offset,              \
++      }
++
++#define VC4_HD_REG(reg, offset)               _VC4_REG(VC4_HD, reg, offset)
++#define VC4_HDMI_REG(reg, offset)     _VC4_REG(VC4_HDMI, reg, offset)
++
++static const struct vc4_hdmi_register vc4_hdmi_fields[] = {
++      VC4_HD_REG(HDMI_M_CTL, 0x000c),
++      VC4_HD_REG(HDMI_MAI_CTL, 0x0014),
++      VC4_HD_REG(HDMI_MAI_THR, 0x0018),
++      VC4_HD_REG(HDMI_MAI_FMT, 0x001c),
++      VC4_HD_REG(HDMI_MAI_DATA, 0x0020),
++      VC4_HD_REG(HDMI_MAI_SMP, 0x002c),
++      VC4_HD_REG(HDMI_VID_CTL, 0x0038),
++      VC4_HD_REG(HDMI_CSC_CTL, 0x0040),
++      VC4_HD_REG(HDMI_CSC_12_11, 0x0044),
++      VC4_HD_REG(HDMI_CSC_14_13, 0x0048),
++      VC4_HD_REG(HDMI_CSC_22_21, 0x004c),
++      VC4_HD_REG(HDMI_CSC_24_23, 0x0050),
++      VC4_HD_REG(HDMI_CSC_32_31, 0x0054),
++      VC4_HD_REG(HDMI_CSC_34_33, 0x0058),
++      VC4_HD_REG(HDMI_FRAME_COUNT, 0x0068),
++
++      VC4_HDMI_REG(HDMI_CORE_REV, 0x0000),
++      VC4_HDMI_REG(HDMI_SW_RESET_CONTROL, 0x0004),
++      VC4_HDMI_REG(HDMI_HOTPLUG_INT, 0x0008),
++      VC4_HDMI_REG(HDMI_HOTPLUG, 0x000c),
++      VC4_HDMI_REG(HDMI_FIFO_CTL, 0x005c),
++      VC4_HDMI_REG(HDMI_MAI_CHANNEL_MAP, 0x0090),
++      VC4_HDMI_REG(HDMI_MAI_CONFIG, 0x0094),
++      VC4_HDMI_REG(HDMI_MAI_FORMAT, 0x0098),
++      VC4_HDMI_REG(HDMI_AUDIO_PACKET_CONFIG, 0x009c),
++      VC4_HDMI_REG(HDMI_RAM_PACKET_CONFIG, 0x00a0),
++      VC4_HDMI_REG(HDMI_RAM_PACKET_STATUS, 0x00a4),
++      VC4_HDMI_REG(HDMI_CRP_CFG, 0x00a8),
++      VC4_HDMI_REG(HDMI_CTS_0, 0x00ac),
++      VC4_HDMI_REG(HDMI_CTS_1, 0x00b0),
++      VC4_HDMI_REG(HDMI_SCHEDULER_CONTROL, 0x00c0),
++      VC4_HDMI_REG(HDMI_HORZA, 0x00c4),
++      VC4_HDMI_REG(HDMI_HORZB, 0x00c8),
++      VC4_HDMI_REG(HDMI_VERTA0, 0x00cc),
++      VC4_HDMI_REG(HDMI_VERTB0, 0x00d0),
++      VC4_HDMI_REG(HDMI_VERTA1, 0x00d4),
++      VC4_HDMI_REG(HDMI_VERTB1, 0x00d8),
++      VC4_HDMI_REG(HDMI_CEC_CNTRL_1, 0x00e8),
++      VC4_HDMI_REG(HDMI_CEC_CNTRL_2, 0x00ec),
++      VC4_HDMI_REG(HDMI_CEC_CNTRL_3, 0x00f0),
++      VC4_HDMI_REG(HDMI_CEC_CNTRL_4, 0x00f4),
++      VC4_HDMI_REG(HDMI_CEC_CNTRL_5, 0x00f8),
++      VC4_HDMI_REG(HDMI_CEC_TX_DATA_1, 0x00fc),
++      VC4_HDMI_REG(HDMI_CEC_TX_DATA_2, 0x0100),
++      VC4_HDMI_REG(HDMI_CEC_TX_DATA_3, 0x0104),
++      VC4_HDMI_REG(HDMI_CEC_TX_DATA_4, 0x0108),
++      VC4_HDMI_REG(HDMI_CEC_RX_DATA_1, 0x010c),
++      VC4_HDMI_REG(HDMI_CEC_RX_DATA_2, 0x0110),
++      VC4_HDMI_REG(HDMI_CEC_RX_DATA_3, 0x0114),
++      VC4_HDMI_REG(HDMI_CEC_RX_DATA_4, 0x0118),
++      VC4_HDMI_REG(HDMI_TX_PHY_RESET_CTL, 0x02c0),
++      VC4_HDMI_REG(HDMI_TX_PHY_CTL_0, 0x02c4),
++      VC4_HDMI_REG(HDMI_CEC_CPU_STATUS, 0x0340),
++      VC4_HDMI_REG(HDMI_CEC_CPU_CLEAR, 0x0348),
++      VC4_HDMI_REG(HDMI_CEC_CPU_MASK_STATUS, 0x034c),
++      VC4_HDMI_REG(HDMI_CEC_CPU_MASK_SET, 0x034c),
++      VC4_HDMI_REG(HDMI_CEC_CPU_MASK_CLEAR, 0x0354),
++      VC4_HDMI_REG(HDMI_RAM_PACKET_START, 0x0400),
++};
++
++static inline
++void __iomem *__vc4_hdmi_get_field_base(struct vc4_hdmi *hdmi,
++                                      enum vc4_hdmi_regs reg)
++{
++      switch (reg) {
++      case VC4_HD:
++              return hdmi->hd_regs;
++
++      case VC4_HDMI:
++              return hdmi->hdmicore_regs;
++
++      default:
++              return NULL;
++      }
++
++      return NULL;
++}
++
++static inline u32 vc4_hdmi_read(struct vc4_hdmi *hdmi,
++                              enum vc4_hdmi_regs reg)
++{
++      const struct vc4_hdmi_register *field;
++      const struct vc4_hdmi_variant *variant = hdmi->variant;
++      void __iomem *base;
++
++      if (reg > variant->num_registers) {
++              dev_warn(&hdmi->pdev->dev,
++                       "Invalid register ID %u\n", reg);
++              return 0;
++      }
++
++      field = &variant->registers[reg];
++      base = __vc4_hdmi_get_field_base(hdmi, field->reg);
++      if (!base) {
++              dev_warn(&hdmi->pdev->dev,
++                       "Unknown register ID %u\n", reg);
++              return 0;
++      }
++
++      return readl(base + field->offset);
++}
++#define HDMI_READ(reg)                vc4_hdmi_read(vc4_hdmi, reg)
++
++static inline void vc4_hdmi_write(struct vc4_hdmi *hdmi,
++                                enum vc4_hdmi_regs reg,
++                                u32 value)
++{
++      const struct vc4_hdmi_register *field;
++      const struct vc4_hdmi_variant *variant = hdmi->variant;
++      void __iomem *base;
++
++      if (reg > variant->num_registers) {
++              dev_warn(&hdmi->pdev->dev,
++                       "Invalid register ID %u\n", reg);
++              return;
++      }
++
++      field = &variant->registers[reg];
++      base = __vc4_hdmi_get_field_base(hdmi, field->reg);
++      if (!base)
++              return;
++
++      writel(value, base + field->offset);
++}
++#define HDMI_WRITE(reg, val)  vc4_hdmi_write(vc4_hdmi, reg, val)
++
++#endif /* _VC4_HDMI_REGS_H_ */
+--- a/drivers/gpu/drm/vc4/vc4_regs.h
++++ b/drivers/gpu/drm/vc4/vc4_regs.h
+@@ -493,32 +493,16 @@
+ #define SCALER5_DLIST_START                   0x00004000
+-#define VC4_HDMI_CORE_REV                     0x000
+-
+-#define VC4_HDMI_SW_RESET_CONTROL             0x004
+ # define VC4_HDMI_SW_RESET_FORMAT_DETECT      BIT(1)
+ # define VC4_HDMI_SW_RESET_HDMI                       BIT(0)
+-#define VC4_HDMI_HOTPLUG_INT                  0x008
+-
+-#define VC4_HDMI_HOTPLUG                      0x00c
+ # define VC4_HDMI_HOTPLUG_CONNECTED           BIT(0)
+-/* 3 bits per field, where each field maps from that corresponding MAI
+- * bus channel to the given HDMI channel.
+- */
+-#define VC4_HDMI_MAI_CHANNEL_MAP              0x090
+-
+-#define VC4_HDMI_MAI_CONFIG                   0x094
+ # define VC4_HDMI_MAI_CONFIG_FORMAT_REVERSE           BIT(27)
+ # define VC4_HDMI_MAI_CONFIG_BIT_REVERSE              BIT(26)
+ # define VC4_HDMI_MAI_CHANNEL_MASK_MASK                       VC4_MASK(15, 0)
+ # define VC4_HDMI_MAI_CHANNEL_MASK_SHIFT              0
+-/* Last received format word on the MAI bus. */
+-#define VC4_HDMI_MAI_FORMAT                   0x098
+-
+-#define VC4_HDMI_AUDIO_PACKET_CONFIG          0x09c
+ # define VC4_HDMI_AUDIO_PACKET_ZERO_DATA_ON_SAMPLE_FLAT               BIT(29)
+ # define VC4_HDMI_AUDIO_PACKET_ZERO_DATA_ON_INACTIVE_CHANNELS BIT(24)
+ # define VC4_HDMI_AUDIO_PACKET_FORCE_SAMPLE_PRESENT           BIT(19)
+@@ -532,12 +516,8 @@
+ # define VC4_HDMI_AUDIO_PACKET_CEA_MASK_MASK                  VC4_MASK(7, 0)
+ # define VC4_HDMI_AUDIO_PACKET_CEA_MASK_SHIFT                 0
+-#define VC4_HDMI_RAM_PACKET_CONFIG            0x0a0
+ # define VC4_HDMI_RAM_PACKET_ENABLE           BIT(16)
+-#define VC4_HDMI_RAM_PACKET_STATUS            0x0a4
+-
+-#define VC4_HDMI_CRP_CFG                      0x0a8
+ /* When set, the CTS_PERIOD counts based on MAI bus sync pulse instead
+  * of pixel clock.
+  */
+@@ -551,23 +531,12 @@
+ # define VC4_HDMI_CRP_CFG_N_MASK              VC4_MASK(19, 0)
+ # define VC4_HDMI_CRP_CFG_N_SHIFT             0
+-/* 20-bit fields containing CTS values to be transmitted if !EXTERNAL_CTS_EN */
+-#define VC4_HDMI_CTS_0                                0x0ac
+-#define VC4_HDMI_CTS_1                                0x0b0
+-/* 20-bit fields containing number of clocks to send CTS0/1 before
+- * switching to the other one.
+- */
+-#define VC4_HDMI_CTS_PERIOD_0                 0x0b4
+-#define VC4_HDMI_CTS_PERIOD_1                 0x0b8
+-
+-#define VC4_HDMI_HORZA                                0x0c4
+ # define VC4_HDMI_HORZA_VPOS                  BIT(14)
+ # define VC4_HDMI_HORZA_HPOS                  BIT(13)
+ /* Horizontal active pixels (hdisplay). */
+ # define VC4_HDMI_HORZA_HAP_MASK              VC4_MASK(12, 0)
+ # define VC4_HDMI_HORZA_HAP_SHIFT             0
+-#define VC4_HDMI_HORZB                                0x0c8
+ /* Horizontal pack porch (htotal - hsync_end). */
+ # define VC4_HDMI_HORZB_HBP_MASK              VC4_MASK(29, 20)
+ # define VC4_HDMI_HORZB_HBP_SHIFT             20
+@@ -578,7 +547,6 @@
+ # define VC4_HDMI_HORZB_HFP_MASK              VC4_MASK(9, 0)
+ # define VC4_HDMI_HORZB_HFP_SHIFT             0
+-#define VC4_HDMI_FIFO_CTL                     0x05c
+ # define VC4_HDMI_FIFO_CTL_RECENTER_DONE      BIT(14)
+ # define VC4_HDMI_FIFO_CTL_USE_EMPTY          BIT(13)
+ # define VC4_HDMI_FIFO_CTL_ON_VB              BIT(7)
+@@ -591,15 +559,12 @@
+ # define VC4_HDMI_FIFO_CTL_MASTER_SLAVE_N     BIT(0)
+ # define VC4_HDMI_FIFO_VALID_WRITE_MASK               0xefff
+-#define VC4_HDMI_SCHEDULER_CONTROL            0x0c0
+ # define VC4_HDMI_SCHEDULER_CONTROL_MANUAL_FORMAT BIT(15)
+ # define VC4_HDMI_SCHEDULER_CONTROL_IGNORE_VSYNC_PREDICTS BIT(5)
+ # define VC4_HDMI_SCHEDULER_CONTROL_VERT_ALWAYS_KEEPOUT       BIT(3)
+ # define VC4_HDMI_SCHEDULER_CONTROL_HDMI_ACTIVE       BIT(1)
+ # define VC4_HDMI_SCHEDULER_CONTROL_MODE_HDMI BIT(0)
+-#define VC4_HDMI_VERTA0                               0x0cc
+-#define VC4_HDMI_VERTA1                               0x0d4
+ /* Vertical sync pulse (vsync_end - vsync_start). */
+ # define VC4_HDMI_VERTA_VSP_MASK              VC4_MASK(24, 20)
+ # define VC4_HDMI_VERTA_VSP_SHIFT             20
+@@ -610,8 +575,6 @@
+ # define VC4_HDMI_VERTA_VAL_MASK              VC4_MASK(12, 0)
+ # define VC4_HDMI_VERTA_VAL_SHIFT             0
+-#define VC4_HDMI_VERTB0                               0x0d0
+-#define VC4_HDMI_VERTB1                               0x0d8
+ /* Vertical sync pulse offset (for interlaced) */
+ # define VC4_HDMI_VERTB_VSPO_MASK             VC4_MASK(21, 9)
+ # define VC4_HDMI_VERTB_VSPO_SHIFT            9
+@@ -619,7 +582,6 @@
+ # define VC4_HDMI_VERTB_VBP_MASK              VC4_MASK(8, 0)
+ # define VC4_HDMI_VERTB_VBP_SHIFT             0
+-#define VC4_HDMI_CEC_CNTRL_1                  0x0e8
+ /* Set when the transmission has ended. */
+ # define VC4_HDMI_CEC_TX_EOM                  BIT(31)
+ /* If set, transmission was acked on the 1st or 2nd attempt (only one
+@@ -660,7 +622,6 @@
+ /* Set these fields to how many bit clock cycles get to that many
+  * microseconds.
+  */
+-#define VC4_HDMI_CEC_CNTRL_2                  0x0ec
+ # define VC4_HDMI_CEC_CNT_TO_1500_US_MASK     VC4_MASK(30, 24)
+ # define VC4_HDMI_CEC_CNT_TO_1500_US_SHIFT    24
+ # define VC4_HDMI_CEC_CNT_TO_1300_US_MASK     VC4_MASK(23, 17)
+@@ -672,7 +633,6 @@
+ # define VC4_HDMI_CEC_CNT_TO_400_US_MASK      VC4_MASK(4, 0)
+ # define VC4_HDMI_CEC_CNT_TO_400_US_SHIFT     0
+-#define VC4_HDMI_CEC_CNTRL_3                  0x0f0
+ # define VC4_HDMI_CEC_CNT_TO_2750_US_MASK     VC4_MASK(31, 24)
+ # define VC4_HDMI_CEC_CNT_TO_2750_US_SHIFT    24
+ # define VC4_HDMI_CEC_CNT_TO_2400_US_MASK     VC4_MASK(23, 16)
+@@ -682,7 +642,6 @@
+ # define VC4_HDMI_CEC_CNT_TO_1700_US_MASK     VC4_MASK(7, 0)
+ # define VC4_HDMI_CEC_CNT_TO_1700_US_SHIFT    0
+-#define VC4_HDMI_CEC_CNTRL_4                  0x0f4
+ # define VC4_HDMI_CEC_CNT_TO_4300_US_MASK     VC4_MASK(31, 24)
+ # define VC4_HDMI_CEC_CNT_TO_4300_US_SHIFT    24
+ # define VC4_HDMI_CEC_CNT_TO_3900_US_MASK     VC4_MASK(23, 16)
+@@ -692,7 +651,6 @@
+ # define VC4_HDMI_CEC_CNT_TO_3500_US_MASK     VC4_MASK(7, 0)
+ # define VC4_HDMI_CEC_CNT_TO_3500_US_SHIFT    0
+-#define VC4_HDMI_CEC_CNTRL_5                  0x0f8
+ # define VC4_HDMI_CEC_TX_SW_RESET             BIT(27)
+ # define VC4_HDMI_CEC_RX_SW_RESET             BIT(26)
+ # define VC4_HDMI_CEC_PAD_SW_RESET            BIT(25)
+@@ -705,39 +663,11 @@
+ # define VC4_HDMI_CEC_CNT_TO_4500_US_MASK     VC4_MASK(7, 0)
+ # define VC4_HDMI_CEC_CNT_TO_4500_US_SHIFT    0
+-/* Transmit data, first byte is low byte of the 32-bit reg.  MSB of
+- * each byte transmitted first.
+- */
+-#define VC4_HDMI_CEC_TX_DATA_1                        0x0fc
+-#define VC4_HDMI_CEC_TX_DATA_2                        0x100
+-#define VC4_HDMI_CEC_TX_DATA_3                        0x104
+-#define VC4_HDMI_CEC_TX_DATA_4                        0x108
+-#define VC4_HDMI_CEC_RX_DATA_1                        0x10c
+-#define VC4_HDMI_CEC_RX_DATA_2                        0x110
+-#define VC4_HDMI_CEC_RX_DATA_3                        0x114
+-#define VC4_HDMI_CEC_RX_DATA_4                        0x118
+-
+-#define VC4_HDMI_TX_PHY_RESET_CTL             0x2c0
+-
+-#define VC4_HDMI_TX_PHY_CTL0                  0x2c4
+ # define VC4_HDMI_TX_PHY_RNG_PWRDN            BIT(25)
+-/* Interrupt status bits */
+-#define VC4_HDMI_CPU_STATUS                   0x340
+-#define VC4_HDMI_CPU_SET                      0x344
+-#define VC4_HDMI_CPU_CLEAR                    0x348
+ # define VC4_HDMI_CPU_CEC                     BIT(6)
+ # define VC4_HDMI_CPU_HOTPLUG                 BIT(0)
+-#define VC4_HDMI_CPU_MASK_STATUS              0x34c
+-#define VC4_HDMI_CPU_MASK_SET                 0x350
+-#define VC4_HDMI_CPU_MASK_CLEAR                       0x354
+-
+-#define VC4_HDMI_GCP(x)                               (0x400 + ((x) * 0x4))
+-#define VC4_HDMI_RAM_PACKET(x)                        (0x400 + ((x) * 0x24))
+-#define VC4_HDMI_PACKET_STRIDE                        0x24
+-
+-#define VC4_HD_M_CTL                          0x00c
+ /* Debug: Current receive value on the CEC pad. */
+ # define VC4_HD_CECRXD                                BIT(9)
+ /* Debug: Override CEC output to 0. */
+@@ -747,7 +677,6 @@
+ # define VC4_HD_M_SW_RST                      BIT(2)
+ # define VC4_HD_M_ENABLE                      BIT(0)
+-#define VC4_HD_MAI_CTL                                0x014
+ /* Set when audio stream is received at a slower rate than the
+  * sampling period, so MAI fifo goes empty.  Write 1 to clear.
+  */
+@@ -772,7 +701,6 @@
+ /* Single-shot reset bit.  Read value is undefined. */
+ # define VC4_HD_MAI_CTL_RESET                 BIT(0)
+-#define VC4_HD_MAI_THR                                0x018
+ # define VC4_HD_MAI_THR_PANICHIGH_MASK                VC4_MASK(29, 24)
+ # define VC4_HD_MAI_THR_PANICHIGH_SHIFT               24
+ # define VC4_HD_MAI_THR_PANICLOW_MASK         VC4_MASK(21, 16)
+@@ -782,31 +710,20 @@
+ # define VC4_HD_MAI_THR_DREQLOW_MASK          VC4_MASK(5, 0)
+ # define VC4_HD_MAI_THR_DREQLOW_SHIFT         0
+-/* Format header to be placed on the MAI data. Unused. */
+-#define VC4_HD_MAI_FMT                                0x01c
+-
+-/* Register for DMAing in audio data to be transported over the MAI
+- * bus to the Falcon core.
+- */
+-#define VC4_HD_MAI_DATA                               0x020
+-
+ /* Divider from HDMI HSM clock to MAI serial clock.  Sampling period
+  * converges to N / (M + 1) cycles.
+  */
+-#define VC4_HD_MAI_SMP                                0x02c
+ # define VC4_HD_MAI_SMP_N_MASK                        VC4_MASK(31, 8)
+ # define VC4_HD_MAI_SMP_N_SHIFT                       8
+ # define VC4_HD_MAI_SMP_M_MASK                        VC4_MASK(7, 0)
+ # define VC4_HD_MAI_SMP_M_SHIFT                       0
+-#define VC4_HD_VID_CTL                                0x038
+ # define VC4_HD_VID_CTL_ENABLE                        BIT(31)
+ # define VC4_HD_VID_CTL_UNDERFLOW_ENABLE      BIT(30)
+ # define VC4_HD_VID_CTL_FRAME_COUNTER_RESET   BIT(29)
+ # define VC4_HD_VID_CTL_VSYNC_LOW             BIT(28)
+ # define VC4_HD_VID_CTL_HSYNC_LOW             BIT(27)
+-#define VC4_HD_CSC_CTL                                0x040
+ # define VC4_HD_CSC_CTL_ORDER_MASK            VC4_MASK(7, 5)
+ # define VC4_HD_CSC_CTL_ORDER_SHIFT           5
+ # define VC4_HD_CSC_CTL_ORDER_RGB             0
+@@ -824,15 +741,6 @@
+ # define VC4_HD_CSC_CTL_RGB2YCC                       BIT(1)
+ # define VC4_HD_CSC_CTL_ENABLE                        BIT(0)
+-#define VC4_HD_CSC_12_11                      0x044
+-#define VC4_HD_CSC_14_13                      0x048
+-#define VC4_HD_CSC_22_21                      0x04c
+-#define VC4_HD_CSC_24_23                      0x050
+-#define VC4_HD_CSC_32_31                      0x054
+-#define VC4_HD_CSC_34_33                      0x058
+-
+-#define VC4_HD_FRAME_COUNT                    0x068
+-
+ /* HVS display list information. */
+ #define HVS_BOOTLOADER_DLIST_END                32
diff --git a/target/linux/bcm27xx/patches-5.4/950-0575-drm-vc4-hdmi-Use-local-vc4_hdmi-directly.patch b/target/linux/bcm27xx/patches-5.4/950-0575-drm-vc4-hdmi-Use-local-vc4_hdmi-directly.patch
deleted file mode 100644 (file)
index 9b60fbb..0000000
+++ /dev/null
@@ -1,45 +0,0 @@
-From 985efd0f9da3d2b60e34d10efee969e4dfd85a12 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 6 Jan 2020 18:44:36 +0100
-Subject: [PATCH] drm/vc4: hdmi: Use local vc4_hdmi directly
-
-The function vc4_hdmi_connector_detect access its vc4_hdmi struct by
-dereferencing the pointer in the structure vc4_dev. This will cause some
-issues when we will have multiple HDMI controllers, so let's just use the
-local variable for now instead of dereferencing that pointer all the time,
-and we'll fix the local variable later.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 12 ++++++------
- 1 file changed, 6 insertions(+), 6 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -124,20 +124,20 @@ vc4_hdmi_connector_detect(struct drm_con
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
-       struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
--      if (vc4->hdmi->hpd_gpio) {
--              if (gpio_get_value_cansleep(vc4->hdmi->hpd_gpio) ^
--                  vc4->hdmi->hpd_active_low)
-+      if (vc4_hdmi->hpd_gpio) {
-+              if (gpio_get_value_cansleep(vc4_hdmi->hpd_gpio) ^
-+                  vc4_hdmi->hpd_active_low)
-                       return connector_status_connected;
--              cec_phys_addr_invalidate(vc4->hdmi->cec_adap);
-+              cec_phys_addr_invalidate(vc4_hdmi->cec_adap);
-               return connector_status_disconnected;
-       }
--      if (drm_probe_ddc(vc4->hdmi->ddc))
-+      if (drm_probe_ddc(vc4_hdmi->ddc))
-               return connector_status_connected;
-       if (HDMI_READ(VC4_HDMI_HOTPLUG) & VC4_HDMI_HOTPLUG_CONNECTED)
-               return connector_status_connected;
--      cec_phys_addr_invalidate(vc4->hdmi->cec_adap);
-+      cec_phys_addr_invalidate(vc4_hdmi->cec_adap);
-       return connector_status_disconnected;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0576-drm-vc4-hdmi-Add-container_of-macros-for-encoders-an.patch b/target/linux/bcm27xx/patches-5.4/950-0576-drm-vc4-hdmi-Add-container_of-macros-for-encoders-an.patch
deleted file mode 100644 (file)
index 1d70ca8..0000000
+++ /dev/null
@@ -1,151 +0,0 @@
-From fe19f02dbfd020df9b028cf2c580417c4edc31b3 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 6 Jan 2020 18:45:46 +0100
-Subject: [PATCH] drm/vc4: hdmi: Add container_of macros for encoders
- and connectors
-
-Whenever the code needs to access the vc4_hdmi structure from a DRM
-connector or encoder, it first accesses the drm_device associated to the
-connector, then retrieve the drm_dev private data which gives it a
-pointer to our vc4_dev, and will finally follow the vc4_hdmi pointer in
-that structure.
-
-That will also give us some trouble when having multiple controllers,
-but now that we have our encoder and connector structures that are part
-of vc4_hdmi, we can simply call container_of on the DRM connector or
-encoder and retrieve the vc4_hdmi structure directly.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 41 ++++++++++------------------------
- drivers/gpu/drm/vc4/vc4_hdmi.h | 16 +++++++++++++
- 2 files changed, 28 insertions(+), 29 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -120,9 +120,7 @@ static int vc4_hdmi_debugfs_regs(struct
- static enum drm_connector_status
- vc4_hdmi_connector_detect(struct drm_connector *connector, bool force)
- {
--      struct drm_device *dev = connector->dev;
--      struct vc4_dev *vc4 = to_vc4_dev(dev);
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = connector_to_vc4_hdmi(connector);
-       if (vc4_hdmi->hpd_gpio) {
-               if (gpio_get_value_cansleep(vc4_hdmi->hpd_gpio) ^
-@@ -149,17 +147,13 @@ static void vc4_hdmi_connector_destroy(s
- static int vc4_hdmi_connector_get_modes(struct drm_connector *connector)
- {
--      struct vc4_hdmi_connector *vc4_connector =
--              to_vc4_hdmi_connector(connector);
--      struct drm_encoder *encoder = vc4_connector->encoder;
--      struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
--      struct drm_device *dev = connector->dev;
--      struct vc4_dev *vc4 = to_vc4_dev(dev);
-+      struct vc4_hdmi *vc4_hdmi = connector_to_vc4_hdmi(connector);
-+      struct vc4_hdmi_encoder *vc4_encoder = &vc4_hdmi->encoder;
-       int ret = 0;
-       struct edid *edid;
--      edid = drm_get_edid(connector, vc4->hdmi->ddc);
--      cec_s_phys_addr_from_edid(vc4->hdmi->cec_adap, edid);
-+      edid = drm_get_edid(connector, vc4_hdmi->ddc);
-+      cec_s_phys_addr_from_edid(vc4_hdmi->cec_adap, edid);
-       if (!edid)
-               return -ENODEV;
-@@ -235,9 +229,7 @@ static const struct drm_encoder_funcs vc
- static int vc4_hdmi_stop_packet(struct drm_encoder *encoder,
-                               enum hdmi_infoframe_type type)
- {
--      struct drm_device *dev = encoder->dev;
--      struct vc4_dev *vc4 = to_vc4_dev(dev);
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-       u32 packet_id = type - 0x80;
-       HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG,
-@@ -250,9 +242,7 @@ static int vc4_hdmi_stop_packet(struct d
- static void vc4_hdmi_write_infoframe(struct drm_encoder *encoder,
-                                    union hdmi_infoframe *frame)
- {
--      struct drm_device *dev = encoder->dev;
--      struct vc4_dev *vc4 = to_vc4_dev(dev);
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-       u32 packet_id = frame->any.type - 0x80;
-       u32 packet_reg = VC4_HDMI_RAM_PACKET(packet_id);
-       uint8_t buffer[VC4_HDMI_PACKET_STRIDE];
-@@ -298,9 +288,8 @@ static void vc4_hdmi_write_infoframe(str
- static void vc4_hdmi_set_avi_infoframe(struct drm_encoder *encoder)
- {
-+      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-       struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
--      struct vc4_dev *vc4 = encoder->dev->dev_private;
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-       struct drm_connector *connector = &vc4_hdmi->connector.base;
-       struct drm_connector_state *cstate = connector->state;
-       struct drm_crtc *crtc = encoder->crtc;
-@@ -347,9 +336,7 @@ static void vc4_hdmi_set_spd_infoframe(s
- static void vc4_hdmi_set_audio_infoframe(struct drm_encoder *encoder)
- {
--      struct drm_device *drm = encoder->dev;
--      struct vc4_dev *vc4 = drm->dev_private;
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-       union hdmi_infoframe frame;
-       int ret;
-@@ -371,9 +358,7 @@ static void vc4_hdmi_set_infoframes(stru
- static void vc4_hdmi_encoder_disable(struct drm_encoder *encoder)
- {
--      struct drm_device *dev = encoder->dev;
--      struct vc4_dev *vc4 = to_vc4_dev(dev);
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-       int ret;
-       HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG, 0);
-@@ -392,10 +377,8 @@ static void vc4_hdmi_encoder_disable(str
- static void vc4_hdmi_encoder_enable(struct drm_encoder *encoder)
- {
-       struct drm_display_mode *mode = &encoder->crtc->state->adjusted_mode;
--      struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
--      struct drm_device *dev = encoder->dev;
--      struct vc4_dev *vc4 = to_vc4_dev(dev);
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-+      struct vc4_hdmi_encoder *vc4_encoder = &vc4_hdmi->encoder;
-       bool debug_dump_regs = false;
-       bool hsync_pos = mode->flags & DRM_MODE_FLAG_PHSYNC;
-       bool vsync_pos = mode->flags & DRM_MODE_FLAG_PVSYNC;
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -78,6 +78,22 @@ struct vc4_hdmi {
-       struct debugfs_regset32 hd_regset;
- };
-+static inline struct vc4_hdmi *
-+connector_to_vc4_hdmi(struct drm_connector *connector)
-+{
-+      struct vc4_hdmi_connector *_connector = to_vc4_hdmi_connector(connector);
-+
-+      return container_of(_connector, struct vc4_hdmi, connector);
-+}
-+
-+static inline struct vc4_hdmi *
-+encoder_to_vc4_hdmi(struct drm_encoder *encoder)
-+{
-+      struct vc4_hdmi_encoder *_encoder = to_vc4_hdmi_encoder(encoder);
-+
-+      return container_of(_encoder, struct vc4_hdmi, encoder);
-+}
-+
- #define HDMI_READ(offset) readl(vc4_hdmi->hdmicore_regs + offset)
- #define HDMI_WRITE(offset, val) writel(val, vc4_hdmi->hdmicore_regs + offset)
- #define HD_READ(offset) readl(vc4_hdmi->hd_regs + offset)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0576-drm-vc4-hdmi-Add-reset-callback.patch b/target/linux/bcm27xx/patches-5.4/950-0576-drm-vc4-hdmi-Add-reset-callback.patch
new file mode 100644 (file)
index 0000000..18c11ab
--- /dev/null
@@ -0,0 +1,66 @@
+From 3cf4a365b833d7a2e7622ad5569b9d54aebbe593 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 19 Dec 2019 16:25:26 +0100
+Subject: [PATCH] drm/vc4: hdmi: Add reset callback
+
+The BCM2711 and BCM283x HDMI controllers use a slightly different reset
+sequence, so let's add a callback to reset the controller.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 17 ++++++++++++-----
+ drivers/gpu/drm/vc4/vc4_hdmi.h |  3 +++
+ 2 files changed, 15 insertions(+), 5 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -68,6 +68,15 @@ static int vc4_hdmi_debugfs_regs(struct
+       return 0;
+ }
++static void vc4_hdmi_reset(struct vc4_hdmi *vc4_hdmi)
++{
++      HDMI_WRITE(HDMI_SW_RESET_CONTROL,
++                 VC4_HDMI_SW_RESET_HDMI |
++                 VC4_HDMI_SW_RESET_FORMAT_DETECT);
++
++      HDMI_WRITE(HDMI_SW_RESET_CONTROL, 0);
++}
++
+ static enum drm_connector_status
+ vc4_hdmi_connector_detect(struct drm_connector *connector, bool force)
+ {
+@@ -372,11 +381,8 @@ static void vc4_hdmi_encoder_enable(stru
+               return;
+       }
+-      HDMI_WRITE(HDMI_SW_RESET_CONTROL,
+-                 VC4_HDMI_SW_RESET_HDMI |
+-                 VC4_HDMI_SW_RESET_FORMAT_DETECT);
+-
+-      HDMI_WRITE(HDMI_SW_RESET_CONTROL, 0);
++      if (vc4_hdmi->variant->reset)
++              vc4_hdmi->variant->reset(vc4_hdmi);
+       /* PHY should be in reset, like
+        * vc4_hdmi_encoder_disable() does.
+@@ -1422,6 +1428,7 @@ static const struct vc4_hdmi_variant bcm
+       .num_registers          = ARRAY_SIZE(vc4_hdmi_fields),
+       .init_resources         = vc4_hdmi_init_resources,
++      .reset                  = vc4_hdmi_reset,
+ };
+ static const struct of_device_id vc4_hdmi_dt_match[] = {
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -35,6 +35,9 @@ struct vc4_hdmi_variant {
+        * clocks, etc) for that variant.
+        */
+       int (*init_resources)(struct vc4_hdmi *vc4_hdmi);
++
++      /* Callback to reset the HDMI block */
++      void (*reset)(struct vc4_hdmi *vc4_hdmi);
+ };
+ /* HDMI audio information */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0577-drm-vc4-hdmi-Add-PHY-init-and-disable-function.patch b/target/linux/bcm27xx/patches-5.4/950-0577-drm-vc4-hdmi-Add-PHY-init-and-disable-function.patch
new file mode 100644 (file)
index 0000000..ce295f3
--- /dev/null
@@ -0,0 +1,128 @@
+From 9fe77147d40e0dc58e7297e79ba8b50e13b8269d Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 19 Dec 2019 16:53:33 +0100
+Subject: [PATCH] drm/vc4: hdmi: Add PHY init and disable function
+
+The HDMI PHY in the BCM2711 HDMI controller is significantly more
+complicated to setup than in the older BCM283x SoCs.
+
+Let's add hooks to enable and disable the PHY.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/Makefile       |  1 +
+ drivers/gpu/drm/vc4/vc4_hdmi.c     | 14 +++++++-------
+ drivers/gpu/drm/vc4/vc4_hdmi.h     | 13 +++++++++++++
+ drivers/gpu/drm/vc4/vc4_hdmi_phy.c | 25 +++++++++++++++++++++++++
+ 4 files changed, 46 insertions(+), 7 deletions(-)
+ create mode 100644 drivers/gpu/drm/vc4/vc4_hdmi_phy.c
+
+--- a/drivers/gpu/drm/vc4/Makefile
++++ b/drivers/gpu/drm/vc4/Makefile
+@@ -13,6 +13,7 @@ vc4-y := \
+       vc4_kms.o \
+       vc4_gem.o \
+       vc4_hdmi.o \
++      vc4_hdmi_phy.o \
+       vc4_vec.o \
+       vc4_hvs.o \
+       vc4_irq.o \
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -324,7 +324,9 @@ static void vc4_hdmi_encoder_disable(str
+       HDMI_WRITE(HDMI_RAM_PACKET_CONFIG, 0);
+-      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
++      if (vc4_hdmi->variant->phy_disable)
++              vc4_hdmi->variant->phy_disable(vc4_hdmi);
++
+       HDMI_WRITE(HDMI_VID_CTL,
+                  HDMI_READ(HDMI_VID_CTL) & ~VC4_HD_VID_CTL_ENABLE);
+@@ -384,12 +386,8 @@ static void vc4_hdmi_encoder_enable(stru
+       if (vc4_hdmi->variant->reset)
+               vc4_hdmi->variant->reset(vc4_hdmi);
+-      /* PHY should be in reset, like
+-       * vc4_hdmi_encoder_disable() does.
+-       */
+-      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
+-
+-      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0);
++      if (vc4_hdmi->variant->phy_init)
++              vc4_hdmi->variant->phy_init(vc4_hdmi, mode);
+       if (debug_dump_regs) {
+               struct drm_printer p = drm_info_printer(&vc4_hdmi->pdev->dev);
+@@ -1429,6 +1427,8 @@ static const struct vc4_hdmi_variant bcm
+       .init_resources         = vc4_hdmi_init_resources,
+       .reset                  = vc4_hdmi_reset,
++      .phy_init               = vc4_hdmi_phy_init,
++      .phy_disable            = vc4_hdmi_phy_disable,
+ };
+ static const struct of_device_id vc4_hdmi_dt_match[] = {
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -21,6 +21,8 @@ to_vc4_hdmi_encoder(struct drm_encoder *
+       return container_of(encoder, struct vc4_hdmi_encoder, base.base);
+ }
++struct drm_display_mode;
++
+ struct vc4_hdmi;
+ struct vc4_hdmi_register;
+@@ -38,6 +40,13 @@ struct vc4_hdmi_variant {
+       /* Callback to reset the HDMI block */
+       void (*reset)(struct vc4_hdmi *vc4_hdmi);
++
++      /* Callback to initialize the PHY according to the mode */
++      void (*phy_init)(struct vc4_hdmi *vc4_hdmi,
++                       struct drm_display_mode *mode);
++
++      /* Callback to disable the PHY */
++      void (*phy_disable)(struct vc4_hdmi *vc4_hdmi);
+ };
+ /* HDMI audio information */
+@@ -95,4 +104,8 @@ encoder_to_vc4_hdmi(struct drm_encoder *
+       return container_of(_encoder, struct vc4_hdmi, encoder);
+ }
++void vc4_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi,
++                     struct drm_display_mode *mode);
++void vc4_hdmi_phy_disable(struct vc4_hdmi *vc4_hdmi);
++
+ #endif /* _VC4_HDMI_H_ */
+--- /dev/null
++++ b/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
+@@ -0,0 +1,25 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * Copyright (C) 2015 Broadcom
++ * Copyright (c) 2014 The Linux Foundation. All rights reserved.
++ * Copyright (C) 2013 Red Hat
++ * Author: Rob Clark <robdclark@gmail.com>
++ */
++
++#include "vc4_hdmi.h"
++#include "vc4_hdmi_regs.h"
++
++void vc4_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi, struct drm_display_mode *mode)
++{
++      /* PHY should be in reset, like
++         * vc4_hdmi_encoder_disable() does.
++         */
++
++      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
++      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0);
++}
++
++void vc4_hdmi_phy_disable(struct vc4_hdmi *vc4_hdmi)
++{
++      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
++}
diff --git a/target/linux/bcm27xx/patches-5.4/950-0577-drm-vc4-hdmi-Pass-vc4_hdmi-to-CEC-code.patch b/target/linux/bcm27xx/patches-5.4/950-0577-drm-vc4-hdmi-Pass-vc4_hdmi-to-CEC-code.patch
deleted file mode 100644 (file)
index 092efc1..0000000
+++ /dev/null
@@ -1,107 +0,0 @@
-From 8af2552e862100e843b8d1f36543b718dde393ad Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 6 Jan 2020 18:47:53 +0100
-Subject: [PATCH] drm/vc4: hdmi: Pass vc4_hdmi to CEC code
-
-Our CEC code also retrieves the associated vc4_hdmi by setting the
-vc4_dev pointer as its private data, and then dereferences its vc4_hdmi
-pointer.
-
-In order to eventually get rid of that pointer, we can simply pass the
-vc4_hdmi pointer directly.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 24 +++++++++---------------
- 1 file changed, 9 insertions(+), 15 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1044,8 +1044,7 @@ static int vc4_hdmi_audio_init(struct vc
- #ifdef CONFIG_DRM_VC4_HDMI_CEC
- static irqreturn_t vc4_cec_irq_handler_thread(int irq, void *priv)
- {
--      struct vc4_dev *vc4 = priv;
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = priv;
-       if (vc4_hdmi->cec_irq_was_rx) {
-               if (vc4_hdmi->cec_rx_msg.len)
-@@ -1065,9 +1064,8 @@ static irqreturn_t vc4_cec_irq_handler_t
-       return IRQ_HANDLED;
- }
--static void vc4_cec_read_msg(struct vc4_dev *vc4, u32 cntrl1)
-+static void vc4_cec_read_msg(struct vc4_hdmi *vc4_hdmi, u32 cntrl1)
- {
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-       struct cec_msg *msg = &vc4_hdmi->cec_rx_msg;
-       unsigned int i;
-@@ -1085,8 +1083,7 @@ static void vc4_cec_read_msg(struct vc4_
- static irqreturn_t vc4_cec_irq_handler(int irq, void *priv)
- {
--      struct vc4_dev *vc4 = priv;
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = priv;
-       u32 stat = HDMI_READ(VC4_HDMI_CPU_STATUS);
-       u32 cntrl1, cntrl5;
-@@ -1097,7 +1094,7 @@ static irqreturn_t vc4_cec_irq_handler(i
-       cntrl5 = HDMI_READ(VC4_HDMI_CEC_CNTRL_5);
-       vc4_hdmi->cec_irq_was_rx = cntrl5 & VC4_HDMI_CEC_RX_CEC_INT;
-       if (vc4_hdmi->cec_irq_was_rx) {
--              vc4_cec_read_msg(vc4, cntrl1);
-+              vc4_cec_read_msg(vc4_hdmi, cntrl1);
-               cntrl1 |= VC4_HDMI_CEC_CLEAR_RECEIVE_OFF;
-               HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, cntrl1);
-               cntrl1 &= ~VC4_HDMI_CEC_CLEAR_RECEIVE_OFF;
-@@ -1113,8 +1110,7 @@ static irqreturn_t vc4_cec_irq_handler(i
- static int vc4_hdmi_cec_adap_enable(struct cec_adapter *adap, bool enable)
- {
--      struct vc4_dev *vc4 = cec_get_drvdata(adap);
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = cec_get_drvdata(adap);
-       /* clock period in microseconds */
-       const u32 usecs = 1000000 / CEC_CLOCK_FREQ;
-       u32 val = HDMI_READ(VC4_HDMI_CEC_CNTRL_5);
-@@ -1157,8 +1153,7 @@ static int vc4_hdmi_cec_adap_enable(stru
- static int vc4_hdmi_cec_adap_log_addr(struct cec_adapter *adap, u8 log_addr)
- {
--      struct vc4_dev *vc4 = cec_get_drvdata(adap);
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = cec_get_drvdata(adap);
-       HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1,
-                  (HDMI_READ(VC4_HDMI_CEC_CNTRL_1) & ~VC4_HDMI_CEC_ADDR_MASK) |
-@@ -1169,8 +1164,7 @@ static int vc4_hdmi_cec_adap_log_addr(st
- static int vc4_hdmi_cec_adap_transmit(struct cec_adapter *adap, u8 attempts,
-                                     u32 signal_free_time, struct cec_msg *msg)
- {
--      struct vc4_dev *vc4 = cec_get_drvdata(adap);
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      struct vc4_hdmi *vc4_hdmi = cec_get_drvdata(adap);
-       u32 val;
-       unsigned int i;
-@@ -1316,7 +1310,7 @@ static int vc4_hdmi_bind(struct device *
- #ifdef CONFIG_DRM_VC4_HDMI_CEC
-       vc4_hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
--                                            vc4, "vc4",
-+                                            vc4_hdmi, "vc4",
-                                             CEC_CAP_DEFAULTS |
-                                             CEC_CAP_CONNECTOR_INFO, 1);
-       ret = PTR_ERR_OR_ZERO(vc4_hdmi->cec_adap);
-@@ -1340,7 +1334,7 @@ static int vc4_hdmi_bind(struct device *
-       ret = devm_request_threaded_irq(dev, platform_get_irq(pdev, 0),
-                                       vc4_cec_irq_handler,
-                                       vc4_cec_irq_handler_thread, 0,
--                                      "vc4 hdmi cec", vc4);
-+                                      "vc4 hdmi cec", vc4_hdmi);
-       if (ret)
-               goto err_delete_cec_adap;
-       ret = cec_register_adapter(vc4_hdmi->cec_adap, dev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0578-drm-vc4-hdmi-Add-PHY-RNG-enable-disable-function.patch b/target/linux/bcm27xx/patches-5.4/950-0578-drm-vc4-hdmi-Add-PHY-RNG-enable-disable-function.patch
new file mode 100644 (file)
index 0000000..0fb6a63
--- /dev/null
@@ -0,0 +1,104 @@
+From ddf78df1db8752247e89a68231338a194e5dc52b Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 19 Dec 2019 17:22:24 +0100
+Subject: [PATCH] drm/vc4: hdmi: Add PHY RNG enable / disable function
+
+Let's continue the implementation of hooks for the parts that change in the
+BCM2711 SoC with the PHY RNG setup.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c     | 15 +++++++++------
+ drivers/gpu/drm/vc4/vc4_hdmi.h     |  8 ++++++++
+ drivers/gpu/drm/vc4/vc4_hdmi_phy.c | 15 +++++++++++++++
+ 3 files changed, 32 insertions(+), 6 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -765,9 +765,9 @@ static int vc4_hdmi_audio_trigger(struct
+       switch (cmd) {
+       case SNDRV_PCM_TRIGGER_START:
+               vc4_hdmi_set_audio_infoframe(encoder);
+-              HDMI_WRITE(HDMI_TX_PHY_CTL_0,
+-                         HDMI_READ(HDMI_TX_PHY_CTL_0) &
+-                         ~VC4_HDMI_TX_PHY_RNG_PWRDN);
++
++              if (vc4_hdmi->variant->phy_rng_enable)
++                      vc4_hdmi->variant->phy_rng_enable(vc4_hdmi);
+               HDMI_WRITE(HDMI_MAI_CTL,
+                        VC4_SET_FIELD(vc4_hdmi->audio.channels,
+@@ -779,9 +779,10 @@ static int vc4_hdmi_audio_trigger(struct
+                        VC4_HD_MAI_CTL_DLATE |
+                        VC4_HD_MAI_CTL_ERRORE |
+                        VC4_HD_MAI_CTL_ERRORF);
+-              HDMI_WRITE(HDMI_TX_PHY_CTL_0,
+-                         HDMI_READ(HDMI_TX_PHY_CTL_0) |
+-                         VC4_HDMI_TX_PHY_RNG_PWRDN);
++
++              if (vc4_hdmi->variant->phy_rng_disable)
++                      vc4_hdmi->variant->phy_rng_disable(vc4_hdmi);
++
+               break;
+       default:
+               break;
+@@ -1429,6 +1430,8 @@ static const struct vc4_hdmi_variant bcm
+       .reset                  = vc4_hdmi_reset,
+       .phy_init               = vc4_hdmi_phy_init,
+       .phy_disable            = vc4_hdmi_phy_disable,
++      .phy_rng_enable         = vc4_hdmi_phy_rng_enable,
++      .phy_rng_disable        = vc4_hdmi_phy_rng_disable,
+ };
+ static const struct of_device_id vc4_hdmi_dt_match[] = {
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -47,6 +47,12 @@ struct vc4_hdmi_variant {
+       /* Callback to disable the PHY */
+       void (*phy_disable)(struct vc4_hdmi *vc4_hdmi);
++
++      /* Callback to enable the RNG in the PHY */
++      void (*phy_rng_enable)(struct vc4_hdmi *vc4_hdmi);
++
++      /* Callback to disable the RNG in the PHY */
++      void (*phy_rng_disable)(struct vc4_hdmi *vc4_hdmi);
+ };
+ /* HDMI audio information */
+@@ -107,5 +113,7 @@ encoder_to_vc4_hdmi(struct drm_encoder *
+ void vc4_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi,
+                      struct drm_display_mode *mode);
+ void vc4_hdmi_phy_disable(struct vc4_hdmi *vc4_hdmi);
++void vc4_hdmi_phy_rng_enable(struct vc4_hdmi *vc4_hdmi);
++void vc4_hdmi_phy_rng_disable(struct vc4_hdmi *vc4_hdmi);
+ #endif /* _VC4_HDMI_H_ */
+--- a/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
+@@ -7,6 +7,7 @@
+  */
+ #include "vc4_hdmi.h"
++#include "vc4_regs.h"
+ #include "vc4_hdmi_regs.h"
+ void vc4_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi, struct drm_display_mode *mode)
+@@ -23,3 +24,17 @@ void vc4_hdmi_phy_disable(struct vc4_hdm
+ {
+       HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
+ }
++
++void vc4_hdmi_phy_rng_enable(struct vc4_hdmi *vc4_hdmi)
++{
++      HDMI_WRITE(HDMI_TX_PHY_CTL_0,
++                 HDMI_READ(HDMI_TX_PHY_CTL_0) &
++                 ~VC4_HDMI_TX_PHY_RNG_PWRDN);
++}
++
++void vc4_hdmi_phy_rng_disable(struct vc4_hdmi *vc4_hdmi)
++{
++      HDMI_WRITE(HDMI_TX_PHY_CTL_0,
++                 HDMI_READ(HDMI_TX_PHY_CTL_0) |
++                 VC4_HDMI_TX_PHY_RNG_PWRDN);
++}
diff --git a/target/linux/bcm27xx/patches-5.4/950-0578-drm-vc4-hdmi-Remove-vc4_dev-hdmi-pointer.patch b/target/linux/bcm27xx/patches-5.4/950-0578-drm-vc4-hdmi-Remove-vc4_dev-hdmi-pointer.patch
deleted file mode 100644 (file)
index 24e4229..0000000
+++ /dev/null
@@ -1,67 +0,0 @@
-From 9e56da09cb8d8f65a26cfa0a957e295646ca47f8 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 6 Jan 2020 18:49:11 +0100
-Subject: [PATCH] drm/vc4: hdmi: Remove vc4_dev hdmi pointer
-
-Now that we don't have any users anymore, we can kill that pointer.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_drv.h  |  1 -
- drivers/gpu/drm/vc4/vc4_hdmi.c | 14 ++++++--------
- 2 files changed, 6 insertions(+), 9 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -76,7 +76,6 @@ struct vc4_dev {
-       bool firmware_kms;
-       struct rpi_firmware *firmware;
--      struct vc4_hdmi *hdmi;
-       struct vc4_hvs *hvs;
-       struct vc4_v3d *v3d;
-       struct vc4_dpi *dpi;
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1200,7 +1200,6 @@ static int vc4_hdmi_bind(struct device *
- #endif
-       struct platform_device *pdev = to_platform_device(dev);
-       struct drm_device *drm = dev_get_drvdata(master);
--      struct vc4_dev *vc4 = drm->dev_private;
-       struct vc4_hdmi *vc4_hdmi;
-       struct drm_encoder *encoder;
-       struct device_node *ddc_node;
-@@ -1288,8 +1287,6 @@ static int vc4_hdmi_bind(struct device *
-               vc4_hdmi->hpd_active_low = hpd_gpio_flags & OF_GPIO_ACTIVE_LOW;
-       }
--      vc4->hdmi = vc4_hdmi;
--
-       /* HDMI core must be enabled. */
-       if (!(HD_READ(VC4_HD_M_CTL) & VC4_HD_M_ENABLE)) {
-               HD_WRITE(VC4_HD_M_CTL, VC4_HD_M_SW_RST);
-@@ -1370,9 +1367,12 @@ err_put_i2c:
- static void vc4_hdmi_unbind(struct device *dev, struct device *master,
-                           void *data)
- {
--      struct drm_device *drm = dev_get_drvdata(master);
--      struct vc4_dev *vc4 = drm->dev_private;
--      struct vc4_hdmi *vc4_hdmi = vc4->hdmi;
-+      /*
-+       * snd_soc_register_card will set the device drvdata pointer
-+       * to the card being registered.
-+       */
-+      struct snd_soc_card *card = dev_get_drvdata(dev);
-+      struct vc4_hdmi *vc4_hdmi = snd_soc_card_get_drvdata(card);
-       cec_unregister_adapter(vc4_hdmi->cec_adap);
-       vc4_hdmi_connector_destroy(&vc4_hdmi->connector.base);
-@@ -1382,8 +1382,6 @@ static void vc4_hdmi_unbind(struct devic
-       pm_runtime_disable(dev);
-       put_device(&vc4_hdmi->ddc->dev);
--
--      vc4->hdmi = NULL;
- }
- static const struct component_ops vc4_hdmi_ops = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0579-drm-vc4-hdmi-Add-a-CSC-setup-callback.patch b/target/linux/bcm27xx/patches-5.4/950-0579-drm-vc4-hdmi-Add-a-CSC-setup-callback.patch
new file mode 100644 (file)
index 0000000..0106470
--- /dev/null
@@ -0,0 +1,134 @@
+From 110cf6bdc1d79f2ee7a435bc9d1ec900aba11ed5 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 26 Dec 2019 18:41:53 +0100
+Subject: [PATCH] drm/vc4: hdmi: Add a CSC setup callback
+
+Similarly to the previous patches, the CSC setup is slightly different in
+the BCM2711 than in the previous generations. Let's add a callback for it.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 71 ++++++++++++++++++++--------------
+ drivers/gpu/drm/vc4/vc4_hdmi.h |  3 ++
+ 2 files changed, 45 insertions(+), 29 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -337,6 +337,41 @@ static void vc4_hdmi_encoder_disable(str
+               DRM_ERROR("Failed to release power domain: %d\n", ret);
+ }
++static void vc4_hdmi_csc_setup(struct vc4_hdmi *vc4_hdmi, bool enable)
++{
++      u32 csc_ctl;
++
++      csc_ctl = VC4_SET_FIELD(VC4_HD_CSC_CTL_ORDER_BGR,
++                              VC4_HD_CSC_CTL_ORDER);
++
++      if (enable) {
++              /* CEA VICs other than #1 requre limited range RGB
++               * output unless overridden by an AVI infoframe.
++               * Apply a colorspace conversion to squash 0-255 down
++               * to 16-235.  The matrix here is:
++               *
++               * [ 0      0      0.8594 16]
++               * [ 0      0.8594 0      16]
++               * [ 0.8594 0      0      16]
++               * [ 0      0      0       1]
++               */
++              csc_ctl |= VC4_HD_CSC_CTL_ENABLE;
++              csc_ctl |= VC4_HD_CSC_CTL_RGB2YCC;
++              csc_ctl |= VC4_SET_FIELD(VC4_HD_CSC_CTL_MODE_CUSTOM,
++                                       VC4_HD_CSC_CTL_MODE);
++
++              HDMI_WRITE(HDMI_CSC_12_11, (0x000 << 16) | 0x000);
++              HDMI_WRITE(HDMI_CSC_14_13, (0x100 << 16) | 0x6e0);
++              HDMI_WRITE(HDMI_CSC_22_21, (0x6e0 << 16) | 0x000);
++              HDMI_WRITE(HDMI_CSC_24_23, (0x100 << 16) | 0x000);
++              HDMI_WRITE(HDMI_CSC_32_31, (0x000 << 16) | 0x6e0);
++              HDMI_WRITE(HDMI_CSC_34_33, (0x100 << 16) | 0x000);
++      }
++
++      /* The RGB order applies even when CSC is disabled. */
++      HDMI_WRITE(HDMI_CSC_CTL, csc_ctl);
++}
++
+ static void vc4_hdmi_encoder_enable(struct drm_encoder *encoder)
+ {
+       struct drm_display_mode *mode = &encoder->crtc->state->adjusted_mode;
+@@ -360,7 +395,6 @@ static void vc4_hdmi_encoder_enable(stru
+                                       mode->crtc_vsync_end -
+                                       interlaced,
+                                       VC4_HDMI_VERTB_VBP));
+-      u32 csc_ctl;
+       int ret;
+       ret = pm_runtime_get_sync(&vc4_hdmi->pdev->dev);
+@@ -431,41 +465,19 @@ static void vc4_hdmi_encoder_enable(stru
+                (vsync_pos ? 0 : VC4_HD_VID_CTL_VSYNC_LOW) |
+                (hsync_pos ? 0 : VC4_HD_VID_CTL_HSYNC_LOW));
+-      csc_ctl = VC4_SET_FIELD(VC4_HD_CSC_CTL_ORDER_BGR,
+-                              VC4_HD_CSC_CTL_ORDER);
+-
+       if (vc4_encoder->hdmi_monitor &&
+-          drm_default_rgb_quant_range(mode) ==
+-          HDMI_QUANTIZATION_RANGE_LIMITED) {
+-              /* CEA VICs other than #1 requre limited range RGB
+-               * output unless overridden by an AVI infoframe.
+-               * Apply a colorspace conversion to squash 0-255 down
+-               * to 16-235.  The matrix here is:
+-               *
+-               * [ 0      0      0.8594 16]
+-               * [ 0      0.8594 0      16]
+-               * [ 0.8594 0      0      16]
+-               * [ 0      0      0       1]
+-               */
+-              csc_ctl |= VC4_HD_CSC_CTL_ENABLE;
+-              csc_ctl |= VC4_HD_CSC_CTL_RGB2YCC;
+-              csc_ctl |= VC4_SET_FIELD(VC4_HD_CSC_CTL_MODE_CUSTOM,
+-                                       VC4_HD_CSC_CTL_MODE);
++          drm_default_rgb_quant_range(mode) == HDMI_QUANTIZATION_RANGE_LIMITED) {
++              if (vc4_hdmi->variant->csc_setup)
++                      vc4_hdmi->variant->csc_setup(vc4_hdmi, true);
+-              HDMI_WRITE(HDMI_CSC_12_11, (0x000 << 16) | 0x000);
+-              HDMI_WRITE(HDMI_CSC_14_13, (0x100 << 16) | 0x6e0);
+-              HDMI_WRITE(HDMI_CSC_22_21, (0x6e0 << 16) | 0x000);
+-              HDMI_WRITE(HDMI_CSC_24_23, (0x100 << 16) | 0x000);
+-              HDMI_WRITE(HDMI_CSC_32_31, (0x000 << 16) | 0x6e0);
+-              HDMI_WRITE(HDMI_CSC_34_33, (0x100 << 16) | 0x000);
+               vc4_encoder->limited_rgb_range = true;
+       } else {
++              if (vc4_hdmi->variant->csc_setup)
++                      vc4_hdmi->variant->csc_setup(vc4_hdmi, false);
++
+               vc4_encoder->limited_rgb_range = false;
+       }
+-      /* The RGB order applies even when CSC is disabled. */
+-      HDMI_WRITE(HDMI_CSC_CTL, csc_ctl);
+-
+       HDMI_WRITE(HDMI_FIFO_CTL, VC4_HDMI_FIFO_CTL_MASTER_SLAVE_N);
+       if (debug_dump_regs) {
+@@ -1427,6 +1439,7 @@ static const struct vc4_hdmi_variant bcm
+       .num_registers          = ARRAY_SIZE(vc4_hdmi_fields),
+       .init_resources         = vc4_hdmi_init_resources,
++      .csc_setup              = vc4_hdmi_csc_setup,
+       .reset                  = vc4_hdmi_reset,
+       .phy_init               = vc4_hdmi_phy_init,
+       .phy_disable            = vc4_hdmi_phy_disable,
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -41,6 +41,9 @@ struct vc4_hdmi_variant {
+       /* Callback to reset the HDMI block */
+       void (*reset)(struct vc4_hdmi *vc4_hdmi);
++      /* Callback to enable / disable the CSC */
++      void (*csc_setup)(struct vc4_hdmi *vc4_hdmi, bool enable);
++
+       /* Callback to initialize the PHY according to the mode */
+       void (*phy_init)(struct vc4_hdmi *vc4_hdmi,
+                        struct drm_display_mode *mode);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0579-drm-vc4-hdmi-Remove-vc4_hdmi_connector.patch b/target/linux/bcm27xx/patches-5.4/950-0579-drm-vc4-hdmi-Remove-vc4_hdmi_connector.patch
deleted file mode 100644 (file)
index 15d15c6..0000000
+++ /dev/null
@@ -1,141 +0,0 @@
-From 6fdf2c94a028e04e1e20791aae5e0adaf905df77 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 6 Jan 2020 18:57:16 +0100
-Subject: [PATCH] drm/vc4: hdmi: Remove vc4_hdmi_connector
-
-The vc4_hdmi_connector was only used to switch between drm_connector to
-drm_encoder. However, we can now use vc4_hdmi to do the switch, so that
-structure is redundant.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 19 ++++++++-----------
- drivers/gpu/drm/vc4/vc4_hdmi.h | 23 ++---------------------
- 2 files changed, 10 insertions(+), 32 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -188,13 +188,10 @@ static const struct drm_connector_helper
- static int vc4_hdmi_connector_init(struct drm_device *dev,
-                                  struct vc4_hdmi *vc4_hdmi)
- {
--      struct vc4_hdmi_connector *hdmi_connector = &vc4_hdmi->connector;
--      struct drm_connector *connector = &hdmi_connector->base;
-+      struct drm_connector *connector = &vc4_hdmi->connector;
-       struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
-       int ret;
--      hdmi_connector->encoder = encoder;
--
-       drm_connector_init(dev, connector, &vc4_hdmi_connector_funcs,
-                          DRM_MODE_CONNECTOR_HDMIA);
-       drm_connector_helper_add(connector, &vc4_hdmi_connector_helper_funcs);
-@@ -290,7 +287,7 @@ static void vc4_hdmi_set_avi_infoframe(s
- {
-       struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-       struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
--      struct drm_connector *connector = &vc4_hdmi->connector.base;
-+      struct drm_connector *connector = &vc4_hdmi->connector;
-       struct drm_connector_state *cstate = connector->state;
-       struct drm_crtc *crtc = encoder->crtc;
-       const struct drm_display_mode *mode = &crtc->state->adjusted_mode;
-@@ -672,7 +669,7 @@ static int vc4_hdmi_audio_startup(struct
- {
-       struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
-       struct drm_encoder *encoder = &vc4_hdmi->encoder.base.base;
--      struct drm_connector *connector = &vc4_hdmi->connector.base;
-+      struct drm_connector *connector = &vc4_hdmi->connector;
-       int ret;
-       if (vc4_hdmi->audio.substream && vc4_hdmi->audio.substream != substream)
-@@ -846,7 +843,7 @@ static int vc4_hdmi_audio_eld_ctl_info(s
- {
-       struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
-       struct vc4_hdmi *vc4_hdmi = snd_component_to_hdmi(component);
--      struct drm_connector *connector = &vc4_hdmi->connector.base;
-+      struct drm_connector *connector = &vc4_hdmi->connector;
-       uinfo->type = SNDRV_CTL_ELEM_TYPE_BYTES;
-       uinfo->count = sizeof(connector->eld);
-@@ -859,7 +856,7 @@ static int vc4_hdmi_audio_eld_ctl_get(st
- {
-       struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
-       struct vc4_hdmi *vc4_hdmi = snd_component_to_hdmi(component);
--      struct drm_connector *connector = &vc4_hdmi->connector.base;
-+      struct drm_connector *connector = &vc4_hdmi->connector;
-       memcpy(ucontrol->value.bytes.data, connector->eld,
-              sizeof(connector->eld));
-@@ -1314,7 +1311,7 @@ static int vc4_hdmi_bind(struct device *
-       if (ret < 0)
-               goto err_destroy_conn;
--      cec_fill_conn_info_from_drm(&conn_info, &vc4_hdmi->connector.base);
-+      cec_fill_conn_info_from_drm(&conn_info, &vc4_hdmi->connector);
-       cec_s_conn_info(vc4_hdmi->cec_adap, &conn_info);
-       HDMI_WRITE(VC4_HDMI_CPU_MASK_SET, 0xffffffff);
-@@ -1351,7 +1348,7 @@ static int vc4_hdmi_bind(struct device *
- err_delete_cec_adap:
-       cec_delete_adapter(vc4_hdmi->cec_adap);
- err_destroy_conn:
--      vc4_hdmi_connector_destroy(&vc4_hdmi->connector.base);
-+      vc4_hdmi_connector_destroy(&vc4_hdmi->connector);
- #endif
- err_destroy_encoder:
-       vc4_hdmi_encoder_destroy(encoder);
-@@ -1375,7 +1372,7 @@ static void vc4_hdmi_unbind(struct devic
-       struct vc4_hdmi *vc4_hdmi = snd_soc_card_get_drvdata(card);
-       cec_unregister_adapter(vc4_hdmi->cec_adap);
--      vc4_hdmi_connector_destroy(&vc4_hdmi->connector.base);
-+      vc4_hdmi_connector_destroy(&vc4_hdmi->connector);
-       vc4_hdmi_encoder_destroy(&vc4_hdmi->encoder.base.base);
-       clk_disable_unprepare(vc4_hdmi->hsm_clock);
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -21,23 +21,6 @@ to_vc4_hdmi_encoder(struct drm_encoder *
-       return container_of(encoder, struct vc4_hdmi_encoder, base.base);
- }
--/* VC4 HDMI connector KMS struct */
--struct vc4_hdmi_connector {
--      struct drm_connector base;
--
--      /* Since the connector is attached to just the one encoder,
--       * this is the reference to it so we can do the best_encoder()
--       * hook.
--       */
--      struct drm_encoder *encoder;
--};
--
--static inline struct vc4_hdmi_connector *
--to_vc4_hdmi_connector(struct drm_connector *connector)
--{
--      return container_of(connector, struct vc4_hdmi_connector, base);
--}
--
- /* HDMI audio information */
- struct vc4_hdmi_audio {
-       struct snd_soc_card card;
-@@ -56,7 +39,7 @@ struct vc4_hdmi {
-       struct platform_device *pdev;
-       struct vc4_hdmi_encoder encoder;
--      struct vc4_hdmi_connector connector;
-+      struct drm_connector connector;
-       struct vc4_hdmi_audio audio;
-@@ -81,9 +64,7 @@ struct vc4_hdmi {
- static inline struct vc4_hdmi *
- connector_to_vc4_hdmi(struct drm_connector *connector)
- {
--      struct vc4_hdmi_connector *_connector = to_vc4_hdmi_connector(connector);
--
--      return container_of(_connector, struct vc4_hdmi, connector);
-+      return container_of(connector, struct vc4_hdmi, connector);
- }
- static inline struct vc4_hdmi *
diff --git a/target/linux/bcm27xx/patches-5.4/950-0580-drm-vc4-hdmi-Add-a-set_timings-callback.patch b/target/linux/bcm27xx/patches-5.4/950-0580-drm-vc4-hdmi-Add-a-set_timings-callback.patch
new file mode 100644 (file)
index 0000000..064925c
--- /dev/null
@@ -0,0 +1,133 @@
+From b9c57901c600e09b100942b637c6bb01e52b7326 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 6 Jan 2020 13:43:27 +0100
+Subject: [PATCH] drm/vc4: hdmi: Add a set_timings callback
+
+Similarly to the previous patches, the timings setup in the HDMI controller
+of the BCM2711 is slightly different, mostly because it supports higher
+resolutions and thus needed more spaces for the various timings, resulting
+in the register layout changing.
+
+Let's add a callback for that as well.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 71 +++++++++++++++++++---------------
+ drivers/gpu/drm/vc4/vc4_hdmi.h |  4 ++
+ 2 files changed, 44 insertions(+), 31 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -372,12 +372,9 @@ static void vc4_hdmi_csc_setup(struct vc
+       HDMI_WRITE(HDMI_CSC_CTL, csc_ctl);
+ }
+-static void vc4_hdmi_encoder_enable(struct drm_encoder *encoder)
++static void vc4_hdmi_set_timings(struct vc4_hdmi *vc4_hdmi,
++                               struct drm_display_mode *mode)
+ {
+-      struct drm_display_mode *mode = &encoder->crtc->state->adjusted_mode;
+-      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
+-      struct vc4_hdmi_encoder *vc4_encoder = &vc4_hdmi->encoder;
+-      bool debug_dump_regs = false;
+       bool hsync_pos = mode->flags & DRM_MODE_FLAG_PHSYNC;
+       bool vsync_pos = mode->flags & DRM_MODE_FLAG_PVSYNC;
+       bool interlaced = mode->flags & DRM_MODE_FLAG_INTERLACE;
+@@ -395,6 +392,41 @@ static void vc4_hdmi_encoder_enable(stru
+                                       mode->crtc_vsync_end -
+                                       interlaced,
+                                       VC4_HDMI_VERTB_VBP));
++
++      HDMI_WRITE(HDMI_HORZA,
++                 (vsync_pos ? VC4_HDMI_HORZA_VPOS : 0) |
++                 (hsync_pos ? VC4_HDMI_HORZA_HPOS : 0) |
++                 VC4_SET_FIELD(mode->hdisplay * pixel_rep,
++                               VC4_HDMI_HORZA_HAP));
++
++      HDMI_WRITE(HDMI_HORZB,
++                 VC4_SET_FIELD((mode->htotal -
++                                mode->hsync_end) * pixel_rep,
++                               VC4_HDMI_HORZB_HBP) |
++                 VC4_SET_FIELD((mode->hsync_end -
++                                mode->hsync_start) * pixel_rep,
++                               VC4_HDMI_HORZB_HSP) |
++                 VC4_SET_FIELD((mode->hsync_start -
++                                mode->hdisplay) * pixel_rep,
++                               VC4_HDMI_HORZB_HFP));
++
++      HDMI_WRITE(HDMI_VERTA0, verta);
++      HDMI_WRITE(HDMI_VERTA1, verta);
++
++      HDMI_WRITE(HDMI_VERTB0, vertb_even);
++      HDMI_WRITE(HDMI_VERTB1, vertb);
++
++      HDMI_WRITE(HDMI_VID_CTL,
++               (vsync_pos ? 0 : VC4_HD_VID_CTL_VSYNC_LOW) |
++               (hsync_pos ? 0 : VC4_HD_VID_CTL_HSYNC_LOW));
++}
++
++static void vc4_hdmi_encoder_enable(struct drm_encoder *encoder)
++{
++      struct drm_display_mode *mode = &encoder->crtc->state->adjusted_mode;
++      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
++      struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
++      bool debug_dump_regs = false;
+       int ret;
+       ret = pm_runtime_get_sync(&vc4_hdmi->pdev->dev);
+@@ -438,32 +470,8 @@ static void vc4_hdmi_encoder_enable(stru
+                  VC4_HDMI_SCHEDULER_CONTROL_MANUAL_FORMAT |
+                  VC4_HDMI_SCHEDULER_CONTROL_IGNORE_VSYNC_PREDICTS);
+-      HDMI_WRITE(HDMI_HORZA,
+-                 (vsync_pos ? VC4_HDMI_HORZA_VPOS : 0) |
+-                 (hsync_pos ? VC4_HDMI_HORZA_HPOS : 0) |
+-                 VC4_SET_FIELD(mode->hdisplay * pixel_rep,
+-                               VC4_HDMI_HORZA_HAP));
+-
+-      HDMI_WRITE(HDMI_HORZB,
+-                 VC4_SET_FIELD((mode->htotal -
+-                                mode->hsync_end) * pixel_rep,
+-                               VC4_HDMI_HORZB_HBP) |
+-                 VC4_SET_FIELD((mode->hsync_end -
+-                                mode->hsync_start) * pixel_rep,
+-                               VC4_HDMI_HORZB_HSP) |
+-                 VC4_SET_FIELD((mode->hsync_start -
+-                                mode->hdisplay) * pixel_rep,
+-                               VC4_HDMI_HORZB_HFP));
+-
+-      HDMI_WRITE(HDMI_VERTA0, verta);
+-      HDMI_WRITE(HDMI_VERTA1, verta);
+-
+-      HDMI_WRITE(HDMI_VERTB0, vertb_even);
+-      HDMI_WRITE(HDMI_VERTB1, vertb);
+-
+-      HDMI_WRITE(HDMI_VID_CTL,
+-               (vsync_pos ? 0 : VC4_HD_VID_CTL_VSYNC_LOW) |
+-               (hsync_pos ? 0 : VC4_HD_VID_CTL_HSYNC_LOW));
++      if (vc4_hdmi->variant->set_timings)
++              vc4_hdmi->variant->set_timings(vc4_hdmi, mode);
+       if (vc4_encoder->hdmi_monitor &&
+           drm_default_rgb_quant_range(mode) == HDMI_QUANTIZATION_RANGE_LIMITED) {
+@@ -1441,6 +1449,7 @@ static const struct vc4_hdmi_variant bcm
+       .init_resources         = vc4_hdmi_init_resources,
+       .csc_setup              = vc4_hdmi_csc_setup,
+       .reset                  = vc4_hdmi_reset,
++      .set_timings            = vc4_hdmi_set_timings,
+       .phy_init               = vc4_hdmi_phy_init,
+       .phy_disable            = vc4_hdmi_phy_disable,
+       .phy_rng_enable         = vc4_hdmi_phy_rng_enable,
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -44,6 +44,10 @@ struct vc4_hdmi_variant {
+       /* Callback to enable / disable the CSC */
+       void (*csc_setup)(struct vc4_hdmi *vc4_hdmi, bool enable);
++      /* Callback to configure the video timings in the HDMI block */
++      void (*set_timings)(struct vc4_hdmi *vc4_hdmi,
++                          struct drm_display_mode *mode);
++
+       /* Callback to initialize the PHY according to the mode */
+       void (*phy_init)(struct vc4_hdmi *vc4_hdmi,
+                        struct drm_display_mode *mode);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0580-drm-vc4-hdmi-Introduce-resource-init-and-variant.patch b/target/linux/bcm27xx/patches-5.4/950-0580-drm-vc4-hdmi-Introduce-resource-init-and-variant.patch
deleted file mode 100644 (file)
index c3a4a76..0000000
+++ /dev/null
@@ -1,151 +0,0 @@
-From 9fa3342da883f6e111952768b36ca1df4d529660 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Wed, 18 Dec 2019 11:30:54 +0100
-Subject: [PATCH] drm/vc4: hdmi: Introduce resource init and variant
-
-The HDMI controllers found in the BCM2711 has a pretty different clock and
-registers areas than found in the older BCM283x SoCs.
-
-Let's create a variant structure to store the various adjustments we'll
-need later on, and a function to get the resources needed for one
-particular version.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 67 ++++++++++++++++++++++------------
- drivers/gpu/drm/vc4/vc4_hdmi.h | 10 +++++
- 2 files changed, 54 insertions(+), 23 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1190,38 +1190,23 @@ static const struct cec_adap_ops vc4_hdm
- };
- #endif
--static int vc4_hdmi_bind(struct device *dev, struct device *master, void *data)
-+static int vc4_hdmi_init_resources(struct vc4_hdmi *vc4_hdmi)
- {
--#ifdef CONFIG_DRM_VC4_HDMI_CEC
--      struct cec_connector_info conn_info;
--#endif
--      struct platform_device *pdev = to_platform_device(dev);
--      struct drm_device *drm = dev_get_drvdata(master);
--      struct vc4_hdmi *vc4_hdmi;
--      struct drm_encoder *encoder;
--      struct device_node *ddc_node;
--      u32 value;
--      int ret;
--
--      vc4_hdmi = devm_kzalloc(dev, sizeof(*vc4_hdmi), GFP_KERNEL);
--      if (!vc4_hdmi)
--              return -ENOMEM;
--
--      vc4_hdmi->pdev = pdev;
--      encoder = &vc4_hdmi->encoder.base.base;
--      encoder->base.type = VC4_ENCODER_TYPE_HDMI0;
-+      struct platform_device *pdev = vc4_hdmi->pdev;
-+      struct device *dev = &pdev->dev;
-       vc4_hdmi->hdmicore_regs = vc4_ioremap_regs(pdev, 0);
-       if (IS_ERR(vc4_hdmi->hdmicore_regs))
-               return PTR_ERR(vc4_hdmi->hdmicore_regs);
-+      vc4_hdmi->hdmi_regset.base = vc4_hdmi->hdmicore_regs;
-+      vc4_hdmi->hdmi_regset.regs = hdmi_regs;
-+      vc4_hdmi->hdmi_regset.nregs = ARRAY_SIZE(hdmi_regs);
-+
-       vc4_hdmi->hd_regs = vc4_ioremap_regs(pdev, 1);
-       if (IS_ERR(vc4_hdmi->hd_regs))
-               return PTR_ERR(vc4_hdmi->hd_regs);
--      vc4_hdmi->hdmi_regset.base = vc4_hdmi->hdmicore_regs;
--      vc4_hdmi->hdmi_regset.regs = hdmi_regs;
--      vc4_hdmi->hdmi_regset.nregs = ARRAY_SIZE(hdmi_regs);
-       vc4_hdmi->hd_regset.base = vc4_hdmi->hd_regs;
-       vc4_hdmi->hd_regset.regs = hd_regs;
-       vc4_hdmi->hd_regset.nregs = ARRAY_SIZE(hd_regs);
-@@ -1231,12 +1216,44 @@ static int vc4_hdmi_bind(struct device *
-               DRM_ERROR("Failed to get pixel clock\n");
-               return PTR_ERR(vc4_hdmi->pixel_clock);
-       }
-+
-       vc4_hdmi->hsm_clock = devm_clk_get(dev, "hdmi");
-       if (IS_ERR(vc4_hdmi->hsm_clock)) {
-               DRM_ERROR("Failed to get HDMI state machine clock\n");
-               return PTR_ERR(vc4_hdmi->hsm_clock);
-       }
-+      return 0;
-+}
-+
-+static int vc4_hdmi_bind(struct device *dev, struct device *master, void *data)
-+{
-+#ifdef CONFIG_DRM_VC4_HDMI_CEC
-+      struct cec_connector_info conn_info;
-+#endif
-+      struct platform_device *pdev = to_platform_device(dev);
-+      struct drm_device *drm = dev_get_drvdata(master);
-+      const struct vc4_hdmi_variant *variant;
-+      struct vc4_hdmi *vc4_hdmi;
-+      struct drm_encoder *encoder;
-+      struct device_node *ddc_node;
-+      u32 value;
-+      int ret;
-+
-+      vc4_hdmi = devm_kzalloc(dev, sizeof(*vc4_hdmi), GFP_KERNEL);
-+      if (!vc4_hdmi)
-+              return -ENOMEM;
-+
-+      vc4_hdmi->pdev = pdev;
-+      variant = of_device_get_match_data(dev);
-+      vc4_hdmi->variant = variant;
-+      vc4_hdmi->encoder.base.type = VC4_ENCODER_TYPE_HDMI0;
-+      encoder = &vc4_hdmi->encoder.base.base;
-+
-+      ret = variant->init_resources(vc4_hdmi);
-+      if (ret)
-+              return ret;
-+
-       ddc_node = of_parse_phandle(dev->of_node, "ddc", 0);
-       if (!ddc_node) {
-               DRM_ERROR("Failed to find ddc node in device tree\n");
-@@ -1397,8 +1414,12 @@ static int vc4_hdmi_dev_remove(struct pl
-       return 0;
- }
-+static const struct vc4_hdmi_variant bcm2835_variant = {
-+      .init_resources         = vc4_hdmi_init_resources,
-+};
-+
- static const struct of_device_id vc4_hdmi_dt_match[] = {
--      { .compatible = "brcm,bcm2835-hdmi" },
-+      { .compatible = "brcm,bcm2835-hdmi", .data = &bcm2835_variant },
-       {}
- };
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -21,6 +21,15 @@ to_vc4_hdmi_encoder(struct drm_encoder *
-       return container_of(encoder, struct vc4_hdmi_encoder, base.base);
- }
-+struct vc4_hdmi;
-+
-+struct vc4_hdmi_variant {
-+      /* Callback to get the resources (memory region, interrupts,
-+       * clocks, etc) for that variant.
-+       */
-+      int (*init_resources)(struct vc4_hdmi *vc4_hdmi);
-+};
-+
- /* HDMI audio information */
- struct vc4_hdmi_audio {
-       struct snd_soc_card card;
-@@ -37,6 +46,7 @@ struct vc4_hdmi_audio {
- /* General HDMI hardware state. */
- struct vc4_hdmi {
-       struct platform_device *pdev;
-+      const struct vc4_hdmi_variant *variant;
-       struct vc4_hdmi_encoder encoder;
-       struct drm_connector connector;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0581-drm-vc4-hdmi-Add-HDMI-ID.patch b/target/linux/bcm27xx/patches-5.4/950-0581-drm-vc4-hdmi-Add-HDMI-ID.patch
new file mode 100644 (file)
index 0000000..b5d9c7b
--- /dev/null
@@ -0,0 +1,46 @@
+From 84c1a6034e361078d540c9b3bc672ccae623dc03 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 7 Jan 2020 13:14:07 +0100
+Subject: [PATCH] drm/vc4: hdmi: Add HDMI ID
+
+Some operations will need us to have the raw ID of the HDMI controller
+in the BCM2711, such as the encoder type to register, the name of the
+debugfs files, etc.
+
+Let's add it to our variant structure.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 3 +--
+ drivers/gpu/drm/vc4/vc4_hdmi.h | 5 +++++
+ 2 files changed, 6 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1268,11 +1268,10 @@ static int vc4_hdmi_bind(struct device *
+       vc4_hdmi = devm_kzalloc(dev, sizeof(*vc4_hdmi), GFP_KERNEL);
+       if (!vc4_hdmi)
+               return -ENOMEM;
+-
+       vc4_hdmi->pdev = pdev;
+       variant = of_device_get_match_data(dev);
+       vc4_hdmi->variant = variant;
+-      vc4_hdmi->encoder.base.type = VC4_ENCODER_TYPE_HDMI0;
++      vc4_hdmi->encoder.base.type = variant->id ? VC4_ENCODER_TYPE_HDMI1 : VC4_ENCODER_TYPE_HDMI0;
+       encoder = &vc4_hdmi->encoder.base.base;
+       ret = variant->init_resources(vc4_hdmi);
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -27,6 +27,11 @@ struct vc4_hdmi;
+ struct vc4_hdmi_register;
+ struct vc4_hdmi_variant {
++      /* On devices that have multiple, different instances (like
++       * the BCM2711), which instance is that variant useful for.
++       */
++      unsigned int id;
++
+       /* List of the registers available on that variant */
+       const struct vc4_hdmi_register *registers;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0581-drm-vc4-hdmi-Implement-a-register-layout-abstraction.patch b/target/linux/bcm27xx/patches-5.4/950-0581-drm-vc4-hdmi-Implement-a-register-layout-abstraction.patch
deleted file mode 100644 (file)
index dbfb08e..0000000
+++ /dev/null
@@ -1,1310 +0,0 @@
-From 261b3072275937fe64af287c1b61cbb63aca830e Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Wed, 18 Dec 2019 19:15:08 +0100
-Subject: [PATCH] drm/vc4: hdmi: Implement a register layout
- abstraction
-
-The HDMI controllers found in the BCM2711 have most of the registers
-reorganized in multiple registers areas and at different offsets than
-previously found.
-
-The logic however remains pretty much the same, so it doesn't really make
-sense to create a whole new driver and we should share the code as much as
-possible.
-
-Let's implement some indirection to wrap around a register and depending on
-the variant will lookup the associated register on that particular variant.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c      | 354 ++++++++++++++--------------
- drivers/gpu/drm/vc4/vc4_hdmi.h      |  12 +-
- drivers/gpu/drm/vc4/vc4_hdmi_regs.h | 250 ++++++++++++++++++++
- drivers/gpu/drm/vc4/vc4_regs.h      |  92 --------
- 4 files changed, 437 insertions(+), 271 deletions(-)
- create mode 100644 drivers/gpu/drm/vc4/vc4_hdmi_regs.h
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -49,62 +49,13 @@
- #include "media/cec.h"
- #include "vc4_drv.h"
- #include "vc4_hdmi.h"
-+#include "vc4_hdmi_regs.h"
- #include "vc4_regs.h"
- #define HSM_CLOCK_FREQ 163682864
- #define CEC_CLOCK_FREQ 40000
- #define CEC_CLOCK_DIV  (HSM_CLOCK_FREQ / CEC_CLOCK_FREQ)
--static const struct debugfs_reg32 hdmi_regs[] = {
--      VC4_REG32(VC4_HDMI_CORE_REV),
--      VC4_REG32(VC4_HDMI_SW_RESET_CONTROL),
--      VC4_REG32(VC4_HDMI_HOTPLUG_INT),
--      VC4_REG32(VC4_HDMI_HOTPLUG),
--      VC4_REG32(VC4_HDMI_MAI_CHANNEL_MAP),
--      VC4_REG32(VC4_HDMI_MAI_CONFIG),
--      VC4_REG32(VC4_HDMI_MAI_FORMAT),
--      VC4_REG32(VC4_HDMI_AUDIO_PACKET_CONFIG),
--      VC4_REG32(VC4_HDMI_RAM_PACKET_CONFIG),
--      VC4_REG32(VC4_HDMI_HORZA),
--      VC4_REG32(VC4_HDMI_HORZB),
--      VC4_REG32(VC4_HDMI_FIFO_CTL),
--      VC4_REG32(VC4_HDMI_SCHEDULER_CONTROL),
--      VC4_REG32(VC4_HDMI_VERTA0),
--      VC4_REG32(VC4_HDMI_VERTA1),
--      VC4_REG32(VC4_HDMI_VERTB0),
--      VC4_REG32(VC4_HDMI_VERTB1),
--      VC4_REG32(VC4_HDMI_TX_PHY_RESET_CTL),
--      VC4_REG32(VC4_HDMI_TX_PHY_CTL0),
--
--      VC4_REG32(VC4_HDMI_CEC_CNTRL_1),
--      VC4_REG32(VC4_HDMI_CEC_CNTRL_2),
--      VC4_REG32(VC4_HDMI_CEC_CNTRL_3),
--      VC4_REG32(VC4_HDMI_CEC_CNTRL_4),
--      VC4_REG32(VC4_HDMI_CEC_CNTRL_5),
--      VC4_REG32(VC4_HDMI_CPU_STATUS),
--      VC4_REG32(VC4_HDMI_CPU_MASK_STATUS),
--
--      VC4_REG32(VC4_HDMI_CEC_RX_DATA_1),
--      VC4_REG32(VC4_HDMI_CEC_RX_DATA_2),
--      VC4_REG32(VC4_HDMI_CEC_RX_DATA_3),
--      VC4_REG32(VC4_HDMI_CEC_RX_DATA_4),
--      VC4_REG32(VC4_HDMI_CEC_TX_DATA_1),
--      VC4_REG32(VC4_HDMI_CEC_TX_DATA_2),
--      VC4_REG32(VC4_HDMI_CEC_TX_DATA_3),
--      VC4_REG32(VC4_HDMI_CEC_TX_DATA_4),
--};
--
--static const struct debugfs_reg32 hd_regs[] = {
--      VC4_REG32(VC4_HD_M_CTL),
--      VC4_REG32(VC4_HD_MAI_CTL),
--      VC4_REG32(VC4_HD_MAI_THR),
--      VC4_REG32(VC4_HD_MAI_FMT),
--      VC4_REG32(VC4_HD_MAI_SMP),
--      VC4_REG32(VC4_HD_VID_CTL),
--      VC4_REG32(VC4_HD_CSC_CTL),
--      VC4_REG32(VC4_HD_FRAME_COUNT),
--};
--
- static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
- {
-       struct drm_info_node *node = (struct drm_info_node *)m->private;
-@@ -133,7 +84,7 @@ vc4_hdmi_connector_detect(struct drm_con
-       if (drm_probe_ddc(vc4_hdmi->ddc))
-               return connector_status_connected;
--      if (HDMI_READ(VC4_HDMI_HOTPLUG) & VC4_HDMI_HOTPLUG_CONNECTED)
-+      if (HDMI_READ(HDMI_HOTPLUG) & VC4_HDMI_HOTPLUG_CONNECTED)
-               return connector_status_connected;
-       cec_phys_addr_invalidate(vc4_hdmi->cec_adap);
-       return connector_status_disconnected;
-@@ -229,10 +180,10 @@ static int vc4_hdmi_stop_packet(struct d
-       struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-       u32 packet_id = type - 0x80;
--      HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG,
--                 HDMI_READ(VC4_HDMI_RAM_PACKET_CONFIG) & ~BIT(packet_id));
-+      HDMI_WRITE(HDMI_RAM_PACKET_CONFIG,
-+                 HDMI_READ(HDMI_RAM_PACKET_CONFIG) & ~BIT(packet_id));
--      return wait_for(!(HDMI_READ(VC4_HDMI_RAM_PACKET_STATUS) &
-+      return wait_for(!(HDMI_READ(HDMI_RAM_PACKET_STATUS) &
-                         BIT(packet_id)), 100);
- }
-@@ -241,12 +192,16 @@ static void vc4_hdmi_write_infoframe(str
- {
-       struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-       u32 packet_id = frame->any.type - 0x80;
--      u32 packet_reg = VC4_HDMI_RAM_PACKET(packet_id);
-+      const struct vc4_hdmi_register *ram_packet_start =
-+              &vc4_hdmi->variant->registers[HDMI_RAM_PACKET_START];
-+      u32 packet_reg = ram_packet_start->offset + VC4_HDMI_PACKET_STRIDE * packet_id;
-+      void __iomem *base = __vc4_hdmi_get_field_base(vc4_hdmi,
-+                                                     ram_packet_start->reg);
-       uint8_t buffer[VC4_HDMI_PACKET_STRIDE];
-       ssize_t len, i;
-       int ret;
--      WARN_ONCE(!(HDMI_READ(VC4_HDMI_RAM_PACKET_CONFIG) &
-+      WARN_ONCE(!(HDMI_READ(HDMI_RAM_PACKET_CONFIG) &
-                   VC4_HDMI_RAM_PACKET_ENABLE),
-                 "Packet RAM has to be on to store the packet.");
-@@ -261,23 +216,23 @@ static void vc4_hdmi_write_infoframe(str
-       }
-       for (i = 0; i < len; i += 7) {
--              HDMI_WRITE(packet_reg,
--                         buffer[i + 0] << 0 |
--                         buffer[i + 1] << 8 |
--                         buffer[i + 2] << 16);
-+              writel(buffer[i + 0] << 0 |
-+                     buffer[i + 1] << 8 |
-+                     buffer[i + 2] << 16,
-+                     base + packet_reg);
-               packet_reg += 4;
--              HDMI_WRITE(packet_reg,
--                         buffer[i + 3] << 0 |
--                         buffer[i + 4] << 8 |
--                         buffer[i + 5] << 16 |
--                         buffer[i + 6] << 24);
-+              writel(buffer[i + 3] << 0 |
-+                     buffer[i + 4] << 8 |
-+                     buffer[i + 5] << 16 |
-+                     buffer[i + 6] << 24,
-+                     base + packet_reg);
-               packet_reg += 4;
-       }
--      HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG,
--                 HDMI_READ(VC4_HDMI_RAM_PACKET_CONFIG) | BIT(packet_id));
--      ret = wait_for((HDMI_READ(VC4_HDMI_RAM_PACKET_STATUS) &
-+      HDMI_WRITE(HDMI_RAM_PACKET_CONFIG,
-+                 HDMI_READ(HDMI_RAM_PACKET_CONFIG) | BIT(packet_id));
-+      ret = wait_for((HDMI_READ(HDMI_RAM_PACKET_STATUS) &
-                       BIT(packet_id)), 100);
-       if (ret)
-               DRM_ERROR("Failed to wait for infoframe to start: %d\n", ret);
-@@ -358,11 +313,11 @@ static void vc4_hdmi_encoder_disable(str
-       struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-       int ret;
--      HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG, 0);
-+      HDMI_WRITE(HDMI_RAM_PACKET_CONFIG, 0);
--      HDMI_WRITE(VC4_HDMI_TX_PHY_RESET_CTL, 0xf << 16);
--      HD_WRITE(VC4_HD_VID_CTL,
--               HD_READ(VC4_HD_VID_CTL) & ~VC4_HD_VID_CTL_ENABLE);
-+      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
-+      HDMI_WRITE(HDMI_VID_CTL,
-+                 HDMI_READ(HDMI_VID_CTL) & ~VC4_HD_VID_CTL_ENABLE);
-       clk_disable_unprepare(vc4_hdmi->pixel_clock);
-@@ -417,18 +372,18 @@ static void vc4_hdmi_encoder_enable(stru
-               return;
-       }
--      HDMI_WRITE(VC4_HDMI_SW_RESET_CONTROL,
-+      HDMI_WRITE(HDMI_SW_RESET_CONTROL,
-                  VC4_HDMI_SW_RESET_HDMI |
-                  VC4_HDMI_SW_RESET_FORMAT_DETECT);
--      HDMI_WRITE(VC4_HDMI_SW_RESET_CONTROL, 0);
-+      HDMI_WRITE(HDMI_SW_RESET_CONTROL, 0);
-       /* PHY should be in reset, like
-        * vc4_hdmi_encoder_disable() does.
-        */
--      HDMI_WRITE(VC4_HDMI_TX_PHY_RESET_CTL, 0xf << 16);
-+      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
--      HDMI_WRITE(VC4_HDMI_TX_PHY_RESET_CTL, 0);
-+      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0);
-       if (debug_dump_regs) {
-               struct drm_printer p = drm_info_printer(&vc4_hdmi->pdev->dev);
-@@ -438,20 +393,20 @@ static void vc4_hdmi_encoder_enable(stru
-               drm_print_regset32(&p, &vc4_hdmi->hd_regset);
-       }
--      HD_WRITE(VC4_HD_VID_CTL, 0);
-+      HDMI_WRITE(HDMI_VID_CTL, 0);
--      HDMI_WRITE(VC4_HDMI_SCHEDULER_CONTROL,
--                 HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) |
-+      HDMI_WRITE(HDMI_SCHEDULER_CONTROL,
-+                 HDMI_READ(HDMI_SCHEDULER_CONTROL) |
-                  VC4_HDMI_SCHEDULER_CONTROL_MANUAL_FORMAT |
-                  VC4_HDMI_SCHEDULER_CONTROL_IGNORE_VSYNC_PREDICTS);
--      HDMI_WRITE(VC4_HDMI_HORZA,
-+      HDMI_WRITE(HDMI_HORZA,
-                  (vsync_pos ? VC4_HDMI_HORZA_VPOS : 0) |
-                  (hsync_pos ? VC4_HDMI_HORZA_HPOS : 0) |
-                  VC4_SET_FIELD(mode->hdisplay * pixel_rep,
-                                VC4_HDMI_HORZA_HAP));
--      HDMI_WRITE(VC4_HDMI_HORZB,
-+      HDMI_WRITE(HDMI_HORZB,
-                  VC4_SET_FIELD((mode->htotal -
-                                 mode->hsync_end) * pixel_rep,
-                                VC4_HDMI_HORZB_HBP) |
-@@ -462,13 +417,13 @@ static void vc4_hdmi_encoder_enable(stru
-                                 mode->hdisplay) * pixel_rep,
-                                VC4_HDMI_HORZB_HFP));
--      HDMI_WRITE(VC4_HDMI_VERTA0, verta);
--      HDMI_WRITE(VC4_HDMI_VERTA1, verta);
-+      HDMI_WRITE(HDMI_VERTA0, verta);
-+      HDMI_WRITE(HDMI_VERTA1, verta);
--      HDMI_WRITE(VC4_HDMI_VERTB0, vertb_even);
--      HDMI_WRITE(VC4_HDMI_VERTB1, vertb);
-+      HDMI_WRITE(HDMI_VERTB0, vertb_even);
-+      HDMI_WRITE(HDMI_VERTB1, vertb);
--      HD_WRITE(VC4_HD_VID_CTL,
-+      HDMI_WRITE(HDMI_VID_CTL,
-                (vsync_pos ? 0 : VC4_HD_VID_CTL_VSYNC_LOW) |
-                (hsync_pos ? 0 : VC4_HD_VID_CTL_HSYNC_LOW));
-@@ -493,21 +448,21 @@ static void vc4_hdmi_encoder_enable(stru
-               csc_ctl |= VC4_SET_FIELD(VC4_HD_CSC_CTL_MODE_CUSTOM,
-                                        VC4_HD_CSC_CTL_MODE);
--              HD_WRITE(VC4_HD_CSC_12_11, (0x000 << 16) | 0x000);
--              HD_WRITE(VC4_HD_CSC_14_13, (0x100 << 16) | 0x6e0);
--              HD_WRITE(VC4_HD_CSC_22_21, (0x6e0 << 16) | 0x000);
--              HD_WRITE(VC4_HD_CSC_24_23, (0x100 << 16) | 0x000);
--              HD_WRITE(VC4_HD_CSC_32_31, (0x000 << 16) | 0x6e0);
--              HD_WRITE(VC4_HD_CSC_34_33, (0x100 << 16) | 0x000);
-+              HDMI_WRITE(HDMI_CSC_12_11, (0x000 << 16) | 0x000);
-+              HDMI_WRITE(HDMI_CSC_14_13, (0x100 << 16) | 0x6e0);
-+              HDMI_WRITE(HDMI_CSC_22_21, (0x6e0 << 16) | 0x000);
-+              HDMI_WRITE(HDMI_CSC_24_23, (0x100 << 16) | 0x000);
-+              HDMI_WRITE(HDMI_CSC_32_31, (0x000 << 16) | 0x6e0);
-+              HDMI_WRITE(HDMI_CSC_34_33, (0x100 << 16) | 0x000);
-               vc4_encoder->limited_rgb_range = true;
-       } else {
-               vc4_encoder->limited_rgb_range = false;
-       }
-       /* The RGB order applies even when CSC is disabled. */
--      HD_WRITE(VC4_HD_CSC_CTL, csc_ctl);
-+      HDMI_WRITE(HDMI_CSC_CTL, csc_ctl);
--      HDMI_WRITE(VC4_HDMI_FIFO_CTL, VC4_HDMI_FIFO_CTL_MASTER_SLAVE_N);
-+      HDMI_WRITE(HDMI_FIFO_CTL, VC4_HDMI_FIFO_CTL_MASTER_SLAVE_N);
-       if (debug_dump_regs) {
-               struct drm_printer p = drm_info_printer(&vc4_hdmi->pdev->dev);
-@@ -517,30 +472,30 @@ static void vc4_hdmi_encoder_enable(stru
-               drm_print_regset32(&p, &vc4_hdmi->hd_regset);
-       }
--      HD_WRITE(VC4_HD_VID_CTL,
--               HD_READ(VC4_HD_VID_CTL) |
--               VC4_HD_VID_CTL_ENABLE |
--               VC4_HD_VID_CTL_UNDERFLOW_ENABLE |
--               VC4_HD_VID_CTL_FRAME_COUNTER_RESET);
-+      HDMI_WRITE(HDMI_VID_CTL,
-+                 HDMI_READ(HDMI_VID_CTL) |
-+                 VC4_HD_VID_CTL_ENABLE |
-+                 VC4_HD_VID_CTL_UNDERFLOW_ENABLE |
-+                 VC4_HD_VID_CTL_FRAME_COUNTER_RESET);
-       if (vc4_encoder->hdmi_monitor) {
--              HDMI_WRITE(VC4_HDMI_SCHEDULER_CONTROL,
--                         HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) |
-+              HDMI_WRITE(HDMI_SCHEDULER_CONTROL,
-+                         HDMI_READ(HDMI_SCHEDULER_CONTROL) |
-                          VC4_HDMI_SCHEDULER_CONTROL_MODE_HDMI);
--              ret = wait_for(HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) &
-+              ret = wait_for(HDMI_READ(HDMI_SCHEDULER_CONTROL) &
-                              VC4_HDMI_SCHEDULER_CONTROL_HDMI_ACTIVE, 1000);
-               WARN_ONCE(ret, "Timeout waiting for "
-                         "VC4_HDMI_SCHEDULER_CONTROL_HDMI_ACTIVE\n");
-       } else {
--              HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG,
--                         HDMI_READ(VC4_HDMI_RAM_PACKET_CONFIG) &
-+              HDMI_WRITE(HDMI_RAM_PACKET_CONFIG,
-+                         HDMI_READ(HDMI_RAM_PACKET_CONFIG) &
-                          ~(VC4_HDMI_RAM_PACKET_ENABLE));
--              HDMI_WRITE(VC4_HDMI_SCHEDULER_CONTROL,
--                         HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) &
-+              HDMI_WRITE(HDMI_SCHEDULER_CONTROL,
-+                         HDMI_READ(HDMI_SCHEDULER_CONTROL) &
-                          ~VC4_HDMI_SCHEDULER_CONTROL_MODE_HDMI);
--              ret = wait_for(!(HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) &
-+              ret = wait_for(!(HDMI_READ(HDMI_SCHEDULER_CONTROL) &
-                                VC4_HDMI_SCHEDULER_CONTROL_HDMI_ACTIVE), 1000);
-               WARN_ONCE(ret, "Timeout waiting for "
-                         "!VC4_HDMI_SCHEDULER_CONTROL_HDMI_ACTIVE\n");
-@@ -549,31 +504,31 @@ static void vc4_hdmi_encoder_enable(stru
-       if (vc4_encoder->hdmi_monitor) {
-               u32 drift;
--              WARN_ON(!(HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) &
-+              WARN_ON(!(HDMI_READ(HDMI_SCHEDULER_CONTROL) &
-                         VC4_HDMI_SCHEDULER_CONTROL_HDMI_ACTIVE));
--              HDMI_WRITE(VC4_HDMI_SCHEDULER_CONTROL,
--                         HDMI_READ(VC4_HDMI_SCHEDULER_CONTROL) |
-+              HDMI_WRITE(HDMI_SCHEDULER_CONTROL,
-+                         HDMI_READ(HDMI_SCHEDULER_CONTROL) |
-                          VC4_HDMI_SCHEDULER_CONTROL_VERT_ALWAYS_KEEPOUT);
--              HDMI_WRITE(VC4_HDMI_RAM_PACKET_CONFIG,
-+              HDMI_WRITE(HDMI_RAM_PACKET_CONFIG,
-                          VC4_HDMI_RAM_PACKET_ENABLE);
-               vc4_hdmi_set_infoframes(encoder);
--              drift = HDMI_READ(VC4_HDMI_FIFO_CTL);
-+              drift = HDMI_READ(HDMI_FIFO_CTL);
-               drift &= VC4_HDMI_FIFO_VALID_WRITE_MASK;
--              HDMI_WRITE(VC4_HDMI_FIFO_CTL,
-+              HDMI_WRITE(HDMI_FIFO_CTL,
-                          drift & ~VC4_HDMI_FIFO_CTL_RECENTER);
--              HDMI_WRITE(VC4_HDMI_FIFO_CTL,
-+              HDMI_WRITE(HDMI_FIFO_CTL,
-                          drift | VC4_HDMI_FIFO_CTL_RECENTER);
-               usleep_range(1000, 1100);
--              HDMI_WRITE(VC4_HDMI_FIFO_CTL,
-+              HDMI_WRITE(HDMI_FIFO_CTL,
-                          drift & ~VC4_HDMI_FIFO_CTL_RECENTER);
--              HDMI_WRITE(VC4_HDMI_FIFO_CTL,
-+              HDMI_WRITE(HDMI_FIFO_CTL,
-                          drift | VC4_HDMI_FIFO_CTL_RECENTER);
--              ret = wait_for(HDMI_READ(VC4_HDMI_FIFO_CTL) &
-+              ret = wait_for(HDMI_READ(HDMI_FIFO_CTL) &
-                              VC4_HDMI_FIFO_CTL_RECENTER_DONE, 1);
-               WARN_ONCE(ret, "Timeout waiting for "
-                         "VC4_HDMI_FIFO_CTL_RECENTER_DONE");
-@@ -625,7 +580,7 @@ static void vc4_hdmi_audio_set_mai_clock
-                                    VC4_HD_MAI_SMP_M_SHIFT) + 1,
-                                   &n, &m);
--      HD_WRITE(VC4_HD_MAI_SMP,
-+      HDMI_WRITE(HDMI_MAI_SMP,
-                VC4_SET_FIELD(n, VC4_HD_MAI_SMP_N) |
-                VC4_SET_FIELD(m - 1, VC4_HD_MAI_SMP_M));
- }
-@@ -644,7 +599,7 @@ static void vc4_hdmi_set_n_cts(struct vc
-       do_div(tmp, 128 * samplerate);
-       cts = tmp;
--      HDMI_WRITE(VC4_HDMI_CRP_CFG,
-+      HDMI_WRITE(HDMI_CRP_CFG,
-                  VC4_HDMI_CRP_CFG_EXTERNAL_CTS_EN |
-                  VC4_SET_FIELD(n, VC4_HDMI_CRP_CFG_N));
-@@ -653,8 +608,8 @@ static void vc4_hdmi_set_n_cts(struct vc
-        * providing a CTS_1 value.  The two CTS values are alternated
-        * between based on the period fields
-        */
--      HDMI_WRITE(VC4_HDMI_CTS_0, cts);
--      HDMI_WRITE(VC4_HDMI_CTS_1, cts);
-+      HDMI_WRITE(HDMI_CTS_0, cts);
-+      HDMI_WRITE(HDMI_CTS_1, cts);
- }
- static inline struct vc4_hdmi *dai_to_hdmi(struct snd_soc_dai *dai)
-@@ -681,7 +636,7 @@ static int vc4_hdmi_audio_startup(struct
-        * If the HDMI encoder hasn't probed, or the encoder is
-        * currently in DVI mode, treat the codec dai as missing.
-        */
--      if (!encoder->crtc || !(HDMI_READ(VC4_HDMI_RAM_PACKET_CONFIG) &
-+      if (!encoder->crtc || !(HDMI_READ(HDMI_RAM_PACKET_CONFIG) &
-                               VC4_HDMI_RAM_PACKET_ENABLE))
-               return -ENODEV;
-@@ -707,9 +662,9 @@ static void vc4_hdmi_audio_reset(struct
-       if (ret)
-               dev_err(dev, "Failed to stop audio infoframe: %d\n", ret);
--      HD_WRITE(VC4_HD_MAI_CTL, VC4_HD_MAI_CTL_RESET);
--      HD_WRITE(VC4_HD_MAI_CTL, VC4_HD_MAI_CTL_ERRORF);
--      HD_WRITE(VC4_HD_MAI_CTL, VC4_HD_MAI_CTL_FLUSH);
-+      HDMI_WRITE(HDMI_MAI_CTL, VC4_HD_MAI_CTL_RESET);
-+      HDMI_WRITE(HDMI_MAI_CTL, VC4_HD_MAI_CTL_ERRORF);
-+      HDMI_WRITE(HDMI_MAI_CTL, VC4_HD_MAI_CTL_FLUSH);
- }
- static void vc4_hdmi_audio_shutdown(struct snd_pcm_substream *substream,
-@@ -745,7 +700,7 @@ static int vc4_hdmi_audio_hw_params(stru
-       vc4_hdmi->audio.channels = params_channels(params);
-       vc4_hdmi->audio.samplerate = params_rate(params);
--      HD_WRITE(VC4_HD_MAI_CTL,
-+      HDMI_WRITE(HDMI_MAI_CTL,
-                VC4_HD_MAI_CTL_RESET |
-                VC4_HD_MAI_CTL_FLUSH |
-                VC4_HD_MAI_CTL_DLATE |
-@@ -765,22 +720,22 @@ static int vc4_hdmi_audio_hw_params(stru
-       /* Set the MAI threshold.  This logic mimics the firmware's. */
-       if (vc4_hdmi->audio.samplerate > 96000) {
--              HD_WRITE(VC4_HD_MAI_THR,
-+              HDMI_WRITE(HDMI_MAI_THR,
-                        VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQHIGH) |
-                        VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQLOW));
-       } else if (vc4_hdmi->audio.samplerate > 48000) {
--              HD_WRITE(VC4_HD_MAI_THR,
-+              HDMI_WRITE(HDMI_MAI_THR,
-                        VC4_SET_FIELD(0x14, VC4_HD_MAI_THR_DREQHIGH) |
-                        VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQLOW));
-       } else {
--              HD_WRITE(VC4_HD_MAI_THR,
-+              HDMI_WRITE(HDMI_MAI_THR,
-                        VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_PANICHIGH) |
-                        VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_PANICLOW) |
-                        VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_DREQHIGH) |
-                        VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_DREQLOW));
-       }
--      HDMI_WRITE(VC4_HDMI_MAI_CONFIG,
-+      HDMI_WRITE(HDMI_MAI_CONFIG,
-                  VC4_HDMI_MAI_CONFIG_BIT_REVERSE |
-                  VC4_SET_FIELD(channel_mask, VC4_HDMI_MAI_CHANNEL_MASK));
-@@ -790,8 +745,8 @@ static int vc4_hdmi_audio_hw_params(stru
-                       channel_map |= i << (3 * i);
-       }
--      HDMI_WRITE(VC4_HDMI_MAI_CHANNEL_MAP, channel_map);
--      HDMI_WRITE(VC4_HDMI_AUDIO_PACKET_CONFIG, audio_packet_config);
-+      HDMI_WRITE(HDMI_MAI_CHANNEL_MAP, channel_map);
-+      HDMI_WRITE(HDMI_AUDIO_PACKET_CONFIG, audio_packet_config);
-       vc4_hdmi_set_n_cts(vc4_hdmi);
-       return 0;
-@@ -806,21 +761,22 @@ static int vc4_hdmi_audio_trigger(struct
-       switch (cmd) {
-       case SNDRV_PCM_TRIGGER_START:
-               vc4_hdmi_set_audio_infoframe(encoder);
--              HDMI_WRITE(VC4_HDMI_TX_PHY_CTL0,
--                         HDMI_READ(VC4_HDMI_TX_PHY_CTL0) &
-+              HDMI_WRITE(HDMI_TX_PHY_CTL_0,
-+                         HDMI_READ(HDMI_TX_PHY_CTL_0) &
-                          ~VC4_HDMI_TX_PHY_RNG_PWRDN);
--              HD_WRITE(VC4_HD_MAI_CTL,
-+
-+              HDMI_WRITE(HDMI_MAI_CTL,
-                        VC4_SET_FIELD(vc4_hdmi->audio.channels,
-                                      VC4_HD_MAI_CTL_CHNUM) |
-                        VC4_HD_MAI_CTL_ENABLE);
-               break;
-       case SNDRV_PCM_TRIGGER_STOP:
--              HD_WRITE(VC4_HD_MAI_CTL,
-+              HDMI_WRITE(HDMI_MAI_CTL,
-                        VC4_HD_MAI_CTL_DLATE |
-                        VC4_HD_MAI_CTL_ERRORE |
-                        VC4_HD_MAI_CTL_ERRORF);
--              HDMI_WRITE(VC4_HDMI_TX_PHY_CTL0,
--                         HDMI_READ(VC4_HDMI_TX_PHY_CTL0) |
-+              HDMI_WRITE(HDMI_TX_PHY_CTL_0,
-+                         HDMI_READ(HDMI_TX_PHY_CTL_0) |
-                          VC4_HDMI_TX_PHY_RNG_PWRDN);
-               break;
-       default:
-@@ -954,6 +910,8 @@ static const struct snd_dmaengine_pcm_co
- static int vc4_hdmi_audio_init(struct vc4_hdmi *vc4_hdmi)
- {
-+      const struct vc4_hdmi_register *mai_data =
-+              &vc4_hdmi->variant->registers[HDMI_MAI_DATA];
-       struct snd_soc_dai_link *dai_link = &vc4_hdmi->audio.link;
-       struct snd_soc_card *card = &vc4_hdmi->audio.card;
-       struct device *dev = &vc4_hdmi->pdev->dev;
-@@ -968,6 +926,11 @@ static int vc4_hdmi_audio_init(struct vc
-               return 0;
-       }
-+      if (mai_data->reg != VC4_HD) {
-+              WARN_ONCE(true, "MAI isn't in the HD block\n");
-+              return -EINVAL;
-+      }
-+
-       /*
-        * Get the physical address of VC4_HD_MAI_DATA. We need to retrieve
-        * the bus address specified in the DT, because the physical address
-@@ -976,7 +939,7 @@ static int vc4_hdmi_audio_init(struct vc
-        * This VC/MMU should probably be exposed to avoid this kind of hacks.
-        */
-       addr = of_get_address(dev->of_node, 1, NULL, NULL);
--      vc4_hdmi->audio.dma_data.addr = be32_to_cpup(addr) + VC4_HD_MAI_DATA;
-+      vc4_hdmi->audio.dma_data.addr = be32_to_cpup(addr) + mai_data->offset;
-       vc4_hdmi->audio.dma_data.addr_width = DMA_SLAVE_BUSWIDTH_4_BYTES;
-       vc4_hdmi->audio.dma_data.maxburst = 2;
-@@ -1069,7 +1032,7 @@ static void vc4_cec_read_msg(struct vc4_
-       msg->len = 1 + ((cntrl1 & VC4_HDMI_CEC_REC_WRD_CNT_MASK) >>
-                                       VC4_HDMI_CEC_REC_WRD_CNT_SHIFT);
-       for (i = 0; i < msg->len; i += 4) {
--              u32 val = HDMI_READ(VC4_HDMI_CEC_RX_DATA_1 + i);
-+              u32 val = HDMI_READ(HDMI_CEC_RX_DATA_1 + i);
-               msg->msg[i] = val & 0xff;
-               msg->msg[i + 1] = (val >> 8) & 0xff;
-@@ -1081,26 +1044,26 @@ static void vc4_cec_read_msg(struct vc4_
- static irqreturn_t vc4_cec_irq_handler(int irq, void *priv)
- {
-       struct vc4_hdmi *vc4_hdmi = priv;
--      u32 stat = HDMI_READ(VC4_HDMI_CPU_STATUS);
-+      u32 stat = HDMI_READ(HDMI_CEC_CPU_STATUS);
-       u32 cntrl1, cntrl5;
-       if (!(stat & VC4_HDMI_CPU_CEC))
-               return IRQ_NONE;
-       vc4_hdmi->cec_rx_msg.len = 0;
--      cntrl1 = HDMI_READ(VC4_HDMI_CEC_CNTRL_1);
--      cntrl5 = HDMI_READ(VC4_HDMI_CEC_CNTRL_5);
-+      cntrl1 = HDMI_READ(HDMI_CEC_CNTRL_1);
-+      cntrl5 = HDMI_READ(HDMI_CEC_CNTRL_5);
-       vc4_hdmi->cec_irq_was_rx = cntrl5 & VC4_HDMI_CEC_RX_CEC_INT;
-       if (vc4_hdmi->cec_irq_was_rx) {
-               vc4_cec_read_msg(vc4_hdmi, cntrl1);
-               cntrl1 |= VC4_HDMI_CEC_CLEAR_RECEIVE_OFF;
--              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, cntrl1);
-+              HDMI_WRITE(HDMI_CEC_CNTRL_1, cntrl1);
-               cntrl1 &= ~VC4_HDMI_CEC_CLEAR_RECEIVE_OFF;
-       } else {
-               vc4_hdmi->cec_tx_ok = cntrl1 & VC4_HDMI_CEC_TX_STATUS_GOOD;
-               cntrl1 &= ~VC4_HDMI_CEC_START_XMIT_BEGIN;
-       }
--      HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, cntrl1);
--      HDMI_WRITE(VC4_HDMI_CPU_CLEAR, VC4_HDMI_CPU_CEC);
-+      HDMI_WRITE(HDMI_CEC_CNTRL_1, cntrl1);
-+      HDMI_WRITE(HDMI_CEC_CPU_CLEAR, VC4_HDMI_CPU_CEC);
-       return IRQ_WAKE_THREAD;
- }
-@@ -1110,7 +1073,7 @@ static int vc4_hdmi_cec_adap_enable(stru
-       struct vc4_hdmi *vc4_hdmi = cec_get_drvdata(adap);
-       /* clock period in microseconds */
-       const u32 usecs = 1000000 / CEC_CLOCK_FREQ;
--      u32 val = HDMI_READ(VC4_HDMI_CEC_CNTRL_5);
-+      u32 val = HDMI_READ(HDMI_CEC_CNTRL_5);
-       val &= ~(VC4_HDMI_CEC_TX_SW_RESET | VC4_HDMI_CEC_RX_SW_RESET |
-                VC4_HDMI_CEC_CNT_TO_4700_US_MASK |
-@@ -1119,30 +1082,30 @@ static int vc4_hdmi_cec_adap_enable(stru
-              ((4500 / usecs) << VC4_HDMI_CEC_CNT_TO_4500_US_SHIFT);
-       if (enable) {
--              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_5, val |
-+              HDMI_WRITE(HDMI_CEC_CNTRL_5, val |
-                          VC4_HDMI_CEC_TX_SW_RESET | VC4_HDMI_CEC_RX_SW_RESET);
--              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_5, val);
--              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_2,
-+              HDMI_WRITE(HDMI_CEC_CNTRL_5, val);
-+              HDMI_WRITE(HDMI_CEC_CNTRL_2,
-                        ((1500 / usecs) << VC4_HDMI_CEC_CNT_TO_1500_US_SHIFT) |
-                        ((1300 / usecs) << VC4_HDMI_CEC_CNT_TO_1300_US_SHIFT) |
-                        ((800 / usecs) << VC4_HDMI_CEC_CNT_TO_800_US_SHIFT) |
-                        ((600 / usecs) << VC4_HDMI_CEC_CNT_TO_600_US_SHIFT) |
-                        ((400 / usecs) << VC4_HDMI_CEC_CNT_TO_400_US_SHIFT));
--              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_3,
-+              HDMI_WRITE(HDMI_CEC_CNTRL_3,
-                        ((2750 / usecs) << VC4_HDMI_CEC_CNT_TO_2750_US_SHIFT) |
-                        ((2400 / usecs) << VC4_HDMI_CEC_CNT_TO_2400_US_SHIFT) |
-                        ((2050 / usecs) << VC4_HDMI_CEC_CNT_TO_2050_US_SHIFT) |
-                        ((1700 / usecs) << VC4_HDMI_CEC_CNT_TO_1700_US_SHIFT));
--              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_4,
-+              HDMI_WRITE(HDMI_CEC_CNTRL_4,
-                        ((4300 / usecs) << VC4_HDMI_CEC_CNT_TO_4300_US_SHIFT) |
-                        ((3900 / usecs) << VC4_HDMI_CEC_CNT_TO_3900_US_SHIFT) |
-                        ((3600 / usecs) << VC4_HDMI_CEC_CNT_TO_3600_US_SHIFT) |
-                        ((3500 / usecs) << VC4_HDMI_CEC_CNT_TO_3500_US_SHIFT));
--              HDMI_WRITE(VC4_HDMI_CPU_MASK_CLEAR, VC4_HDMI_CPU_CEC);
-+              HDMI_WRITE(HDMI_CEC_CPU_MASK_CLEAR, VC4_HDMI_CPU_CEC);
-       } else {
--              HDMI_WRITE(VC4_HDMI_CPU_MASK_SET, VC4_HDMI_CPU_CEC);
--              HDMI_WRITE(VC4_HDMI_CEC_CNTRL_5, val |
-+              HDMI_WRITE(HDMI_CEC_CPU_MASK_SET, VC4_HDMI_CPU_CEC);
-+              HDMI_WRITE(HDMI_CEC_CNTRL_5, val |
-                          VC4_HDMI_CEC_TX_SW_RESET | VC4_HDMI_CEC_RX_SW_RESET);
-       }
-       return 0;
-@@ -1152,8 +1115,8 @@ static int vc4_hdmi_cec_adap_log_addr(st
- {
-       struct vc4_hdmi *vc4_hdmi = cec_get_drvdata(adap);
--      HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1,
--                 (HDMI_READ(VC4_HDMI_CEC_CNTRL_1) & ~VC4_HDMI_CEC_ADDR_MASK) |
-+      HDMI_WRITE(HDMI_CEC_CNTRL_1,
-+                 (HDMI_READ(HDMI_CEC_CNTRL_1) & ~VC4_HDMI_CEC_ADDR_MASK) |
-                  (log_addr & 0xf) << VC4_HDMI_CEC_ADDR_SHIFT);
-       return 0;
- }
-@@ -1166,20 +1129,20 @@ static int vc4_hdmi_cec_adap_transmit(st
-       unsigned int i;
-       for (i = 0; i < msg->len; i += 4)
--              HDMI_WRITE(VC4_HDMI_CEC_TX_DATA_1 + i,
-+              HDMI_WRITE(HDMI_CEC_TX_DATA_1 + i,
-                          (msg->msg[i]) |
-                          (msg->msg[i + 1] << 8) |
-                          (msg->msg[i + 2] << 16) |
-                          (msg->msg[i + 3] << 24));
--      val = HDMI_READ(VC4_HDMI_CEC_CNTRL_1);
-+      val = HDMI_READ(HDMI_CEC_CNTRL_1);
-       val &= ~VC4_HDMI_CEC_START_XMIT_BEGIN;
--      HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, val);
-+      HDMI_WRITE(HDMI_CEC_CNTRL_1, val);
-       val &= ~VC4_HDMI_CEC_MESSAGE_LENGTH_MASK;
-       val |= (msg->len - 1) << VC4_HDMI_CEC_MESSAGE_LENGTH_SHIFT;
-       val |= VC4_HDMI_CEC_START_XMIT_BEGIN;
--      HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, val);
-+      HDMI_WRITE(HDMI_CEC_CNTRL_1, val);
-       return 0;
- }
-@@ -1190,26 +1153,63 @@ static const struct cec_adap_ops vc4_hdm
- };
- #endif
-+static int vc4_hdmi_build_regset(struct vc4_hdmi *vc4_hdmi,
-+                               struct debugfs_regset32 *regset,
-+                               enum vc4_hdmi_regs reg)
-+{
-+      const struct vc4_hdmi_variant *variant = vc4_hdmi->variant;
-+      struct debugfs_reg32 *regs;
-+      unsigned int count = 0;
-+      unsigned int i;
-+
-+      regs = kzalloc(variant->num_registers * sizeof(*regs),
-+                     GFP_KERNEL);
-+      if (!regs)
-+              return -ENOMEM;
-+
-+      for (i = 0; i < variant->num_registers; i++) {
-+              const struct vc4_hdmi_register *field = &variant->registers[i];
-+
-+              if (field->reg != reg)
-+                      continue;
-+
-+              regs[count].name = field->name;
-+              regs[count].offset = field->offset;
-+              count++;
-+      }
-+
-+      regs = krealloc(regs, count * sizeof(*regs), GFP_KERNEL);
-+      if (!regs)
-+              return -ENOMEM;
-+
-+      regset->base = __vc4_hdmi_get_field_base(vc4_hdmi, reg);
-+      regset->regs = regs;
-+      regset->nregs = count;
-+
-+      return 0;
-+}
-+
- static int vc4_hdmi_init_resources(struct vc4_hdmi *vc4_hdmi)
- {
-       struct platform_device *pdev = vc4_hdmi->pdev;
-       struct device *dev = &pdev->dev;
-+      int ret;
-       vc4_hdmi->hdmicore_regs = vc4_ioremap_regs(pdev, 0);
-       if (IS_ERR(vc4_hdmi->hdmicore_regs))
-               return PTR_ERR(vc4_hdmi->hdmicore_regs);
--      vc4_hdmi->hdmi_regset.base = vc4_hdmi->hdmicore_regs;
--      vc4_hdmi->hdmi_regset.regs = hdmi_regs;
--      vc4_hdmi->hdmi_regset.nregs = ARRAY_SIZE(hdmi_regs);
-+      ret = vc4_hdmi_build_regset(vc4_hdmi, &vc4_hdmi->hd_regset, VC4_HD);
-+      if (ret)
-+              return ret;
-       vc4_hdmi->hd_regs = vc4_ioremap_regs(pdev, 1);
-       if (IS_ERR(vc4_hdmi->hd_regs))
-               return PTR_ERR(vc4_hdmi->hd_regs);
--      vc4_hdmi->hd_regset.base = vc4_hdmi->hd_regs;
--      vc4_hdmi->hd_regset.regs = hd_regs;
--      vc4_hdmi->hd_regset.nregs = ARRAY_SIZE(hd_regs);
-+      ret = vc4_hdmi_build_regset(vc4_hdmi, &vc4_hdmi->hdmi_regset, VC4_HDMI);
-+      if (ret)
-+              return ret;
-       vc4_hdmi->pixel_clock = devm_clk_get(dev, "pixel");
-       if (IS_ERR(vc4_hdmi->pixel_clock)) {
-@@ -1302,12 +1302,12 @@ static int vc4_hdmi_bind(struct device *
-       }
-       /* HDMI core must be enabled. */
--      if (!(HD_READ(VC4_HD_M_CTL) & VC4_HD_M_ENABLE)) {
--              HD_WRITE(VC4_HD_M_CTL, VC4_HD_M_SW_RST);
-+      if (!(HDMI_READ(HDMI_M_CTL) & VC4_HD_M_ENABLE)) {
-+              HDMI_WRITE(HDMI_M_CTL, VC4_HD_M_SW_RST);
-               udelay(1);
--              HD_WRITE(VC4_HD_M_CTL, 0);
-+              HDMI_WRITE(HDMI_M_CTL, 0);
--              HD_WRITE(VC4_HD_M_CTL, VC4_HD_M_ENABLE);
-+              HDMI_WRITE(HDMI_M_CTL, VC4_HD_M_ENABLE);
-       }
-       pm_runtime_enable(dev);
-@@ -1331,8 +1331,8 @@ static int vc4_hdmi_bind(struct device *
-       cec_fill_conn_info_from_drm(&conn_info, &vc4_hdmi->connector);
-       cec_s_conn_info(vc4_hdmi->cec_adap, &conn_info);
--      HDMI_WRITE(VC4_HDMI_CPU_MASK_SET, 0xffffffff);
--      value = HDMI_READ(VC4_HDMI_CEC_CNTRL_1);
-+      HDMI_WRITE(HDMI_CEC_CPU_MASK_SET, 0xffffffff);
-+      value = HDMI_READ(HDMI_CEC_CNTRL_1);
-       value &= ~VC4_HDMI_CEC_DIV_CLK_CNT_MASK;
-       /*
-        * Set the logical address to Unregistered and set the clock
-@@ -1341,7 +1341,7 @@ static int vc4_hdmi_bind(struct device *
-        */
-       value |= VC4_HDMI_CEC_ADDR_MASK |
-                (4091 << VC4_HDMI_CEC_DIV_CLK_CNT_SHIFT);
--      HDMI_WRITE(VC4_HDMI_CEC_CNTRL_1, value);
-+      HDMI_WRITE(HDMI_CEC_CNTRL_1, value);
-       ret = devm_request_threaded_irq(dev, platform_get_irq(pdev, 0),
-                                       vc4_cec_irq_handler,
-                                       vc4_cec_irq_handler_thread, 0,
-@@ -1388,6 +1388,9 @@ static void vc4_hdmi_unbind(struct devic
-       struct snd_soc_card *card = dev_get_drvdata(dev);
-       struct vc4_hdmi *vc4_hdmi = snd_soc_card_get_drvdata(card);
-+      kfree(vc4_hdmi->hdmi_regset.regs);
-+      kfree(vc4_hdmi->hd_regset.regs);
-+
-       cec_unregister_adapter(vc4_hdmi->cec_adap);
-       vc4_hdmi_connector_destroy(&vc4_hdmi->connector);
-       vc4_hdmi_encoder_destroy(&vc4_hdmi->encoder.base.base);
-@@ -1415,6 +1418,9 @@ static int vc4_hdmi_dev_remove(struct pl
- }
- static const struct vc4_hdmi_variant bcm2835_variant = {
-+      .registers              = vc4_hdmi_fields,
-+      .num_registers          = ARRAY_SIZE(vc4_hdmi_fields),
-+
-       .init_resources         = vc4_hdmi_init_resources,
- };
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -22,8 +22,15 @@ to_vc4_hdmi_encoder(struct drm_encoder *
- }
- struct vc4_hdmi;
-+struct vc4_hdmi_register;
- struct vc4_hdmi_variant {
-+      /* List of the registers available on that variant */
-+      const struct vc4_hdmi_register *registers;
-+
-+      /* Number of registers on that variant */
-+      unsigned int num_registers;
-+
-       /* Callback to get the resources (memory region, interrupts,
-        * clocks, etc) for that variant.
-        */
-@@ -85,9 +92,4 @@ encoder_to_vc4_hdmi(struct drm_encoder *
-       return container_of(_encoder, struct vc4_hdmi, encoder);
- }
--#define HDMI_READ(offset) readl(vc4_hdmi->hdmicore_regs + offset)
--#define HDMI_WRITE(offset, val) writel(val, vc4_hdmi->hdmicore_regs + offset)
--#define HD_READ(offset) readl(vc4_hdmi->hd_regs + offset)
--#define HD_WRITE(offset, val) writel(val, vc4_hdmi->hd_regs + offset)
--
- #endif /* _VC4_HDMI_H_ */
---- /dev/null
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
-@@ -0,0 +1,250 @@
-+#ifndef _VC4_HDMI_REGS_H_
-+#define _VC4_HDMI_REGS_H_
-+
-+#include "vc4_hdmi.h"
-+
-+#define VC4_MASK(high, low) ((u32)GENMASK(high, low))
-+/* Using the GNU statement expression extension */
-+#define VC4_SET_FIELD(value, field)                                   \
-+      ({                                                              \
-+              uint32_t fieldval = (value) << field##_SHIFT;           \
-+              WARN_ON((fieldval & ~field##_MASK) != 0);               \
-+              fieldval & field##_MASK;                                \
-+       })
-+
-+#define VC4_HDMI_PACKET_STRIDE                        0x24
-+
-+enum vc4_hdmi_regs {
-+      VC4_INVALID = 0,
-+      VC4_HDMI,
-+      VC4_HD,
-+};
-+
-+enum vc4_hdmi_field {
-+      HDMI_AUDIO_PACKET_CONFIG,
-+      HDMI_CEC_CNTRL_1,
-+      HDMI_CEC_CNTRL_2,
-+      HDMI_CEC_CNTRL_3,
-+      HDMI_CEC_CNTRL_4,
-+      HDMI_CEC_CNTRL_5,
-+      HDMI_CEC_CPU_CLEAR,
-+      HDMI_CEC_CPU_MASK_CLEAR,
-+      HDMI_CEC_CPU_MASK_SET,
-+      HDMI_CEC_CPU_MASK_STATUS,
-+      HDMI_CEC_CPU_STATUS,
-+
-+      /*
-+       * Transmit data, first byte is low byte of the 32-bit reg.
-+       * MSB of each byte transmitted first.
-+       */
-+      HDMI_CEC_RX_DATA_1,
-+      HDMI_CEC_RX_DATA_2,
-+      HDMI_CEC_RX_DATA_3,
-+      HDMI_CEC_RX_DATA_4,
-+      HDMI_CEC_TX_DATA_1,
-+      HDMI_CEC_TX_DATA_2,
-+      HDMI_CEC_TX_DATA_3,
-+      HDMI_CEC_TX_DATA_4,
-+      HDMI_CORE_REV,
-+      HDMI_CRP_CFG,
-+      HDMI_CSC_12_11,
-+      HDMI_CSC_14_13,
-+      HDMI_CSC_22_21,
-+      HDMI_CSC_24_23,
-+      HDMI_CSC_32_31,
-+      HDMI_CSC_34_33,
-+      HDMI_CSC_CTL,
-+
-+      /*
-+       * 20-bit fields containing CTS values to be transmitted if
-+       * !EXTERNAL_CTS_EN
-+       */
-+      HDMI_CTS_0,
-+      HDMI_CTS_1,
-+      HDMI_FIFO_CTL,
-+      HDMI_FRAME_COUNT,
-+      HDMI_HORZA,
-+      HDMI_HORZB,
-+      HDMI_HOTPLUG,
-+      HDMI_HOTPLUG_INT,
-+
-+      /*
-+       * 3 bits per field, where each field maps from that
-+       * corresponding MAI bus channel to the given HDMI channel.
-+       */
-+      HDMI_MAI_CHANNEL_MAP,
-+      HDMI_MAI_CONFIG,
-+      HDMI_MAI_CTL,
-+
-+      /*
-+       * Register for DMAing in audio data to be transported over
-+       * the MAI bus to the Falcon core.
-+       */
-+      HDMI_MAI_DATA,
-+
-+      /* Format header to be placed on the MAI data. Unused. */
-+      HDMI_MAI_FMT,
-+
-+      /* Last received format word on the MAI bus. */
-+      HDMI_MAI_FORMAT,
-+      HDMI_MAI_SMP,
-+      HDMI_MAI_THR,
-+      HDMI_M_CTL,
-+      HDMI_RAM_PACKET_CONFIG,
-+      HDMI_RAM_PACKET_START,
-+      HDMI_RAM_PACKET_STATUS,
-+      HDMI_SCHEDULER_CONTROL,
-+      HDMI_SW_RESET_CONTROL,
-+      HDMI_TX_PHY_CTL_0,
-+      HDMI_TX_PHY_RESET_CTL,
-+      HDMI_VERTA0,
-+      HDMI_VERTA1,
-+      HDMI_VERTB0,
-+      HDMI_VERTB1,
-+      HDMI_VID_CTL,
-+};
-+
-+struct vc4_hdmi_register {
-+      char *name;
-+      enum vc4_hdmi_regs reg;
-+      unsigned int offset;
-+};
-+
-+#define _VC4_REG(_base, _reg, _offset)        \
-+      [_reg] = {                              \
-+              .name = #_reg,                  \
-+              .reg = _base,                   \
-+              .offset = _offset,              \
-+      }
-+
-+#define VC4_HD_REG(reg, offset)               _VC4_REG(VC4_HD, reg, offset)
-+#define VC4_HDMI_REG(reg, offset)     _VC4_REG(VC4_HDMI, reg, offset)
-+
-+static const struct vc4_hdmi_register vc4_hdmi_fields[] = {
-+      VC4_HD_REG(HDMI_M_CTL, 0x000c),
-+      VC4_HD_REG(HDMI_MAI_CTL, 0x0014),
-+      VC4_HD_REG(HDMI_MAI_THR, 0x0018),
-+      VC4_HD_REG(HDMI_MAI_FMT, 0x001c),
-+      VC4_HD_REG(HDMI_MAI_DATA, 0x0020),
-+      VC4_HD_REG(HDMI_MAI_SMP, 0x002c),
-+      VC4_HD_REG(HDMI_VID_CTL, 0x0038),
-+      VC4_HD_REG(HDMI_CSC_CTL, 0x0040),
-+      VC4_HD_REG(HDMI_CSC_12_11, 0x0044),
-+      VC4_HD_REG(HDMI_CSC_14_13, 0x0048),
-+      VC4_HD_REG(HDMI_CSC_22_21, 0x004c),
-+      VC4_HD_REG(HDMI_CSC_24_23, 0x0050),
-+      VC4_HD_REG(HDMI_CSC_32_31, 0x0054),
-+      VC4_HD_REG(HDMI_CSC_34_33, 0x0058),
-+      VC4_HD_REG(HDMI_FRAME_COUNT, 0x0068),
-+
-+      VC4_HDMI_REG(HDMI_CORE_REV, 0x0000),
-+      VC4_HDMI_REG(HDMI_SW_RESET_CONTROL, 0x0004),
-+      VC4_HDMI_REG(HDMI_HOTPLUG_INT, 0x0008),
-+      VC4_HDMI_REG(HDMI_HOTPLUG, 0x000c),
-+      VC4_HDMI_REG(HDMI_FIFO_CTL, 0x005c),
-+      VC4_HDMI_REG(HDMI_MAI_CHANNEL_MAP, 0x0090),
-+      VC4_HDMI_REG(HDMI_MAI_CONFIG, 0x0094),
-+      VC4_HDMI_REG(HDMI_MAI_FORMAT, 0x0098),
-+      VC4_HDMI_REG(HDMI_AUDIO_PACKET_CONFIG, 0x009c),
-+      VC4_HDMI_REG(HDMI_RAM_PACKET_CONFIG, 0x00a0),
-+      VC4_HDMI_REG(HDMI_RAM_PACKET_STATUS, 0x00a4),
-+      VC4_HDMI_REG(HDMI_CRP_CFG, 0x00a8),
-+      VC4_HDMI_REG(HDMI_CTS_0, 0x00ac),
-+      VC4_HDMI_REG(HDMI_CTS_1, 0x00b0),
-+      VC4_HDMI_REG(HDMI_SCHEDULER_CONTROL, 0x00c0),
-+      VC4_HDMI_REG(HDMI_HORZA, 0x00c4),
-+      VC4_HDMI_REG(HDMI_HORZB, 0x00c8),
-+      VC4_HDMI_REG(HDMI_VERTA0, 0x00cc),
-+      VC4_HDMI_REG(HDMI_VERTB0, 0x00d0),
-+      VC4_HDMI_REG(HDMI_VERTA1, 0x00d4),
-+      VC4_HDMI_REG(HDMI_VERTB1, 0x00d8),
-+      VC4_HDMI_REG(HDMI_CEC_CNTRL_1, 0x00e8),
-+      VC4_HDMI_REG(HDMI_CEC_CNTRL_2, 0x00ec),
-+      VC4_HDMI_REG(HDMI_CEC_CNTRL_3, 0x00f0),
-+      VC4_HDMI_REG(HDMI_CEC_CNTRL_4, 0x00f4),
-+      VC4_HDMI_REG(HDMI_CEC_CNTRL_5, 0x00f8),
-+      VC4_HDMI_REG(HDMI_CEC_TX_DATA_1, 0x00fc),
-+      VC4_HDMI_REG(HDMI_CEC_TX_DATA_2, 0x0100),
-+      VC4_HDMI_REG(HDMI_CEC_TX_DATA_3, 0x0104),
-+      VC4_HDMI_REG(HDMI_CEC_TX_DATA_4, 0x0108),
-+      VC4_HDMI_REG(HDMI_CEC_RX_DATA_1, 0x010c),
-+      VC4_HDMI_REG(HDMI_CEC_RX_DATA_2, 0x0110),
-+      VC4_HDMI_REG(HDMI_CEC_RX_DATA_3, 0x0114),
-+      VC4_HDMI_REG(HDMI_CEC_RX_DATA_4, 0x0118),
-+      VC4_HDMI_REG(HDMI_TX_PHY_RESET_CTL, 0x02c0),
-+      VC4_HDMI_REG(HDMI_TX_PHY_CTL_0, 0x02c4),
-+      VC4_HDMI_REG(HDMI_CEC_CPU_STATUS, 0x0340),
-+      VC4_HDMI_REG(HDMI_CEC_CPU_CLEAR, 0x0348),
-+      VC4_HDMI_REG(HDMI_CEC_CPU_MASK_STATUS, 0x034c),
-+      VC4_HDMI_REG(HDMI_CEC_CPU_MASK_SET, 0x034c),
-+      VC4_HDMI_REG(HDMI_CEC_CPU_MASK_CLEAR, 0x0354),
-+      VC4_HDMI_REG(HDMI_RAM_PACKET_START, 0x0400),
-+};
-+
-+static inline
-+void __iomem *__vc4_hdmi_get_field_base(struct vc4_hdmi *hdmi,
-+                                      enum vc4_hdmi_regs reg)
-+{
-+      switch (reg) {
-+      case VC4_HD:
-+              return hdmi->hd_regs;
-+
-+      case VC4_HDMI:
-+              return hdmi->hdmicore_regs;
-+
-+      default:
-+              return NULL;
-+      }
-+
-+      return NULL;
-+}
-+
-+static inline u32 vc4_hdmi_read(struct vc4_hdmi *hdmi,
-+                              enum vc4_hdmi_regs reg)
-+{
-+      const struct vc4_hdmi_register *field;
-+      const struct vc4_hdmi_variant *variant = hdmi->variant;
-+      void __iomem *base;
-+
-+      if (reg > variant->num_registers) {
-+              dev_warn(&hdmi->pdev->dev,
-+                       "Invalid register ID %u\n", reg);
-+              return 0;
-+      }
-+
-+      field = &variant->registers[reg];
-+      base = __vc4_hdmi_get_field_base(hdmi, field->reg);
-+      if (!base) {
-+              dev_warn(&hdmi->pdev->dev,
-+                       "Unknown register ID %u\n", reg);
-+              return 0;
-+      }
-+
-+      return readl(base + field->offset);
-+}
-+#define HDMI_READ(reg)                vc4_hdmi_read(vc4_hdmi, reg)
-+
-+static inline void vc4_hdmi_write(struct vc4_hdmi *hdmi,
-+                                enum vc4_hdmi_regs reg,
-+                                u32 value)
-+{
-+      const struct vc4_hdmi_register *field;
-+      const struct vc4_hdmi_variant *variant = hdmi->variant;
-+      void __iomem *base;
-+
-+      if (reg > variant->num_registers) {
-+              dev_warn(&hdmi->pdev->dev,
-+                       "Invalid register ID %u\n", reg);
-+              return;
-+      }
-+
-+      field = &variant->registers[reg];
-+      base = __vc4_hdmi_get_field_base(hdmi, field->reg);
-+      if (!base)
-+              return;
-+
-+      writel(value, base + field->offset);
-+}
-+#define HDMI_WRITE(reg, val)  vc4_hdmi_write(vc4_hdmi, reg, val)
-+
-+#endif /* _VC4_HDMI_REGS_H_ */
---- a/drivers/gpu/drm/vc4/vc4_regs.h
-+++ b/drivers/gpu/drm/vc4/vc4_regs.h
-@@ -493,32 +493,16 @@
- #define SCALER5_DLIST_START                   0x00004000
--#define VC4_HDMI_CORE_REV                     0x000
--
--#define VC4_HDMI_SW_RESET_CONTROL             0x004
- # define VC4_HDMI_SW_RESET_FORMAT_DETECT      BIT(1)
- # define VC4_HDMI_SW_RESET_HDMI                       BIT(0)
--#define VC4_HDMI_HOTPLUG_INT                  0x008
--
--#define VC4_HDMI_HOTPLUG                      0x00c
- # define VC4_HDMI_HOTPLUG_CONNECTED           BIT(0)
--/* 3 bits per field, where each field maps from that corresponding MAI
-- * bus channel to the given HDMI channel.
-- */
--#define VC4_HDMI_MAI_CHANNEL_MAP              0x090
--
--#define VC4_HDMI_MAI_CONFIG                   0x094
- # define VC4_HDMI_MAI_CONFIG_FORMAT_REVERSE           BIT(27)
- # define VC4_HDMI_MAI_CONFIG_BIT_REVERSE              BIT(26)
- # define VC4_HDMI_MAI_CHANNEL_MASK_MASK                       VC4_MASK(15, 0)
- # define VC4_HDMI_MAI_CHANNEL_MASK_SHIFT              0
--/* Last received format word on the MAI bus. */
--#define VC4_HDMI_MAI_FORMAT                   0x098
--
--#define VC4_HDMI_AUDIO_PACKET_CONFIG          0x09c
- # define VC4_HDMI_AUDIO_PACKET_ZERO_DATA_ON_SAMPLE_FLAT               BIT(29)
- # define VC4_HDMI_AUDIO_PACKET_ZERO_DATA_ON_INACTIVE_CHANNELS BIT(24)
- # define VC4_HDMI_AUDIO_PACKET_FORCE_SAMPLE_PRESENT           BIT(19)
-@@ -532,12 +516,8 @@
- # define VC4_HDMI_AUDIO_PACKET_CEA_MASK_MASK                  VC4_MASK(7, 0)
- # define VC4_HDMI_AUDIO_PACKET_CEA_MASK_SHIFT                 0
--#define VC4_HDMI_RAM_PACKET_CONFIG            0x0a0
- # define VC4_HDMI_RAM_PACKET_ENABLE           BIT(16)
--#define VC4_HDMI_RAM_PACKET_STATUS            0x0a4
--
--#define VC4_HDMI_CRP_CFG                      0x0a8
- /* When set, the CTS_PERIOD counts based on MAI bus sync pulse instead
-  * of pixel clock.
-  */
-@@ -551,23 +531,12 @@
- # define VC4_HDMI_CRP_CFG_N_MASK              VC4_MASK(19, 0)
- # define VC4_HDMI_CRP_CFG_N_SHIFT             0
--/* 20-bit fields containing CTS values to be transmitted if !EXTERNAL_CTS_EN */
--#define VC4_HDMI_CTS_0                                0x0ac
--#define VC4_HDMI_CTS_1                                0x0b0
--/* 20-bit fields containing number of clocks to send CTS0/1 before
-- * switching to the other one.
-- */
--#define VC4_HDMI_CTS_PERIOD_0                 0x0b4
--#define VC4_HDMI_CTS_PERIOD_1                 0x0b8
--
--#define VC4_HDMI_HORZA                                0x0c4
- # define VC4_HDMI_HORZA_VPOS                  BIT(14)
- # define VC4_HDMI_HORZA_HPOS                  BIT(13)
- /* Horizontal active pixels (hdisplay). */
- # define VC4_HDMI_HORZA_HAP_MASK              VC4_MASK(12, 0)
- # define VC4_HDMI_HORZA_HAP_SHIFT             0
--#define VC4_HDMI_HORZB                                0x0c8
- /* Horizontal pack porch (htotal - hsync_end). */
- # define VC4_HDMI_HORZB_HBP_MASK              VC4_MASK(29, 20)
- # define VC4_HDMI_HORZB_HBP_SHIFT             20
-@@ -578,7 +547,6 @@
- # define VC4_HDMI_HORZB_HFP_MASK              VC4_MASK(9, 0)
- # define VC4_HDMI_HORZB_HFP_SHIFT             0
--#define VC4_HDMI_FIFO_CTL                     0x05c
- # define VC4_HDMI_FIFO_CTL_RECENTER_DONE      BIT(14)
- # define VC4_HDMI_FIFO_CTL_USE_EMPTY          BIT(13)
- # define VC4_HDMI_FIFO_CTL_ON_VB              BIT(7)
-@@ -591,15 +559,12 @@
- # define VC4_HDMI_FIFO_CTL_MASTER_SLAVE_N     BIT(0)
- # define VC4_HDMI_FIFO_VALID_WRITE_MASK               0xefff
--#define VC4_HDMI_SCHEDULER_CONTROL            0x0c0
- # define VC4_HDMI_SCHEDULER_CONTROL_MANUAL_FORMAT BIT(15)
- # define VC4_HDMI_SCHEDULER_CONTROL_IGNORE_VSYNC_PREDICTS BIT(5)
- # define VC4_HDMI_SCHEDULER_CONTROL_VERT_ALWAYS_KEEPOUT       BIT(3)
- # define VC4_HDMI_SCHEDULER_CONTROL_HDMI_ACTIVE       BIT(1)
- # define VC4_HDMI_SCHEDULER_CONTROL_MODE_HDMI BIT(0)
--#define VC4_HDMI_VERTA0                               0x0cc
--#define VC4_HDMI_VERTA1                               0x0d4
- /* Vertical sync pulse (vsync_end - vsync_start). */
- # define VC4_HDMI_VERTA_VSP_MASK              VC4_MASK(24, 20)
- # define VC4_HDMI_VERTA_VSP_SHIFT             20
-@@ -610,8 +575,6 @@
- # define VC4_HDMI_VERTA_VAL_MASK              VC4_MASK(12, 0)
- # define VC4_HDMI_VERTA_VAL_SHIFT             0
--#define VC4_HDMI_VERTB0                               0x0d0
--#define VC4_HDMI_VERTB1                               0x0d8
- /* Vertical sync pulse offset (for interlaced) */
- # define VC4_HDMI_VERTB_VSPO_MASK             VC4_MASK(21, 9)
- # define VC4_HDMI_VERTB_VSPO_SHIFT            9
-@@ -619,7 +582,6 @@
- # define VC4_HDMI_VERTB_VBP_MASK              VC4_MASK(8, 0)
- # define VC4_HDMI_VERTB_VBP_SHIFT             0
--#define VC4_HDMI_CEC_CNTRL_1                  0x0e8
- /* Set when the transmission has ended. */
- # define VC4_HDMI_CEC_TX_EOM                  BIT(31)
- /* If set, transmission was acked on the 1st or 2nd attempt (only one
-@@ -660,7 +622,6 @@
- /* Set these fields to how many bit clock cycles get to that many
-  * microseconds.
-  */
--#define VC4_HDMI_CEC_CNTRL_2                  0x0ec
- # define VC4_HDMI_CEC_CNT_TO_1500_US_MASK     VC4_MASK(30, 24)
- # define VC4_HDMI_CEC_CNT_TO_1500_US_SHIFT    24
- # define VC4_HDMI_CEC_CNT_TO_1300_US_MASK     VC4_MASK(23, 17)
-@@ -672,7 +633,6 @@
- # define VC4_HDMI_CEC_CNT_TO_400_US_MASK      VC4_MASK(4, 0)
- # define VC4_HDMI_CEC_CNT_TO_400_US_SHIFT     0
--#define VC4_HDMI_CEC_CNTRL_3                  0x0f0
- # define VC4_HDMI_CEC_CNT_TO_2750_US_MASK     VC4_MASK(31, 24)
- # define VC4_HDMI_CEC_CNT_TO_2750_US_SHIFT    24
- # define VC4_HDMI_CEC_CNT_TO_2400_US_MASK     VC4_MASK(23, 16)
-@@ -682,7 +642,6 @@
- # define VC4_HDMI_CEC_CNT_TO_1700_US_MASK     VC4_MASK(7, 0)
- # define VC4_HDMI_CEC_CNT_TO_1700_US_SHIFT    0
--#define VC4_HDMI_CEC_CNTRL_4                  0x0f4
- # define VC4_HDMI_CEC_CNT_TO_4300_US_MASK     VC4_MASK(31, 24)
- # define VC4_HDMI_CEC_CNT_TO_4300_US_SHIFT    24
- # define VC4_HDMI_CEC_CNT_TO_3900_US_MASK     VC4_MASK(23, 16)
-@@ -692,7 +651,6 @@
- # define VC4_HDMI_CEC_CNT_TO_3500_US_MASK     VC4_MASK(7, 0)
- # define VC4_HDMI_CEC_CNT_TO_3500_US_SHIFT    0
--#define VC4_HDMI_CEC_CNTRL_5                  0x0f8
- # define VC4_HDMI_CEC_TX_SW_RESET             BIT(27)
- # define VC4_HDMI_CEC_RX_SW_RESET             BIT(26)
- # define VC4_HDMI_CEC_PAD_SW_RESET            BIT(25)
-@@ -705,39 +663,11 @@
- # define VC4_HDMI_CEC_CNT_TO_4500_US_MASK     VC4_MASK(7, 0)
- # define VC4_HDMI_CEC_CNT_TO_4500_US_SHIFT    0
--/* Transmit data, first byte is low byte of the 32-bit reg.  MSB of
-- * each byte transmitted first.
-- */
--#define VC4_HDMI_CEC_TX_DATA_1                        0x0fc
--#define VC4_HDMI_CEC_TX_DATA_2                        0x100
--#define VC4_HDMI_CEC_TX_DATA_3                        0x104
--#define VC4_HDMI_CEC_TX_DATA_4                        0x108
--#define VC4_HDMI_CEC_RX_DATA_1                        0x10c
--#define VC4_HDMI_CEC_RX_DATA_2                        0x110
--#define VC4_HDMI_CEC_RX_DATA_3                        0x114
--#define VC4_HDMI_CEC_RX_DATA_4                        0x118
--
--#define VC4_HDMI_TX_PHY_RESET_CTL             0x2c0
--
--#define VC4_HDMI_TX_PHY_CTL0                  0x2c4
- # define VC4_HDMI_TX_PHY_RNG_PWRDN            BIT(25)
--/* Interrupt status bits */
--#define VC4_HDMI_CPU_STATUS                   0x340
--#define VC4_HDMI_CPU_SET                      0x344
--#define VC4_HDMI_CPU_CLEAR                    0x348
- # define VC4_HDMI_CPU_CEC                     BIT(6)
- # define VC4_HDMI_CPU_HOTPLUG                 BIT(0)
--#define VC4_HDMI_CPU_MASK_STATUS              0x34c
--#define VC4_HDMI_CPU_MASK_SET                 0x350
--#define VC4_HDMI_CPU_MASK_CLEAR                       0x354
--
--#define VC4_HDMI_GCP(x)                               (0x400 + ((x) * 0x4))
--#define VC4_HDMI_RAM_PACKET(x)                        (0x400 + ((x) * 0x24))
--#define VC4_HDMI_PACKET_STRIDE                        0x24
--
--#define VC4_HD_M_CTL                          0x00c
- /* Debug: Current receive value on the CEC pad. */
- # define VC4_HD_CECRXD                                BIT(9)
- /* Debug: Override CEC output to 0. */
-@@ -747,7 +677,6 @@
- # define VC4_HD_M_SW_RST                      BIT(2)
- # define VC4_HD_M_ENABLE                      BIT(0)
--#define VC4_HD_MAI_CTL                                0x014
- /* Set when audio stream is received at a slower rate than the
-  * sampling period, so MAI fifo goes empty.  Write 1 to clear.
-  */
-@@ -772,7 +701,6 @@
- /* Single-shot reset bit.  Read value is undefined. */
- # define VC4_HD_MAI_CTL_RESET                 BIT(0)
--#define VC4_HD_MAI_THR                                0x018
- # define VC4_HD_MAI_THR_PANICHIGH_MASK                VC4_MASK(29, 24)
- # define VC4_HD_MAI_THR_PANICHIGH_SHIFT               24
- # define VC4_HD_MAI_THR_PANICLOW_MASK         VC4_MASK(21, 16)
-@@ -782,31 +710,20 @@
- # define VC4_HD_MAI_THR_DREQLOW_MASK          VC4_MASK(5, 0)
- # define VC4_HD_MAI_THR_DREQLOW_SHIFT         0
--/* Format header to be placed on the MAI data. Unused. */
--#define VC4_HD_MAI_FMT                                0x01c
--
--/* Register for DMAing in audio data to be transported over the MAI
-- * bus to the Falcon core.
-- */
--#define VC4_HD_MAI_DATA                               0x020
--
- /* Divider from HDMI HSM clock to MAI serial clock.  Sampling period
-  * converges to N / (M + 1) cycles.
-  */
--#define VC4_HD_MAI_SMP                                0x02c
- # define VC4_HD_MAI_SMP_N_MASK                        VC4_MASK(31, 8)
- # define VC4_HD_MAI_SMP_N_SHIFT                       8
- # define VC4_HD_MAI_SMP_M_MASK                        VC4_MASK(7, 0)
- # define VC4_HD_MAI_SMP_M_SHIFT                       0
--#define VC4_HD_VID_CTL                                0x038
- # define VC4_HD_VID_CTL_ENABLE                        BIT(31)
- # define VC4_HD_VID_CTL_UNDERFLOW_ENABLE      BIT(30)
- # define VC4_HD_VID_CTL_FRAME_COUNTER_RESET   BIT(29)
- # define VC4_HD_VID_CTL_VSYNC_LOW             BIT(28)
- # define VC4_HD_VID_CTL_HSYNC_LOW             BIT(27)
--#define VC4_HD_CSC_CTL                                0x040
- # define VC4_HD_CSC_CTL_ORDER_MASK            VC4_MASK(7, 5)
- # define VC4_HD_CSC_CTL_ORDER_SHIFT           5
- # define VC4_HD_CSC_CTL_ORDER_RGB             0
-@@ -824,15 +741,6 @@
- # define VC4_HD_CSC_CTL_RGB2YCC                       BIT(1)
- # define VC4_HD_CSC_CTL_ENABLE                        BIT(0)
--#define VC4_HD_CSC_12_11                      0x044
--#define VC4_HD_CSC_14_13                      0x048
--#define VC4_HD_CSC_22_21                      0x04c
--#define VC4_HD_CSC_24_23                      0x050
--#define VC4_HD_CSC_32_31                      0x054
--#define VC4_HD_CSC_34_33                      0x058
--
--#define VC4_HD_FRAME_COUNT                    0x068
--
- /* HVS display list information. */
- #define HVS_BOOTLOADER_DLIST_END                32
diff --git a/target/linux/bcm27xx/patches-5.4/950-0582-drm-vc4-hdmi-Add-reset-callback.patch b/target/linux/bcm27xx/patches-5.4/950-0582-drm-vc4-hdmi-Add-reset-callback.patch
deleted file mode 100644 (file)
index 18c11ab..0000000
+++ /dev/null
@@ -1,66 +0,0 @@
-From 3cf4a365b833d7a2e7622ad5569b9d54aebbe593 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 19 Dec 2019 16:25:26 +0100
-Subject: [PATCH] drm/vc4: hdmi: Add reset callback
-
-The BCM2711 and BCM283x HDMI controllers use a slightly different reset
-sequence, so let's add a callback to reset the controller.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 17 ++++++++++++-----
- drivers/gpu/drm/vc4/vc4_hdmi.h |  3 +++
- 2 files changed, 15 insertions(+), 5 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -68,6 +68,15 @@ static int vc4_hdmi_debugfs_regs(struct
-       return 0;
- }
-+static void vc4_hdmi_reset(struct vc4_hdmi *vc4_hdmi)
-+{
-+      HDMI_WRITE(HDMI_SW_RESET_CONTROL,
-+                 VC4_HDMI_SW_RESET_HDMI |
-+                 VC4_HDMI_SW_RESET_FORMAT_DETECT);
-+
-+      HDMI_WRITE(HDMI_SW_RESET_CONTROL, 0);
-+}
-+
- static enum drm_connector_status
- vc4_hdmi_connector_detect(struct drm_connector *connector, bool force)
- {
-@@ -372,11 +381,8 @@ static void vc4_hdmi_encoder_enable(stru
-               return;
-       }
--      HDMI_WRITE(HDMI_SW_RESET_CONTROL,
--                 VC4_HDMI_SW_RESET_HDMI |
--                 VC4_HDMI_SW_RESET_FORMAT_DETECT);
--
--      HDMI_WRITE(HDMI_SW_RESET_CONTROL, 0);
-+      if (vc4_hdmi->variant->reset)
-+              vc4_hdmi->variant->reset(vc4_hdmi);
-       /* PHY should be in reset, like
-        * vc4_hdmi_encoder_disable() does.
-@@ -1422,6 +1428,7 @@ static const struct vc4_hdmi_variant bcm
-       .num_registers          = ARRAY_SIZE(vc4_hdmi_fields),
-       .init_resources         = vc4_hdmi_init_resources,
-+      .reset                  = vc4_hdmi_reset,
- };
- static const struct of_device_id vc4_hdmi_dt_match[] = {
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -35,6 +35,9 @@ struct vc4_hdmi_variant {
-        * clocks, etc) for that variant.
-        */
-       int (*init_resources)(struct vc4_hdmi *vc4_hdmi);
-+
-+      /* Callback to reset the HDMI block */
-+      void (*reset)(struct vc4_hdmi *vc4_hdmi);
- };
- /* HDMI audio information */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0582-drm-vc4-hdmi-Deal-with-multiple-debugfs-files.patch b/target/linux/bcm27xx/patches-5.4/950-0582-drm-vc4-hdmi-Deal-with-multiple-debugfs-files.patch
new file mode 100644 (file)
index 0000000..e25b18a
--- /dev/null
@@ -0,0 +1,33 @@
+From a1f24e24c065b91f833e3f546c1507f69fb04bc7 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 16 Jan 2020 14:27:56 +0100
+Subject: [PATCH] drm/vc4: hdmi: Deal with multiple debugfs files
+
+The HDMI driver was registering a single debugfs file so far with the name
+hdmi_regs.
+
+Obviously, this is not going to work anymore when will have multiple HDMI
+controllers since we will end up trying to register two files with the same
+name.
+
+Let's use the ID to avoid that name conflict.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 5 ++++-
+ 1 file changed, 4 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1381,7 +1381,10 @@ static int vc4_hdmi_bind(struct device *
+       if (ret)
+               goto err_destroy_encoder;
+-      vc4_debugfs_add_file(drm, "hdmi_regs", vc4_hdmi_debugfs_regs, vc4_hdmi);
++      vc4_debugfs_add_file(drm,
++                           variant->id ? "hdmi1_regs" : "hdmi_regs",
++                           vc4_hdmi_debugfs_regs,
++                           vc4_hdmi);
+       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0583-drm-vc4-hdmi-Add-PHY-init-and-disable-function.patch b/target/linux/bcm27xx/patches-5.4/950-0583-drm-vc4-hdmi-Add-PHY-init-and-disable-function.patch
deleted file mode 100644 (file)
index ce295f3..0000000
+++ /dev/null
@@ -1,128 +0,0 @@
-From 9fe77147d40e0dc58e7297e79ba8b50e13b8269d Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 19 Dec 2019 16:53:33 +0100
-Subject: [PATCH] drm/vc4: hdmi: Add PHY init and disable function
-
-The HDMI PHY in the BCM2711 HDMI controller is significantly more
-complicated to setup than in the older BCM283x SoCs.
-
-Let's add hooks to enable and disable the PHY.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/Makefile       |  1 +
- drivers/gpu/drm/vc4/vc4_hdmi.c     | 14 +++++++-------
- drivers/gpu/drm/vc4/vc4_hdmi.h     | 13 +++++++++++++
- drivers/gpu/drm/vc4/vc4_hdmi_phy.c | 25 +++++++++++++++++++++++++
- 4 files changed, 46 insertions(+), 7 deletions(-)
- create mode 100644 drivers/gpu/drm/vc4/vc4_hdmi_phy.c
-
---- a/drivers/gpu/drm/vc4/Makefile
-+++ b/drivers/gpu/drm/vc4/Makefile
-@@ -13,6 +13,7 @@ vc4-y := \
-       vc4_kms.o \
-       vc4_gem.o \
-       vc4_hdmi.o \
-+      vc4_hdmi_phy.o \
-       vc4_vec.o \
-       vc4_hvs.o \
-       vc4_irq.o \
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -324,7 +324,9 @@ static void vc4_hdmi_encoder_disable(str
-       HDMI_WRITE(HDMI_RAM_PACKET_CONFIG, 0);
--      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
-+      if (vc4_hdmi->variant->phy_disable)
-+              vc4_hdmi->variant->phy_disable(vc4_hdmi);
-+
-       HDMI_WRITE(HDMI_VID_CTL,
-                  HDMI_READ(HDMI_VID_CTL) & ~VC4_HD_VID_CTL_ENABLE);
-@@ -384,12 +386,8 @@ static void vc4_hdmi_encoder_enable(stru
-       if (vc4_hdmi->variant->reset)
-               vc4_hdmi->variant->reset(vc4_hdmi);
--      /* PHY should be in reset, like
--       * vc4_hdmi_encoder_disable() does.
--       */
--      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
--
--      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0);
-+      if (vc4_hdmi->variant->phy_init)
-+              vc4_hdmi->variant->phy_init(vc4_hdmi, mode);
-       if (debug_dump_regs) {
-               struct drm_printer p = drm_info_printer(&vc4_hdmi->pdev->dev);
-@@ -1429,6 +1427,8 @@ static const struct vc4_hdmi_variant bcm
-       .init_resources         = vc4_hdmi_init_resources,
-       .reset                  = vc4_hdmi_reset,
-+      .phy_init               = vc4_hdmi_phy_init,
-+      .phy_disable            = vc4_hdmi_phy_disable,
- };
- static const struct of_device_id vc4_hdmi_dt_match[] = {
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -21,6 +21,8 @@ to_vc4_hdmi_encoder(struct drm_encoder *
-       return container_of(encoder, struct vc4_hdmi_encoder, base.base);
- }
-+struct drm_display_mode;
-+
- struct vc4_hdmi;
- struct vc4_hdmi_register;
-@@ -38,6 +40,13 @@ struct vc4_hdmi_variant {
-       /* Callback to reset the HDMI block */
-       void (*reset)(struct vc4_hdmi *vc4_hdmi);
-+
-+      /* Callback to initialize the PHY according to the mode */
-+      void (*phy_init)(struct vc4_hdmi *vc4_hdmi,
-+                       struct drm_display_mode *mode);
-+
-+      /* Callback to disable the PHY */
-+      void (*phy_disable)(struct vc4_hdmi *vc4_hdmi);
- };
- /* HDMI audio information */
-@@ -95,4 +104,8 @@ encoder_to_vc4_hdmi(struct drm_encoder *
-       return container_of(_encoder, struct vc4_hdmi, encoder);
- }
-+void vc4_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi,
-+                     struct drm_display_mode *mode);
-+void vc4_hdmi_phy_disable(struct vc4_hdmi *vc4_hdmi);
-+
- #endif /* _VC4_HDMI_H_ */
---- /dev/null
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
-@@ -0,0 +1,25 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * Copyright (C) 2015 Broadcom
-+ * Copyright (c) 2014 The Linux Foundation. All rights reserved.
-+ * Copyright (C) 2013 Red Hat
-+ * Author: Rob Clark <robdclark@gmail.com>
-+ */
-+
-+#include "vc4_hdmi.h"
-+#include "vc4_hdmi_regs.h"
-+
-+void vc4_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi, struct drm_display_mode *mode)
-+{
-+      /* PHY should be in reset, like
-+         * vc4_hdmi_encoder_disable() does.
-+         */
-+
-+      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
-+      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0);
-+}
-+
-+void vc4_hdmi_phy_disable(struct vc4_hdmi *vc4_hdmi)
-+{
-+      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
-+}
diff --git a/target/linux/bcm27xx/patches-5.4/950-0583-drm-vc4-hdmi-Add-an-audio-support-flag.patch b/target/linux/bcm27xx/patches-5.4/950-0583-drm-vc4-hdmi-Add-an-audio-support-flag.patch
new file mode 100644 (file)
index 0000000..9c82407
--- /dev/null
@@ -0,0 +1,47 @@
+From 6154f7383e2defe48eea7fddb6ce646a0069828b Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 6 Feb 2020 16:21:45 +0100
+Subject: [PATCH] drm/vc4: hdmi: Add an audio support flag
+
+The BCM2711 audio support doesn't work yet, so let's add a boolean to
+indicate whether or not it's supported, and only register a sound card if
+that boolean is set.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 4 ++++
+ drivers/gpu/drm/vc4/vc4_hdmi.h | 3 +++
+ 2 files changed, 7 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -944,6 +944,9 @@ static int vc4_hdmi_audio_init(struct vc
+       int ret;
+       int len;
++      if (!vc4_hdmi->variant->audio_available)
++              return 0;
++
+       if (!of_find_property(dev->of_node, "dmas", &len) ||
+           len == 0) {
+               dev_warn(dev,
+@@ -1445,6 +1448,7 @@ static int vc4_hdmi_dev_remove(struct pl
+ }
+ static const struct vc4_hdmi_variant bcm2835_variant = {
++      .audio_available        = true,
+       .registers              = vc4_hdmi_fields,
+       .num_registers          = ARRAY_SIZE(vc4_hdmi_fields),
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -32,6 +32,9 @@ struct vc4_hdmi_variant {
+        */
+       unsigned int id;
++      /* Set to true when the audio support is available */
++      bool audio_available;
++
+       /* List of the registers available on that variant */
+       const struct vc4_hdmi_register *registers;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0584-drm-vc4-hdmi-Add-PHY-RNG-enable-disable-function.patch b/target/linux/bcm27xx/patches-5.4/950-0584-drm-vc4-hdmi-Add-PHY-RNG-enable-disable-function.patch
deleted file mode 100644 (file)
index 0fb6a63..0000000
+++ /dev/null
@@ -1,104 +0,0 @@
-From ddf78df1db8752247e89a68231338a194e5dc52b Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 19 Dec 2019 17:22:24 +0100
-Subject: [PATCH] drm/vc4: hdmi: Add PHY RNG enable / disable function
-
-Let's continue the implementation of hooks for the parts that change in the
-BCM2711 SoC with the PHY RNG setup.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c     | 15 +++++++++------
- drivers/gpu/drm/vc4/vc4_hdmi.h     |  8 ++++++++
- drivers/gpu/drm/vc4/vc4_hdmi_phy.c | 15 +++++++++++++++
- 3 files changed, 32 insertions(+), 6 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -765,9 +765,9 @@ static int vc4_hdmi_audio_trigger(struct
-       switch (cmd) {
-       case SNDRV_PCM_TRIGGER_START:
-               vc4_hdmi_set_audio_infoframe(encoder);
--              HDMI_WRITE(HDMI_TX_PHY_CTL_0,
--                         HDMI_READ(HDMI_TX_PHY_CTL_0) &
--                         ~VC4_HDMI_TX_PHY_RNG_PWRDN);
-+
-+              if (vc4_hdmi->variant->phy_rng_enable)
-+                      vc4_hdmi->variant->phy_rng_enable(vc4_hdmi);
-               HDMI_WRITE(HDMI_MAI_CTL,
-                        VC4_SET_FIELD(vc4_hdmi->audio.channels,
-@@ -779,9 +779,10 @@ static int vc4_hdmi_audio_trigger(struct
-                        VC4_HD_MAI_CTL_DLATE |
-                        VC4_HD_MAI_CTL_ERRORE |
-                        VC4_HD_MAI_CTL_ERRORF);
--              HDMI_WRITE(HDMI_TX_PHY_CTL_0,
--                         HDMI_READ(HDMI_TX_PHY_CTL_0) |
--                         VC4_HDMI_TX_PHY_RNG_PWRDN);
-+
-+              if (vc4_hdmi->variant->phy_rng_disable)
-+                      vc4_hdmi->variant->phy_rng_disable(vc4_hdmi);
-+
-               break;
-       default:
-               break;
-@@ -1429,6 +1430,8 @@ static const struct vc4_hdmi_variant bcm
-       .reset                  = vc4_hdmi_reset,
-       .phy_init               = vc4_hdmi_phy_init,
-       .phy_disable            = vc4_hdmi_phy_disable,
-+      .phy_rng_enable         = vc4_hdmi_phy_rng_enable,
-+      .phy_rng_disable        = vc4_hdmi_phy_rng_disable,
- };
- static const struct of_device_id vc4_hdmi_dt_match[] = {
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -47,6 +47,12 @@ struct vc4_hdmi_variant {
-       /* Callback to disable the PHY */
-       void (*phy_disable)(struct vc4_hdmi *vc4_hdmi);
-+
-+      /* Callback to enable the RNG in the PHY */
-+      void (*phy_rng_enable)(struct vc4_hdmi *vc4_hdmi);
-+
-+      /* Callback to disable the RNG in the PHY */
-+      void (*phy_rng_disable)(struct vc4_hdmi *vc4_hdmi);
- };
- /* HDMI audio information */
-@@ -107,5 +113,7 @@ encoder_to_vc4_hdmi(struct drm_encoder *
- void vc4_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi,
-                      struct drm_display_mode *mode);
- void vc4_hdmi_phy_disable(struct vc4_hdmi *vc4_hdmi);
-+void vc4_hdmi_phy_rng_enable(struct vc4_hdmi *vc4_hdmi);
-+void vc4_hdmi_phy_rng_disable(struct vc4_hdmi *vc4_hdmi);
- #endif /* _VC4_HDMI_H_ */
---- a/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
-@@ -7,6 +7,7 @@
-  */
- #include "vc4_hdmi.h"
-+#include "vc4_regs.h"
- #include "vc4_hdmi_regs.h"
- void vc4_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi, struct drm_display_mode *mode)
-@@ -23,3 +24,17 @@ void vc4_hdmi_phy_disable(struct vc4_hdm
- {
-       HDMI_WRITE(HDMI_TX_PHY_RESET_CTL, 0xf << 16);
- }
-+
-+void vc4_hdmi_phy_rng_enable(struct vc4_hdmi *vc4_hdmi)
-+{
-+      HDMI_WRITE(HDMI_TX_PHY_CTL_0,
-+                 HDMI_READ(HDMI_TX_PHY_CTL_0) &
-+                 ~VC4_HDMI_TX_PHY_RNG_PWRDN);
-+}
-+
-+void vc4_hdmi_phy_rng_disable(struct vc4_hdmi *vc4_hdmi)
-+{
-+      HDMI_WRITE(HDMI_TX_PHY_CTL_0,
-+                 HDMI_READ(HDMI_TX_PHY_CTL_0) |
-+                 VC4_HDMI_TX_PHY_RNG_PWRDN);
-+}
diff --git a/target/linux/bcm27xx/patches-5.4/950-0584-drm-vc4-hdmi-Move-CEC-init-to-its-own-function.patch b/target/linux/bcm27xx/patches-5.4/950-0584-drm-vc4-hdmi-Move-CEC-init-to-its-own-function.patch
new file mode 100644 (file)
index 0000000..a3efadd
--- /dev/null
@@ -0,0 +1,165 @@
+From 9efd6edc4c7d01c74a92f2011ba285329ba956e4 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 6 Feb 2020 16:22:13 +0100
+Subject: [PATCH] drm/vc4: hdmi: Move CEC init to its own function
+
+The CEC init code was put directly into the bind function, which was quite
+inconsistent with how the audio support was done, and would prevent us from
+further changes to skip that initialisation entirely.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 108 ++++++++++++++++++++-------------
+ 1 file changed, 67 insertions(+), 41 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1179,6 +1179,67 @@ static const struct cec_adap_ops vc4_hdm
+       .adap_log_addr = vc4_hdmi_cec_adap_log_addr,
+       .adap_transmit = vc4_hdmi_cec_adap_transmit,
+ };
++
++static int vc4_hdmi_cec_init(struct vc4_hdmi *vc4_hdmi)
++{
++      struct cec_connector_info conn_info;
++      struct platform_device *pdev = vc4_hdmi->pdev;
++      u32 value;
++      int ret;
++
++      vc4_hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
++                                                vc4_hdmi, "vc4",
++                                                CEC_CAP_DEFAULTS |
++                                                CEC_CAP_CONNECTOR_INFO, 1);
++      ret = PTR_ERR_OR_ZERO(vc4_hdmi->cec_adap);
++      if (ret < 0)
++              return ret;
++
++      cec_fill_conn_info_from_drm(&conn_info, &vc4_hdmi->connector);
++      cec_s_conn_info(vc4_hdmi->cec_adap, &conn_info);
++
++      HDMI_WRITE(HDMI_CEC_CPU_MASK_SET, 0xffffffff);
++      value = HDMI_READ(HDMI_CEC_CNTRL_1);
++      value &= ~VC4_HDMI_CEC_DIV_CLK_CNT_MASK;
++      /*
++       * Set the logical address to Unregistered and set the clock
++       * divider: the hsm_clock rate and this divider setting will
++       * give a 40 kHz CEC clock.
++       */
++      value |= VC4_HDMI_CEC_ADDR_MASK |
++               (4091 << VC4_HDMI_CEC_DIV_CLK_CNT_SHIFT);
++      HDMI_WRITE(HDMI_CEC_CNTRL_1, value);
++      ret = devm_request_threaded_irq(&pdev->dev, platform_get_irq(pdev, 0),
++                                      vc4_cec_irq_handler,
++                                      vc4_cec_irq_handler_thread, 0,
++                                      "vc4 hdmi cec", vc4_hdmi);
++      if (ret)
++              goto err_delete_cec_adap;
++
++      ret = cec_register_adapter(vc4_hdmi->cec_adap, &pdev->dev);
++      if (ret < 0)
++              goto err_delete_cec_adap;
++
++      return 0;
++
++err_delete_cec_adap:
++      cec_delete_adapter(vc4_hdmi->cec_adap);
++
++      return ret;
++}
++
++static void vc4_hdmi_cec_exit(struct vc4_hdmi *vc4_hdmi)
++{
++      cec_unregister_adapter(vc4_hdmi->cec_adap);
++}
++#else
++static int vc4_hdmi_cec_init(struct vc4_hdmi *vc4_hdmi)
++{
++      return 0;
++}
++
++static void vc4_hdmi_cec_exit(struct vc4_hdmi *vc4_hdmi) {};
++
+ #endif
+ static int vc4_hdmi_build_regset(struct vc4_hdmi *vc4_hdmi,
+@@ -1256,9 +1317,6 @@ static int vc4_hdmi_init_resources(struc
+ static int vc4_hdmi_bind(struct device *dev, struct device *master, void *data)
+ {
+-#ifdef CONFIG_DRM_VC4_HDMI_CEC
+-      struct cec_connector_info conn_info;
+-#endif
+       struct platform_device *pdev = to_platform_device(dev);
+       struct drm_device *drm = dev_get_drvdata(master);
+       const struct vc4_hdmi_variant *variant;
+@@ -1346,43 +1404,13 @@ static int vc4_hdmi_bind(struct device *
+       if (ret)
+               goto err_destroy_encoder;
+-#ifdef CONFIG_DRM_VC4_HDMI_CEC
+-      vc4_hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
+-                                            vc4_hdmi, "vc4",
+-                                            CEC_CAP_DEFAULTS |
+-                                            CEC_CAP_CONNECTOR_INFO, 1);
+-      ret = PTR_ERR_OR_ZERO(vc4_hdmi->cec_adap);
+-      if (ret < 0)
+-              goto err_destroy_conn;
+-
+-      cec_fill_conn_info_from_drm(&conn_info, &vc4_hdmi->connector);
+-      cec_s_conn_info(vc4_hdmi->cec_adap, &conn_info);
+-
+-      HDMI_WRITE(HDMI_CEC_CPU_MASK_SET, 0xffffffff);
+-      value = HDMI_READ(HDMI_CEC_CNTRL_1);
+-      value &= ~VC4_HDMI_CEC_DIV_CLK_CNT_MASK;
+-      /*
+-       * Set the logical address to Unregistered and set the clock
+-       * divider: the hsm_clock rate and this divider setting will
+-       * give a 40 kHz CEC clock.
+-       */
+-      value |= VC4_HDMI_CEC_ADDR_MASK |
+-               (4091 << VC4_HDMI_CEC_DIV_CLK_CNT_SHIFT);
+-      HDMI_WRITE(HDMI_CEC_CNTRL_1, value);
+-      ret = devm_request_threaded_irq(dev, platform_get_irq(pdev, 0),
+-                                      vc4_cec_irq_handler,
+-                                      vc4_cec_irq_handler_thread, 0,
+-                                      "vc4 hdmi cec", vc4_hdmi);
++      ret = vc4_hdmi_cec_init(vc4_hdmi);
+       if (ret)
+-              goto err_delete_cec_adap;
+-      ret = cec_register_adapter(vc4_hdmi->cec_adap, dev);
+-      if (ret < 0)
+-              goto err_delete_cec_adap;
+-#endif
++              goto err_destroy_conn;
+       ret = vc4_hdmi_audio_init(vc4_hdmi);
+       if (ret)
+-              goto err_destroy_encoder;
++              goto err_free_cec;
+       vc4_debugfs_add_file(drm,
+                            variant->id ? "hdmi1_regs" : "hdmi_regs",
+@@ -1391,12 +1419,10 @@ static int vc4_hdmi_bind(struct device *
+       return 0;
+-#ifdef CONFIG_DRM_VC4_HDMI_CEC
+-err_delete_cec_adap:
+-      cec_delete_adapter(vc4_hdmi->cec_adap);
++err_free_cec:
++      vc4_hdmi_cec_exit(vc4_hdmi);
+ err_destroy_conn:
+       vc4_hdmi_connector_destroy(&vc4_hdmi->connector);
+-#endif
+ err_destroy_encoder:
+       vc4_hdmi_encoder_destroy(encoder);
+ err_unprepare_hsm:
+@@ -1421,7 +1447,7 @@ static void vc4_hdmi_unbind(struct devic
+       kfree(vc4_hdmi->hdmi_regset.regs);
+       kfree(vc4_hdmi->hd_regset.regs);
+-      cec_unregister_adapter(vc4_hdmi->cec_adap);
++      vc4_hdmi_cec_exit(vc4_hdmi);
+       vc4_hdmi_connector_destroy(&vc4_hdmi->connector);
+       vc4_hdmi_encoder_destroy(&vc4_hdmi->encoder.base.base);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0585-drm-vc4-hdmi-Add-CEC-support-flag.patch b/target/linux/bcm27xx/patches-5.4/950-0585-drm-vc4-hdmi-Add-CEC-support-flag.patch
new file mode 100644 (file)
index 0000000..396e8a5
--- /dev/null
@@ -0,0 +1,47 @@
+From 0f626dc8443a93138806b4a3f351bac346036358 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 6 Feb 2020 16:22:50 +0100
+Subject: [PATCH] drm/vc4: hdmi: Add CEC support flag
+
+Similarly to the audio support, CEC support is not there yet for the
+BCM2711, so let's skip entirely the CEC initialization through a variant
+flag.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 4 ++++
+ drivers/gpu/drm/vc4/vc4_hdmi.h | 3 +++
+ 2 files changed, 7 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1187,6 +1187,9 @@ static int vc4_hdmi_cec_init(struct vc4_
+       u32 value;
+       int ret;
++      if (!vc4_hdmi->variant->cec_available)
++              return 0;
++
+       vc4_hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
+                                                 vc4_hdmi, "vc4",
+                                                 CEC_CAP_DEFAULTS |
+@@ -1475,6 +1478,7 @@ static int vc4_hdmi_dev_remove(struct pl
+ static const struct vc4_hdmi_variant bcm2835_variant = {
+       .audio_available        = true,
++      .cec_available          = true,
+       .registers              = vc4_hdmi_fields,
+       .num_registers          = ARRAY_SIZE(vc4_hdmi_fields),
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -35,6 +35,9 @@ struct vc4_hdmi_variant {
+       /* Set to true when the audio support is available */
+       bool audio_available;
++      /* Set to true when the CEC support is available */
++      bool cec_available;
++
+       /* List of the registers available on that variant */
+       const struct vc4_hdmi_register *registers;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0585-drm-vc4-hdmi-Add-a-CSC-setup-callback.patch b/target/linux/bcm27xx/patches-5.4/950-0585-drm-vc4-hdmi-Add-a-CSC-setup-callback.patch
deleted file mode 100644 (file)
index 0106470..0000000
+++ /dev/null
@@ -1,134 +0,0 @@
-From 110cf6bdc1d79f2ee7a435bc9d1ec900aba11ed5 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 26 Dec 2019 18:41:53 +0100
-Subject: [PATCH] drm/vc4: hdmi: Add a CSC setup callback
-
-Similarly to the previous patches, the CSC setup is slightly different in
-the BCM2711 than in the previous generations. Let's add a callback for it.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 71 ++++++++++++++++++++--------------
- drivers/gpu/drm/vc4/vc4_hdmi.h |  3 ++
- 2 files changed, 45 insertions(+), 29 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -337,6 +337,41 @@ static void vc4_hdmi_encoder_disable(str
-               DRM_ERROR("Failed to release power domain: %d\n", ret);
- }
-+static void vc4_hdmi_csc_setup(struct vc4_hdmi *vc4_hdmi, bool enable)
-+{
-+      u32 csc_ctl;
-+
-+      csc_ctl = VC4_SET_FIELD(VC4_HD_CSC_CTL_ORDER_BGR,
-+                              VC4_HD_CSC_CTL_ORDER);
-+
-+      if (enable) {
-+              /* CEA VICs other than #1 requre limited range RGB
-+               * output unless overridden by an AVI infoframe.
-+               * Apply a colorspace conversion to squash 0-255 down
-+               * to 16-235.  The matrix here is:
-+               *
-+               * [ 0      0      0.8594 16]
-+               * [ 0      0.8594 0      16]
-+               * [ 0.8594 0      0      16]
-+               * [ 0      0      0       1]
-+               */
-+              csc_ctl |= VC4_HD_CSC_CTL_ENABLE;
-+              csc_ctl |= VC4_HD_CSC_CTL_RGB2YCC;
-+              csc_ctl |= VC4_SET_FIELD(VC4_HD_CSC_CTL_MODE_CUSTOM,
-+                                       VC4_HD_CSC_CTL_MODE);
-+
-+              HDMI_WRITE(HDMI_CSC_12_11, (0x000 << 16) | 0x000);
-+              HDMI_WRITE(HDMI_CSC_14_13, (0x100 << 16) | 0x6e0);
-+              HDMI_WRITE(HDMI_CSC_22_21, (0x6e0 << 16) | 0x000);
-+              HDMI_WRITE(HDMI_CSC_24_23, (0x100 << 16) | 0x000);
-+              HDMI_WRITE(HDMI_CSC_32_31, (0x000 << 16) | 0x6e0);
-+              HDMI_WRITE(HDMI_CSC_34_33, (0x100 << 16) | 0x000);
-+      }
-+
-+      /* The RGB order applies even when CSC is disabled. */
-+      HDMI_WRITE(HDMI_CSC_CTL, csc_ctl);
-+}
-+
- static void vc4_hdmi_encoder_enable(struct drm_encoder *encoder)
- {
-       struct drm_display_mode *mode = &encoder->crtc->state->adjusted_mode;
-@@ -360,7 +395,6 @@ static void vc4_hdmi_encoder_enable(stru
-                                       mode->crtc_vsync_end -
-                                       interlaced,
-                                       VC4_HDMI_VERTB_VBP));
--      u32 csc_ctl;
-       int ret;
-       ret = pm_runtime_get_sync(&vc4_hdmi->pdev->dev);
-@@ -431,41 +465,19 @@ static void vc4_hdmi_encoder_enable(stru
-                (vsync_pos ? 0 : VC4_HD_VID_CTL_VSYNC_LOW) |
-                (hsync_pos ? 0 : VC4_HD_VID_CTL_HSYNC_LOW));
--      csc_ctl = VC4_SET_FIELD(VC4_HD_CSC_CTL_ORDER_BGR,
--                              VC4_HD_CSC_CTL_ORDER);
--
-       if (vc4_encoder->hdmi_monitor &&
--          drm_default_rgb_quant_range(mode) ==
--          HDMI_QUANTIZATION_RANGE_LIMITED) {
--              /* CEA VICs other than #1 requre limited range RGB
--               * output unless overridden by an AVI infoframe.
--               * Apply a colorspace conversion to squash 0-255 down
--               * to 16-235.  The matrix here is:
--               *
--               * [ 0      0      0.8594 16]
--               * [ 0      0.8594 0      16]
--               * [ 0.8594 0      0      16]
--               * [ 0      0      0       1]
--               */
--              csc_ctl |= VC4_HD_CSC_CTL_ENABLE;
--              csc_ctl |= VC4_HD_CSC_CTL_RGB2YCC;
--              csc_ctl |= VC4_SET_FIELD(VC4_HD_CSC_CTL_MODE_CUSTOM,
--                                       VC4_HD_CSC_CTL_MODE);
-+          drm_default_rgb_quant_range(mode) == HDMI_QUANTIZATION_RANGE_LIMITED) {
-+              if (vc4_hdmi->variant->csc_setup)
-+                      vc4_hdmi->variant->csc_setup(vc4_hdmi, true);
--              HDMI_WRITE(HDMI_CSC_12_11, (0x000 << 16) | 0x000);
--              HDMI_WRITE(HDMI_CSC_14_13, (0x100 << 16) | 0x6e0);
--              HDMI_WRITE(HDMI_CSC_22_21, (0x6e0 << 16) | 0x000);
--              HDMI_WRITE(HDMI_CSC_24_23, (0x100 << 16) | 0x000);
--              HDMI_WRITE(HDMI_CSC_32_31, (0x000 << 16) | 0x6e0);
--              HDMI_WRITE(HDMI_CSC_34_33, (0x100 << 16) | 0x000);
-               vc4_encoder->limited_rgb_range = true;
-       } else {
-+              if (vc4_hdmi->variant->csc_setup)
-+                      vc4_hdmi->variant->csc_setup(vc4_hdmi, false);
-+
-               vc4_encoder->limited_rgb_range = false;
-       }
--      /* The RGB order applies even when CSC is disabled. */
--      HDMI_WRITE(HDMI_CSC_CTL, csc_ctl);
--
-       HDMI_WRITE(HDMI_FIFO_CTL, VC4_HDMI_FIFO_CTL_MASTER_SLAVE_N);
-       if (debug_dump_regs) {
-@@ -1427,6 +1439,7 @@ static const struct vc4_hdmi_variant bcm
-       .num_registers          = ARRAY_SIZE(vc4_hdmi_fields),
-       .init_resources         = vc4_hdmi_init_resources,
-+      .csc_setup              = vc4_hdmi_csc_setup,
-       .reset                  = vc4_hdmi_reset,
-       .phy_init               = vc4_hdmi_phy_init,
-       .phy_disable            = vc4_hdmi_phy_disable,
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -41,6 +41,9 @@ struct vc4_hdmi_variant {
-       /* Callback to reset the HDMI block */
-       void (*reset)(struct vc4_hdmi *vc4_hdmi);
-+      /* Callback to enable / disable the CSC */
-+      void (*csc_setup)(struct vc4_hdmi *vc4_hdmi, bool enable);
-+
-       /* Callback to initialize the PHY according to the mode */
-       void (*phy_init)(struct vc4_hdmi *vc4_hdmi,
-                        struct drm_display_mode *mode);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0586-drm-vc4-hdmi-Add-a-set_timings-callback.patch b/target/linux/bcm27xx/patches-5.4/950-0586-drm-vc4-hdmi-Add-a-set_timings-callback.patch
deleted file mode 100644 (file)
index 064925c..0000000
+++ /dev/null
@@ -1,133 +0,0 @@
-From b9c57901c600e09b100942b637c6bb01e52b7326 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 6 Jan 2020 13:43:27 +0100
-Subject: [PATCH] drm/vc4: hdmi: Add a set_timings callback
-
-Similarly to the previous patches, the timings setup in the HDMI controller
-of the BCM2711 is slightly different, mostly because it supports higher
-resolutions and thus needed more spaces for the various timings, resulting
-in the register layout changing.
-
-Let's add a callback for that as well.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 71 +++++++++++++++++++---------------
- drivers/gpu/drm/vc4/vc4_hdmi.h |  4 ++
- 2 files changed, 44 insertions(+), 31 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -372,12 +372,9 @@ static void vc4_hdmi_csc_setup(struct vc
-       HDMI_WRITE(HDMI_CSC_CTL, csc_ctl);
- }
--static void vc4_hdmi_encoder_enable(struct drm_encoder *encoder)
-+static void vc4_hdmi_set_timings(struct vc4_hdmi *vc4_hdmi,
-+                               struct drm_display_mode *mode)
- {
--      struct drm_display_mode *mode = &encoder->crtc->state->adjusted_mode;
--      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
--      struct vc4_hdmi_encoder *vc4_encoder = &vc4_hdmi->encoder;
--      bool debug_dump_regs = false;
-       bool hsync_pos = mode->flags & DRM_MODE_FLAG_PHSYNC;
-       bool vsync_pos = mode->flags & DRM_MODE_FLAG_PVSYNC;
-       bool interlaced = mode->flags & DRM_MODE_FLAG_INTERLACE;
-@@ -395,6 +392,41 @@ static void vc4_hdmi_encoder_enable(stru
-                                       mode->crtc_vsync_end -
-                                       interlaced,
-                                       VC4_HDMI_VERTB_VBP));
-+
-+      HDMI_WRITE(HDMI_HORZA,
-+                 (vsync_pos ? VC4_HDMI_HORZA_VPOS : 0) |
-+                 (hsync_pos ? VC4_HDMI_HORZA_HPOS : 0) |
-+                 VC4_SET_FIELD(mode->hdisplay * pixel_rep,
-+                               VC4_HDMI_HORZA_HAP));
-+
-+      HDMI_WRITE(HDMI_HORZB,
-+                 VC4_SET_FIELD((mode->htotal -
-+                                mode->hsync_end) * pixel_rep,
-+                               VC4_HDMI_HORZB_HBP) |
-+                 VC4_SET_FIELD((mode->hsync_end -
-+                                mode->hsync_start) * pixel_rep,
-+                               VC4_HDMI_HORZB_HSP) |
-+                 VC4_SET_FIELD((mode->hsync_start -
-+                                mode->hdisplay) * pixel_rep,
-+                               VC4_HDMI_HORZB_HFP));
-+
-+      HDMI_WRITE(HDMI_VERTA0, verta);
-+      HDMI_WRITE(HDMI_VERTA1, verta);
-+
-+      HDMI_WRITE(HDMI_VERTB0, vertb_even);
-+      HDMI_WRITE(HDMI_VERTB1, vertb);
-+
-+      HDMI_WRITE(HDMI_VID_CTL,
-+               (vsync_pos ? 0 : VC4_HD_VID_CTL_VSYNC_LOW) |
-+               (hsync_pos ? 0 : VC4_HD_VID_CTL_HSYNC_LOW));
-+}
-+
-+static void vc4_hdmi_encoder_enable(struct drm_encoder *encoder)
-+{
-+      struct drm_display_mode *mode = &encoder->crtc->state->adjusted_mode;
-+      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-+      struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
-+      bool debug_dump_regs = false;
-       int ret;
-       ret = pm_runtime_get_sync(&vc4_hdmi->pdev->dev);
-@@ -438,32 +470,8 @@ static void vc4_hdmi_encoder_enable(stru
-                  VC4_HDMI_SCHEDULER_CONTROL_MANUAL_FORMAT |
-                  VC4_HDMI_SCHEDULER_CONTROL_IGNORE_VSYNC_PREDICTS);
--      HDMI_WRITE(HDMI_HORZA,
--                 (vsync_pos ? VC4_HDMI_HORZA_VPOS : 0) |
--                 (hsync_pos ? VC4_HDMI_HORZA_HPOS : 0) |
--                 VC4_SET_FIELD(mode->hdisplay * pixel_rep,
--                               VC4_HDMI_HORZA_HAP));
--
--      HDMI_WRITE(HDMI_HORZB,
--                 VC4_SET_FIELD((mode->htotal -
--                                mode->hsync_end) * pixel_rep,
--                               VC4_HDMI_HORZB_HBP) |
--                 VC4_SET_FIELD((mode->hsync_end -
--                                mode->hsync_start) * pixel_rep,
--                               VC4_HDMI_HORZB_HSP) |
--                 VC4_SET_FIELD((mode->hsync_start -
--                                mode->hdisplay) * pixel_rep,
--                               VC4_HDMI_HORZB_HFP));
--
--      HDMI_WRITE(HDMI_VERTA0, verta);
--      HDMI_WRITE(HDMI_VERTA1, verta);
--
--      HDMI_WRITE(HDMI_VERTB0, vertb_even);
--      HDMI_WRITE(HDMI_VERTB1, vertb);
--
--      HDMI_WRITE(HDMI_VID_CTL,
--               (vsync_pos ? 0 : VC4_HD_VID_CTL_VSYNC_LOW) |
--               (hsync_pos ? 0 : VC4_HD_VID_CTL_HSYNC_LOW));
-+      if (vc4_hdmi->variant->set_timings)
-+              vc4_hdmi->variant->set_timings(vc4_hdmi, mode);
-       if (vc4_encoder->hdmi_monitor &&
-           drm_default_rgb_quant_range(mode) == HDMI_QUANTIZATION_RANGE_LIMITED) {
-@@ -1441,6 +1449,7 @@ static const struct vc4_hdmi_variant bcm
-       .init_resources         = vc4_hdmi_init_resources,
-       .csc_setup              = vc4_hdmi_csc_setup,
-       .reset                  = vc4_hdmi_reset,
-+      .set_timings            = vc4_hdmi_set_timings,
-       .phy_init               = vc4_hdmi_phy_init,
-       .phy_disable            = vc4_hdmi_phy_disable,
-       .phy_rng_enable         = vc4_hdmi_phy_rng_enable,
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -44,6 +44,10 @@ struct vc4_hdmi_variant {
-       /* Callback to enable / disable the CSC */
-       void (*csc_setup)(struct vc4_hdmi *vc4_hdmi, bool enable);
-+      /* Callback to configure the video timings in the HDMI block */
-+      void (*set_timings)(struct vc4_hdmi *vc4_hdmi,
-+                          struct drm_display_mode *mode);
-+
-       /* Callback to initialize the PHY according to the mode */
-       void (*phy_init)(struct vc4_hdmi *vc4_hdmi,
-                        struct drm_display_mode *mode);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0586-drm-vc4-hdmi-Remove-unused-CEC_CLOCK_DIV-define.patch b/target/linux/bcm27xx/patches-5.4/950-0586-drm-vc4-hdmi-Remove-unused-CEC_CLOCK_DIV-define.patch
new file mode 100644 (file)
index 0000000..4befec6
--- /dev/null
@@ -0,0 +1,23 @@
+From a1a87ba39e7fad93cbbb5ea178a12d0c669a9812 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 10 Feb 2020 15:15:47 +0100
+Subject: [PATCH] drm/vc4: hdmi: Remove unused CEC_CLOCK_DIV define
+
+The CEC_CLOCK_DIV define is not used anywhere in the driver, let's remove
+it.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 1 -
+ 1 file changed, 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -54,7 +54,6 @@
+ #define HSM_CLOCK_FREQ 163682864
+ #define CEC_CLOCK_FREQ 40000
+-#define CEC_CLOCK_DIV  (HSM_CLOCK_FREQ / CEC_CLOCK_FREQ)
+ static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
+ {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0587-drm-vc4-hdmi-Add-HDMI-ID.patch b/target/linux/bcm27xx/patches-5.4/950-0587-drm-vc4-hdmi-Add-HDMI-ID.patch
deleted file mode 100644 (file)
index b5d9c7b..0000000
+++ /dev/null
@@ -1,46 +0,0 @@
-From 84c1a6034e361078d540c9b3bc672ccae623dc03 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Tue, 7 Jan 2020 13:14:07 +0100
-Subject: [PATCH] drm/vc4: hdmi: Add HDMI ID
-
-Some operations will need us to have the raw ID of the HDMI controller
-in the BCM2711, such as the encoder type to register, the name of the
-debugfs files, etc.
-
-Let's add it to our variant structure.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 3 +--
- drivers/gpu/drm/vc4/vc4_hdmi.h | 5 +++++
- 2 files changed, 6 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1268,11 +1268,10 @@ static int vc4_hdmi_bind(struct device *
-       vc4_hdmi = devm_kzalloc(dev, sizeof(*vc4_hdmi), GFP_KERNEL);
-       if (!vc4_hdmi)
-               return -ENOMEM;
--
-       vc4_hdmi->pdev = pdev;
-       variant = of_device_get_match_data(dev);
-       vc4_hdmi->variant = variant;
--      vc4_hdmi->encoder.base.type = VC4_ENCODER_TYPE_HDMI0;
-+      vc4_hdmi->encoder.base.type = variant->id ? VC4_ENCODER_TYPE_HDMI1 : VC4_ENCODER_TYPE_HDMI0;
-       encoder = &vc4_hdmi->encoder.base.base;
-       ret = variant->init_resources(vc4_hdmi);
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -27,6 +27,11 @@ struct vc4_hdmi;
- struct vc4_hdmi_register;
- struct vc4_hdmi_variant {
-+      /* On devices that have multiple, different instances (like
-+       * the BCM2711), which instance is that variant useful for.
-+       */
-+      unsigned int id;
-+
-       /* List of the registers available on that variant */
-       const struct vc4_hdmi_register *registers;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0587-drm-vc4-hdmi-Rename-drm_encoder-pointer-in-mode_vali.patch b/target/linux/bcm27xx/patches-5.4/950-0587-drm-vc4-hdmi-Rename-drm_encoder-pointer-in-mode_vali.patch
new file mode 100644 (file)
index 0000000..5c0ab97
--- /dev/null
@@ -0,0 +1,26 @@
+From dfc6e670144207251dc0902d1756bc89ef6cd1dc Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 13 Feb 2020 12:31:09 +0100
+Subject: [PATCH] drm/vc4: hdmi: Rename drm_encoder pointer in
+ mode_valid
+
+The mode_valid hook on the encoder uses a pointer to a drm_encoder called
+crtc, which is pretty confusing. Let's rename it to encoder to make it
+clear what it is.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -559,7 +559,7 @@ static void vc4_hdmi_encoder_enable(stru
+ }
+ static enum drm_mode_status
+-vc4_hdmi_encoder_mode_valid(struct drm_encoder *crtc,
++vc4_hdmi_encoder_mode_valid(struct drm_encoder *encoder,
+                           const struct drm_display_mode *mode)
+ {
+       /*
diff --git a/target/linux/bcm27xx/patches-5.4/950-0588-drm-vc4-hdmi-Adjust-HSM-clock-rate-depending-on-pixe.patch b/target/linux/bcm27xx/patches-5.4/950-0588-drm-vc4-hdmi-Adjust-HSM-clock-rate-depending-on-pixe.patch
new file mode 100644 (file)
index 0000000..5b836f8
--- /dev/null
@@ -0,0 +1,163 @@
+From 3c33724058852d7c58d77d03e11ca545fb04256a Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Mon, 10 Feb 2020 15:23:06 +0100
+Subject: [PATCH] drm/vc4: hdmi: Adjust HSM clock rate depending on
+ pixel rate
+
+The HSM clock needs to be setup at around 110% of the pixel rate. This
+was done previously by setting the clock rate to 148.5MHz * 108% at
+probe time and only check in mode_valid whether the mode pixel clock was
+under 148.5MHz or not.
+
+However, with 4k we need to change that frequency to a higher frequency
+than 148.5MHz.
+
+Let's change that logic a bit by setting the clock rate of the HSM clock
+to the pixel rate at encoder_enable time. This would work for the
+BCM2711 that support 4k resolutions and has a clock that can provide it,
+but we still have to take care of a 4k panel plugged on a BCM283x SoCs
+that wouldn't be able to use those modes, so let's define the limit in
+the variant.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 51 +++++++++++++++++-----------------
+ drivers/gpu/drm/vc4/vc4_hdmi.h |  3 ++
+ 2 files changed, 29 insertions(+), 25 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -52,7 +52,6 @@
+ #include "vc4_hdmi_regs.h"
+ #include "vc4_regs.h"
+-#define HSM_CLOCK_FREQ 163682864
+ #define CEC_CLOCK_FREQ 40000
+ static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
+@@ -329,6 +328,7 @@ static void vc4_hdmi_encoder_disable(str
+       HDMI_WRITE(HDMI_VID_CTL,
+                  HDMI_READ(HDMI_VID_CTL) & ~VC4_HD_VID_CTL_ENABLE);
++      clk_disable_unprepare(vc4_hdmi->hsm_clock);
+       clk_disable_unprepare(vc4_hdmi->pixel_clock);
+       ret = pm_runtime_put(&vc4_hdmi->pdev->dev);
+@@ -426,6 +426,7 @@ static void vc4_hdmi_encoder_enable(stru
+       struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
+       struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
+       bool debug_dump_regs = false;
++      unsigned long pixel_rate, hsm_rate;
+       int ret;
+       ret = pm_runtime_get_sync(&vc4_hdmi->pdev->dev);
+@@ -434,9 +435,8 @@ static void vc4_hdmi_encoder_enable(stru
+               return;
+       }
+-      ret = clk_set_rate(vc4_hdmi->pixel_clock,
+-                         mode->clock * 1000 *
+-                         ((mode->flags & DRM_MODE_FLAG_DBLCLK) ? 2 : 1));
++      pixel_rate = mode->clock * 1000 * ((mode->flags & DRM_MODE_FLAG_DBLCLK) ? 2 : 1);
++      ret = clk_set_rate(vc4_hdmi->pixel_clock, pixel_rate);
+       if (ret) {
+               DRM_ERROR("Failed to set pixel clock rate: %d\n", ret);
+               return;
+@@ -448,6 +448,24 @@ static void vc4_hdmi_encoder_enable(stru
+               return;
+       }
++      /*
++       * The HSM rate needs to be at 108% of the pixel clock, with a
++       * minimum of 108MHz.
++       */
++      hsm_rate = max_t(unsigned long, 108000000, (pixel_rate / 100) * 108);
++      ret = clk_set_rate(vc4_hdmi->hsm_clock, hsm_rate);
++      if (ret) {
++              DRM_ERROR("Failed to set HSM clock rate: %d\n", ret);
++              return;
++      }
++
++      ret = clk_prepare_enable(vc4_hdmi->hsm_clock);
++      if (ret) {
++              DRM_ERROR("Failed to turn on HSM clock: %d\n", ret);
++              clk_disable_unprepare(vc4_hdmi->pixel_clock);
++              return;
++      }
++
+       if (vc4_hdmi->variant->reset)
+               vc4_hdmi->variant->reset(vc4_hdmi);
+@@ -578,7 +596,9 @@ vc4_hdmi_encoder_mode_valid(struct drm_e
+        * Additionally, the AXI clock needs to be at least 25% of
+        * pixel clock, but HSM ends up being the limiting factor.
+        */
+-      if (mode->clock > HSM_CLOCK_FREQ / (1000 * 101 / 100))
++      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
++
++      if ((mode->clock * 1000) > vc4_hdmi->variant->max_pixel_clock)
+               return MODE_CLOCK_HIGH;
+       return MODE_OK;
+@@ -1354,23 +1374,6 @@ static int vc4_hdmi_bind(struct device *
+               return -EPROBE_DEFER;
+       }
+-      /* This is the rate that is set by the firmware.  The number
+-       * needs to be a bit higher than the pixel clock rate
+-       * (generally 148.5Mhz).
+-       */
+-      ret = clk_set_rate(vc4_hdmi->hsm_clock, HSM_CLOCK_FREQ);
+-      if (ret) {
+-              DRM_ERROR("Failed to set HSM clock rate: %d\n", ret);
+-              goto err_put_i2c;
+-      }
+-
+-      ret = clk_prepare_enable(vc4_hdmi->hsm_clock);
+-      if (ret) {
+-              DRM_ERROR("Failed to turn on HDMI state machine clock: %d\n",
+-                        ret);
+-              goto err_put_i2c;
+-      }
+-
+       /* Only use the GPIO HPD pin if present in the DT, otherwise
+        * we'll use the HDMI core's register.
+        */
+@@ -1428,9 +1431,7 @@ err_destroy_conn:
+ err_destroy_encoder:
+       vc4_hdmi_encoder_destroy(encoder);
+ err_unprepare_hsm:
+-      clk_disable_unprepare(vc4_hdmi->hsm_clock);
+       pm_runtime_disable(dev);
+-err_put_i2c:
+       put_device(&vc4_hdmi->ddc->dev);
+       return ret;
+@@ -1453,7 +1454,6 @@ static void vc4_hdmi_unbind(struct devic
+       vc4_hdmi_connector_destroy(&vc4_hdmi->connector);
+       vc4_hdmi_encoder_destroy(&vc4_hdmi->encoder.base.base);
+-      clk_disable_unprepare(vc4_hdmi->hsm_clock);
+       pm_runtime_disable(dev);
+       put_device(&vc4_hdmi->ddc->dev);
+@@ -1476,6 +1476,7 @@ static int vc4_hdmi_dev_remove(struct pl
+ }
+ static const struct vc4_hdmi_variant bcm2835_variant = {
++      .max_pixel_clock        = 148500000,
+       .audio_available        = true,
+       .cec_available          = true,
+       .registers              = vc4_hdmi_fields,
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -38,6 +38,9 @@ struct vc4_hdmi_variant {
+       /* Set to true when the CEC support is available */
+       bool cec_available;
++      /* Maximum pixel clock supported by the controller (in Hz) */
++      unsigned long long max_pixel_clock;
++
+       /* List of the registers available on that variant */
+       const struct vc4_hdmi_register *registers;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0588-drm-vc4-hdmi-Deal-with-multiple-debugfs-files.patch b/target/linux/bcm27xx/patches-5.4/950-0588-drm-vc4-hdmi-Deal-with-multiple-debugfs-files.patch
deleted file mode 100644 (file)
index e25b18a..0000000
+++ /dev/null
@@ -1,33 +0,0 @@
-From a1f24e24c065b91f833e3f546c1507f69fb04bc7 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 16 Jan 2020 14:27:56 +0100
-Subject: [PATCH] drm/vc4: hdmi: Deal with multiple debugfs files
-
-The HDMI driver was registering a single debugfs file so far with the name
-hdmi_regs.
-
-Obviously, this is not going to work anymore when will have multiple HDMI
-controllers since we will end up trying to register two files with the same
-name.
-
-Let's use the ID to avoid that name conflict.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 5 ++++-
- 1 file changed, 4 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1381,7 +1381,10 @@ static int vc4_hdmi_bind(struct device *
-       if (ret)
-               goto err_destroy_encoder;
--      vc4_debugfs_add_file(drm, "hdmi_regs", vc4_hdmi_debugfs_regs, vc4_hdmi);
-+      vc4_debugfs_add_file(drm,
-+                           variant->id ? "hdmi1_regs" : "hdmi_regs",
-+                           vc4_hdmi_debugfs_regs,
-+                           vc4_hdmi);
-       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0589-drm-vc4-hdmi-Add-an-audio-support-flag.patch b/target/linux/bcm27xx/patches-5.4/950-0589-drm-vc4-hdmi-Add-an-audio-support-flag.patch
deleted file mode 100644 (file)
index 9c82407..0000000
+++ /dev/null
@@ -1,47 +0,0 @@
-From 6154f7383e2defe48eea7fddb6ce646a0069828b Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 6 Feb 2020 16:21:45 +0100
-Subject: [PATCH] drm/vc4: hdmi: Add an audio support flag
-
-The BCM2711 audio support doesn't work yet, so let's add a boolean to
-indicate whether or not it's supported, and only register a sound card if
-that boolean is set.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 4 ++++
- drivers/gpu/drm/vc4/vc4_hdmi.h | 3 +++
- 2 files changed, 7 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -944,6 +944,9 @@ static int vc4_hdmi_audio_init(struct vc
-       int ret;
-       int len;
-+      if (!vc4_hdmi->variant->audio_available)
-+              return 0;
-+
-       if (!of_find_property(dev->of_node, "dmas", &len) ||
-           len == 0) {
-               dev_warn(dev,
-@@ -1445,6 +1448,7 @@ static int vc4_hdmi_dev_remove(struct pl
- }
- static const struct vc4_hdmi_variant bcm2835_variant = {
-+      .audio_available        = true,
-       .registers              = vc4_hdmi_fields,
-       .num_registers          = ARRAY_SIZE(vc4_hdmi_fields),
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -32,6 +32,9 @@ struct vc4_hdmi_variant {
-        */
-       unsigned int id;
-+      /* Set to true when the audio support is available */
-+      bool audio_available;
-+
-       /* List of the registers available on that variant */
-       const struct vc4_hdmi_register *registers;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0589-drm-vc4-hdmi-Support-the-BCM2711-HDMI-controllers.patch b/target/linux/bcm27xx/patches-5.4/950-0589-drm-vc4-hdmi-Support-the-BCM2711-HDMI-controllers.patch
new file mode 100644 (file)
index 0000000..8a27722
--- /dev/null
@@ -0,0 +1,1131 @@
+From d0931317c51f14bf65af65e7c3f2df6bb26d7c97 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 17 Dec 2019 11:48:37 +0100
+Subject: [PATCH] drm/vc4: hdmi: Support the BCM2711 HDMI controllers
+
+Now that the driver is ready for it, let's bring in the HDMI controllers
+variants for the BCM2711.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c      | 254 +++++++++++++++
+ drivers/gpu/drm/vc4/vc4_hdmi.h      |  35 +++
+ drivers/gpu/drm/vc4/vc4_hdmi_phy.c  | 469 ++++++++++++++++++++++++++++
+ drivers/gpu/drm/vc4/vc4_hdmi_regs.h | 201 ++++++++++++
+ 4 files changed, 959 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -42,6 +42,7 @@
+ #include <linux/of_platform.h>
+ #include <linux/pm_runtime.h>
+ #include <linux/rational.h>
++#include <linux/reset.h>
+ #include <sound/dmaengine_pcm.h>
+ #include <sound/pcm_drm_eld.h>
+ #include <sound/pcm_params.h>
+@@ -52,6 +53,31 @@
+ #include "vc4_hdmi_regs.h"
+ #include "vc4_regs.h"
++#define VC5_HDMI_HORZA_HFP_SHIFT              16
++#define VC5_HDMI_HORZA_HFP_MASK                       VC4_MASK(28, 16)
++#define VC5_HDMI_HORZA_VPOS                   BIT(15)
++#define VC5_HDMI_HORZA_HPOS                   BIT(14)
++#define VC5_HDMI_HORZA_HAP_SHIFT              0
++#define VC5_HDMI_HORZA_HAP_MASK                       VC4_MASK(13, 0)
++
++#define VC5_HDMI_HORZB_HBP_SHIFT              16
++#define VC5_HDMI_HORZB_HBP_MASK                       VC4_MASK(26, 16)
++#define VC5_HDMI_HORZB_HSP_SHIFT              0
++#define VC5_HDMI_HORZB_HSP_MASK                       VC4_MASK(10, 0)
++
++#define VC5_HDMI_VERTA_VSP_SHIFT              24
++#define VC5_HDMI_VERTA_VSP_MASK                       VC4_MASK(28, 24)
++#define VC5_HDMI_VERTA_VFP_SHIFT              16
++#define VC5_HDMI_VERTA_VFP_MASK                       VC4_MASK(22, 16)
++#define VC5_HDMI_VERTA_VAL_SHIFT              0
++#define VC5_HDMI_VERTA_VAL_MASK                       VC4_MASK(12, 0)
++
++#define VC5_HDMI_VERTB_VSPO_SHIFT             16
++#define VC5_HDMI_VERTB_VSPO_MASK              VC4_MASK(29, 16)
++
++# define VC4_HD_M_SW_RST                      BIT(2)
++# define VC4_HD_M_ENABLE                      BIT(0)
++
+ #define CEC_CLOCK_FREQ 40000
+ static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
+@@ -75,6 +101,13 @@ static void vc4_hdmi_reset(struct vc4_hd
+       HDMI_WRITE(HDMI_SW_RESET_CONTROL, 0);
+ }
++static void vc5_hdmi_reset(struct vc4_hdmi *vc4_hdmi)
++{
++      reset_control_reset(vc4_hdmi->reset);
++
++      HDMI_WRITE(HDMI_DVP_CTL, 0);
++}
++
+ static enum drm_connector_status
+ vc4_hdmi_connector_detect(struct drm_connector *connector, bool force)
+ {
+@@ -371,6 +404,45 @@ static void vc4_hdmi_csc_setup(struct vc
+       HDMI_WRITE(HDMI_CSC_CTL, csc_ctl);
+ }
++static void vc5_hdmi_csc_setup(struct vc4_hdmi *vc4_hdmi, bool enable)
++{
++      u32 csc_ctl;
++
++      csc_ctl = 0x07; /* RGB_CONVERT_MODE = custom matrix, || USE_RGB_TO_YCBCR */
++
++      if (enable) {
++              /* CEA VICs other than #1 requre limited range RGB
++               * output unless overridden by an AVI infoframe.
++               * Apply a colorspace conversion to squash 0-255 down
++               * to 16-235.  The matrix here is:
++               *
++               * [ 0.8594 0      0      16]
++               * [ 0      0.8594 0      16]
++               * [ 0      0      0.8594 16]
++               * [ 0      0      0       1]
++               * Matrix is signed 2p13 fixed point, with signed 9p6 offsets
++               */
++              HDMI_WRITE(HDMI_CSC_12_11, (0x0000 << 16) | 0x1b80);
++              HDMI_WRITE(HDMI_CSC_14_13, (0x0400 << 16) | 0x0000);
++              HDMI_WRITE(HDMI_CSC_22_21, (0x1b80 << 16) | 0x0000);
++              HDMI_WRITE(HDMI_CSC_24_23, (0x0400 << 16) | 0x0000);
++              HDMI_WRITE(HDMI_CSC_32_31, (0x0000 << 16) | 0x0000);
++              HDMI_WRITE(HDMI_CSC_34_33, (0x0400 << 16) | 0x1b80);
++      } else {
++              /* Still use the matrix for full range, but make it unity.
++               * Matrix is signed 2p13 fixed point, with signed 9p6 offsets
++               */
++              HDMI_WRITE(HDMI_CSC_12_11, (0x0000 << 16) | 0x2000);
++              HDMI_WRITE(HDMI_CSC_14_13, (0x0000 << 16) | 0x0000);
++              HDMI_WRITE(HDMI_CSC_22_21, (0x2000 << 16) | 0x0000);
++              HDMI_WRITE(HDMI_CSC_24_23, (0x0000 << 16) | 0x0000);
++              HDMI_WRITE(HDMI_CSC_32_31, (0x0000 << 16) | 0x0000);
++              HDMI_WRITE(HDMI_CSC_34_33, (0x0000 << 16) | 0x2000);
++      }
++
++      HDMI_WRITE(HDMI_CSC_CTL, csc_ctl);
++}
++
+ static void vc4_hdmi_set_timings(struct vc4_hdmi *vc4_hdmi,
+                                struct drm_display_mode *mode)
+ {
+@@ -420,6 +492,58 @@ static void vc4_hdmi_set_timings(struct
+                (hsync_pos ? 0 : VC4_HD_VID_CTL_HSYNC_LOW));
+ }
++static void vc5_hdmi_set_timings(struct vc4_hdmi *vc4_hdmi,
++                               struct drm_display_mode *mode)
++{
++      bool hsync_pos = mode->flags & DRM_MODE_FLAG_PHSYNC;
++      bool vsync_pos = mode->flags & DRM_MODE_FLAG_PVSYNC;
++      bool interlaced = mode->flags & DRM_MODE_FLAG_INTERLACE;
++      u32 pixel_rep = (mode->flags & DRM_MODE_FLAG_DBLCLK) ? 2 : 1;
++      u32 verta = (VC4_SET_FIELD(mode->crtc_vsync_end - mode->crtc_vsync_start,
++                                 VC5_HDMI_VERTA_VSP) |
++                   VC4_SET_FIELD(mode->crtc_vsync_start - mode->crtc_vdisplay,
++                                 VC5_HDMI_VERTA_VFP) |
++                   VC4_SET_FIELD(mode->crtc_vdisplay, VC5_HDMI_VERTA_VAL));
++      u32 vertb = (VC4_SET_FIELD(0, VC5_HDMI_VERTB_VSPO) |
++                   VC4_SET_FIELD(mode->crtc_vtotal - mode->crtc_vsync_end,
++                                 VC4_HDMI_VERTB_VBP));
++      u32 vertb_even = (VC4_SET_FIELD(0, VC5_HDMI_VERTB_VSPO) |
++                        VC4_SET_FIELD(mode->crtc_vtotal -
++                                      mode->crtc_vsync_end -
++                                      interlaced,
++                                      VC4_HDMI_VERTB_VBP));
++
++      HDMI_WRITE(HDMI_VEC_INTERFACE_XBAR, 0x354021);
++      HDMI_WRITE(HDMI_HORZA,
++                 (vsync_pos ? VC5_HDMI_HORZA_VPOS : 0) |
++                 (hsync_pos ? VC5_HDMI_HORZA_HPOS : 0) |
++                 VC4_SET_FIELD(mode->hdisplay * pixel_rep,
++                               VC5_HDMI_HORZA_HAP) |
++                 VC4_SET_FIELD((mode->hsync_start -
++                                mode->hdisplay) * pixel_rep,
++                               VC5_HDMI_HORZA_HFP));
++
++      HDMI_WRITE(HDMI_HORZB,
++                 VC4_SET_FIELD((mode->htotal -
++                                mode->hsync_end) * pixel_rep,
++                               VC5_HDMI_HORZB_HBP) |
++                 VC4_SET_FIELD((mode->hsync_end -
++                                mode->hsync_start) * pixel_rep,
++                               VC5_HDMI_HORZB_HSP));
++
++      HDMI_WRITE(HDMI_VERTA0, verta);
++      HDMI_WRITE(HDMI_VERTA1, verta);
++
++      HDMI_WRITE(HDMI_VERTB0, vertb_even);
++      HDMI_WRITE(HDMI_VERTB1, vertb);
++
++      HDMI_WRITE(HDMI_VID_CTL,
++               (vsync_pos ? 0 : VC4_HD_VID_CTL_VSYNC_LOW) |
++               (hsync_pos ? 0 : VC4_HD_VID_CTL_HSYNC_LOW));
++
++      HDMI_WRITE(HDMI_CLOCK_STOP, 0);
++}
++
+ static void vc4_hdmi_encoder_enable(struct drm_encoder *encoder)
+ {
+       struct drm_display_mode *mode = &encoder->crtc->state->adjusted_mode;
+@@ -1337,6 +1461,92 @@ static int vc4_hdmi_init_resources(struc
+       return 0;
+ }
++static int vc5_hdmi_init_resources(struct vc4_hdmi *vc4_hdmi)
++{
++      struct platform_device *pdev = vc4_hdmi->pdev;
++      struct device *dev = &pdev->dev;
++      struct resource *res;
++
++      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "hdmi");
++      if (!res)
++              return -ENODEV;
++
++      vc4_hdmi->hdmicore_regs = devm_ioremap(dev, res->start,
++                                             resource_size(res));
++      if (IS_ERR(vc4_hdmi->hdmicore_regs))
++              return PTR_ERR(vc4_hdmi->hdmicore_regs);
++
++      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "hd");
++      if (!res)
++              return -ENODEV;
++
++      vc4_hdmi->hd_regs = devm_ioremap(dev, res->start, resource_size(res));
++      if (IS_ERR(vc4_hdmi->hd_regs))
++              return PTR_ERR(vc4_hdmi->hd_regs);
++
++      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "cec");
++      if (!res)
++              return -ENODEV;
++
++      vc4_hdmi->cec_regs = devm_ioremap(dev, res->start, resource_size(res));
++      if (IS_ERR(vc4_hdmi->cec_regs))
++              return PTR_ERR(vc4_hdmi->cec_regs);
++
++      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "csc");
++      if (!res)
++              return -ENODEV;
++
++      vc4_hdmi->csc_regs = devm_ioremap(dev, res->start, resource_size(res));
++      if (IS_ERR(vc4_hdmi->csc_regs))
++              return PTR_ERR(vc4_hdmi->csc_regs);
++
++      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "dvp");
++      if (!res)
++              return -ENODEV;
++
++      vc4_hdmi->dvp_regs = devm_ioremap(dev, res->start, resource_size(res));
++      if (IS_ERR(vc4_hdmi->dvp_regs))
++              return PTR_ERR(vc4_hdmi->dvp_regs);
++
++      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "phy");
++      if (!res)
++              return -ENODEV;
++
++      vc4_hdmi->phy_regs = devm_ioremap(dev, res->start, resource_size(res));
++      if (IS_ERR(vc4_hdmi->phy_regs))
++              return PTR_ERR(vc4_hdmi->phy_regs);
++
++      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "packet");
++      if (!res)
++              return -ENODEV;
++
++      vc4_hdmi->ram_regs = devm_ioremap(dev, res->start, resource_size(res));
++      if (IS_ERR(vc4_hdmi->ram_regs))
++              return PTR_ERR(vc4_hdmi->ram_regs);
++
++      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "rm");
++      if (!res)
++              return -ENODEV;
++
++      vc4_hdmi->rm_regs = devm_ioremap(dev, res->start, resource_size(res));
++      if (IS_ERR(vc4_hdmi->rm_regs))
++              return PTR_ERR(vc4_hdmi->rm_regs);
++
++      vc4_hdmi->hsm_clock = devm_clk_get(dev, "hdmi");
++      if (IS_ERR(vc4_hdmi->hsm_clock)) {
++              DRM_ERROR("Failed to get HDMI state machine clock\n");
++              return PTR_ERR(vc4_hdmi->hsm_clock);
++      }
++
++      vc4_hdmi->reset = devm_reset_control_get(dev, NULL);
++      if (IS_ERR(vc4_hdmi->reset)) {
++              DRM_ERROR("Failed to get HDMI reset line\n");
++              return PTR_ERR(vc4_hdmi->reset);
++      }
++
++      return 0;
++}
++
+ static int vc4_hdmi_bind(struct device *dev, struct device *master, void *data)
+ {
+       struct platform_device *pdev = to_platform_device(dev);
+@@ -1492,8 +1702,52 @@ static const struct vc4_hdmi_variant bcm
+       .phy_rng_disable        = vc4_hdmi_phy_rng_disable,
+ };
++static const struct vc4_hdmi_variant bcm2711_hdmi0_variant = {
++      .id                     = 0,
++      .max_pixel_clock        = 297000000,
++      .registers              = vc5_hdmi_hdmi0_fields,
++      .num_registers          = ARRAY_SIZE(vc5_hdmi_hdmi0_fields),
++      .phy_lane_mapping       = {
++              PHY_LANE_0,
++              PHY_LANE_1,
++              PHY_LANE_2,
++              PHY_LANE_CK,
++      },
++
++      .init_resources         = vc5_hdmi_init_resources,
++      .csc_setup              = vc5_hdmi_csc_setup,
++      .reset                  = vc5_hdmi_reset,
++      .set_timings            = vc5_hdmi_set_timings,
++      .phy_init               = vc5_hdmi_phy_init,
++      .phy_rng_enable         = vc5_hdmi_phy_rng_enable,
++      .phy_rng_disable        = vc5_hdmi_phy_rng_disable,
++};
++
++static const struct vc4_hdmi_variant bcm2711_hdmi1_variant = {
++      .id                     = 1,
++      .max_pixel_clock        = 297000000,
++      .registers              = vc5_hdmi_hdmi1_fields,
++      .num_registers          = ARRAY_SIZE(vc5_hdmi_hdmi1_fields),
++      .phy_lane_mapping       = {
++              PHY_LANE_1,
++              PHY_LANE_0,
++              PHY_LANE_CK,
++              PHY_LANE_2,
++      },
++
++      .init_resources         = vc5_hdmi_init_resources,
++      .csc_setup              = vc5_hdmi_csc_setup,
++      .reset                  = vc5_hdmi_reset,
++      .set_timings            = vc5_hdmi_set_timings,
++      .phy_init               = vc5_hdmi_phy_init,
++      .phy_rng_enable         = vc5_hdmi_phy_rng_enable,
++      .phy_rng_disable        = vc5_hdmi_phy_rng_disable,
++};
++
+ static const struct of_device_id vc4_hdmi_dt_match[] = {
+       { .compatible = "brcm,bcm2835-hdmi", .data = &bcm2835_variant },
++      { .compatible = "brcm,bcm2711-hdmi0", .data = &bcm2711_hdmi0_variant },
++      { .compatible = "brcm,bcm2711-hdmi1", .data = &bcm2711_hdmi1_variant },
+       {}
+ };
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -26,6 +26,13 @@ struct drm_display_mode;
+ struct vc4_hdmi;
+ struct vc4_hdmi_register;
++enum vc4_hdmi_phy_channel {
++      PHY_LANE_0 = 0,
++      PHY_LANE_1,
++      PHY_LANE_2,
++      PHY_LANE_CK,
++};
++
+ struct vc4_hdmi_variant {
+       /* On devices that have multiple, different instances (like
+        * the BCM2711), which instance is that variant useful for.
+@@ -47,6 +54,13 @@ struct vc4_hdmi_variant {
+       /* Number of registers on that variant */
+       unsigned int num_registers;
++      /* BCM2711 Only.
++       * The variants don't map the lane in the same order in the
++       * PHY, so this is an array mapping the HDMI channel (index)
++       * to the PHY lane (value).
++       */
++      enum vc4_hdmi_phy_channel phy_lane_mapping[4];
++
+       /* Callback to get the resources (memory region, interrupts,
+        * clocks, etc) for that variant.
+        */
+@@ -102,6 +116,20 @@ struct vc4_hdmi {
+       struct i2c_adapter *ddc;
+       void __iomem *hdmicore_regs;
+       void __iomem *hd_regs;
++
++      /* VC5 Only */
++      void __iomem *cec_regs;
++      /* VC5 Only */
++      void __iomem *csc_regs;
++      /* VC5 Only */
++      void __iomem *dvp_regs;
++      /* VC5 Only */
++      void __iomem *phy_regs;
++      /* VC5 Only */
++      void __iomem *ram_regs;
++      /* VC5 Only */
++      void __iomem *rm_regs;
++
+       int hpd_gpio;
+       bool hpd_active_low;
+@@ -113,6 +141,8 @@ struct vc4_hdmi {
+       struct clk *pixel_clock;
+       struct clk *hsm_clock;
++      struct reset_control *reset;
++
+       struct debugfs_regset32 hdmi_regset;
+       struct debugfs_regset32 hd_regset;
+ };
+@@ -137,4 +167,9 @@ void vc4_hdmi_phy_disable(struct vc4_hdm
+ void vc4_hdmi_phy_rng_enable(struct vc4_hdmi *vc4_hdmi);
+ void vc4_hdmi_phy_rng_disable(struct vc4_hdmi *vc4_hdmi);
++void vc5_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi,
++                     struct drm_display_mode *mode);
++void vc5_hdmi_phy_rng_enable(struct vc4_hdmi *vc4_hdmi);
++void vc5_hdmi_phy_rng_disable(struct vc4_hdmi *vc4_hdmi);
++
+ #endif /* _VC4_HDMI_H_ */
+--- a/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
+@@ -10,6 +10,123 @@
+ #include "vc4_regs.h"
+ #include "vc4_hdmi_regs.h"
++#define VC4_HDMI_TX_PHY_RESET_CTL_PLL_RESETB  BIT(5)
++#define VC4_HDMI_TX_PHY_RESET_CTL_PLLDIV_RESETB       BIT(4)
++#define VC4_HDMI_TX_PHY_RESET_CTL_TX_CK_RESET BIT(3)
++#define VC4_HDMI_TX_PHY_RESET_CTL_TX_2_RESET  BIT(2)
++#define VC4_HDMI_TX_PHY_RESET_CTL_TX_1_RESET  BIT(1)
++#define VC4_HDMI_TX_PHY_RESET_CTL_TX_0_RESET  BIT(0)
++
++#define VC4_HDMI_TX_PHY_POWERDOWN_CTL_RNDGEN_PWRDN    BIT(4)
++
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_2_PREEMP_SHIFT   29
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_2_PREEMP_MASK    VC4_MASK(31, 29)
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_2_MAINDRV_SHIFT  24
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_2_MAINDRV_MASK   VC4_MASK(28, 24)
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_1_PREEMP_SHIFT   21
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_1_PREEMP_MASK    VC4_MASK(23, 21)
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_1_MAINDRV_SHIFT  16
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_1_MAINDRV_MASK   VC4_MASK(20, 16)
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_0_PREEMP_SHIFT   13
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_0_PREEMP_MASK    VC4_MASK(15, 13)
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_0_MAINDRV_SHIFT  8
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_0_MAINDRV_MASK   VC4_MASK(12, 8)
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_CK_PREEMP_SHIFT  5
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_CK_PREEMP_MASK   VC4_MASK(7, 5)
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_CK_MAINDRV_SHIFT 0
++#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_CK_MAINDRV_MASK  VC4_MASK(4, 0)
++
++#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA2_SHIFT     15
++#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA2_MASK      VC4_MASK(19, 15)
++#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA1_SHIFT     10
++#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA1_MASK      VC4_MASK(14, 10)
++#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA0_SHIFT     5
++#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA0_MASK      VC4_MASK(9, 5)
++#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_CK_SHIFT                0
++#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_CK_MASK         VC4_MASK(4, 0)
++
++#define VC4_HDMI_TX_PHY_CTL_2_VCO_GAIN_SHIFT          16
++#define VC4_HDMI_TX_PHY_CTL_2_VCO_GAIN_MASK           VC4_MASK(19, 16)
++#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA2_SHIFT 12
++#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA2_MASK  VC4_MASK(15, 12)
++#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA1_SHIFT 8
++#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA1_MASK  VC4_MASK(11, 8)
++#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA0_SHIFT 4
++#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA0_MASK  VC4_MASK(7, 4)
++#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELCK_SHIFT    0
++#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELCK_MASK     VC4_MASK(3, 0)
++
++#define VC4_HDMI_TX_PHY_CTL_3_RP_SHIFT                        17
++#define VC4_HDMI_TX_PHY_CTL_3_RP_MASK                 VC4_MASK(19, 17)
++#define VC4_HDMI_TX_PHY_CTL_3_RZ_SHIFT                        12
++#define VC4_HDMI_TX_PHY_CTL_3_RZ_MASK                 VC4_MASK(16, 12)
++#define VC4_HDMI_TX_PHY_CTL_3_CP1_SHIFT                       10
++#define VC4_HDMI_TX_PHY_CTL_3_CP1_MASK                        VC4_MASK(11, 10)
++#define VC4_HDMI_TX_PHY_CTL_3_CP_SHIFT                        8
++#define VC4_HDMI_TX_PHY_CTL_3_CP_MASK                 VC4_MASK(9, 8)
++#define VC4_HDMI_TX_PHY_CTL_3_CZ_SHIFT                        6
++#define VC4_HDMI_TX_PHY_CTL_3_CZ_MASK                 VC4_MASK(7, 6)
++#define VC4_HDMI_TX_PHY_CTL_3_ICP_SHIFT                       0
++#define VC4_HDMI_TX_PHY_CTL_3_ICP_MASK                        VC4_MASK(5, 0)
++
++#define VC4_HDMI_TX_PHY_PLL_CTL_0_MASH11_MODE         BIT(13)
++#define VC4_HDMI_TX_PHY_PLL_CTL_0_VC_RANGE_EN         BIT(12)
++#define VC4_HDMI_TX_PHY_PLL_CTL_0_EMULATE_VC_LOW      BIT(11)
++#define VC4_HDMI_TX_PHY_PLL_CTL_0_EMULATE_VC_HIGH     BIT(10)
++#define VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_SEL_SHIFT               9
++#define VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_SEL_MASK                VC4_MASK(9, 9)
++#define VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_FB_DIV2         BIT(8)
++#define VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_POST_DIV2               BIT(7)
++#define VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_CONT_EN         BIT(6)
++#define VC4_HDMI_TX_PHY_PLL_CTL_0_ENA_VCO_CLK         BIT(5)
++
++#define VC4_HDMI_TX_PHY_PLL_CTL_1_CPP_SHIFT                   16
++#define VC4_HDMI_TX_PHY_PLL_CTL_1_CPP_MASK                    VC4_MASK(27, 16)
++#define VC4_HDMI_TX_PHY_PLL_CTL_1_FREQ_DOUBLER_DELAY_SHIFT    14
++#define VC4_HDMI_TX_PHY_PLL_CTL_1_FREQ_DOUBLER_DELAY_MASK     VC4_MASK(15, 14)
++#define VC4_HDMI_TX_PHY_PLL_CTL_1_FREQ_DOUBLER_ENABLE         BIT(13)
++#define VC4_HDMI_TX_PHY_PLL_CTL_1_POST_RST_SEL_SHIFT          11
++#define VC4_HDMI_TX_PHY_PLL_CTL_1_POST_RST_SEL_MASK           VC4_MASK(12, 11)
++
++#define VC4_HDMI_TX_PHY_CLK_DIV_VCO_SHIFT             8
++#define VC4_HDMI_TX_PHY_CLK_DIV_VCO_MASK              VC4_MASK(15, 8)
++
++#define VC4_HDMI_TX_PHY_PLL_CFG_PDIV_SHIFT            0
++#define VC4_HDMI_TX_PHY_PLL_CFG_PDIV_MASK             VC4_MASK(3, 0)
++
++#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TXCK_OUT_SEL_MASK        VC4_MASK(13, 12)
++#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TXCK_OUT_SEL_SHIFT       12
++#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX2_OUT_SEL_MASK VC4_MASK(9, 8)
++#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX2_OUT_SEL_SHIFT        8
++#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX1_OUT_SEL_MASK VC4_MASK(5, 4)
++#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX1_OUT_SEL_SHIFT        4
++#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX0_OUT_SEL_MASK VC4_MASK(1, 0)
++#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX0_OUT_SEL_SHIFT        0
++
++#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1_MIN_LIMIT_MASK               VC4_MASK(27, 0)
++#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1_MIN_LIMIT_SHIFT      0
++
++#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2_MAX_LIMIT_MASK               VC4_MASK(27, 0)
++#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2_MAX_LIMIT_SHIFT      0
++
++#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4_STABLE_THRESHOLD_MASK        VC4_MASK(31, 16)
++#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4_STABLE_THRESHOLD_SHIFT       16
++#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4_HOLD_THRESHOLD_MASK  VC4_MASK(15, 0)
++#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4_HOLD_THRESHOLD_SHIFT 0
++
++#define VC4_HDMI_RM_CONTROL_EN_FREEZE_COUNTERS                BIT(19)
++#define VC4_HDMI_RM_CONTROL_EN_LOAD_INTEGRATOR                BIT(17)
++#define VC4_HDMI_RM_CONTROL_FREE_RUN                  BIT(4)
++
++#define VC4_HDMI_RM_OFFSET_ONLY                               BIT(31)
++#define VC4_HDMI_RM_OFFSET_OFFSET_SHIFT                       0
++#define VC4_HDMI_RM_OFFSET_OFFSET_MASK                        VC4_MASK(30, 0)
++
++#define VC4_HDMI_RM_FORMAT_SHIFT_SHIFT                        24
++#define VC4_HDMI_RM_FORMAT_SHIFT_MASK                 VC4_MASK(25, 24)
++
++#define OSCILLATOR_FREQUENCY  54000000
++
+ void vc4_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi, struct drm_display_mode *mode)
+ {
+       /* PHY should be in reset, like
+@@ -38,3 +155,355 @@ void vc4_hdmi_phy_rng_disable(struct vc4
+                  HDMI_READ(HDMI_TX_PHY_CTL_0) |
+                  VC4_HDMI_TX_PHY_RNG_PWRDN);
+ }
++
++static unsigned long long
++phy_get_vco_freq(unsigned long long clock, u8 *vco_sel, u8 *vco_div)
++{
++      unsigned long long vco_freq = clock;
++      unsigned int _vco_div = 0;
++      unsigned int _vco_sel = 0;
++
++      while (vco_freq < 3000000000ULL) {
++              _vco_div++;
++              vco_freq = clock * _vco_div * 10;
++      }
++
++      if (vco_freq > 4500000000ULL)
++              _vco_sel = 1;
++
++      *vco_sel = _vco_sel;
++      *vco_div = _vco_div;
++
++      return vco_freq;
++}
++
++static u8 phy_get_cp_current(unsigned long vco_freq)
++{
++      if (vco_freq < 3700000000ULL)
++              return 0x1c;
++
++      return 0xc8;
++}
++
++static u32 phy_get_rm_offset(unsigned long long vco_freq)
++{
++      unsigned long long fref = OSCILLATOR_FREQUENCY;
++      uint64_t offset = 0;
++
++      /* RM offset is stored as 9.22 format */
++      offset = vco_freq * 2;
++      do_div(offset, fref);
++      offset = offset << 22;
++      offset >>= 2;
++
++      return offset;
++}
++
++static u8 phy_get_vco_gain(unsigned long long vco_freq)
++{
++      if (vco_freq < 3350000000ULL)
++              return 0xf;
++
++      if (vco_freq < 3700000000ULL)
++              return 0xc;
++
++      if (vco_freq < 4050000000ULL)
++              return 0x6;
++
++      if (vco_freq < 4800000000ULL)
++              return 0x5;
++
++      if (vco_freq < 5200000000ULL)
++              return 0x7;
++
++      return 0x2;
++}
++
++struct phy_lane_settings {
++      struct {
++              u8 preemphasis;
++              u8 main_driver;
++      } amplitude;
++
++      u8 res_sel_data;
++      u8 term_res_sel_data;
++};
++
++struct phy_settings {
++   unsigned long long min_rate;
++   unsigned long long max_rate;
++   struct phy_lane_settings channel[3];
++   struct phy_lane_settings clock;
++};
++
++static const struct phy_settings vc5_hdmi_phy_settings[] =
++{
++      {
++              0, 50000000,
++              {
++                      {{0x0, 0x0A}, 0x12, 0x0},
++                      {{0x0, 0x0A}, 0x12, 0x0},
++                      {{0x0, 0x0A}, 0x12, 0x0}
++              },
++              {{0x0, 0x0A}, 0x18, 0x0},
++      },
++      {
++              50000001, 75000000,
++              {
++                      {{0x0, 0x09}, 0x12, 0x0},
++                      {{0x0, 0x09}, 0x12, 0x0},
++                      {{0x0, 0x09}, 0x12, 0x0}
++              },
++              {{0x0, 0x0C}, 0x18, 0x3},
++      },
++      {
++              75000001,   165000000,
++              {
++                      {{0x0, 0x09}, 0x12, 0x0},
++                      {{0x0, 0x09}, 0x12, 0x0},
++                      {{0x0, 0x09}, 0x12, 0x0}
++              },
++              {{0x0, 0x0C}, 0x18, 0x3},
++      },
++      {
++              165000001,  250000000,
++              {
++                      {{0x0, 0x0F}, 0x12, 0x1},
++                      {{0x0, 0x0F}, 0x12, 0x1},
++                      {{0x0, 0x0F}, 0x12, 0x1}
++              },
++              {{0x0, 0x0C}, 0x18, 0x3},
++      },
++      {
++              250000001,  340000000,
++              {
++                      {{0x2, 0x0D}, 0x12, 0x1},
++                      {{0x2, 0x0D}, 0x12, 0x1},
++                      {{0x2, 0x0D}, 0x12, 0x1}
++              },
++              {{0x0, 0x0C}, 0x18, 0xF},
++      },
++      {
++              340000001,  450000000,
++              {
++                      {{0x0, 0x1B}, 0x12, 0xF},
++                      {{0x0, 0x1B}, 0x12, 0xF},
++                      {{0x0, 0x1B}, 0x12, 0xF}
++              },
++              {{0x0, 0x0A}, 0x12, 0xF},
++      },
++      {
++              450000001,  600000000,
++              {
++                      {{0x0, 0x1C}, 0x12, 0xF},
++                      {{0x0, 0x1C}, 0x12, 0xF},
++                      {{0x0, 0x1C}, 0x12, 0xF}
++              },
++              {{0x0, 0x0B}, 0x13, 0xF},
++      },
++};
++
++static const struct phy_settings *phy_get_settings(unsigned long long tmds_rate)
++{
++      unsigned int count = ARRAY_SIZE(vc5_hdmi_phy_settings);
++      unsigned int i;
++
++      for (i = 0; i < count; i++) {
++              const struct phy_settings *s = &vc5_hdmi_phy_settings[i];
++
++              if (tmds_rate >= s->min_rate && tmds_rate <= s->max_rate)
++                      return s;
++      }
++
++      /*
++       * If the pixel clock exceeds our max setting, try the max
++       * setting anyway.
++       */
++      return &vc5_hdmi_phy_settings[count - 1];
++}
++
++static const struct phy_lane_settings *
++phy_get_channel_settings(enum vc4_hdmi_phy_channel chan,
++                       unsigned long long tmds_rate)
++{
++      const struct phy_settings *settings = phy_get_settings(tmds_rate);
++
++      if (chan == PHY_LANE_CK)
++              return &settings->clock;
++
++      return &settings->channel[chan];
++}
++
++void vc5_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi, struct drm_display_mode *mode)
++{
++      const struct phy_lane_settings *chan0_settings, *chan1_settings, *chan2_settings, *clock_settings;
++      const struct vc4_hdmi_variant *variant = vc4_hdmi->variant;
++      unsigned long long pixel_freq = mode->clock * 1000;
++      unsigned long long vco_freq;
++      unsigned char word_sel;
++      u8 vco_sel, vco_div;
++
++      vco_freq = phy_get_vco_freq(pixel_freq, &vco_sel, &vco_div);
++
++      HDMI_WRITE(HDMI_TX_PHY_POWERDOWN_CTL,
++                 VC4_HDMI_TX_PHY_POWERDOWN_CTL_RNDGEN_PWRDN);
++
++      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL,
++                 HDMI_READ(HDMI_TX_PHY_RESET_CTL) &
++                 ~VC4_HDMI_TX_PHY_RESET_CTL_TX_0_RESET &
++                 ~VC4_HDMI_TX_PHY_RESET_CTL_TX_1_RESET &
++                 ~VC4_HDMI_TX_PHY_RESET_CTL_TX_2_RESET &
++                 ~VC4_HDMI_TX_PHY_RESET_CTL_TX_CK_RESET);
++
++      HDMI_WRITE(HDMI_RM_CONTROL,
++                 HDMI_READ(HDMI_RM_CONTROL) |
++                 VC4_HDMI_RM_CONTROL_EN_FREEZE_COUNTERS |
++                 VC4_HDMI_RM_CONTROL_EN_LOAD_INTEGRATOR |
++                 VC4_HDMI_RM_CONTROL_FREE_RUN);
++
++      HDMI_WRITE(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1,
++                 (HDMI_READ(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1) &
++                  ~VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1_MIN_LIMIT_MASK) |
++                 VC4_SET_FIELD(0, VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1_MIN_LIMIT));
++
++      HDMI_WRITE(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2,
++                 (HDMI_READ(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2) &
++                  ~VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2_MAX_LIMIT_MASK) |
++                 VC4_SET_FIELD(0, VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2_MAX_LIMIT));
++
++      HDMI_WRITE(HDMI_RM_OFFSET,
++                 VC4_SET_FIELD(phy_get_rm_offset(vco_freq),
++                               VC4_HDMI_RM_OFFSET_OFFSET) |
++                 VC4_HDMI_RM_OFFSET_ONLY);
++
++      HDMI_WRITE(HDMI_TX_PHY_CLK_DIV,
++                 VC4_SET_FIELD(vco_div, VC4_HDMI_TX_PHY_CLK_DIV_VCO));
++
++      HDMI_WRITE(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4,
++                 VC4_SET_FIELD(0xe147, VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4_HOLD_THRESHOLD) |
++                 VC4_SET_FIELD(0xe14, VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4_STABLE_THRESHOLD));
++
++      HDMI_WRITE(HDMI_TX_PHY_PLL_CTL_0,
++                 VC4_HDMI_TX_PHY_PLL_CTL_0_ENA_VCO_CLK |
++                 VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_CONT_EN |
++                 VC4_HDMI_TX_PHY_PLL_CTL_0_MASH11_MODE |
++                 VC4_SET_FIELD(vco_sel, VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_SEL));
++
++      HDMI_WRITE(HDMI_TX_PHY_PLL_CTL_1,
++                 HDMI_READ(HDMI_TX_PHY_PLL_CTL_1) |
++                 VC4_HDMI_TX_PHY_PLL_CTL_1_FREQ_DOUBLER_ENABLE |
++                 VC4_SET_FIELD(3, VC4_HDMI_TX_PHY_PLL_CTL_1_POST_RST_SEL) |
++                 VC4_SET_FIELD(1, VC4_HDMI_TX_PHY_PLL_CTL_1_FREQ_DOUBLER_DELAY) |
++                 VC4_SET_FIELD(0x8a, VC4_HDMI_TX_PHY_PLL_CTL_1_CPP));
++
++      HDMI_WRITE(HDMI_RM_FORMAT,
++                 HDMI_READ(HDMI_RM_FORMAT) |
++                 VC4_SET_FIELD(2, VC4_HDMI_RM_FORMAT_SHIFT));
++
++      HDMI_WRITE(HDMI_TX_PHY_PLL_CFG,
++                 HDMI_READ(HDMI_TX_PHY_PLL_CFG) |
++                 VC4_SET_FIELD(1, VC4_HDMI_TX_PHY_PLL_CFG_PDIV));
++
++      if (pixel_freq >= 340000000)
++              word_sel = 3;
++      else
++              word_sel = 0;
++      HDMI_WRITE(HDMI_TX_PHY_TMDS_CLK_WORD_SEL, word_sel);
++
++      HDMI_WRITE(HDMI_TX_PHY_CTL_3,
++                 VC4_SET_FIELD(phy_get_cp_current(vco_freq),
++                               VC4_HDMI_TX_PHY_CTL_3_ICP) |
++                 VC4_SET_FIELD(1, VC4_HDMI_TX_PHY_CTL_3_CP) |
++                 VC4_SET_FIELD(1, VC4_HDMI_TX_PHY_CTL_3_CP1) |
++                 VC4_SET_FIELD(3, VC4_HDMI_TX_PHY_CTL_3_CZ) |
++                 VC4_SET_FIELD(4, VC4_HDMI_TX_PHY_CTL_3_RP) |
++                 VC4_SET_FIELD(6, VC4_HDMI_TX_PHY_CTL_3_RZ));
++
++      chan0_settings =
++              phy_get_channel_settings(variant->phy_lane_mapping[PHY_LANE_0],
++                                       pixel_freq);
++      chan1_settings =
++              phy_get_channel_settings(variant->phy_lane_mapping[PHY_LANE_1],
++                                       pixel_freq);
++      chan2_settings =
++              phy_get_channel_settings(variant->phy_lane_mapping[PHY_LANE_2],
++                                       pixel_freq);
++      clock_settings =
++              phy_get_channel_settings(variant->phy_lane_mapping[PHY_LANE_CK],
++                                       pixel_freq);
++
++      HDMI_WRITE(HDMI_TX_PHY_CTL_0,
++                 VC4_SET_FIELD(chan0_settings->amplitude.preemphasis,
++                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_0_PREEMP) |
++                 VC4_SET_FIELD(chan0_settings->amplitude.main_driver,
++                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_0_MAINDRV) |
++                 VC4_SET_FIELD(chan1_settings->amplitude.preemphasis,
++                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_1_PREEMP) |
++                 VC4_SET_FIELD(chan1_settings->amplitude.main_driver,
++                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_1_MAINDRV) |
++                 VC4_SET_FIELD(chan2_settings->amplitude.preemphasis,
++                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_2_PREEMP) |
++                 VC4_SET_FIELD(chan2_settings->amplitude.main_driver,
++                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_2_MAINDRV) |
++                 VC4_SET_FIELD(clock_settings->amplitude.preemphasis,
++                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_CK_PREEMP) |
++                 VC4_SET_FIELD(clock_settings->amplitude.main_driver,
++                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_CK_MAINDRV));
++
++      HDMI_WRITE(HDMI_TX_PHY_CTL_1,
++                 HDMI_READ(HDMI_TX_PHY_CTL_1) |
++                 VC4_SET_FIELD(chan0_settings->res_sel_data,
++                               VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA0) |
++                 VC4_SET_FIELD(chan1_settings->res_sel_data,
++                               VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA1) |
++                 VC4_SET_FIELD(chan2_settings->res_sel_data,
++                               VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA2) |
++                 VC4_SET_FIELD(clock_settings->res_sel_data,
++                               VC4_HDMI_TX_PHY_CTL_1_RES_SEL_CK));
++
++      HDMI_WRITE(HDMI_TX_PHY_CTL_2,
++                 VC4_SET_FIELD(chan0_settings->term_res_sel_data,
++                               VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA0) |
++                 VC4_SET_FIELD(chan1_settings->term_res_sel_data,
++                               VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA1) |
++                 VC4_SET_FIELD(chan2_settings->term_res_sel_data,
++                               VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA2) |
++                 VC4_SET_FIELD(clock_settings->term_res_sel_data,
++                               VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELCK) |
++                 VC4_SET_FIELD(phy_get_vco_gain(vco_freq),
++                               VC4_HDMI_TX_PHY_CTL_2_VCO_GAIN));
++
++      HDMI_WRITE(HDMI_TX_PHY_CHANNEL_SWAP,
++                 VC4_SET_FIELD(variant->phy_lane_mapping[PHY_LANE_0],
++                               VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX0_OUT_SEL) |
++                 VC4_SET_FIELD(variant->phy_lane_mapping[PHY_LANE_1],
++                               VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX1_OUT_SEL) |
++                 VC4_SET_FIELD(variant->phy_lane_mapping[PHY_LANE_2],
++                               VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX2_OUT_SEL) |
++                 VC4_SET_FIELD(variant->phy_lane_mapping[PHY_LANE_CK],
++                               VC4_HDMI_TX_PHY_CHANNEL_SWAP_TXCK_OUT_SEL));
++
++      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL,
++                 HDMI_READ(HDMI_TX_PHY_RESET_CTL) &
++                 ~(VC4_HDMI_TX_PHY_RESET_CTL_PLL_RESETB |
++                   VC4_HDMI_TX_PHY_RESET_CTL_PLLDIV_RESETB));
++
++      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL,
++                 HDMI_READ(HDMI_TX_PHY_RESET_CTL) |
++                 VC4_HDMI_TX_PHY_RESET_CTL_PLL_RESETB |
++                 VC4_HDMI_TX_PHY_RESET_CTL_PLLDIV_RESETB);
++}
++
++void vc5_hdmi_phy_rng_enable(struct vc4_hdmi *vc4_hdmi)
++{
++      HDMI_WRITE(HDMI_TX_PHY_POWERDOWN_CTL,
++                 HDMI_READ(HDMI_TX_PHY_POWERDOWN_CTL) &
++                 ~VC4_HDMI_TX_PHY_POWERDOWN_CTL_RNDGEN_PWRDN);
++}
++
++void vc5_hdmi_phy_rng_disable(struct vc4_hdmi *vc4_hdmi)
++{
++      HDMI_WRITE(HDMI_TX_PHY_POWERDOWN_CTL,
++                 HDMI_READ(HDMI_TX_PHY_POWERDOWN_CTL) |
++                 VC4_HDMI_TX_PHY_POWERDOWN_CTL_RNDGEN_PWRDN);
++}
+--- a/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
+@@ -18,6 +18,12 @@ enum vc4_hdmi_regs {
+       VC4_INVALID = 0,
+       VC4_HDMI,
+       VC4_HD,
++      VC5_CEC,
++      VC5_CSC,
++      VC5_DVP,
++      VC5_PHY,
++      VC5_RAM,
++      VC5_RM,
+ };
+ enum vc4_hdmi_field {
+@@ -45,6 +51,7 @@ enum vc4_hdmi_field {
+       HDMI_CEC_TX_DATA_2,
+       HDMI_CEC_TX_DATA_3,
+       HDMI_CEC_TX_DATA_4,
++      HDMI_CLOCK_STOP,
+       HDMI_CORE_REV,
+       HDMI_CRP_CFG,
+       HDMI_CSC_12_11,
+@@ -61,6 +68,7 @@ enum vc4_hdmi_field {
+        */
+       HDMI_CTS_0,
+       HDMI_CTS_1,
++      HDMI_DVP_CTL,
+       HDMI_FIFO_CTL,
+       HDMI_FRAME_COUNT,
+       HDMI_HORZA,
+@@ -93,10 +101,27 @@ enum vc4_hdmi_field {
+       HDMI_RAM_PACKET_CONFIG,
+       HDMI_RAM_PACKET_START,
+       HDMI_RAM_PACKET_STATUS,
++      HDMI_RM_CONTROL,
++      HDMI_RM_FORMAT,
++      HDMI_RM_OFFSET,
+       HDMI_SCHEDULER_CONTROL,
+       HDMI_SW_RESET_CONTROL,
++      HDMI_TX_PHY_CHANNEL_SWAP,
++      HDMI_TX_PHY_CLK_DIV,
+       HDMI_TX_PHY_CTL_0,
++      HDMI_TX_PHY_CTL_1,
++      HDMI_TX_PHY_CTL_2,
++      HDMI_TX_PHY_CTL_3,
++      HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1,
++      HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2,
++      HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4,
++      HDMI_TX_PHY_PLL_CFG,
++      HDMI_TX_PHY_PLL_CTL_0,
++      HDMI_TX_PHY_PLL_CTL_1,
++      HDMI_TX_PHY_POWERDOWN_CTL,
+       HDMI_TX_PHY_RESET_CTL,
++      HDMI_TX_PHY_TMDS_CLK_WORD_SEL,
++      HDMI_VEC_INTERFACE_XBAR,
+       HDMI_VERTA0,
+       HDMI_VERTA1,
+       HDMI_VERTB0,
+@@ -119,6 +144,12 @@ struct vc4_hdmi_register {
+ #define VC4_HD_REG(reg, offset)               _VC4_REG(VC4_HD, reg, offset)
+ #define VC4_HDMI_REG(reg, offset)     _VC4_REG(VC4_HDMI, reg, offset)
++#define VC5_CEC_REG(reg, offset)      _VC4_REG(VC5_CEC, reg, offset)
++#define VC5_CSC_REG(reg, offset)      _VC4_REG(VC5_CSC, reg, offset)
++#define VC5_DVP_REG(reg, offset)      _VC4_REG(VC5_DVP, reg, offset)
++#define VC5_PHY_REG(reg, offset)      _VC4_REG(VC5_PHY, reg, offset)
++#define VC5_RAM_REG(reg, offset)      _VC4_REG(VC5_RAM, reg, offset)
++#define VC5_RM_REG(reg, offset)               _VC4_REG(VC5_RM, reg, offset)
+ static const struct vc4_hdmi_register vc4_hdmi_fields[] = {
+       VC4_HD_REG(HDMI_M_CTL, 0x000c),
+@@ -181,6 +212,158 @@ static const struct vc4_hdmi_register vc
+       VC4_HDMI_REG(HDMI_RAM_PACKET_START, 0x0400),
+ };
++static const struct vc4_hdmi_register vc5_hdmi_hdmi0_fields[] = {
++      VC4_HD_REG(HDMI_DVP_CTL, 0x0000),
++      VC4_HD_REG(HDMI_MAI_CTL, 0x0010),
++      VC4_HD_REG(HDMI_MAI_THR, 0x0014),
++      VC4_HD_REG(HDMI_MAI_FMT, 0x0018),
++      VC4_HD_REG(HDMI_MAI_DATA, 0x001c),
++      VC4_HD_REG(HDMI_MAI_SMP, 0x0020),
++      VC4_HD_REG(HDMI_VID_CTL, 0x0044),
++      VC4_HD_REG(HDMI_FRAME_COUNT, 0x0060),
++
++      VC4_HDMI_REG(HDMI_FIFO_CTL, 0x074),
++      VC4_HDMI_REG(HDMI_AUDIO_PACKET_CONFIG, 0x0b8),
++      VC4_HDMI_REG(HDMI_RAM_PACKET_CONFIG, 0x0bc),
++      VC4_HDMI_REG(HDMI_RAM_PACKET_STATUS, 0x0c4),
++      VC4_HDMI_REG(HDMI_CRP_CFG, 0x0c8),
++      VC4_HDMI_REG(HDMI_CTS_0, 0x0cc),
++      VC4_HDMI_REG(HDMI_CTS_1, 0x0d0),
++      VC4_HDMI_REG(HDMI_SCHEDULER_CONTROL, 0x0e0),
++      VC4_HDMI_REG(HDMI_HORZA, 0x0e4),
++      VC4_HDMI_REG(HDMI_HORZB, 0x0e8),
++      VC4_HDMI_REG(HDMI_VERTA0, 0x0ec),
++      VC4_HDMI_REG(HDMI_VERTB0, 0x0f0),
++      VC4_HDMI_REG(HDMI_VERTA1, 0x0f4),
++      VC4_HDMI_REG(HDMI_VERTB1, 0x0f8),
++      VC4_HDMI_REG(HDMI_MAI_CHANNEL_MAP, 0x09c),
++      VC4_HDMI_REG(HDMI_MAI_CONFIG, 0x0a0),
++      VC4_HDMI_REG(HDMI_HOTPLUG, 0x1a8),
++
++      VC5_DVP_REG(HDMI_CLOCK_STOP, 0x0bc),
++      VC5_DVP_REG(HDMI_VEC_INTERFACE_XBAR, 0x0f0),
++
++      VC5_PHY_REG(HDMI_TX_PHY_RESET_CTL, 0x000),
++      VC5_PHY_REG(HDMI_TX_PHY_POWERDOWN_CTL, 0x004),
++      VC5_PHY_REG(HDMI_TX_PHY_CTL_0, 0x008),
++      VC5_PHY_REG(HDMI_TX_PHY_CTL_1, 0x00c),
++      VC5_PHY_REG(HDMI_TX_PHY_CTL_2, 0x010),
++      VC5_PHY_REG(HDMI_TX_PHY_CTL_3, 0x014),
++      VC5_PHY_REG(HDMI_TX_PHY_PLL_CTL_0, 0x01c),
++      VC5_PHY_REG(HDMI_TX_PHY_PLL_CTL_1, 0x020),
++      VC5_PHY_REG(HDMI_TX_PHY_CLK_DIV, 0x028),
++      VC5_PHY_REG(HDMI_TX_PHY_PLL_CFG, 0x034),
++      VC5_PHY_REG(HDMI_TX_PHY_TMDS_CLK_WORD_SEL, 0x044),
++      VC5_PHY_REG(HDMI_TX_PHY_CHANNEL_SWAP, 0x04c),
++      VC5_PHY_REG(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1, 0x050),
++      VC5_PHY_REG(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2, 0x054),
++      VC5_PHY_REG(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4, 0x05c),
++
++      VC5_RM_REG(HDMI_RM_CONTROL, 0x000),
++      VC5_RM_REG(HDMI_RM_OFFSET, 0x018),
++      VC5_RM_REG(HDMI_RM_FORMAT, 0x01c),
++
++      VC5_RAM_REG(HDMI_RAM_PACKET_START, 0x000),
++
++      VC5_CEC_REG(HDMI_CEC_CNTRL_1, 0x010),
++      VC5_CEC_REG(HDMI_CEC_CNTRL_2, 0x014),
++      VC5_CEC_REG(HDMI_CEC_CNTRL_3, 0x018),
++      VC5_CEC_REG(HDMI_CEC_CNTRL_4, 0x01c),
++      VC5_CEC_REG(HDMI_CEC_CNTRL_5, 0x020),
++      VC5_CEC_REG(HDMI_CEC_TX_DATA_1, 0x028),
++      VC5_CEC_REG(HDMI_CEC_TX_DATA_2, 0x02c),
++      VC5_CEC_REG(HDMI_CEC_TX_DATA_3, 0x030),
++      VC5_CEC_REG(HDMI_CEC_TX_DATA_4, 0x034),
++      VC5_CEC_REG(HDMI_CEC_RX_DATA_1, 0x038),
++      VC5_CEC_REG(HDMI_CEC_RX_DATA_2, 0x03c),
++      VC5_CEC_REG(HDMI_CEC_RX_DATA_3, 0x040),
++      VC5_CEC_REG(HDMI_CEC_RX_DATA_4, 0x044),
++
++      VC5_CSC_REG(HDMI_CSC_CTL, 0x000),
++      VC5_CSC_REG(HDMI_CSC_12_11, 0x004),
++      VC5_CSC_REG(HDMI_CSC_14_13, 0x008),
++      VC5_CSC_REG(HDMI_CSC_22_21, 0x00c),
++      VC5_CSC_REG(HDMI_CSC_24_23, 0x010),
++      VC5_CSC_REG(HDMI_CSC_32_31, 0x014),
++      VC5_CSC_REG(HDMI_CSC_34_33, 0x018),
++};
++
++static const struct vc4_hdmi_register vc5_hdmi_hdmi1_fields[] = {
++      VC4_HD_REG(HDMI_DVP_CTL, 0x0000),
++      VC4_HD_REG(HDMI_MAI_CTL, 0x0030),
++      VC4_HD_REG(HDMI_MAI_THR, 0x0034),
++      VC4_HD_REG(HDMI_MAI_FMT, 0x0038),
++      VC4_HD_REG(HDMI_MAI_DATA, 0x003c),
++      VC4_HD_REG(HDMI_MAI_SMP, 0x0040),
++      VC4_HD_REG(HDMI_VID_CTL, 0x0048),
++      VC4_HD_REG(HDMI_FRAME_COUNT, 0x0064),
++
++      VC4_HDMI_REG(HDMI_FIFO_CTL, 0x074),
++      VC4_HDMI_REG(HDMI_AUDIO_PACKET_CONFIG, 0x0b8),
++      VC4_HDMI_REG(HDMI_RAM_PACKET_CONFIG, 0x0bc),
++      VC4_HDMI_REG(HDMI_RAM_PACKET_STATUS, 0x0c4),
++      VC4_HDMI_REG(HDMI_CRP_CFG, 0x0c8),
++      VC4_HDMI_REG(HDMI_CTS_0, 0x0cc),
++      VC4_HDMI_REG(HDMI_CTS_1, 0x0d0),
++      VC4_HDMI_REG(HDMI_SCHEDULER_CONTROL, 0x0e0),
++      VC4_HDMI_REG(HDMI_HORZA, 0x0e4),
++      VC4_HDMI_REG(HDMI_HORZB, 0x0e8),
++      VC4_HDMI_REG(HDMI_VERTA0, 0x0ec),
++      VC4_HDMI_REG(HDMI_VERTB0, 0x0f0),
++      VC4_HDMI_REG(HDMI_VERTA1, 0x0f4),
++      VC4_HDMI_REG(HDMI_VERTB1, 0x0f8),
++      VC4_HDMI_REG(HDMI_MAI_CHANNEL_MAP, 0x09c),
++      VC4_HDMI_REG(HDMI_MAI_CONFIG, 0x0a0),
++      VC4_HDMI_REG(HDMI_HOTPLUG, 0x1a8),
++
++      VC5_DVP_REG(HDMI_CLOCK_STOP, 0x0bc),
++      VC5_DVP_REG(HDMI_VEC_INTERFACE_XBAR, 0x0f0),
++
++      VC5_PHY_REG(HDMI_TX_PHY_RESET_CTL, 0x000),
++      VC5_PHY_REG(HDMI_TX_PHY_POWERDOWN_CTL, 0x004),
++      VC5_PHY_REG(HDMI_TX_PHY_CTL_0, 0x008),
++      VC5_PHY_REG(HDMI_TX_PHY_CTL_1, 0x00c),
++      VC5_PHY_REG(HDMI_TX_PHY_CTL_2, 0x010),
++      VC5_PHY_REG(HDMI_TX_PHY_CTL_3, 0x014),
++      VC5_PHY_REG(HDMI_TX_PHY_PLL_CTL_0, 0x01c),
++      VC5_PHY_REG(HDMI_TX_PHY_PLL_CTL_1, 0x020),
++      VC5_PHY_REG(HDMI_TX_PHY_CLK_DIV, 0x028),
++      VC5_PHY_REG(HDMI_TX_PHY_PLL_CFG, 0x034),
++      VC5_PHY_REG(HDMI_TX_PHY_CHANNEL_SWAP, 0x04c),
++      VC5_PHY_REG(HDMI_TX_PHY_TMDS_CLK_WORD_SEL, 0x044),
++      VC5_PHY_REG(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1, 0x050),
++      VC5_PHY_REG(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2, 0x054),
++      VC5_PHY_REG(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4, 0x05c),
++
++      VC5_RM_REG(HDMI_RM_CONTROL, 0x000),
++      VC5_RM_REG(HDMI_RM_OFFSET, 0x018),
++      VC5_RM_REG(HDMI_RM_FORMAT, 0x01c),
++
++      VC5_RAM_REG(HDMI_RAM_PACKET_START, 0x000),
++
++      VC5_CEC_REG(HDMI_CEC_CNTRL_1, 0x010),
++      VC5_CEC_REG(HDMI_CEC_CNTRL_2, 0x014),
++      VC5_CEC_REG(HDMI_CEC_CNTRL_3, 0x018),
++      VC5_CEC_REG(HDMI_CEC_CNTRL_4, 0x01c),
++      VC5_CEC_REG(HDMI_CEC_CNTRL_5, 0x020),
++      VC5_CEC_REG(HDMI_CEC_TX_DATA_1, 0x028),
++      VC5_CEC_REG(HDMI_CEC_TX_DATA_2, 0x02c),
++      VC5_CEC_REG(HDMI_CEC_TX_DATA_3, 0x030),
++      VC5_CEC_REG(HDMI_CEC_TX_DATA_4, 0x034),
++      VC5_CEC_REG(HDMI_CEC_RX_DATA_1, 0x038),
++      VC5_CEC_REG(HDMI_CEC_RX_DATA_2, 0x03c),
++      VC5_CEC_REG(HDMI_CEC_RX_DATA_3, 0x040),
++      VC5_CEC_REG(HDMI_CEC_RX_DATA_4, 0x044),
++
++      VC5_CSC_REG(HDMI_CSC_CTL, 0x000),
++      VC5_CSC_REG(HDMI_CSC_12_11, 0x004),
++      VC5_CSC_REG(HDMI_CSC_14_13, 0x008),
++      VC5_CSC_REG(HDMI_CSC_22_21, 0x00c),
++      VC5_CSC_REG(HDMI_CSC_24_23, 0x010),
++      VC5_CSC_REG(HDMI_CSC_32_31, 0x014),
++      VC5_CSC_REG(HDMI_CSC_34_33, 0x018),
++};
++
+ static inline
+ void __iomem *__vc4_hdmi_get_field_base(struct vc4_hdmi *hdmi,
+                                       enum vc4_hdmi_regs reg)
+@@ -192,6 +375,24 @@ void __iomem *__vc4_hdmi_get_field_base(
+       case VC4_HDMI:
+               return hdmi->hdmicore_regs;
++      case VC5_CSC:
++              return hdmi->csc_regs;
++
++      case VC5_CEC:
++              return hdmi->cec_regs;
++
++      case VC5_DVP:
++              return hdmi->dvp_regs;
++
++      case VC5_PHY:
++              return hdmi->phy_regs;
++
++      case VC5_RAM:
++              return hdmi->ram_regs;
++
++      case VC5_RM:
++              return hdmi->rm_regs;
++
+       default:
+               return NULL;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0590-drm-vc4-hdmi-Move-CEC-init-to-its-own-function.patch b/target/linux/bcm27xx/patches-5.4/950-0590-drm-vc4-hdmi-Move-CEC-init-to-its-own-function.patch
deleted file mode 100644 (file)
index a3efadd..0000000
+++ /dev/null
@@ -1,165 +0,0 @@
-From 9efd6edc4c7d01c74a92f2011ba285329ba956e4 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 6 Feb 2020 16:22:13 +0100
-Subject: [PATCH] drm/vc4: hdmi: Move CEC init to its own function
-
-The CEC init code was put directly into the bind function, which was quite
-inconsistent with how the audio support was done, and would prevent us from
-further changes to skip that initialisation entirely.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 108 ++++++++++++++++++++-------------
- 1 file changed, 67 insertions(+), 41 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1179,6 +1179,67 @@ static const struct cec_adap_ops vc4_hdm
-       .adap_log_addr = vc4_hdmi_cec_adap_log_addr,
-       .adap_transmit = vc4_hdmi_cec_adap_transmit,
- };
-+
-+static int vc4_hdmi_cec_init(struct vc4_hdmi *vc4_hdmi)
-+{
-+      struct cec_connector_info conn_info;
-+      struct platform_device *pdev = vc4_hdmi->pdev;
-+      u32 value;
-+      int ret;
-+
-+      vc4_hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
-+                                                vc4_hdmi, "vc4",
-+                                                CEC_CAP_DEFAULTS |
-+                                                CEC_CAP_CONNECTOR_INFO, 1);
-+      ret = PTR_ERR_OR_ZERO(vc4_hdmi->cec_adap);
-+      if (ret < 0)
-+              return ret;
-+
-+      cec_fill_conn_info_from_drm(&conn_info, &vc4_hdmi->connector);
-+      cec_s_conn_info(vc4_hdmi->cec_adap, &conn_info);
-+
-+      HDMI_WRITE(HDMI_CEC_CPU_MASK_SET, 0xffffffff);
-+      value = HDMI_READ(HDMI_CEC_CNTRL_1);
-+      value &= ~VC4_HDMI_CEC_DIV_CLK_CNT_MASK;
-+      /*
-+       * Set the logical address to Unregistered and set the clock
-+       * divider: the hsm_clock rate and this divider setting will
-+       * give a 40 kHz CEC clock.
-+       */
-+      value |= VC4_HDMI_CEC_ADDR_MASK |
-+               (4091 << VC4_HDMI_CEC_DIV_CLK_CNT_SHIFT);
-+      HDMI_WRITE(HDMI_CEC_CNTRL_1, value);
-+      ret = devm_request_threaded_irq(&pdev->dev, platform_get_irq(pdev, 0),
-+                                      vc4_cec_irq_handler,
-+                                      vc4_cec_irq_handler_thread, 0,
-+                                      "vc4 hdmi cec", vc4_hdmi);
-+      if (ret)
-+              goto err_delete_cec_adap;
-+
-+      ret = cec_register_adapter(vc4_hdmi->cec_adap, &pdev->dev);
-+      if (ret < 0)
-+              goto err_delete_cec_adap;
-+
-+      return 0;
-+
-+err_delete_cec_adap:
-+      cec_delete_adapter(vc4_hdmi->cec_adap);
-+
-+      return ret;
-+}
-+
-+static void vc4_hdmi_cec_exit(struct vc4_hdmi *vc4_hdmi)
-+{
-+      cec_unregister_adapter(vc4_hdmi->cec_adap);
-+}
-+#else
-+static int vc4_hdmi_cec_init(struct vc4_hdmi *vc4_hdmi)
-+{
-+      return 0;
-+}
-+
-+static void vc4_hdmi_cec_exit(struct vc4_hdmi *vc4_hdmi) {};
-+
- #endif
- static int vc4_hdmi_build_regset(struct vc4_hdmi *vc4_hdmi,
-@@ -1256,9 +1317,6 @@ static int vc4_hdmi_init_resources(struc
- static int vc4_hdmi_bind(struct device *dev, struct device *master, void *data)
- {
--#ifdef CONFIG_DRM_VC4_HDMI_CEC
--      struct cec_connector_info conn_info;
--#endif
-       struct platform_device *pdev = to_platform_device(dev);
-       struct drm_device *drm = dev_get_drvdata(master);
-       const struct vc4_hdmi_variant *variant;
-@@ -1346,43 +1404,13 @@ static int vc4_hdmi_bind(struct device *
-       if (ret)
-               goto err_destroy_encoder;
--#ifdef CONFIG_DRM_VC4_HDMI_CEC
--      vc4_hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
--                                            vc4_hdmi, "vc4",
--                                            CEC_CAP_DEFAULTS |
--                                            CEC_CAP_CONNECTOR_INFO, 1);
--      ret = PTR_ERR_OR_ZERO(vc4_hdmi->cec_adap);
--      if (ret < 0)
--              goto err_destroy_conn;
--
--      cec_fill_conn_info_from_drm(&conn_info, &vc4_hdmi->connector);
--      cec_s_conn_info(vc4_hdmi->cec_adap, &conn_info);
--
--      HDMI_WRITE(HDMI_CEC_CPU_MASK_SET, 0xffffffff);
--      value = HDMI_READ(HDMI_CEC_CNTRL_1);
--      value &= ~VC4_HDMI_CEC_DIV_CLK_CNT_MASK;
--      /*
--       * Set the logical address to Unregistered and set the clock
--       * divider: the hsm_clock rate and this divider setting will
--       * give a 40 kHz CEC clock.
--       */
--      value |= VC4_HDMI_CEC_ADDR_MASK |
--               (4091 << VC4_HDMI_CEC_DIV_CLK_CNT_SHIFT);
--      HDMI_WRITE(HDMI_CEC_CNTRL_1, value);
--      ret = devm_request_threaded_irq(dev, platform_get_irq(pdev, 0),
--                                      vc4_cec_irq_handler,
--                                      vc4_cec_irq_handler_thread, 0,
--                                      "vc4 hdmi cec", vc4_hdmi);
-+      ret = vc4_hdmi_cec_init(vc4_hdmi);
-       if (ret)
--              goto err_delete_cec_adap;
--      ret = cec_register_adapter(vc4_hdmi->cec_adap, dev);
--      if (ret < 0)
--              goto err_delete_cec_adap;
--#endif
-+              goto err_destroy_conn;
-       ret = vc4_hdmi_audio_init(vc4_hdmi);
-       if (ret)
--              goto err_destroy_encoder;
-+              goto err_free_cec;
-       vc4_debugfs_add_file(drm,
-                            variant->id ? "hdmi1_regs" : "hdmi_regs",
-@@ -1391,12 +1419,10 @@ static int vc4_hdmi_bind(struct device *
-       return 0;
--#ifdef CONFIG_DRM_VC4_HDMI_CEC
--err_delete_cec_adap:
--      cec_delete_adapter(vc4_hdmi->cec_adap);
-+err_free_cec:
-+      vc4_hdmi_cec_exit(vc4_hdmi);
- err_destroy_conn:
-       vc4_hdmi_connector_destroy(&vc4_hdmi->connector);
--#endif
- err_destroy_encoder:
-       vc4_hdmi_encoder_destroy(encoder);
- err_unprepare_hsm:
-@@ -1421,7 +1447,7 @@ static void vc4_hdmi_unbind(struct devic
-       kfree(vc4_hdmi->hdmi_regset.regs);
-       kfree(vc4_hdmi->hd_regset.regs);
--      cec_unregister_adapter(vc4_hdmi->cec_adap);
-+      vc4_hdmi_cec_exit(vc4_hdmi);
-       vc4_hdmi_connector_destroy(&vc4_hdmi->connector);
-       vc4_hdmi_encoder_destroy(&vc4_hdmi->encoder.base.base);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0590-dt-bindings-display-vc4-hdmi-Add-BCM2711-HDMI-contro.patch b/target/linux/bcm27xx/patches-5.4/950-0590-dt-bindings-display-vc4-hdmi-Add-BCM2711-HDMI-contro.patch
new file mode 100644 (file)
index 0000000..c41e063
--- /dev/null
@@ -0,0 +1,174 @@
+From 965351ba5a271c0a4a7776193b7af78871370f7a Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Thu, 13 Feb 2020 16:45:24 +0100
+Subject: [PATCH] dt-bindings: display: vc4: hdmi: Add BCM2711 HDMI
+ controllers bindings
+
+The HDMI controllers found in the BCM2711 SoC need some adjustments to the
+bindings, especially since the registers have been shuffled around in more
+register ranges.
+
+Cc: Rob Herring <robh+dt@kernel.org>
+Cc: devicetree@vger.kernel.org
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ .../bindings/display/brcm,bcm2835-hdmi.yaml   | 118 ++++++++++++++++--
+ 1 file changed, 109 insertions(+), 9 deletions(-)
+
+--- a/Documentation/devicetree/bindings/display/brcm,bcm2835-hdmi.yaml
++++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-hdmi.yaml
+@@ -11,24 +11,58 @@ maintainers:
+ properties:
+   compatible:
+-    const: brcm,bcm2835-hdmi
++    enum:
++      - brcm,bcm2835-hdmi
++      - brcm,bcm2711-hdmi0
++      - brcm,bcm2711-hdmi1
+   reg:
++    oneOf:
++      - items:
++        - description: HDMI register range
++        - description: HD register range
++
++      - items:
++        - description: HDMI controller register range
++        - description: DVP register range
++        - description: HDMI PHY register range
++        - description: Rate Manager register range
++        - description: Packet RAM register range
++        - description: Metadata RAM register range
++        - description: CSC register range
++        - description: CEC register range
++        - description: HD register range
++
++  reg-names:
+     items:
+-      - description: HDMI register range
+-      - description: HD register range
++      - const: hdmi
++      - const: dvp
++      - const: phy
++      - const: rm
++      - const: packet
++      - const: metadata
++      - const: csc
++      - const: cec
++      - const: hd
+   interrupts:
+     minItems: 2
+   clocks:
+-    items:
+-      - description: The pixel clock
+-      - description: The HDMI state machine clock
++    oneOf:
++      - items:
++        - description: The pixel clock
++        - description: The HDMI state machine clock
++
++      - items:
++        - description: The HDMI state machine clock
+   clock-names:
+-    items:
+-      - const: pixel
++    oneOf:
++      - items:
++        - const: pixel
++        - const: hdmi
++
+       - const: hdmi
+   ddc:
+@@ -51,15 +85,54 @@ properties:
+   dma-names:
+     const: audio-rx
++  resets:
++    maxItems: 1
++
+ required:
+   - compatible
+   - reg
+-  - interrupts
+   - clocks
+   - ddc
+ additionalProperties: false
++if:
++  properties:
++    compatible:
++      contains:
++        enum:
++          - brcm,bcm2711-hdmi0
++          - brcm,bcm2711-hdmi1
++
++then:
++  properties:
++    reg:
++      minItems: 9
++
++    clocks:
++      maxItems: 1
++
++    clock-names:
++      maxItems: 1
++
++  required:
++    - reg-names
++    - resets
++
++else:
++  properties:
++    reg:
++      maxItems: 2
++
++    clocks:
++      minItems: 2
++
++    clock-names:
++      minItems: 2
++
++  required:
++    - interrupts
++
+ examples:
+   - |
+     #include <dt-bindings/clock/bcm2835.h>
+@@ -77,4 +150,31 @@ examples:
+         clock-names = "pixel", "hdmi";
+     };
++  - |
++    hdmi0: hdmi@7ef00700 {
++        compatible = "brcm,bcm2711-hdmi0";
++        reg = <0x7ef00700 0x300>,
++              <0x7ef00300 0x200>,
++              <0x7ef00f00 0x80>,
++              <0x7ef00f80 0x80>,
++              <0x7ef01b00 0x200>,
++              <0x7ef01f00 0x400>,
++              <0x7ef00200 0x80>,
++              <0x7ef04300 0x100>,
++              <0x7ef20000 0x100>;
++        reg-names = "hdmi",
++                    "dvp",
++                    "phy",
++                    "rm",
++                    "packet",
++                    "metadata",
++                    "csc",
++                    "cec",
++                    "hd";
++        clocks = <&firmware_clocks 13>;
++        clock-names = "hdmi";
++        resets = <&dvp 0>;
++        ddc = <&ddc0>;
++    };
++
+ ...
diff --git a/target/linux/bcm27xx/patches-5.4/950-0591-ARM-dts-bcm2711-Enable-the-display-pipeline.patch b/target/linux/bcm27xx/patches-5.4/950-0591-ARM-dts-bcm2711-Enable-the-display-pipeline.patch
new file mode 100644 (file)
index 0000000..aae9b9e
--- /dev/null
@@ -0,0 +1,210 @@
+From 661edd663841d94bded4e95acfd0a4947cb079b5 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Wed, 12 Feb 2020 12:26:40 +0100
+Subject: [PATCH] ARM: dts: bcm2711: Enable the display pipeline
+
+Now that all the drivers have been adjusted for it, let's bring in the
+necessary device tree changes.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts |  40 ++++++++++
+ arch/arm/boot/dts/bcm2711.dtsi        | 110 ++++++++++++++++++++++++++
+ 2 files changed, 150 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -138,6 +138,46 @@
+       interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
+ };
++&vc4 {
++      status = "okay";
++};
++
++&pixelvalve0 {
++      status = "okay";
++};
++
++&pixelvalve1 {
++      status = "okay";
++};
++
++&pixelvalve2 {
++      status = "okay";
++};
++
++&pixelvalve3 {
++      status = "okay";
++};
++
++&pixelvalve4 {
++      status = "okay";
++};
++
++&hdmi0 {
++      status = "okay";
++};
++
++&ddc0 {
++      status = "okay";
++};
++
++&hdmi1 {
++      status = "okay";
++};
++
++&ddc1 {
++      status = "okay";
++};
++
+ // =============================================
+ // Downstream rpi- changes
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -31,6 +31,11 @@
+               };
+       };
++      vc4: gpu {
++              compatible = "brcm,bcm2711-vc5";
++              status = "disabled";
++      };
++
+       clk_108MHz: clk-108M {
+               #clock-cells = <0>;
+               compatible = "fixed-clock";
+@@ -254,6 +259,27 @@
+                       status = "disabled";
+               };
++              pixelvalve0: pixelvalve@7e206000 {
++                      compatible = "brcm,bcm2711-pixelvalve0";
++                      reg = <0x7e206000 0x100>;
++                      interrupts = <GIC_SPI 109 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++              };
++
++              pixelvalve1: pixelvalve@7e207000 {
++                      compatible = "brcm,bcm2711-pixelvalve1";
++                      reg = <0x7e207000 0x100>;
++                      interrupts = <GIC_SPI 110 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++              };
++
++              pixelvalve2: pixelvalve@7e20a000 {
++                      compatible = "brcm,bcm2711-pixelvalve2";
++                      reg = <0x7e20a000 0x100>;
++                      interrupts = <GIC_SPI 101 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++              };
++
+               pwm1: pwm@7e20c800 {
+                       compatible = "brcm,bcm2835-pwm";
+                       reg = <0x7e20c800 0x28>;
+@@ -264,6 +290,13 @@
+                       status = "disabled";
+               };
++              pixelvalve4: pixelvalve@7e216000 {
++                      compatible = "brcm,bcm2711-pixelvalve4";
++                      reg = <0x7e216000 0x100>;
++                      interrupts = <GIC_SPI 110 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++              };
++
+               emmc2: emmc2@7e340000 {
+                       compatible = "brcm,bcm2711-emmc2";
+                       reg = <0x7e340000 0x100>;
+@@ -276,6 +309,13 @@
+                       interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
+               };
++              pixelvalve3: pixelvalve@7ec12000 {
++                      compatible = "brcm,bcm2711-pixelvalve3";
++                      reg = <0x7ec12000 0x100>;
++                      interrupts = <GIC_SPI 106 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++              };
++
+               dvp: clock@7ef00000 {
+                       compatible = "brcm,brcm2711-dvp";
+                       reg = <0x7ef00000 0x10>;
+@@ -283,6 +323,76 @@
+                       #clock-cells = <1>;
+                       #reset-cells = <1>;
+               };
++
++              hdmi0: hdmi@7ef00700 {
++                      compatible = "brcm,bcm2711-hdmi0";
++                      reg = <0x7ef00700 0x300>,
++                            <0x7ef00300 0x200>,
++                            <0x7ef00f00 0x80>,
++                            <0x7ef00f80 0x80>,
++                            <0x7ef01b00 0x200>,
++                            <0x7ef01f00 0x400>,
++                            <0x7ef00200 0x80>,
++                            <0x7ef04300 0x100>,
++                            <0x7ef20000 0x100>;
++                      reg-names = "hdmi",
++                                  "dvp",
++                                  "phy",
++                                  "rm",
++                                  "packet",
++                                  "metadata",
++                                  "csc",
++                                  "cec",
++                                  "hd";
++                      clocks = <&firmware_clocks 13>;
++                      clock-names = "hdmi";
++                      resets = <&dvp 0>;
++                      ddc = <&ddc0>;
++                      status = "disabled";
++              };
++
++              ddc0: i2c@7ef04500 {
++                      compatible = "brcm,bcm2711-hdmi-i2c";
++                      reg = <0x7ef04500 0x100>, <0x7ef00b00 0x300>;
++                      reg-names = "bsc", "auto-i2c";
++                      clock-frequency = <390000>;
++                      status = "disabled";
++              };
++
++              hdmi1: hdmi@7ef05700 {
++                      compatible = "brcm,bcm2711-hdmi1";
++                      reg = <0x7ef05700 0x300>,
++                            <0x7ef05300 0x200>,
++                            <0x7ef05f00 0x80>,
++                            <0x7ef05f80 0x80>,
++                            <0x7ef06b00 0x200>,
++                            <0x7ef06f00 0x400>,
++                            <0x7ef00280 0x80>,
++                            <0x7ef09300 0x100>,
++                            <0x7ef20000 0x100>;
++                      reg-names = "hdmi",
++                                  "dvp",
++                                  "phy",
++                                  "rm",
++                                  "packet",
++                                  "metadata",
++                                  "csc",
++                                  "cec",
++                                  "hd";
++                      ddc = <&ddc1>;
++                      clocks = <&firmware_clocks 13>;
++                      clock-names = "hdmi";
++                      resets = <&dvp 1>;
++                      status = "disabled";
++              };
++
++              ddc1: i2c@7ef09500 {
++                      compatible = "brcm,bcm2711-hdmi-i2c";
++                      reg = <0x7ef09500 0x100>, <0x7ef05b00 0x300>;
++                      reg-names = "bsc", "auto-i2c";
++                      clock-frequency = <390000>;
++                      status = "disabled";
++              };
+       };
+       arm-pmu {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0591-drm-vc4-hdmi-Add-CEC-support-flag.patch b/target/linux/bcm27xx/patches-5.4/950-0591-drm-vc4-hdmi-Add-CEC-support-flag.patch
deleted file mode 100644 (file)
index 396e8a5..0000000
+++ /dev/null
@@ -1,47 +0,0 @@
-From 0f626dc8443a93138806b4a3f351bac346036358 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 6 Feb 2020 16:22:50 +0100
-Subject: [PATCH] drm/vc4: hdmi: Add CEC support flag
-
-Similarly to the audio support, CEC support is not there yet for the
-BCM2711, so let's skip entirely the CEC initialization through a variant
-flag.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 4 ++++
- drivers/gpu/drm/vc4/vc4_hdmi.h | 3 +++
- 2 files changed, 7 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1187,6 +1187,9 @@ static int vc4_hdmi_cec_init(struct vc4_
-       u32 value;
-       int ret;
-+      if (!vc4_hdmi->variant->cec_available)
-+              return 0;
-+
-       vc4_hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
-                                                 vc4_hdmi, "vc4",
-                                                 CEC_CAP_DEFAULTS |
-@@ -1475,6 +1478,7 @@ static int vc4_hdmi_dev_remove(struct pl
- static const struct vc4_hdmi_variant bcm2835_variant = {
-       .audio_available        = true,
-+      .cec_available          = true,
-       .registers              = vc4_hdmi_fields,
-       .num_registers          = ARRAY_SIZE(vc4_hdmi_fields),
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -35,6 +35,9 @@ struct vc4_hdmi_variant {
-       /* Set to true when the audio support is available */
-       bool audio_available;
-+      /* Set to true when the CEC support is available */
-+      bool cec_available;
-+
-       /* List of the registers available on that variant */
-       const struct vc4_hdmi_register *registers;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0592-DOWNSTREAM-ARM-dts-rpi4-Disable-KMS-driver-by-defaul.patch b/target/linux/bcm27xx/patches-5.4/950-0592-DOWNSTREAM-ARM-dts-rpi4-Disable-KMS-driver-by-defaul.patch
new file mode 100644 (file)
index 0000000..b27d355
--- /dev/null
@@ -0,0 +1,90 @@
+From 46369abfb7dd4c33637da4340fa47a5f76f7f1c2 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Fri, 21 Feb 2020 17:10:45 +0100
+Subject: [PATCH] ARM: dts: rpi4: Disable KMS driver by
+ default
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 48 +++++++++++++++++++++++++++
+ arch/arm/boot/dts/bcm2711-rpi.dtsi    |  5 ---
+ 2 files changed, 48 insertions(+), 5 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -182,6 +182,14 @@
+ // Downstream rpi- changes
+ #include "bcm270x.dtsi"
++
++/ {
++      soc {
++              /delete-node/ pixelvalve@7e807000;
++              /delete-node/ hdmi@7e902000;
++      };
++};
++
+ #include "bcm2711-rpi.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
+@@ -476,6 +484,46 @@
+       pinctrl-0 = <&audio_pins>;
+ };
++&vc4 {
++      status = "disabled";
++};
++
++&pixelvalve0 {
++      status = "disabled";
++};
++
++&pixelvalve1 {
++      status = "disabled";
++};
++
++&pixelvalve2 {
++      status = "disabled";
++};
++
++&pixelvalve3 {
++      status = "disabled";
++};
++
++&pixelvalve4 {
++      status = "disabled";
++};
++
++&hdmi0 {
++      status = "disabled";
++};
++
++&ddc0 {
++      status = "disabled";
++};
++
++&hdmi1 {
++      status = "disabled";
++};
++
++&ddc1 {
++      status = "disabled";
++};
++
+ / {
+       __overrides__ {
+               act_led_gpio = <&act_led>,"gpios:4";
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -55,11 +55,6 @@
+               status = "okay";
+       };
+-      vc4: gpu {
+-              compatible = "brcm,bcm2835-vc4";
+-              status = "disabled";
+-      };
+-
+       /delete-node/ audio;
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0592-drm-vc4-hdmi-Remove-unused-CEC_CLOCK_DIV-define.patch b/target/linux/bcm27xx/patches-5.4/950-0592-drm-vc4-hdmi-Remove-unused-CEC_CLOCK_DIV-define.patch
deleted file mode 100644 (file)
index 4befec6..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-From a1a87ba39e7fad93cbbb5ea178a12d0c669a9812 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 10 Feb 2020 15:15:47 +0100
-Subject: [PATCH] drm/vc4: hdmi: Remove unused CEC_CLOCK_DIV define
-
-The CEC_CLOCK_DIV define is not used anywhere in the driver, let's remove
-it.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 1 -
- 1 file changed, 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -54,7 +54,6 @@
- #define HSM_CLOCK_FREQ 163682864
- #define CEC_CLOCK_FREQ 40000
--#define CEC_CLOCK_DIV  (HSM_CLOCK_FREQ / CEC_CLOCK_FREQ)
- static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
- {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0593-drm-vc4-hdmi-Rename-drm_encoder-pointer-in-mode_vali.patch b/target/linux/bcm27xx/patches-5.4/950-0593-drm-vc4-hdmi-Rename-drm_encoder-pointer-in-mode_vali.patch
deleted file mode 100644 (file)
index 5c0ab97..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From dfc6e670144207251dc0902d1756bc89ef6cd1dc Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 13 Feb 2020 12:31:09 +0100
-Subject: [PATCH] drm/vc4: hdmi: Rename drm_encoder pointer in
- mode_valid
-
-The mode_valid hook on the encoder uses a pointer to a drm_encoder called
-crtc, which is pretty confusing. Let's rename it to encoder to make it
-clear what it is.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -559,7 +559,7 @@ static void vc4_hdmi_encoder_enable(stru
- }
- static enum drm_mode_status
--vc4_hdmi_encoder_mode_valid(struct drm_encoder *crtc,
-+vc4_hdmi_encoder_mode_valid(struct drm_encoder *encoder,
-                           const struct drm_display_mode *mode)
- {
-       /*
diff --git a/target/linux/bcm27xx/patches-5.4/950-0593-dtoverlays-Add-Pi4-version-of-vc4-kms-v3d.patch b/target/linux/bcm27xx/patches-5.4/950-0593-dtoverlays-Add-Pi4-version-of-vc4-kms-v3d.patch
new file mode 100644 (file)
index 0000000..1c46fa4
--- /dev/null
@@ -0,0 +1,241 @@
+From 7f9f7a113e9c5d6efd997de7de93af31ec286174 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.org>
+Date: Fri, 20 Sep 2019 17:20:01 +0100
+Subject: [PATCH] dtoverlays: Add Pi4 version of vc4-kms-v3d
+
+The Pi4 version of the KMS drivers is a work in progress, some
+blocks need alternate configuration, and some blocks currently
+need to remain disabled (eg the VEC).
+
+Add a new overlay (vc4-kms-v3d-pi4) that loads the parts of
+vc4-kms that do work on Pi4.
+This has been tested with DPI and HDMI (not 100% reliable on mode
+switching)
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |  14 ++
+ .../dts/overlays/vc4-kms-v3d-pi4-overlay.dts  | 183 ++++++++++++++++++
+ 3 files changed, 198 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -191,6 +191,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       vc4-fkms-v3d.dtbo \
+       vc4-kms-kippah-7inch.dtbo \
+       vc4-kms-v3d.dtbo \
++      vc4-kms-v3d-pi4.dtbo \
+       vga666.dtbo \
+       w1-gpio.dtbo \
+       w1-gpio-pullup.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2684,6 +2684,20 @@ Params: cma-256                 CMA is 2
+         audio                   Enable or disable audio over HDMI (default "on")
++Name:   vc4-kms-v3d-pi4
++Info:   Enable Eric Anholt's DRM VC4 HDMI/HVS/V3D driver for Pi4.
++Load:   dtoverlay=vc4-kms-v3d-pi4,<param>
++Params: cma-256                 CMA is 256MB
++        cma-192                 CMA is 192MB
++        cma-128                 CMA is 128MB
++        cma-96                  CMA is 96MB
++        cma-64                  CMA is 64MB
++        audio                   Enable or disable audio over HDMI0 (default
++                                "on")
++        audio1                  Enable or disable audio over HDMI1 (default
++                                "on")
++
++
+ Name:   vga666
+ Info:   Overlay for the Fen Logic VGA666 board
+         This uses GPIOs 2-21 (so no I2C), and activates the output 2-3 seconds
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
+@@ -0,0 +1,183 @@
++/*
++ * vc4-kms-v3d-pi4-overlay.dts
++ */
++
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/clock/bcm2835.h>
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target-path = "/chosen";
++              __overlay__ {
++                      bootargs = "cma=256M";
++              };
++      };
++
++      fragment@1 {
++              target-path = "/chosen";
++              __dormant__ {
++                      bootargs = "cma=192M";
++              };
++      };
++
++      fragment@2 {
++              target-path = "/chosen";
++              __dormant__ {
++                      bootargs = "cma=128M";
++              };
++      };
++
++      fragment@3 {
++              target-path = "/chosen";
++              __dormant__ {
++                      bootargs = "cma=96M";
++              };
++      };
++
++      fragment@4 {
++              target-path = "/chosen";
++              __dormant__ {
++                      bootargs = "cma=64M";
++              };
++      };
++
++      fragment@5 {
++              target = <&ddc0>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@6 {
++              target = <&ddc1>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@7 {
++              target = <&hdmi0>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@8 {
++              target = <&hdmi1>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@9 {
++              target = <&hvs>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@10 {
++              target = <&pixelvalve0>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@11 {
++              target = <&pixelvalve1>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@12 {
++              target = <&pixelvalve2>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@13 {
++              target = <&pixelvalve3>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@14 {
++              target = <&pixelvalve4>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@15 {
++              target = <&v3d>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@16 {
++              target = <&vc4>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@17 {
++              target = <&txp>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@18 {
++              target = <&fb>;
++              __overlay__  {
++                      status = "disabled";
++              };
++      };
++
++      fragment@19 {
++              target = <&firmwarekms>;
++              __overlay__  {
++                      status = "disabled";
++              };
++      };
++
++      fragment@20 {
++              target = <&vec>;
++              __overlay__  {
++                      status = "disabled";
++              };
++      };
++
++      fragment@21 {
++              target = <&hdmi0>;
++              __dormant__  {
++                      dmas;
++              };
++      };
++
++      fragment@22 {
++              target = <&hdmi1>;
++              __dormant__  {
++                      dmas;
++              };
++      };
++
++      __overrides__ {
++              cma-256 = <0>,"+0-1-2-3-4";
++              cma-192 = <0>,"-0+1-2-3-4";
++              cma-128 = <0>,"-0-1+2-3-4";
++              cma-96  = <0>,"-0-1-2+3-4";
++              cma-64  = <0>,"-0-1-2-3+4";
++              audio   = <0>,"!21";
++              audio1   = <0>,"!22";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0594-drm-Checking-of-the-pitch-is-only-valid-for-linear-f.patch b/target/linux/bcm27xx/patches-5.4/950-0594-drm-Checking-of-the-pitch-is-only-valid-for-linear-f.patch
new file mode 100644 (file)
index 0000000..3f0aa4a
--- /dev/null
@@ -0,0 +1,39 @@
+From 60ef8af4bc2d5f8643adbcb69bb1f52e491a96ae Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Mon, 27 Jan 2020 10:22:44 +0000
+Subject: [PATCH] drm: Checking of the pitch is only valid for linear
+ formats
+
+framebuffer_check was computing a minimum pitch value and ensuring
+that the provided value was greater than this.
+That check is only valid if the format is linear.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/drm_framebuffer.c | 16 ++++++++++------
+ 1 file changed, 10 insertions(+), 6 deletions(-)
+
+--- a/drivers/gpu/drm/drm_framebuffer.c
++++ b/drivers/gpu/drm/drm_framebuffer.c
+@@ -217,12 +217,16 @@ static int framebuffer_check(struct drm_
+               if (min_pitch > UINT_MAX)
+                       return -ERANGE;
+-              if ((uint64_t) height * r->pitches[i] + r->offsets[i] > UINT_MAX)
+-                      return -ERANGE;
++              if (r->modifier[i] == DRM_FORMAT_MOD_LINEAR) {
++                      if ((uint64_t)height * r->pitches[i] + r->offsets[i] >
++                                                              UINT_MAX)
++                              return -ERANGE;
+-              if (block_size && r->pitches[i] < min_pitch) {
+-                      DRM_DEBUG_KMS("bad pitch %u for plane %d\n", r->pitches[i], i);
+-                      return -EINVAL;
++                      if (block_size && r->pitches[i] < min_pitch) {
++                              DRM_DEBUG_KMS("bad pitch %u for plane %d\n",
++                                            r->pitches[i], i);
++                              return -EINVAL;
++                      }
+               }
+               if (r->modifier[i] && !(r->flags & DRM_MODE_FB_MODIFIERS)) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0594-drm-vc4-hdmi-Adjust-HSM-clock-rate-depending-on-pixe.patch b/target/linux/bcm27xx/patches-5.4/950-0594-drm-vc4-hdmi-Adjust-HSM-clock-rate-depending-on-pixe.patch
deleted file mode 100644 (file)
index 5b836f8..0000000
+++ /dev/null
@@ -1,163 +0,0 @@
-From 3c33724058852d7c58d77d03e11ca545fb04256a Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Mon, 10 Feb 2020 15:23:06 +0100
-Subject: [PATCH] drm/vc4: hdmi: Adjust HSM clock rate depending on
- pixel rate
-
-The HSM clock needs to be setup at around 110% of the pixel rate. This
-was done previously by setting the clock rate to 148.5MHz * 108% at
-probe time and only check in mode_valid whether the mode pixel clock was
-under 148.5MHz or not.
-
-However, with 4k we need to change that frequency to a higher frequency
-than 148.5MHz.
-
-Let's change that logic a bit by setting the clock rate of the HSM clock
-to the pixel rate at encoder_enable time. This would work for the
-BCM2711 that support 4k resolutions and has a clock that can provide it,
-but we still have to take care of a 4k panel plugged on a BCM283x SoCs
-that wouldn't be able to use those modes, so let's define the limit in
-the variant.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 51 +++++++++++++++++-----------------
- drivers/gpu/drm/vc4/vc4_hdmi.h |  3 ++
- 2 files changed, 29 insertions(+), 25 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -52,7 +52,6 @@
- #include "vc4_hdmi_regs.h"
- #include "vc4_regs.h"
--#define HSM_CLOCK_FREQ 163682864
- #define CEC_CLOCK_FREQ 40000
- static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
-@@ -329,6 +328,7 @@ static void vc4_hdmi_encoder_disable(str
-       HDMI_WRITE(HDMI_VID_CTL,
-                  HDMI_READ(HDMI_VID_CTL) & ~VC4_HD_VID_CTL_ENABLE);
-+      clk_disable_unprepare(vc4_hdmi->hsm_clock);
-       clk_disable_unprepare(vc4_hdmi->pixel_clock);
-       ret = pm_runtime_put(&vc4_hdmi->pdev->dev);
-@@ -426,6 +426,7 @@ static void vc4_hdmi_encoder_enable(stru
-       struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-       struct vc4_hdmi_encoder *vc4_encoder = to_vc4_hdmi_encoder(encoder);
-       bool debug_dump_regs = false;
-+      unsigned long pixel_rate, hsm_rate;
-       int ret;
-       ret = pm_runtime_get_sync(&vc4_hdmi->pdev->dev);
-@@ -434,9 +435,8 @@ static void vc4_hdmi_encoder_enable(stru
-               return;
-       }
--      ret = clk_set_rate(vc4_hdmi->pixel_clock,
--                         mode->clock * 1000 *
--                         ((mode->flags & DRM_MODE_FLAG_DBLCLK) ? 2 : 1));
-+      pixel_rate = mode->clock * 1000 * ((mode->flags & DRM_MODE_FLAG_DBLCLK) ? 2 : 1);
-+      ret = clk_set_rate(vc4_hdmi->pixel_clock, pixel_rate);
-       if (ret) {
-               DRM_ERROR("Failed to set pixel clock rate: %d\n", ret);
-               return;
-@@ -448,6 +448,24 @@ static void vc4_hdmi_encoder_enable(stru
-               return;
-       }
-+      /*
-+       * The HSM rate needs to be at 108% of the pixel clock, with a
-+       * minimum of 108MHz.
-+       */
-+      hsm_rate = max_t(unsigned long, 108000000, (pixel_rate / 100) * 108);
-+      ret = clk_set_rate(vc4_hdmi->hsm_clock, hsm_rate);
-+      if (ret) {
-+              DRM_ERROR("Failed to set HSM clock rate: %d\n", ret);
-+              return;
-+      }
-+
-+      ret = clk_prepare_enable(vc4_hdmi->hsm_clock);
-+      if (ret) {
-+              DRM_ERROR("Failed to turn on HSM clock: %d\n", ret);
-+              clk_disable_unprepare(vc4_hdmi->pixel_clock);
-+              return;
-+      }
-+
-       if (vc4_hdmi->variant->reset)
-               vc4_hdmi->variant->reset(vc4_hdmi);
-@@ -578,7 +596,9 @@ vc4_hdmi_encoder_mode_valid(struct drm_e
-        * Additionally, the AXI clock needs to be at least 25% of
-        * pixel clock, but HSM ends up being the limiting factor.
-        */
--      if (mode->clock > HSM_CLOCK_FREQ / (1000 * 101 / 100))
-+      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-+
-+      if ((mode->clock * 1000) > vc4_hdmi->variant->max_pixel_clock)
-               return MODE_CLOCK_HIGH;
-       return MODE_OK;
-@@ -1354,23 +1374,6 @@ static int vc4_hdmi_bind(struct device *
-               return -EPROBE_DEFER;
-       }
--      /* This is the rate that is set by the firmware.  The number
--       * needs to be a bit higher than the pixel clock rate
--       * (generally 148.5Mhz).
--       */
--      ret = clk_set_rate(vc4_hdmi->hsm_clock, HSM_CLOCK_FREQ);
--      if (ret) {
--              DRM_ERROR("Failed to set HSM clock rate: %d\n", ret);
--              goto err_put_i2c;
--      }
--
--      ret = clk_prepare_enable(vc4_hdmi->hsm_clock);
--      if (ret) {
--              DRM_ERROR("Failed to turn on HDMI state machine clock: %d\n",
--                        ret);
--              goto err_put_i2c;
--      }
--
-       /* Only use the GPIO HPD pin if present in the DT, otherwise
-        * we'll use the HDMI core's register.
-        */
-@@ -1428,9 +1431,7 @@ err_destroy_conn:
- err_destroy_encoder:
-       vc4_hdmi_encoder_destroy(encoder);
- err_unprepare_hsm:
--      clk_disable_unprepare(vc4_hdmi->hsm_clock);
-       pm_runtime_disable(dev);
--err_put_i2c:
-       put_device(&vc4_hdmi->ddc->dev);
-       return ret;
-@@ -1453,7 +1454,6 @@ static void vc4_hdmi_unbind(struct devic
-       vc4_hdmi_connector_destroy(&vc4_hdmi->connector);
-       vc4_hdmi_encoder_destroy(&vc4_hdmi->encoder.base.base);
--      clk_disable_unprepare(vc4_hdmi->hsm_clock);
-       pm_runtime_disable(dev);
-       put_device(&vc4_hdmi->ddc->dev);
-@@ -1476,6 +1476,7 @@ static int vc4_hdmi_dev_remove(struct pl
- }
- static const struct vc4_hdmi_variant bcm2835_variant = {
-+      .max_pixel_clock        = 148500000,
-       .audio_available        = true,
-       .cec_available          = true,
-       .registers              = vc4_hdmi_fields,
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -38,6 +38,9 @@ struct vc4_hdmi_variant {
-       /* Set to true when the CEC support is available */
-       bool cec_available;
-+      /* Maximum pixel clock supported by the controller (in Hz) */
-+      unsigned long long max_pixel_clock;
-+
-       /* List of the registers available on that variant */
-       const struct vc4_hdmi_register *registers;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0595-drm-vc4-Add-support-for-DRM_FORMAT_P030-to-vc4-plane.patch b/target/linux/bcm27xx/patches-5.4/950-0595-drm-vc4-Add-support-for-DRM_FORMAT_P030-to-vc4-plane.patch
new file mode 100644 (file)
index 0000000..a4d5afe
--- /dev/null
@@ -0,0 +1,174 @@
+From 87c4b03b9d1180c2f878b19363ec0609b5f24c75 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 24 Jan 2020 14:25:41 +0000
+Subject: [PATCH] drm/vc4: Add support for DRM_FORMAT_P030 to vc4
+ planes
+
+This currently doesn't handle non-zero source rectangles correctly,
+but add support for DRM_FORMAT_P030 with DRM_FORMAT_MOD_BROADCOM_SAND128
+modifier to planes when running on HVS5.
+
+WIP still for source cropping SAND/P030 formats
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_plane.c | 83 +++++++++++++++++++++++----------
+ 1 file changed, 59 insertions(+), 24 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_plane.c
++++ b/drivers/gpu/drm/vc4/vc4_plane.c
+@@ -33,6 +33,7 @@ static const struct hvs_format {
+       u32 hvs; /* HVS_FORMAT_* */
+       u32 pixel_order;
+       u32 pixel_order_hvs5;
++      bool hvs5_only;
+ } hvs_formats[] = {
+       {
+               .drm = DRM_FORMAT_XRGB8888,
+@@ -128,6 +129,12 @@ static const struct hvs_format {
+               .hvs = HVS_PIXEL_FORMAT_YCBCR_YUV422_2PLANE,
+               .pixel_order = HVS_PIXEL_ORDER_XYCRCB,
+       },
++      {
++              .drm = DRM_FORMAT_P030,
++              .hvs = HVS_PIXEL_FORMAT_YCBCR_10BIT,
++              .pixel_order = HVS_PIXEL_ORDER_XYCBCR,
++              .hvs5_only = true,
++      },
+ };
+ static const struct hvs_format *vc4_get_hvs_format(u32 drm_format)
+@@ -809,27 +816,33 @@ static int vc4_plane_mode_set(struct drm
+               uint32_t param = fourcc_mod_broadcom_param(fb->modifier);
+               u32 tile_w, tile, x_off, pix_per_tile;
+-              hvs_format = HVS_PIXEL_FORMAT_H264;
+-
+-              switch (base_format_mod) {
+-              case DRM_FORMAT_MOD_BROADCOM_SAND64:
+-                      tiling = SCALER_CTL0_TILING_64B;
+-                      tile_w = 64;
+-                      break;
+-              case DRM_FORMAT_MOD_BROADCOM_SAND128:
++              if (fb->format->format == DRM_FORMAT_P030) {
++                      hvs_format = HVS_PIXEL_FORMAT_YCBCR_10BIT;
+                       tiling = SCALER_CTL0_TILING_128B;
+-                      tile_w = 128;
+-                      break;
+-              case DRM_FORMAT_MOD_BROADCOM_SAND256:
+-                      tiling = SCALER_CTL0_TILING_256B_OR_T;
+-                      tile_w = 256;
+-                      break;
+-              default:
+-                      break;
+-              }
++                      tile_w = 96;
++              } else {
++                      hvs_format = HVS_PIXEL_FORMAT_H264;
++                      switch (base_format_mod) {
++                      case DRM_FORMAT_MOD_BROADCOM_SAND64:
++                              tiling = SCALER_CTL0_TILING_64B;
++                              tile_w = 64;
++                              break;
++                      case DRM_FORMAT_MOD_BROADCOM_SAND128:
++                              tiling = SCALER_CTL0_TILING_128B;
++                              tile_w = 128;
++                              break;
++                      case DRM_FORMAT_MOD_BROADCOM_SAND256:
++                              tiling = SCALER_CTL0_TILING_256B_OR_T;
++                              tile_w = 256;
++                              break;
++                      default:
++                              break;
++                      }
++              }
+               if (param > SCALER_TILE_HEIGHT_MASK) {
+-                      DRM_DEBUG_KMS("SAND height too large (%d)\n", param);
++                      DRM_DEBUG_KMS("SAND height too large (%d)\n",
++                                    param);
+                       return -EINVAL;
+               }
+@@ -839,6 +852,13 @@ static int vc4_plane_mode_set(struct drm
+               /* Adjust the base pointer to the first pixel to be scanned
+                * out.
++               *
++               * For P030, y_ptr [31:4] is the 128bit word for the start pixel
++               * y_ptr [3:0] is the pixel (0-11) contained within that 128bit
++               * word that should be taken as the first pixel.
++               * Ditto uv_ptr [31:4] vs [3:0], however [3:0] contains the
++               * element within the 128bit word, eg for pixel 3 the value
++               * should be 6.
+                */
+               for (i = 0; i < num_planes; i++) {
+                       vc4_state->offsets[i] += param * tile_w * tile;
+@@ -951,8 +971,8 @@ static int vc4_plane_mode_set(struct drm
+               vc4_dlist_write(vc4_state,
+                               VC4_SET_FIELD(state->alpha >> 4,
+                                             SCALER5_CTL2_ALPHA) |
+-                              fb->format->has_alpha ?
+-                                      SCALER5_CTL2_ALPHA_PREMULT : 0 |
++                              (fb->format->has_alpha ?
++                                      SCALER5_CTL2_ALPHA_PREMULT : 0) |
+                               (mix_plane_alpha ?
+                                       SCALER5_CTL2_ALPHA_MIX : 0) |
+                               VC4_SET_FIELD(fb->format->has_alpha ?
+@@ -1000,7 +1020,8 @@ static int vc4_plane_mode_set(struct drm
+       /* Pitch word 1/2 */
+       for (i = 1; i < num_planes; i++) {
+-              if (hvs_format != HVS_PIXEL_FORMAT_H264) {
++              if (hvs_format != HVS_PIXEL_FORMAT_H264 &&
++                  hvs_format != HVS_PIXEL_FORMAT_YCBCR_10BIT) {
+                       vc4_dlist_write(vc4_state,
+                                       VC4_SET_FIELD(fb->pitches[i],
+                                                     SCALER_SRC_PITCH));
+@@ -1371,6 +1392,13 @@ static bool vc4_format_mod_supported(str
+               default:
+                       return false;
+               }
++      case DRM_FORMAT_P030:
++              switch (fourcc_mod_broadcom_mod(modifier)) {
++              case DRM_FORMAT_MOD_BROADCOM_SAND128:
++                      return true;
++              default:
++                      return false;
++              }
+       case DRM_FORMAT_RGBX1010102:
+       case DRM_FORMAT_BGRX1010102:
+       case DRM_FORMAT_RGBA1010102:
+@@ -1403,8 +1431,11 @@ struct drm_plane *vc4_plane_init(struct
+       struct drm_plane *plane = NULL;
+       struct vc4_plane *vc4_plane;
+       u32 formats[ARRAY_SIZE(hvs_formats)];
++      int num_formats = 0;
+       int ret = 0;
+       unsigned i;
++      bool hvs5 = of_device_is_compatible(dev->dev->of_node,
++                                          "brcm,bcm2711-vc5");
+       static const uint64_t modifiers[] = {
+               DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED,
+               DRM_FORMAT_MOD_BROADCOM_SAND128,
+@@ -1419,13 +1450,17 @@ struct drm_plane *vc4_plane_init(struct
+       if (!vc4_plane)
+               return ERR_PTR(-ENOMEM);
+-      for (i = 0; i < ARRAY_SIZE(hvs_formats); i++)
+-              formats[i] = hvs_formats[i].drm;
++      for (i = 0; i < ARRAY_SIZE(hvs_formats); i++) {
++              if (hvs_formats[i].hvs5_only || hvs5) {
++                      formats[num_formats] = hvs_formats[i].drm;
++                      num_formats++;
++              }
++      }
+       plane = &vc4_plane->base;
+       ret = drm_universal_plane_init(dev, plane, 0,
+                                      &vc4_plane_funcs,
+-                                     formats, ARRAY_SIZE(formats),
++                                     formats, num_formats,
+                                      modifiers, type, NULL);
+       drm_plane_helper_add(plane, &vc4_plane_helper_funcs);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0595-drm-vc4-hdmi-Support-the-BCM2711-HDMI-controllers.patch b/target/linux/bcm27xx/patches-5.4/950-0595-drm-vc4-hdmi-Support-the-BCM2711-HDMI-controllers.patch
deleted file mode 100644 (file)
index 8a27722..0000000
+++ /dev/null
@@ -1,1131 +0,0 @@
-From d0931317c51f14bf65af65e7c3f2df6bb26d7c97 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Tue, 17 Dec 2019 11:48:37 +0100
-Subject: [PATCH] drm/vc4: hdmi: Support the BCM2711 HDMI controllers
-
-Now that the driver is ready for it, let's bring in the HDMI controllers
-variants for the BCM2711.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c      | 254 +++++++++++++++
- drivers/gpu/drm/vc4/vc4_hdmi.h      |  35 +++
- drivers/gpu/drm/vc4/vc4_hdmi_phy.c  | 469 ++++++++++++++++++++++++++++
- drivers/gpu/drm/vc4/vc4_hdmi_regs.h | 201 ++++++++++++
- 4 files changed, 959 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -42,6 +42,7 @@
- #include <linux/of_platform.h>
- #include <linux/pm_runtime.h>
- #include <linux/rational.h>
-+#include <linux/reset.h>
- #include <sound/dmaengine_pcm.h>
- #include <sound/pcm_drm_eld.h>
- #include <sound/pcm_params.h>
-@@ -52,6 +53,31 @@
- #include "vc4_hdmi_regs.h"
- #include "vc4_regs.h"
-+#define VC5_HDMI_HORZA_HFP_SHIFT              16
-+#define VC5_HDMI_HORZA_HFP_MASK                       VC4_MASK(28, 16)
-+#define VC5_HDMI_HORZA_VPOS                   BIT(15)
-+#define VC5_HDMI_HORZA_HPOS                   BIT(14)
-+#define VC5_HDMI_HORZA_HAP_SHIFT              0
-+#define VC5_HDMI_HORZA_HAP_MASK                       VC4_MASK(13, 0)
-+
-+#define VC5_HDMI_HORZB_HBP_SHIFT              16
-+#define VC5_HDMI_HORZB_HBP_MASK                       VC4_MASK(26, 16)
-+#define VC5_HDMI_HORZB_HSP_SHIFT              0
-+#define VC5_HDMI_HORZB_HSP_MASK                       VC4_MASK(10, 0)
-+
-+#define VC5_HDMI_VERTA_VSP_SHIFT              24
-+#define VC5_HDMI_VERTA_VSP_MASK                       VC4_MASK(28, 24)
-+#define VC5_HDMI_VERTA_VFP_SHIFT              16
-+#define VC5_HDMI_VERTA_VFP_MASK                       VC4_MASK(22, 16)
-+#define VC5_HDMI_VERTA_VAL_SHIFT              0
-+#define VC5_HDMI_VERTA_VAL_MASK                       VC4_MASK(12, 0)
-+
-+#define VC5_HDMI_VERTB_VSPO_SHIFT             16
-+#define VC5_HDMI_VERTB_VSPO_MASK              VC4_MASK(29, 16)
-+
-+# define VC4_HD_M_SW_RST                      BIT(2)
-+# define VC4_HD_M_ENABLE                      BIT(0)
-+
- #define CEC_CLOCK_FREQ 40000
- static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
-@@ -75,6 +101,13 @@ static void vc4_hdmi_reset(struct vc4_hd
-       HDMI_WRITE(HDMI_SW_RESET_CONTROL, 0);
- }
-+static void vc5_hdmi_reset(struct vc4_hdmi *vc4_hdmi)
-+{
-+      reset_control_reset(vc4_hdmi->reset);
-+
-+      HDMI_WRITE(HDMI_DVP_CTL, 0);
-+}
-+
- static enum drm_connector_status
- vc4_hdmi_connector_detect(struct drm_connector *connector, bool force)
- {
-@@ -371,6 +404,45 @@ static void vc4_hdmi_csc_setup(struct vc
-       HDMI_WRITE(HDMI_CSC_CTL, csc_ctl);
- }
-+static void vc5_hdmi_csc_setup(struct vc4_hdmi *vc4_hdmi, bool enable)
-+{
-+      u32 csc_ctl;
-+
-+      csc_ctl = 0x07; /* RGB_CONVERT_MODE = custom matrix, || USE_RGB_TO_YCBCR */
-+
-+      if (enable) {
-+              /* CEA VICs other than #1 requre limited range RGB
-+               * output unless overridden by an AVI infoframe.
-+               * Apply a colorspace conversion to squash 0-255 down
-+               * to 16-235.  The matrix here is:
-+               *
-+               * [ 0.8594 0      0      16]
-+               * [ 0      0.8594 0      16]
-+               * [ 0      0      0.8594 16]
-+               * [ 0      0      0       1]
-+               * Matrix is signed 2p13 fixed point, with signed 9p6 offsets
-+               */
-+              HDMI_WRITE(HDMI_CSC_12_11, (0x0000 << 16) | 0x1b80);
-+              HDMI_WRITE(HDMI_CSC_14_13, (0x0400 << 16) | 0x0000);
-+              HDMI_WRITE(HDMI_CSC_22_21, (0x1b80 << 16) | 0x0000);
-+              HDMI_WRITE(HDMI_CSC_24_23, (0x0400 << 16) | 0x0000);
-+              HDMI_WRITE(HDMI_CSC_32_31, (0x0000 << 16) | 0x0000);
-+              HDMI_WRITE(HDMI_CSC_34_33, (0x0400 << 16) | 0x1b80);
-+      } else {
-+              /* Still use the matrix for full range, but make it unity.
-+               * Matrix is signed 2p13 fixed point, with signed 9p6 offsets
-+               */
-+              HDMI_WRITE(HDMI_CSC_12_11, (0x0000 << 16) | 0x2000);
-+              HDMI_WRITE(HDMI_CSC_14_13, (0x0000 << 16) | 0x0000);
-+              HDMI_WRITE(HDMI_CSC_22_21, (0x2000 << 16) | 0x0000);
-+              HDMI_WRITE(HDMI_CSC_24_23, (0x0000 << 16) | 0x0000);
-+              HDMI_WRITE(HDMI_CSC_32_31, (0x0000 << 16) | 0x0000);
-+              HDMI_WRITE(HDMI_CSC_34_33, (0x0000 << 16) | 0x2000);
-+      }
-+
-+      HDMI_WRITE(HDMI_CSC_CTL, csc_ctl);
-+}
-+
- static void vc4_hdmi_set_timings(struct vc4_hdmi *vc4_hdmi,
-                                struct drm_display_mode *mode)
- {
-@@ -420,6 +492,58 @@ static void vc4_hdmi_set_timings(struct
-                (hsync_pos ? 0 : VC4_HD_VID_CTL_HSYNC_LOW));
- }
-+static void vc5_hdmi_set_timings(struct vc4_hdmi *vc4_hdmi,
-+                               struct drm_display_mode *mode)
-+{
-+      bool hsync_pos = mode->flags & DRM_MODE_FLAG_PHSYNC;
-+      bool vsync_pos = mode->flags & DRM_MODE_FLAG_PVSYNC;
-+      bool interlaced = mode->flags & DRM_MODE_FLAG_INTERLACE;
-+      u32 pixel_rep = (mode->flags & DRM_MODE_FLAG_DBLCLK) ? 2 : 1;
-+      u32 verta = (VC4_SET_FIELD(mode->crtc_vsync_end - mode->crtc_vsync_start,
-+                                 VC5_HDMI_VERTA_VSP) |
-+                   VC4_SET_FIELD(mode->crtc_vsync_start - mode->crtc_vdisplay,
-+                                 VC5_HDMI_VERTA_VFP) |
-+                   VC4_SET_FIELD(mode->crtc_vdisplay, VC5_HDMI_VERTA_VAL));
-+      u32 vertb = (VC4_SET_FIELD(0, VC5_HDMI_VERTB_VSPO) |
-+                   VC4_SET_FIELD(mode->crtc_vtotal - mode->crtc_vsync_end,
-+                                 VC4_HDMI_VERTB_VBP));
-+      u32 vertb_even = (VC4_SET_FIELD(0, VC5_HDMI_VERTB_VSPO) |
-+                        VC4_SET_FIELD(mode->crtc_vtotal -
-+                                      mode->crtc_vsync_end -
-+                                      interlaced,
-+                                      VC4_HDMI_VERTB_VBP));
-+
-+      HDMI_WRITE(HDMI_VEC_INTERFACE_XBAR, 0x354021);
-+      HDMI_WRITE(HDMI_HORZA,
-+                 (vsync_pos ? VC5_HDMI_HORZA_VPOS : 0) |
-+                 (hsync_pos ? VC5_HDMI_HORZA_HPOS : 0) |
-+                 VC4_SET_FIELD(mode->hdisplay * pixel_rep,
-+                               VC5_HDMI_HORZA_HAP) |
-+                 VC4_SET_FIELD((mode->hsync_start -
-+                                mode->hdisplay) * pixel_rep,
-+                               VC5_HDMI_HORZA_HFP));
-+
-+      HDMI_WRITE(HDMI_HORZB,
-+                 VC4_SET_FIELD((mode->htotal -
-+                                mode->hsync_end) * pixel_rep,
-+                               VC5_HDMI_HORZB_HBP) |
-+                 VC4_SET_FIELD((mode->hsync_end -
-+                                mode->hsync_start) * pixel_rep,
-+                               VC5_HDMI_HORZB_HSP));
-+
-+      HDMI_WRITE(HDMI_VERTA0, verta);
-+      HDMI_WRITE(HDMI_VERTA1, verta);
-+
-+      HDMI_WRITE(HDMI_VERTB0, vertb_even);
-+      HDMI_WRITE(HDMI_VERTB1, vertb);
-+
-+      HDMI_WRITE(HDMI_VID_CTL,
-+               (vsync_pos ? 0 : VC4_HD_VID_CTL_VSYNC_LOW) |
-+               (hsync_pos ? 0 : VC4_HD_VID_CTL_HSYNC_LOW));
-+
-+      HDMI_WRITE(HDMI_CLOCK_STOP, 0);
-+}
-+
- static void vc4_hdmi_encoder_enable(struct drm_encoder *encoder)
- {
-       struct drm_display_mode *mode = &encoder->crtc->state->adjusted_mode;
-@@ -1337,6 +1461,92 @@ static int vc4_hdmi_init_resources(struc
-       return 0;
- }
-+static int vc5_hdmi_init_resources(struct vc4_hdmi *vc4_hdmi)
-+{
-+      struct platform_device *pdev = vc4_hdmi->pdev;
-+      struct device *dev = &pdev->dev;
-+      struct resource *res;
-+
-+      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "hdmi");
-+      if (!res)
-+              return -ENODEV;
-+
-+      vc4_hdmi->hdmicore_regs = devm_ioremap(dev, res->start,
-+                                             resource_size(res));
-+      if (IS_ERR(vc4_hdmi->hdmicore_regs))
-+              return PTR_ERR(vc4_hdmi->hdmicore_regs);
-+
-+      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "hd");
-+      if (!res)
-+              return -ENODEV;
-+
-+      vc4_hdmi->hd_regs = devm_ioremap(dev, res->start, resource_size(res));
-+      if (IS_ERR(vc4_hdmi->hd_regs))
-+              return PTR_ERR(vc4_hdmi->hd_regs);
-+
-+      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "cec");
-+      if (!res)
-+              return -ENODEV;
-+
-+      vc4_hdmi->cec_regs = devm_ioremap(dev, res->start, resource_size(res));
-+      if (IS_ERR(vc4_hdmi->cec_regs))
-+              return PTR_ERR(vc4_hdmi->cec_regs);
-+
-+      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "csc");
-+      if (!res)
-+              return -ENODEV;
-+
-+      vc4_hdmi->csc_regs = devm_ioremap(dev, res->start, resource_size(res));
-+      if (IS_ERR(vc4_hdmi->csc_regs))
-+              return PTR_ERR(vc4_hdmi->csc_regs);
-+
-+      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "dvp");
-+      if (!res)
-+              return -ENODEV;
-+
-+      vc4_hdmi->dvp_regs = devm_ioremap(dev, res->start, resource_size(res));
-+      if (IS_ERR(vc4_hdmi->dvp_regs))
-+              return PTR_ERR(vc4_hdmi->dvp_regs);
-+
-+      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "phy");
-+      if (!res)
-+              return -ENODEV;
-+
-+      vc4_hdmi->phy_regs = devm_ioremap(dev, res->start, resource_size(res));
-+      if (IS_ERR(vc4_hdmi->phy_regs))
-+              return PTR_ERR(vc4_hdmi->phy_regs);
-+
-+      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "packet");
-+      if (!res)
-+              return -ENODEV;
-+
-+      vc4_hdmi->ram_regs = devm_ioremap(dev, res->start, resource_size(res));
-+      if (IS_ERR(vc4_hdmi->ram_regs))
-+              return PTR_ERR(vc4_hdmi->ram_regs);
-+
-+      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "rm");
-+      if (!res)
-+              return -ENODEV;
-+
-+      vc4_hdmi->rm_regs = devm_ioremap(dev, res->start, resource_size(res));
-+      if (IS_ERR(vc4_hdmi->rm_regs))
-+              return PTR_ERR(vc4_hdmi->rm_regs);
-+
-+      vc4_hdmi->hsm_clock = devm_clk_get(dev, "hdmi");
-+      if (IS_ERR(vc4_hdmi->hsm_clock)) {
-+              DRM_ERROR("Failed to get HDMI state machine clock\n");
-+              return PTR_ERR(vc4_hdmi->hsm_clock);
-+      }
-+
-+      vc4_hdmi->reset = devm_reset_control_get(dev, NULL);
-+      if (IS_ERR(vc4_hdmi->reset)) {
-+              DRM_ERROR("Failed to get HDMI reset line\n");
-+              return PTR_ERR(vc4_hdmi->reset);
-+      }
-+
-+      return 0;
-+}
-+
- static int vc4_hdmi_bind(struct device *dev, struct device *master, void *data)
- {
-       struct platform_device *pdev = to_platform_device(dev);
-@@ -1492,8 +1702,52 @@ static const struct vc4_hdmi_variant bcm
-       .phy_rng_disable        = vc4_hdmi_phy_rng_disable,
- };
-+static const struct vc4_hdmi_variant bcm2711_hdmi0_variant = {
-+      .id                     = 0,
-+      .max_pixel_clock        = 297000000,
-+      .registers              = vc5_hdmi_hdmi0_fields,
-+      .num_registers          = ARRAY_SIZE(vc5_hdmi_hdmi0_fields),
-+      .phy_lane_mapping       = {
-+              PHY_LANE_0,
-+              PHY_LANE_1,
-+              PHY_LANE_2,
-+              PHY_LANE_CK,
-+      },
-+
-+      .init_resources         = vc5_hdmi_init_resources,
-+      .csc_setup              = vc5_hdmi_csc_setup,
-+      .reset                  = vc5_hdmi_reset,
-+      .set_timings            = vc5_hdmi_set_timings,
-+      .phy_init               = vc5_hdmi_phy_init,
-+      .phy_rng_enable         = vc5_hdmi_phy_rng_enable,
-+      .phy_rng_disable        = vc5_hdmi_phy_rng_disable,
-+};
-+
-+static const struct vc4_hdmi_variant bcm2711_hdmi1_variant = {
-+      .id                     = 1,
-+      .max_pixel_clock        = 297000000,
-+      .registers              = vc5_hdmi_hdmi1_fields,
-+      .num_registers          = ARRAY_SIZE(vc5_hdmi_hdmi1_fields),
-+      .phy_lane_mapping       = {
-+              PHY_LANE_1,
-+              PHY_LANE_0,
-+              PHY_LANE_CK,
-+              PHY_LANE_2,
-+      },
-+
-+      .init_resources         = vc5_hdmi_init_resources,
-+      .csc_setup              = vc5_hdmi_csc_setup,
-+      .reset                  = vc5_hdmi_reset,
-+      .set_timings            = vc5_hdmi_set_timings,
-+      .phy_init               = vc5_hdmi_phy_init,
-+      .phy_rng_enable         = vc5_hdmi_phy_rng_enable,
-+      .phy_rng_disable        = vc5_hdmi_phy_rng_disable,
-+};
-+
- static const struct of_device_id vc4_hdmi_dt_match[] = {
-       { .compatible = "brcm,bcm2835-hdmi", .data = &bcm2835_variant },
-+      { .compatible = "brcm,bcm2711-hdmi0", .data = &bcm2711_hdmi0_variant },
-+      { .compatible = "brcm,bcm2711-hdmi1", .data = &bcm2711_hdmi1_variant },
-       {}
- };
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -26,6 +26,13 @@ struct drm_display_mode;
- struct vc4_hdmi;
- struct vc4_hdmi_register;
-+enum vc4_hdmi_phy_channel {
-+      PHY_LANE_0 = 0,
-+      PHY_LANE_1,
-+      PHY_LANE_2,
-+      PHY_LANE_CK,
-+};
-+
- struct vc4_hdmi_variant {
-       /* On devices that have multiple, different instances (like
-        * the BCM2711), which instance is that variant useful for.
-@@ -47,6 +54,13 @@ struct vc4_hdmi_variant {
-       /* Number of registers on that variant */
-       unsigned int num_registers;
-+      /* BCM2711 Only.
-+       * The variants don't map the lane in the same order in the
-+       * PHY, so this is an array mapping the HDMI channel (index)
-+       * to the PHY lane (value).
-+       */
-+      enum vc4_hdmi_phy_channel phy_lane_mapping[4];
-+
-       /* Callback to get the resources (memory region, interrupts,
-        * clocks, etc) for that variant.
-        */
-@@ -102,6 +116,20 @@ struct vc4_hdmi {
-       struct i2c_adapter *ddc;
-       void __iomem *hdmicore_regs;
-       void __iomem *hd_regs;
-+
-+      /* VC5 Only */
-+      void __iomem *cec_regs;
-+      /* VC5 Only */
-+      void __iomem *csc_regs;
-+      /* VC5 Only */
-+      void __iomem *dvp_regs;
-+      /* VC5 Only */
-+      void __iomem *phy_regs;
-+      /* VC5 Only */
-+      void __iomem *ram_regs;
-+      /* VC5 Only */
-+      void __iomem *rm_regs;
-+
-       int hpd_gpio;
-       bool hpd_active_low;
-@@ -113,6 +141,8 @@ struct vc4_hdmi {
-       struct clk *pixel_clock;
-       struct clk *hsm_clock;
-+      struct reset_control *reset;
-+
-       struct debugfs_regset32 hdmi_regset;
-       struct debugfs_regset32 hd_regset;
- };
-@@ -137,4 +167,9 @@ void vc4_hdmi_phy_disable(struct vc4_hdm
- void vc4_hdmi_phy_rng_enable(struct vc4_hdmi *vc4_hdmi);
- void vc4_hdmi_phy_rng_disable(struct vc4_hdmi *vc4_hdmi);
-+void vc5_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi,
-+                     struct drm_display_mode *mode);
-+void vc5_hdmi_phy_rng_enable(struct vc4_hdmi *vc4_hdmi);
-+void vc5_hdmi_phy_rng_disable(struct vc4_hdmi *vc4_hdmi);
-+
- #endif /* _VC4_HDMI_H_ */
---- a/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
-@@ -10,6 +10,123 @@
- #include "vc4_regs.h"
- #include "vc4_hdmi_regs.h"
-+#define VC4_HDMI_TX_PHY_RESET_CTL_PLL_RESETB  BIT(5)
-+#define VC4_HDMI_TX_PHY_RESET_CTL_PLLDIV_RESETB       BIT(4)
-+#define VC4_HDMI_TX_PHY_RESET_CTL_TX_CK_RESET BIT(3)
-+#define VC4_HDMI_TX_PHY_RESET_CTL_TX_2_RESET  BIT(2)
-+#define VC4_HDMI_TX_PHY_RESET_CTL_TX_1_RESET  BIT(1)
-+#define VC4_HDMI_TX_PHY_RESET_CTL_TX_0_RESET  BIT(0)
-+
-+#define VC4_HDMI_TX_PHY_POWERDOWN_CTL_RNDGEN_PWRDN    BIT(4)
-+
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_2_PREEMP_SHIFT   29
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_2_PREEMP_MASK    VC4_MASK(31, 29)
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_2_MAINDRV_SHIFT  24
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_2_MAINDRV_MASK   VC4_MASK(28, 24)
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_1_PREEMP_SHIFT   21
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_1_PREEMP_MASK    VC4_MASK(23, 21)
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_1_MAINDRV_SHIFT  16
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_1_MAINDRV_MASK   VC4_MASK(20, 16)
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_0_PREEMP_SHIFT   13
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_0_PREEMP_MASK    VC4_MASK(15, 13)
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_0_MAINDRV_SHIFT  8
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_0_MAINDRV_MASK   VC4_MASK(12, 8)
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_CK_PREEMP_SHIFT  5
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_CK_PREEMP_MASK   VC4_MASK(7, 5)
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_CK_MAINDRV_SHIFT 0
-+#define VC4_HDMI_TX_PHY_CTL_0_PREEMP_CK_MAINDRV_MASK  VC4_MASK(4, 0)
-+
-+#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA2_SHIFT     15
-+#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA2_MASK      VC4_MASK(19, 15)
-+#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA1_SHIFT     10
-+#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA1_MASK      VC4_MASK(14, 10)
-+#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA0_SHIFT     5
-+#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA0_MASK      VC4_MASK(9, 5)
-+#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_CK_SHIFT                0
-+#define VC4_HDMI_TX_PHY_CTL_1_RES_SEL_CK_MASK         VC4_MASK(4, 0)
-+
-+#define VC4_HDMI_TX_PHY_CTL_2_VCO_GAIN_SHIFT          16
-+#define VC4_HDMI_TX_PHY_CTL_2_VCO_GAIN_MASK           VC4_MASK(19, 16)
-+#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA2_SHIFT 12
-+#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA2_MASK  VC4_MASK(15, 12)
-+#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA1_SHIFT 8
-+#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA1_MASK  VC4_MASK(11, 8)
-+#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA0_SHIFT 4
-+#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA0_MASK  VC4_MASK(7, 4)
-+#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELCK_SHIFT    0
-+#define VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELCK_MASK     VC4_MASK(3, 0)
-+
-+#define VC4_HDMI_TX_PHY_CTL_3_RP_SHIFT                        17
-+#define VC4_HDMI_TX_PHY_CTL_3_RP_MASK                 VC4_MASK(19, 17)
-+#define VC4_HDMI_TX_PHY_CTL_3_RZ_SHIFT                        12
-+#define VC4_HDMI_TX_PHY_CTL_3_RZ_MASK                 VC4_MASK(16, 12)
-+#define VC4_HDMI_TX_PHY_CTL_3_CP1_SHIFT                       10
-+#define VC4_HDMI_TX_PHY_CTL_3_CP1_MASK                        VC4_MASK(11, 10)
-+#define VC4_HDMI_TX_PHY_CTL_3_CP_SHIFT                        8
-+#define VC4_HDMI_TX_PHY_CTL_3_CP_MASK                 VC4_MASK(9, 8)
-+#define VC4_HDMI_TX_PHY_CTL_3_CZ_SHIFT                        6
-+#define VC4_HDMI_TX_PHY_CTL_3_CZ_MASK                 VC4_MASK(7, 6)
-+#define VC4_HDMI_TX_PHY_CTL_3_ICP_SHIFT                       0
-+#define VC4_HDMI_TX_PHY_CTL_3_ICP_MASK                        VC4_MASK(5, 0)
-+
-+#define VC4_HDMI_TX_PHY_PLL_CTL_0_MASH11_MODE         BIT(13)
-+#define VC4_HDMI_TX_PHY_PLL_CTL_0_VC_RANGE_EN         BIT(12)
-+#define VC4_HDMI_TX_PHY_PLL_CTL_0_EMULATE_VC_LOW      BIT(11)
-+#define VC4_HDMI_TX_PHY_PLL_CTL_0_EMULATE_VC_HIGH     BIT(10)
-+#define VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_SEL_SHIFT               9
-+#define VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_SEL_MASK                VC4_MASK(9, 9)
-+#define VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_FB_DIV2         BIT(8)
-+#define VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_POST_DIV2               BIT(7)
-+#define VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_CONT_EN         BIT(6)
-+#define VC4_HDMI_TX_PHY_PLL_CTL_0_ENA_VCO_CLK         BIT(5)
-+
-+#define VC4_HDMI_TX_PHY_PLL_CTL_1_CPP_SHIFT                   16
-+#define VC4_HDMI_TX_PHY_PLL_CTL_1_CPP_MASK                    VC4_MASK(27, 16)
-+#define VC4_HDMI_TX_PHY_PLL_CTL_1_FREQ_DOUBLER_DELAY_SHIFT    14
-+#define VC4_HDMI_TX_PHY_PLL_CTL_1_FREQ_DOUBLER_DELAY_MASK     VC4_MASK(15, 14)
-+#define VC4_HDMI_TX_PHY_PLL_CTL_1_FREQ_DOUBLER_ENABLE         BIT(13)
-+#define VC4_HDMI_TX_PHY_PLL_CTL_1_POST_RST_SEL_SHIFT          11
-+#define VC4_HDMI_TX_PHY_PLL_CTL_1_POST_RST_SEL_MASK           VC4_MASK(12, 11)
-+
-+#define VC4_HDMI_TX_PHY_CLK_DIV_VCO_SHIFT             8
-+#define VC4_HDMI_TX_PHY_CLK_DIV_VCO_MASK              VC4_MASK(15, 8)
-+
-+#define VC4_HDMI_TX_PHY_PLL_CFG_PDIV_SHIFT            0
-+#define VC4_HDMI_TX_PHY_PLL_CFG_PDIV_MASK             VC4_MASK(3, 0)
-+
-+#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TXCK_OUT_SEL_MASK        VC4_MASK(13, 12)
-+#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TXCK_OUT_SEL_SHIFT       12
-+#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX2_OUT_SEL_MASK VC4_MASK(9, 8)
-+#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX2_OUT_SEL_SHIFT        8
-+#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX1_OUT_SEL_MASK VC4_MASK(5, 4)
-+#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX1_OUT_SEL_SHIFT        4
-+#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX0_OUT_SEL_MASK VC4_MASK(1, 0)
-+#define VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX0_OUT_SEL_SHIFT        0
-+
-+#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1_MIN_LIMIT_MASK               VC4_MASK(27, 0)
-+#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1_MIN_LIMIT_SHIFT      0
-+
-+#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2_MAX_LIMIT_MASK               VC4_MASK(27, 0)
-+#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2_MAX_LIMIT_SHIFT      0
-+
-+#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4_STABLE_THRESHOLD_MASK        VC4_MASK(31, 16)
-+#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4_STABLE_THRESHOLD_SHIFT       16
-+#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4_HOLD_THRESHOLD_MASK  VC4_MASK(15, 0)
-+#define VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4_HOLD_THRESHOLD_SHIFT 0
-+
-+#define VC4_HDMI_RM_CONTROL_EN_FREEZE_COUNTERS                BIT(19)
-+#define VC4_HDMI_RM_CONTROL_EN_LOAD_INTEGRATOR                BIT(17)
-+#define VC4_HDMI_RM_CONTROL_FREE_RUN                  BIT(4)
-+
-+#define VC4_HDMI_RM_OFFSET_ONLY                               BIT(31)
-+#define VC4_HDMI_RM_OFFSET_OFFSET_SHIFT                       0
-+#define VC4_HDMI_RM_OFFSET_OFFSET_MASK                        VC4_MASK(30, 0)
-+
-+#define VC4_HDMI_RM_FORMAT_SHIFT_SHIFT                        24
-+#define VC4_HDMI_RM_FORMAT_SHIFT_MASK                 VC4_MASK(25, 24)
-+
-+#define OSCILLATOR_FREQUENCY  54000000
-+
- void vc4_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi, struct drm_display_mode *mode)
- {
-       /* PHY should be in reset, like
-@@ -38,3 +155,355 @@ void vc4_hdmi_phy_rng_disable(struct vc4
-                  HDMI_READ(HDMI_TX_PHY_CTL_0) |
-                  VC4_HDMI_TX_PHY_RNG_PWRDN);
- }
-+
-+static unsigned long long
-+phy_get_vco_freq(unsigned long long clock, u8 *vco_sel, u8 *vco_div)
-+{
-+      unsigned long long vco_freq = clock;
-+      unsigned int _vco_div = 0;
-+      unsigned int _vco_sel = 0;
-+
-+      while (vco_freq < 3000000000ULL) {
-+              _vco_div++;
-+              vco_freq = clock * _vco_div * 10;
-+      }
-+
-+      if (vco_freq > 4500000000ULL)
-+              _vco_sel = 1;
-+
-+      *vco_sel = _vco_sel;
-+      *vco_div = _vco_div;
-+
-+      return vco_freq;
-+}
-+
-+static u8 phy_get_cp_current(unsigned long vco_freq)
-+{
-+      if (vco_freq < 3700000000ULL)
-+              return 0x1c;
-+
-+      return 0xc8;
-+}
-+
-+static u32 phy_get_rm_offset(unsigned long long vco_freq)
-+{
-+      unsigned long long fref = OSCILLATOR_FREQUENCY;
-+      uint64_t offset = 0;
-+
-+      /* RM offset is stored as 9.22 format */
-+      offset = vco_freq * 2;
-+      do_div(offset, fref);
-+      offset = offset << 22;
-+      offset >>= 2;
-+
-+      return offset;
-+}
-+
-+static u8 phy_get_vco_gain(unsigned long long vco_freq)
-+{
-+      if (vco_freq < 3350000000ULL)
-+              return 0xf;
-+
-+      if (vco_freq < 3700000000ULL)
-+              return 0xc;
-+
-+      if (vco_freq < 4050000000ULL)
-+              return 0x6;
-+
-+      if (vco_freq < 4800000000ULL)
-+              return 0x5;
-+
-+      if (vco_freq < 5200000000ULL)
-+              return 0x7;
-+
-+      return 0x2;
-+}
-+
-+struct phy_lane_settings {
-+      struct {
-+              u8 preemphasis;
-+              u8 main_driver;
-+      } amplitude;
-+
-+      u8 res_sel_data;
-+      u8 term_res_sel_data;
-+};
-+
-+struct phy_settings {
-+   unsigned long long min_rate;
-+   unsigned long long max_rate;
-+   struct phy_lane_settings channel[3];
-+   struct phy_lane_settings clock;
-+};
-+
-+static const struct phy_settings vc5_hdmi_phy_settings[] =
-+{
-+      {
-+              0, 50000000,
-+              {
-+                      {{0x0, 0x0A}, 0x12, 0x0},
-+                      {{0x0, 0x0A}, 0x12, 0x0},
-+                      {{0x0, 0x0A}, 0x12, 0x0}
-+              },
-+              {{0x0, 0x0A}, 0x18, 0x0},
-+      },
-+      {
-+              50000001, 75000000,
-+              {
-+                      {{0x0, 0x09}, 0x12, 0x0},
-+                      {{0x0, 0x09}, 0x12, 0x0},
-+                      {{0x0, 0x09}, 0x12, 0x0}
-+              },
-+              {{0x0, 0x0C}, 0x18, 0x3},
-+      },
-+      {
-+              75000001,   165000000,
-+              {
-+                      {{0x0, 0x09}, 0x12, 0x0},
-+                      {{0x0, 0x09}, 0x12, 0x0},
-+                      {{0x0, 0x09}, 0x12, 0x0}
-+              },
-+              {{0x0, 0x0C}, 0x18, 0x3},
-+      },
-+      {
-+              165000001,  250000000,
-+              {
-+                      {{0x0, 0x0F}, 0x12, 0x1},
-+                      {{0x0, 0x0F}, 0x12, 0x1},
-+                      {{0x0, 0x0F}, 0x12, 0x1}
-+              },
-+              {{0x0, 0x0C}, 0x18, 0x3},
-+      },
-+      {
-+              250000001,  340000000,
-+              {
-+                      {{0x2, 0x0D}, 0x12, 0x1},
-+                      {{0x2, 0x0D}, 0x12, 0x1},
-+                      {{0x2, 0x0D}, 0x12, 0x1}
-+              },
-+              {{0x0, 0x0C}, 0x18, 0xF},
-+      },
-+      {
-+              340000001,  450000000,
-+              {
-+                      {{0x0, 0x1B}, 0x12, 0xF},
-+                      {{0x0, 0x1B}, 0x12, 0xF},
-+                      {{0x0, 0x1B}, 0x12, 0xF}
-+              },
-+              {{0x0, 0x0A}, 0x12, 0xF},
-+      },
-+      {
-+              450000001,  600000000,
-+              {
-+                      {{0x0, 0x1C}, 0x12, 0xF},
-+                      {{0x0, 0x1C}, 0x12, 0xF},
-+                      {{0x0, 0x1C}, 0x12, 0xF}
-+              },
-+              {{0x0, 0x0B}, 0x13, 0xF},
-+      },
-+};
-+
-+static const struct phy_settings *phy_get_settings(unsigned long long tmds_rate)
-+{
-+      unsigned int count = ARRAY_SIZE(vc5_hdmi_phy_settings);
-+      unsigned int i;
-+
-+      for (i = 0; i < count; i++) {
-+              const struct phy_settings *s = &vc5_hdmi_phy_settings[i];
-+
-+              if (tmds_rate >= s->min_rate && tmds_rate <= s->max_rate)
-+                      return s;
-+      }
-+
-+      /*
-+       * If the pixel clock exceeds our max setting, try the max
-+       * setting anyway.
-+       */
-+      return &vc5_hdmi_phy_settings[count - 1];
-+}
-+
-+static const struct phy_lane_settings *
-+phy_get_channel_settings(enum vc4_hdmi_phy_channel chan,
-+                       unsigned long long tmds_rate)
-+{
-+      const struct phy_settings *settings = phy_get_settings(tmds_rate);
-+
-+      if (chan == PHY_LANE_CK)
-+              return &settings->clock;
-+
-+      return &settings->channel[chan];
-+}
-+
-+void vc5_hdmi_phy_init(struct vc4_hdmi *vc4_hdmi, struct drm_display_mode *mode)
-+{
-+      const struct phy_lane_settings *chan0_settings, *chan1_settings, *chan2_settings, *clock_settings;
-+      const struct vc4_hdmi_variant *variant = vc4_hdmi->variant;
-+      unsigned long long pixel_freq = mode->clock * 1000;
-+      unsigned long long vco_freq;
-+      unsigned char word_sel;
-+      u8 vco_sel, vco_div;
-+
-+      vco_freq = phy_get_vco_freq(pixel_freq, &vco_sel, &vco_div);
-+
-+      HDMI_WRITE(HDMI_TX_PHY_POWERDOWN_CTL,
-+                 VC4_HDMI_TX_PHY_POWERDOWN_CTL_RNDGEN_PWRDN);
-+
-+      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL,
-+                 HDMI_READ(HDMI_TX_PHY_RESET_CTL) &
-+                 ~VC4_HDMI_TX_PHY_RESET_CTL_TX_0_RESET &
-+                 ~VC4_HDMI_TX_PHY_RESET_CTL_TX_1_RESET &
-+                 ~VC4_HDMI_TX_PHY_RESET_CTL_TX_2_RESET &
-+                 ~VC4_HDMI_TX_PHY_RESET_CTL_TX_CK_RESET);
-+
-+      HDMI_WRITE(HDMI_RM_CONTROL,
-+                 HDMI_READ(HDMI_RM_CONTROL) |
-+                 VC4_HDMI_RM_CONTROL_EN_FREEZE_COUNTERS |
-+                 VC4_HDMI_RM_CONTROL_EN_LOAD_INTEGRATOR |
-+                 VC4_HDMI_RM_CONTROL_FREE_RUN);
-+
-+      HDMI_WRITE(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1,
-+                 (HDMI_READ(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1) &
-+                  ~VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1_MIN_LIMIT_MASK) |
-+                 VC4_SET_FIELD(0, VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1_MIN_LIMIT));
-+
-+      HDMI_WRITE(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2,
-+                 (HDMI_READ(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2) &
-+                  ~VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2_MAX_LIMIT_MASK) |
-+                 VC4_SET_FIELD(0, VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2_MAX_LIMIT));
-+
-+      HDMI_WRITE(HDMI_RM_OFFSET,
-+                 VC4_SET_FIELD(phy_get_rm_offset(vco_freq),
-+                               VC4_HDMI_RM_OFFSET_OFFSET) |
-+                 VC4_HDMI_RM_OFFSET_ONLY);
-+
-+      HDMI_WRITE(HDMI_TX_PHY_CLK_DIV,
-+                 VC4_SET_FIELD(vco_div, VC4_HDMI_TX_PHY_CLK_DIV_VCO));
-+
-+      HDMI_WRITE(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4,
-+                 VC4_SET_FIELD(0xe147, VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4_HOLD_THRESHOLD) |
-+                 VC4_SET_FIELD(0xe14, VC4_HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4_STABLE_THRESHOLD));
-+
-+      HDMI_WRITE(HDMI_TX_PHY_PLL_CTL_0,
-+                 VC4_HDMI_TX_PHY_PLL_CTL_0_ENA_VCO_CLK |
-+                 VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_CONT_EN |
-+                 VC4_HDMI_TX_PHY_PLL_CTL_0_MASH11_MODE |
-+                 VC4_SET_FIELD(vco_sel, VC4_HDMI_TX_PHY_PLL_CTL_0_VCO_SEL));
-+
-+      HDMI_WRITE(HDMI_TX_PHY_PLL_CTL_1,
-+                 HDMI_READ(HDMI_TX_PHY_PLL_CTL_1) |
-+                 VC4_HDMI_TX_PHY_PLL_CTL_1_FREQ_DOUBLER_ENABLE |
-+                 VC4_SET_FIELD(3, VC4_HDMI_TX_PHY_PLL_CTL_1_POST_RST_SEL) |
-+                 VC4_SET_FIELD(1, VC4_HDMI_TX_PHY_PLL_CTL_1_FREQ_DOUBLER_DELAY) |
-+                 VC4_SET_FIELD(0x8a, VC4_HDMI_TX_PHY_PLL_CTL_1_CPP));
-+
-+      HDMI_WRITE(HDMI_RM_FORMAT,
-+                 HDMI_READ(HDMI_RM_FORMAT) |
-+                 VC4_SET_FIELD(2, VC4_HDMI_RM_FORMAT_SHIFT));
-+
-+      HDMI_WRITE(HDMI_TX_PHY_PLL_CFG,
-+                 HDMI_READ(HDMI_TX_PHY_PLL_CFG) |
-+                 VC4_SET_FIELD(1, VC4_HDMI_TX_PHY_PLL_CFG_PDIV));
-+
-+      if (pixel_freq >= 340000000)
-+              word_sel = 3;
-+      else
-+              word_sel = 0;
-+      HDMI_WRITE(HDMI_TX_PHY_TMDS_CLK_WORD_SEL, word_sel);
-+
-+      HDMI_WRITE(HDMI_TX_PHY_CTL_3,
-+                 VC4_SET_FIELD(phy_get_cp_current(vco_freq),
-+                               VC4_HDMI_TX_PHY_CTL_3_ICP) |
-+                 VC4_SET_FIELD(1, VC4_HDMI_TX_PHY_CTL_3_CP) |
-+                 VC4_SET_FIELD(1, VC4_HDMI_TX_PHY_CTL_3_CP1) |
-+                 VC4_SET_FIELD(3, VC4_HDMI_TX_PHY_CTL_3_CZ) |
-+                 VC4_SET_FIELD(4, VC4_HDMI_TX_PHY_CTL_3_RP) |
-+                 VC4_SET_FIELD(6, VC4_HDMI_TX_PHY_CTL_3_RZ));
-+
-+      chan0_settings =
-+              phy_get_channel_settings(variant->phy_lane_mapping[PHY_LANE_0],
-+                                       pixel_freq);
-+      chan1_settings =
-+              phy_get_channel_settings(variant->phy_lane_mapping[PHY_LANE_1],
-+                                       pixel_freq);
-+      chan2_settings =
-+              phy_get_channel_settings(variant->phy_lane_mapping[PHY_LANE_2],
-+                                       pixel_freq);
-+      clock_settings =
-+              phy_get_channel_settings(variant->phy_lane_mapping[PHY_LANE_CK],
-+                                       pixel_freq);
-+
-+      HDMI_WRITE(HDMI_TX_PHY_CTL_0,
-+                 VC4_SET_FIELD(chan0_settings->amplitude.preemphasis,
-+                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_0_PREEMP) |
-+                 VC4_SET_FIELD(chan0_settings->amplitude.main_driver,
-+                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_0_MAINDRV) |
-+                 VC4_SET_FIELD(chan1_settings->amplitude.preemphasis,
-+                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_1_PREEMP) |
-+                 VC4_SET_FIELD(chan1_settings->amplitude.main_driver,
-+                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_1_MAINDRV) |
-+                 VC4_SET_FIELD(chan2_settings->amplitude.preemphasis,
-+                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_2_PREEMP) |
-+                 VC4_SET_FIELD(chan2_settings->amplitude.main_driver,
-+                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_2_MAINDRV) |
-+                 VC4_SET_FIELD(clock_settings->amplitude.preemphasis,
-+                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_CK_PREEMP) |
-+                 VC4_SET_FIELD(clock_settings->amplitude.main_driver,
-+                               VC4_HDMI_TX_PHY_CTL_0_PREEMP_CK_MAINDRV));
-+
-+      HDMI_WRITE(HDMI_TX_PHY_CTL_1,
-+                 HDMI_READ(HDMI_TX_PHY_CTL_1) |
-+                 VC4_SET_FIELD(chan0_settings->res_sel_data,
-+                               VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA0) |
-+                 VC4_SET_FIELD(chan1_settings->res_sel_data,
-+                               VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA1) |
-+                 VC4_SET_FIELD(chan2_settings->res_sel_data,
-+                               VC4_HDMI_TX_PHY_CTL_1_RES_SEL_DATA2) |
-+                 VC4_SET_FIELD(clock_settings->res_sel_data,
-+                               VC4_HDMI_TX_PHY_CTL_1_RES_SEL_CK));
-+
-+      HDMI_WRITE(HDMI_TX_PHY_CTL_2,
-+                 VC4_SET_FIELD(chan0_settings->term_res_sel_data,
-+                               VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA0) |
-+                 VC4_SET_FIELD(chan1_settings->term_res_sel_data,
-+                               VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA1) |
-+                 VC4_SET_FIELD(chan2_settings->term_res_sel_data,
-+                               VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELDATA2) |
-+                 VC4_SET_FIELD(clock_settings->term_res_sel_data,
-+                               VC4_HDMI_TX_PHY_CTL_2_TERM_RES_SELCK) |
-+                 VC4_SET_FIELD(phy_get_vco_gain(vco_freq),
-+                               VC4_HDMI_TX_PHY_CTL_2_VCO_GAIN));
-+
-+      HDMI_WRITE(HDMI_TX_PHY_CHANNEL_SWAP,
-+                 VC4_SET_FIELD(variant->phy_lane_mapping[PHY_LANE_0],
-+                               VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX0_OUT_SEL) |
-+                 VC4_SET_FIELD(variant->phy_lane_mapping[PHY_LANE_1],
-+                               VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX1_OUT_SEL) |
-+                 VC4_SET_FIELD(variant->phy_lane_mapping[PHY_LANE_2],
-+                               VC4_HDMI_TX_PHY_CHANNEL_SWAP_TX2_OUT_SEL) |
-+                 VC4_SET_FIELD(variant->phy_lane_mapping[PHY_LANE_CK],
-+                               VC4_HDMI_TX_PHY_CHANNEL_SWAP_TXCK_OUT_SEL));
-+
-+      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL,
-+                 HDMI_READ(HDMI_TX_PHY_RESET_CTL) &
-+                 ~(VC4_HDMI_TX_PHY_RESET_CTL_PLL_RESETB |
-+                   VC4_HDMI_TX_PHY_RESET_CTL_PLLDIV_RESETB));
-+
-+      HDMI_WRITE(HDMI_TX_PHY_RESET_CTL,
-+                 HDMI_READ(HDMI_TX_PHY_RESET_CTL) |
-+                 VC4_HDMI_TX_PHY_RESET_CTL_PLL_RESETB |
-+                 VC4_HDMI_TX_PHY_RESET_CTL_PLLDIV_RESETB);
-+}
-+
-+void vc5_hdmi_phy_rng_enable(struct vc4_hdmi *vc4_hdmi)
-+{
-+      HDMI_WRITE(HDMI_TX_PHY_POWERDOWN_CTL,
-+                 HDMI_READ(HDMI_TX_PHY_POWERDOWN_CTL) &
-+                 ~VC4_HDMI_TX_PHY_POWERDOWN_CTL_RNDGEN_PWRDN);
-+}
-+
-+void vc5_hdmi_phy_rng_disable(struct vc4_hdmi *vc4_hdmi)
-+{
-+      HDMI_WRITE(HDMI_TX_PHY_POWERDOWN_CTL,
-+                 HDMI_READ(HDMI_TX_PHY_POWERDOWN_CTL) |
-+                 VC4_HDMI_TX_PHY_POWERDOWN_CTL_RNDGEN_PWRDN);
-+}
---- a/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
-@@ -18,6 +18,12 @@ enum vc4_hdmi_regs {
-       VC4_INVALID = 0,
-       VC4_HDMI,
-       VC4_HD,
-+      VC5_CEC,
-+      VC5_CSC,
-+      VC5_DVP,
-+      VC5_PHY,
-+      VC5_RAM,
-+      VC5_RM,
- };
- enum vc4_hdmi_field {
-@@ -45,6 +51,7 @@ enum vc4_hdmi_field {
-       HDMI_CEC_TX_DATA_2,
-       HDMI_CEC_TX_DATA_3,
-       HDMI_CEC_TX_DATA_4,
-+      HDMI_CLOCK_STOP,
-       HDMI_CORE_REV,
-       HDMI_CRP_CFG,
-       HDMI_CSC_12_11,
-@@ -61,6 +68,7 @@ enum vc4_hdmi_field {
-        */
-       HDMI_CTS_0,
-       HDMI_CTS_1,
-+      HDMI_DVP_CTL,
-       HDMI_FIFO_CTL,
-       HDMI_FRAME_COUNT,
-       HDMI_HORZA,
-@@ -93,10 +101,27 @@ enum vc4_hdmi_field {
-       HDMI_RAM_PACKET_CONFIG,
-       HDMI_RAM_PACKET_START,
-       HDMI_RAM_PACKET_STATUS,
-+      HDMI_RM_CONTROL,
-+      HDMI_RM_FORMAT,
-+      HDMI_RM_OFFSET,
-       HDMI_SCHEDULER_CONTROL,
-       HDMI_SW_RESET_CONTROL,
-+      HDMI_TX_PHY_CHANNEL_SWAP,
-+      HDMI_TX_PHY_CLK_DIV,
-       HDMI_TX_PHY_CTL_0,
-+      HDMI_TX_PHY_CTL_1,
-+      HDMI_TX_PHY_CTL_2,
-+      HDMI_TX_PHY_CTL_3,
-+      HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1,
-+      HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2,
-+      HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4,
-+      HDMI_TX_PHY_PLL_CFG,
-+      HDMI_TX_PHY_PLL_CTL_0,
-+      HDMI_TX_PHY_PLL_CTL_1,
-+      HDMI_TX_PHY_POWERDOWN_CTL,
-       HDMI_TX_PHY_RESET_CTL,
-+      HDMI_TX_PHY_TMDS_CLK_WORD_SEL,
-+      HDMI_VEC_INTERFACE_XBAR,
-       HDMI_VERTA0,
-       HDMI_VERTA1,
-       HDMI_VERTB0,
-@@ -119,6 +144,12 @@ struct vc4_hdmi_register {
- #define VC4_HD_REG(reg, offset)               _VC4_REG(VC4_HD, reg, offset)
- #define VC4_HDMI_REG(reg, offset)     _VC4_REG(VC4_HDMI, reg, offset)
-+#define VC5_CEC_REG(reg, offset)      _VC4_REG(VC5_CEC, reg, offset)
-+#define VC5_CSC_REG(reg, offset)      _VC4_REG(VC5_CSC, reg, offset)
-+#define VC5_DVP_REG(reg, offset)      _VC4_REG(VC5_DVP, reg, offset)
-+#define VC5_PHY_REG(reg, offset)      _VC4_REG(VC5_PHY, reg, offset)
-+#define VC5_RAM_REG(reg, offset)      _VC4_REG(VC5_RAM, reg, offset)
-+#define VC5_RM_REG(reg, offset)               _VC4_REG(VC5_RM, reg, offset)
- static const struct vc4_hdmi_register vc4_hdmi_fields[] = {
-       VC4_HD_REG(HDMI_M_CTL, 0x000c),
-@@ -181,6 +212,158 @@ static const struct vc4_hdmi_register vc
-       VC4_HDMI_REG(HDMI_RAM_PACKET_START, 0x0400),
- };
-+static const struct vc4_hdmi_register vc5_hdmi_hdmi0_fields[] = {
-+      VC4_HD_REG(HDMI_DVP_CTL, 0x0000),
-+      VC4_HD_REG(HDMI_MAI_CTL, 0x0010),
-+      VC4_HD_REG(HDMI_MAI_THR, 0x0014),
-+      VC4_HD_REG(HDMI_MAI_FMT, 0x0018),
-+      VC4_HD_REG(HDMI_MAI_DATA, 0x001c),
-+      VC4_HD_REG(HDMI_MAI_SMP, 0x0020),
-+      VC4_HD_REG(HDMI_VID_CTL, 0x0044),
-+      VC4_HD_REG(HDMI_FRAME_COUNT, 0x0060),
-+
-+      VC4_HDMI_REG(HDMI_FIFO_CTL, 0x074),
-+      VC4_HDMI_REG(HDMI_AUDIO_PACKET_CONFIG, 0x0b8),
-+      VC4_HDMI_REG(HDMI_RAM_PACKET_CONFIG, 0x0bc),
-+      VC4_HDMI_REG(HDMI_RAM_PACKET_STATUS, 0x0c4),
-+      VC4_HDMI_REG(HDMI_CRP_CFG, 0x0c8),
-+      VC4_HDMI_REG(HDMI_CTS_0, 0x0cc),
-+      VC4_HDMI_REG(HDMI_CTS_1, 0x0d0),
-+      VC4_HDMI_REG(HDMI_SCHEDULER_CONTROL, 0x0e0),
-+      VC4_HDMI_REG(HDMI_HORZA, 0x0e4),
-+      VC4_HDMI_REG(HDMI_HORZB, 0x0e8),
-+      VC4_HDMI_REG(HDMI_VERTA0, 0x0ec),
-+      VC4_HDMI_REG(HDMI_VERTB0, 0x0f0),
-+      VC4_HDMI_REG(HDMI_VERTA1, 0x0f4),
-+      VC4_HDMI_REG(HDMI_VERTB1, 0x0f8),
-+      VC4_HDMI_REG(HDMI_MAI_CHANNEL_MAP, 0x09c),
-+      VC4_HDMI_REG(HDMI_MAI_CONFIG, 0x0a0),
-+      VC4_HDMI_REG(HDMI_HOTPLUG, 0x1a8),
-+
-+      VC5_DVP_REG(HDMI_CLOCK_STOP, 0x0bc),
-+      VC5_DVP_REG(HDMI_VEC_INTERFACE_XBAR, 0x0f0),
-+
-+      VC5_PHY_REG(HDMI_TX_PHY_RESET_CTL, 0x000),
-+      VC5_PHY_REG(HDMI_TX_PHY_POWERDOWN_CTL, 0x004),
-+      VC5_PHY_REG(HDMI_TX_PHY_CTL_0, 0x008),
-+      VC5_PHY_REG(HDMI_TX_PHY_CTL_1, 0x00c),
-+      VC5_PHY_REG(HDMI_TX_PHY_CTL_2, 0x010),
-+      VC5_PHY_REG(HDMI_TX_PHY_CTL_3, 0x014),
-+      VC5_PHY_REG(HDMI_TX_PHY_PLL_CTL_0, 0x01c),
-+      VC5_PHY_REG(HDMI_TX_PHY_PLL_CTL_1, 0x020),
-+      VC5_PHY_REG(HDMI_TX_PHY_CLK_DIV, 0x028),
-+      VC5_PHY_REG(HDMI_TX_PHY_PLL_CFG, 0x034),
-+      VC5_PHY_REG(HDMI_TX_PHY_TMDS_CLK_WORD_SEL, 0x044),
-+      VC5_PHY_REG(HDMI_TX_PHY_CHANNEL_SWAP, 0x04c),
-+      VC5_PHY_REG(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1, 0x050),
-+      VC5_PHY_REG(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2, 0x054),
-+      VC5_PHY_REG(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4, 0x05c),
-+
-+      VC5_RM_REG(HDMI_RM_CONTROL, 0x000),
-+      VC5_RM_REG(HDMI_RM_OFFSET, 0x018),
-+      VC5_RM_REG(HDMI_RM_FORMAT, 0x01c),
-+
-+      VC5_RAM_REG(HDMI_RAM_PACKET_START, 0x000),
-+
-+      VC5_CEC_REG(HDMI_CEC_CNTRL_1, 0x010),
-+      VC5_CEC_REG(HDMI_CEC_CNTRL_2, 0x014),
-+      VC5_CEC_REG(HDMI_CEC_CNTRL_3, 0x018),
-+      VC5_CEC_REG(HDMI_CEC_CNTRL_4, 0x01c),
-+      VC5_CEC_REG(HDMI_CEC_CNTRL_5, 0x020),
-+      VC5_CEC_REG(HDMI_CEC_TX_DATA_1, 0x028),
-+      VC5_CEC_REG(HDMI_CEC_TX_DATA_2, 0x02c),
-+      VC5_CEC_REG(HDMI_CEC_TX_DATA_3, 0x030),
-+      VC5_CEC_REG(HDMI_CEC_TX_DATA_4, 0x034),
-+      VC5_CEC_REG(HDMI_CEC_RX_DATA_1, 0x038),
-+      VC5_CEC_REG(HDMI_CEC_RX_DATA_2, 0x03c),
-+      VC5_CEC_REG(HDMI_CEC_RX_DATA_3, 0x040),
-+      VC5_CEC_REG(HDMI_CEC_RX_DATA_4, 0x044),
-+
-+      VC5_CSC_REG(HDMI_CSC_CTL, 0x000),
-+      VC5_CSC_REG(HDMI_CSC_12_11, 0x004),
-+      VC5_CSC_REG(HDMI_CSC_14_13, 0x008),
-+      VC5_CSC_REG(HDMI_CSC_22_21, 0x00c),
-+      VC5_CSC_REG(HDMI_CSC_24_23, 0x010),
-+      VC5_CSC_REG(HDMI_CSC_32_31, 0x014),
-+      VC5_CSC_REG(HDMI_CSC_34_33, 0x018),
-+};
-+
-+static const struct vc4_hdmi_register vc5_hdmi_hdmi1_fields[] = {
-+      VC4_HD_REG(HDMI_DVP_CTL, 0x0000),
-+      VC4_HD_REG(HDMI_MAI_CTL, 0x0030),
-+      VC4_HD_REG(HDMI_MAI_THR, 0x0034),
-+      VC4_HD_REG(HDMI_MAI_FMT, 0x0038),
-+      VC4_HD_REG(HDMI_MAI_DATA, 0x003c),
-+      VC4_HD_REG(HDMI_MAI_SMP, 0x0040),
-+      VC4_HD_REG(HDMI_VID_CTL, 0x0048),
-+      VC4_HD_REG(HDMI_FRAME_COUNT, 0x0064),
-+
-+      VC4_HDMI_REG(HDMI_FIFO_CTL, 0x074),
-+      VC4_HDMI_REG(HDMI_AUDIO_PACKET_CONFIG, 0x0b8),
-+      VC4_HDMI_REG(HDMI_RAM_PACKET_CONFIG, 0x0bc),
-+      VC4_HDMI_REG(HDMI_RAM_PACKET_STATUS, 0x0c4),
-+      VC4_HDMI_REG(HDMI_CRP_CFG, 0x0c8),
-+      VC4_HDMI_REG(HDMI_CTS_0, 0x0cc),
-+      VC4_HDMI_REG(HDMI_CTS_1, 0x0d0),
-+      VC4_HDMI_REG(HDMI_SCHEDULER_CONTROL, 0x0e0),
-+      VC4_HDMI_REG(HDMI_HORZA, 0x0e4),
-+      VC4_HDMI_REG(HDMI_HORZB, 0x0e8),
-+      VC4_HDMI_REG(HDMI_VERTA0, 0x0ec),
-+      VC4_HDMI_REG(HDMI_VERTB0, 0x0f0),
-+      VC4_HDMI_REG(HDMI_VERTA1, 0x0f4),
-+      VC4_HDMI_REG(HDMI_VERTB1, 0x0f8),
-+      VC4_HDMI_REG(HDMI_MAI_CHANNEL_MAP, 0x09c),
-+      VC4_HDMI_REG(HDMI_MAI_CONFIG, 0x0a0),
-+      VC4_HDMI_REG(HDMI_HOTPLUG, 0x1a8),
-+
-+      VC5_DVP_REG(HDMI_CLOCK_STOP, 0x0bc),
-+      VC5_DVP_REG(HDMI_VEC_INTERFACE_XBAR, 0x0f0),
-+
-+      VC5_PHY_REG(HDMI_TX_PHY_RESET_CTL, 0x000),
-+      VC5_PHY_REG(HDMI_TX_PHY_POWERDOWN_CTL, 0x004),
-+      VC5_PHY_REG(HDMI_TX_PHY_CTL_0, 0x008),
-+      VC5_PHY_REG(HDMI_TX_PHY_CTL_1, 0x00c),
-+      VC5_PHY_REG(HDMI_TX_PHY_CTL_2, 0x010),
-+      VC5_PHY_REG(HDMI_TX_PHY_CTL_3, 0x014),
-+      VC5_PHY_REG(HDMI_TX_PHY_PLL_CTL_0, 0x01c),
-+      VC5_PHY_REG(HDMI_TX_PHY_PLL_CTL_1, 0x020),
-+      VC5_PHY_REG(HDMI_TX_PHY_CLK_DIV, 0x028),
-+      VC5_PHY_REG(HDMI_TX_PHY_PLL_CFG, 0x034),
-+      VC5_PHY_REG(HDMI_TX_PHY_CHANNEL_SWAP, 0x04c),
-+      VC5_PHY_REG(HDMI_TX_PHY_TMDS_CLK_WORD_SEL, 0x044),
-+      VC5_PHY_REG(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_1, 0x050),
-+      VC5_PHY_REG(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_2, 0x054),
-+      VC5_PHY_REG(HDMI_TX_PHY_PLL_CALIBRATION_CONFIG_4, 0x05c),
-+
-+      VC5_RM_REG(HDMI_RM_CONTROL, 0x000),
-+      VC5_RM_REG(HDMI_RM_OFFSET, 0x018),
-+      VC5_RM_REG(HDMI_RM_FORMAT, 0x01c),
-+
-+      VC5_RAM_REG(HDMI_RAM_PACKET_START, 0x000),
-+
-+      VC5_CEC_REG(HDMI_CEC_CNTRL_1, 0x010),
-+      VC5_CEC_REG(HDMI_CEC_CNTRL_2, 0x014),
-+      VC5_CEC_REG(HDMI_CEC_CNTRL_3, 0x018),
-+      VC5_CEC_REG(HDMI_CEC_CNTRL_4, 0x01c),
-+      VC5_CEC_REG(HDMI_CEC_CNTRL_5, 0x020),
-+      VC5_CEC_REG(HDMI_CEC_TX_DATA_1, 0x028),
-+      VC5_CEC_REG(HDMI_CEC_TX_DATA_2, 0x02c),
-+      VC5_CEC_REG(HDMI_CEC_TX_DATA_3, 0x030),
-+      VC5_CEC_REG(HDMI_CEC_TX_DATA_4, 0x034),
-+      VC5_CEC_REG(HDMI_CEC_RX_DATA_1, 0x038),
-+      VC5_CEC_REG(HDMI_CEC_RX_DATA_2, 0x03c),
-+      VC5_CEC_REG(HDMI_CEC_RX_DATA_3, 0x040),
-+      VC5_CEC_REG(HDMI_CEC_RX_DATA_4, 0x044),
-+
-+      VC5_CSC_REG(HDMI_CSC_CTL, 0x000),
-+      VC5_CSC_REG(HDMI_CSC_12_11, 0x004),
-+      VC5_CSC_REG(HDMI_CSC_14_13, 0x008),
-+      VC5_CSC_REG(HDMI_CSC_22_21, 0x00c),
-+      VC5_CSC_REG(HDMI_CSC_24_23, 0x010),
-+      VC5_CSC_REG(HDMI_CSC_32_31, 0x014),
-+      VC5_CSC_REG(HDMI_CSC_34_33, 0x018),
-+};
-+
- static inline
- void __iomem *__vc4_hdmi_get_field_base(struct vc4_hdmi *hdmi,
-                                       enum vc4_hdmi_regs reg)
-@@ -192,6 +375,24 @@ void __iomem *__vc4_hdmi_get_field_base(
-       case VC4_HDMI:
-               return hdmi->hdmicore_regs;
-+      case VC5_CSC:
-+              return hdmi->csc_regs;
-+
-+      case VC5_CEC:
-+              return hdmi->cec_regs;
-+
-+      case VC5_DVP:
-+              return hdmi->dvp_regs;
-+
-+      case VC5_PHY:
-+              return hdmi->phy_regs;
-+
-+      case VC5_RAM:
-+              return hdmi->ram_regs;
-+
-+      case VC5_RM:
-+              return hdmi->rm_regs;
-+
-       default:
-               return NULL;
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0596-Fixup-P030-support.patch b/target/linux/bcm27xx/patches-5.4/950-0596-Fixup-P030-support.patch
new file mode 100644 (file)
index 0000000..0963824
--- /dev/null
@@ -0,0 +1,26 @@
+From 63423f4f48afc96949a63c53203faa904a85670b Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 25 Feb 2020 17:35:10 +0000
+Subject: [PATCH] Fixup P030 support
+
+I got the logic wrong for enabling pixel formats, resulting in
+Pi0-3 only getting a single, invalid, format (P030 SAND).
+
+Fixes: e07ef1d drm/vc4: Add support for DRM_FORMAT_P030 to vc4 planes
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_plane.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_plane.c
++++ b/drivers/gpu/drm/vc4/vc4_plane.c
+@@ -1451,7 +1451,7 @@ struct drm_plane *vc4_plane_init(struct
+               return ERR_PTR(-ENOMEM);
+       for (i = 0; i < ARRAY_SIZE(hvs_formats); i++) {
+-              if (hvs_formats[i].hvs5_only || hvs5) {
++              if (!hvs_formats[i].hvs5_only || hvs5) {
+                       formats[num_formats] = hvs_formats[i].drm;
+                       num_formats++;
+               }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0596-dt-bindings-display-vc4-hdmi-Add-BCM2711-HDMI-contro.patch b/target/linux/bcm27xx/patches-5.4/950-0596-dt-bindings-display-vc4-hdmi-Add-BCM2711-HDMI-contro.patch
deleted file mode 100644 (file)
index c41e063..0000000
+++ /dev/null
@@ -1,174 +0,0 @@
-From 965351ba5a271c0a4a7776193b7af78871370f7a Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Thu, 13 Feb 2020 16:45:24 +0100
-Subject: [PATCH] dt-bindings: display: vc4: hdmi: Add BCM2711 HDMI
- controllers bindings
-
-The HDMI controllers found in the BCM2711 SoC need some adjustments to the
-bindings, especially since the registers have been shuffled around in more
-register ranges.
-
-Cc: Rob Herring <robh+dt@kernel.org>
-Cc: devicetree@vger.kernel.org
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- .../bindings/display/brcm,bcm2835-hdmi.yaml   | 118 ++++++++++++++++--
- 1 file changed, 109 insertions(+), 9 deletions(-)
-
---- a/Documentation/devicetree/bindings/display/brcm,bcm2835-hdmi.yaml
-+++ b/Documentation/devicetree/bindings/display/brcm,bcm2835-hdmi.yaml
-@@ -11,24 +11,58 @@ maintainers:
- properties:
-   compatible:
--    const: brcm,bcm2835-hdmi
-+    enum:
-+      - brcm,bcm2835-hdmi
-+      - brcm,bcm2711-hdmi0
-+      - brcm,bcm2711-hdmi1
-   reg:
-+    oneOf:
-+      - items:
-+        - description: HDMI register range
-+        - description: HD register range
-+
-+      - items:
-+        - description: HDMI controller register range
-+        - description: DVP register range
-+        - description: HDMI PHY register range
-+        - description: Rate Manager register range
-+        - description: Packet RAM register range
-+        - description: Metadata RAM register range
-+        - description: CSC register range
-+        - description: CEC register range
-+        - description: HD register range
-+
-+  reg-names:
-     items:
--      - description: HDMI register range
--      - description: HD register range
-+      - const: hdmi
-+      - const: dvp
-+      - const: phy
-+      - const: rm
-+      - const: packet
-+      - const: metadata
-+      - const: csc
-+      - const: cec
-+      - const: hd
-   interrupts:
-     minItems: 2
-   clocks:
--    items:
--      - description: The pixel clock
--      - description: The HDMI state machine clock
-+    oneOf:
-+      - items:
-+        - description: The pixel clock
-+        - description: The HDMI state machine clock
-+
-+      - items:
-+        - description: The HDMI state machine clock
-   clock-names:
--    items:
--      - const: pixel
-+    oneOf:
-+      - items:
-+        - const: pixel
-+        - const: hdmi
-+
-       - const: hdmi
-   ddc:
-@@ -51,15 +85,54 @@ properties:
-   dma-names:
-     const: audio-rx
-+  resets:
-+    maxItems: 1
-+
- required:
-   - compatible
-   - reg
--  - interrupts
-   - clocks
-   - ddc
- additionalProperties: false
-+if:
-+  properties:
-+    compatible:
-+      contains:
-+        enum:
-+          - brcm,bcm2711-hdmi0
-+          - brcm,bcm2711-hdmi1
-+
-+then:
-+  properties:
-+    reg:
-+      minItems: 9
-+
-+    clocks:
-+      maxItems: 1
-+
-+    clock-names:
-+      maxItems: 1
-+
-+  required:
-+    - reg-names
-+    - resets
-+
-+else:
-+  properties:
-+    reg:
-+      maxItems: 2
-+
-+    clocks:
-+      minItems: 2
-+
-+    clock-names:
-+      minItems: 2
-+
-+  required:
-+    - interrupts
-+
- examples:
-   - |
-     #include <dt-bindings/clock/bcm2835.h>
-@@ -77,4 +150,31 @@ examples:
-         clock-names = "pixel", "hdmi";
-     };
-+  - |
-+    hdmi0: hdmi@7ef00700 {
-+        compatible = "brcm,bcm2711-hdmi0";
-+        reg = <0x7ef00700 0x300>,
-+              <0x7ef00300 0x200>,
-+              <0x7ef00f00 0x80>,
-+              <0x7ef00f80 0x80>,
-+              <0x7ef01b00 0x200>,
-+              <0x7ef01f00 0x400>,
-+              <0x7ef00200 0x80>,
-+              <0x7ef04300 0x100>,
-+              <0x7ef20000 0x100>;
-+        reg-names = "hdmi",
-+                    "dvp",
-+                    "phy",
-+                    "rm",
-+                    "packet",
-+                    "metadata",
-+                    "csc",
-+                    "cec",
-+                    "hd";
-+        clocks = <&firmware_clocks 13>;
-+        clock-names = "hdmi";
-+        resets = <&dvp 0>;
-+        ddc = <&ddc0>;
-+    };
-+
- ...
diff --git a/target/linux/bcm27xx/patches-5.4/950-0597-ARM-dts-bcm2711-Enable-the-display-pipeline.patch b/target/linux/bcm27xx/patches-5.4/950-0597-ARM-dts-bcm2711-Enable-the-display-pipeline.patch
deleted file mode 100644 (file)
index aae9b9e..0000000
+++ /dev/null
@@ -1,210 +0,0 @@
-From 661edd663841d94bded4e95acfd0a4947cb079b5 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Wed, 12 Feb 2020 12:26:40 +0100
-Subject: [PATCH] ARM: dts: bcm2711: Enable the display pipeline
-
-Now that all the drivers have been adjusted for it, let's bring in the
-necessary device tree changes.
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts |  40 ++++++++++
- arch/arm/boot/dts/bcm2711.dtsi        | 110 ++++++++++++++++++++++++++
- 2 files changed, 150 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -138,6 +138,46 @@
-       interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
- };
-+&vc4 {
-+      status = "okay";
-+};
-+
-+&pixelvalve0 {
-+      status = "okay";
-+};
-+
-+&pixelvalve1 {
-+      status = "okay";
-+};
-+
-+&pixelvalve2 {
-+      status = "okay";
-+};
-+
-+&pixelvalve3 {
-+      status = "okay";
-+};
-+
-+&pixelvalve4 {
-+      status = "okay";
-+};
-+
-+&hdmi0 {
-+      status = "okay";
-+};
-+
-+&ddc0 {
-+      status = "okay";
-+};
-+
-+&hdmi1 {
-+      status = "okay";
-+};
-+
-+&ddc1 {
-+      status = "okay";
-+};
-+
- // =============================================
- // Downstream rpi- changes
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -31,6 +31,11 @@
-               };
-       };
-+      vc4: gpu {
-+              compatible = "brcm,bcm2711-vc5";
-+              status = "disabled";
-+      };
-+
-       clk_108MHz: clk-108M {
-               #clock-cells = <0>;
-               compatible = "fixed-clock";
-@@ -254,6 +259,27 @@
-                       status = "disabled";
-               };
-+              pixelvalve0: pixelvalve@7e206000 {
-+                      compatible = "brcm,bcm2711-pixelvalve0";
-+                      reg = <0x7e206000 0x100>;
-+                      interrupts = <GIC_SPI 109 IRQ_TYPE_LEVEL_HIGH>;
-+                      status = "disabled";
-+              };
-+
-+              pixelvalve1: pixelvalve@7e207000 {
-+                      compatible = "brcm,bcm2711-pixelvalve1";
-+                      reg = <0x7e207000 0x100>;
-+                      interrupts = <GIC_SPI 110 IRQ_TYPE_LEVEL_HIGH>;
-+                      status = "disabled";
-+              };
-+
-+              pixelvalve2: pixelvalve@7e20a000 {
-+                      compatible = "brcm,bcm2711-pixelvalve2";
-+                      reg = <0x7e20a000 0x100>;
-+                      interrupts = <GIC_SPI 101 IRQ_TYPE_LEVEL_HIGH>;
-+                      status = "disabled";
-+              };
-+
-               pwm1: pwm@7e20c800 {
-                       compatible = "brcm,bcm2835-pwm";
-                       reg = <0x7e20c800 0x28>;
-@@ -264,6 +290,13 @@
-                       status = "disabled";
-               };
-+              pixelvalve4: pixelvalve@7e216000 {
-+                      compatible = "brcm,bcm2711-pixelvalve4";
-+                      reg = <0x7e216000 0x100>;
-+                      interrupts = <GIC_SPI 110 IRQ_TYPE_LEVEL_HIGH>;
-+                      status = "disabled";
-+              };
-+
-               emmc2: emmc2@7e340000 {
-                       compatible = "brcm,bcm2711-emmc2";
-                       reg = <0x7e340000 0x100>;
-@@ -276,6 +309,13 @@
-                       interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
-               };
-+              pixelvalve3: pixelvalve@7ec12000 {
-+                      compatible = "brcm,bcm2711-pixelvalve3";
-+                      reg = <0x7ec12000 0x100>;
-+                      interrupts = <GIC_SPI 106 IRQ_TYPE_LEVEL_HIGH>;
-+                      status = "disabled";
-+              };
-+
-               dvp: clock@7ef00000 {
-                       compatible = "brcm,brcm2711-dvp";
-                       reg = <0x7ef00000 0x10>;
-@@ -283,6 +323,76 @@
-                       #clock-cells = <1>;
-                       #reset-cells = <1>;
-               };
-+
-+              hdmi0: hdmi@7ef00700 {
-+                      compatible = "brcm,bcm2711-hdmi0";
-+                      reg = <0x7ef00700 0x300>,
-+                            <0x7ef00300 0x200>,
-+                            <0x7ef00f00 0x80>,
-+                            <0x7ef00f80 0x80>,
-+                            <0x7ef01b00 0x200>,
-+                            <0x7ef01f00 0x400>,
-+                            <0x7ef00200 0x80>,
-+                            <0x7ef04300 0x100>,
-+                            <0x7ef20000 0x100>;
-+                      reg-names = "hdmi",
-+                                  "dvp",
-+                                  "phy",
-+                                  "rm",
-+                                  "packet",
-+                                  "metadata",
-+                                  "csc",
-+                                  "cec",
-+                                  "hd";
-+                      clocks = <&firmware_clocks 13>;
-+                      clock-names = "hdmi";
-+                      resets = <&dvp 0>;
-+                      ddc = <&ddc0>;
-+                      status = "disabled";
-+              };
-+
-+              ddc0: i2c@7ef04500 {
-+                      compatible = "brcm,bcm2711-hdmi-i2c";
-+                      reg = <0x7ef04500 0x100>, <0x7ef00b00 0x300>;
-+                      reg-names = "bsc", "auto-i2c";
-+                      clock-frequency = <390000>;
-+                      status = "disabled";
-+              };
-+
-+              hdmi1: hdmi@7ef05700 {
-+                      compatible = "brcm,bcm2711-hdmi1";
-+                      reg = <0x7ef05700 0x300>,
-+                            <0x7ef05300 0x200>,
-+                            <0x7ef05f00 0x80>,
-+                            <0x7ef05f80 0x80>,
-+                            <0x7ef06b00 0x200>,
-+                            <0x7ef06f00 0x400>,
-+                            <0x7ef00280 0x80>,
-+                            <0x7ef09300 0x100>,
-+                            <0x7ef20000 0x100>;
-+                      reg-names = "hdmi",
-+                                  "dvp",
-+                                  "phy",
-+                                  "rm",
-+                                  "packet",
-+                                  "metadata",
-+                                  "csc",
-+                                  "cec",
-+                                  "hd";
-+                      ddc = <&ddc1>;
-+                      clocks = <&firmware_clocks 13>;
-+                      clock-names = "hdmi";
-+                      resets = <&dvp 1>;
-+                      status = "disabled";
-+              };
-+
-+              ddc1: i2c@7ef09500 {
-+                      compatible = "brcm,bcm2711-hdmi-i2c";
-+                      reg = <0x7ef09500 0x100>, <0x7ef05b00 0x300>;
-+                      reg-names = "bsc", "auto-i2c";
-+                      clock-frequency = <390000>;
-+                      status = "disabled";
-+              };
-       };
-       arm-pmu {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0597-drm-vc4-The-check-for-assigned-HVS-channels-is-not-a.patch b/target/linux/bcm27xx/patches-5.4/950-0597-drm-vc4-The-check-for-assigned-HVS-channels-is-not-a.patch
new file mode 100644 (file)
index 0000000..00a65a9
--- /dev/null
@@ -0,0 +1,33 @@
+From 76534156ad6e835ad89135210d565dd5f58e91ab Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 11 Feb 2020 15:36:59 +0000
+Subject: [PATCH] drm/vc4: The check for assigned HVS channels is not
+ applicable firmware_kms
+
+Channel assignments is only in full KMS, so skip the check
+if in firmware kms mode.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_kms.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_kms.c
+@@ -579,6 +579,7 @@ static int
+ vc4_atomic_check(struct drm_device *dev, struct drm_atomic_state *state)
+ {
+       unsigned long unassigned_channels = GENMASK(NUM_CHANNELS - 1, 0);
++      struct vc4_dev *vc4 = to_vc4_dev(state->dev);
+       struct drm_crtc_state *crtc_state;
+       struct drm_crtc *crtc;
+       int i, ret;
+@@ -590,7 +591,7 @@ vc4_atomic_check(struct drm_device *dev,
+               bool is_assigned = false;
+               unsigned int channel;
+-              if (!crtc_state->active)
++              if (!crtc_state->active || vc4->firmware_kms)
+                       continue;
+               /*
diff --git a/target/linux/bcm27xx/patches-5.4/950-0598-DOWNSTREAM-ARM-dts-rpi4-Disable-KMS-driver-by-defaul.patch b/target/linux/bcm27xx/patches-5.4/950-0598-DOWNSTREAM-ARM-dts-rpi4-Disable-KMS-driver-by-defaul.patch
deleted file mode 100644 (file)
index b27d355..0000000
+++ /dev/null
@@ -1,90 +0,0 @@
-From 46369abfb7dd4c33637da4340fa47a5f76f7f1c2 Mon Sep 17 00:00:00 2001
-From: Maxime Ripard <maxime@cerno.tech>
-Date: Fri, 21 Feb 2020 17:10:45 +0100
-Subject: [PATCH] ARM: dts: rpi4: Disable KMS driver by
- default
-
-Signed-off-by: Maxime Ripard <maxime@cerno.tech>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 48 +++++++++++++++++++++++++++
- arch/arm/boot/dts/bcm2711-rpi.dtsi    |  5 ---
- 2 files changed, 48 insertions(+), 5 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -182,6 +182,14 @@
- // Downstream rpi- changes
- #include "bcm270x.dtsi"
-+
-+/ {
-+      soc {
-+              /delete-node/ pixelvalve@7e807000;
-+              /delete-node/ hdmi@7e902000;
-+      };
-+};
-+
- #include "bcm2711-rpi.dtsi"
- #include "bcm283x-rpi-csi1-2lane.dtsi"
-@@ -476,6 +484,46 @@
-       pinctrl-0 = <&audio_pins>;
- };
-+&vc4 {
-+      status = "disabled";
-+};
-+
-+&pixelvalve0 {
-+      status = "disabled";
-+};
-+
-+&pixelvalve1 {
-+      status = "disabled";
-+};
-+
-+&pixelvalve2 {
-+      status = "disabled";
-+};
-+
-+&pixelvalve3 {
-+      status = "disabled";
-+};
-+
-+&pixelvalve4 {
-+      status = "disabled";
-+};
-+
-+&hdmi0 {
-+      status = "disabled";
-+};
-+
-+&ddc0 {
-+      status = "disabled";
-+};
-+
-+&hdmi1 {
-+      status = "disabled";
-+};
-+
-+&ddc1 {
-+      status = "disabled";
-+};
-+
- / {
-       __overrides__ {
-               act_led_gpio = <&act_led>,"gpios:4";
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -55,11 +55,6 @@
-               status = "okay";
-       };
--      vc4: gpu {
--              compatible = "brcm,bcm2835-vc4";
--              status = "disabled";
--      };
--
-       /delete-node/ audio;
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0598-dt-Update-v3d-to-use-firmware_clocks.patch b/target/linux/bcm27xx/patches-5.4/950-0598-dt-Update-v3d-to-use-firmware_clocks.patch
new file mode 100644 (file)
index 0000000..b67f387
--- /dev/null
@@ -0,0 +1,23 @@
+From 310d91d120b672d13d83fd4ab7cfb9cff485a1de Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Mon, 17 Feb 2020 11:37:21 +0000
+Subject: [PATCH] dt: Update v3d to use firmware_clocks.
+
+Use the updated DT clock-names property to map the v3d clock
+to the firmware_clocks driver, instead of the older clkdev API.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -34,6 +34,7 @@
+                       power-domains = <&pm BCM2835_POWER_DOMAIN_GRAFX_V3D>;
+                       resets = <&pm BCM2835_RESET_V3D>;
+                       clocks = <&firmware_clocks 5>;
++                      clocks-names = "v3d";
+                       interrupts = <GIC_SPI 74 IRQ_TYPE_LEVEL_HIGH>;
+                       status = "disabled";
+               };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0599-drm-vc4-Reset-audio-infoframe-on-encoder_enable-if-p.patch b/target/linux/bcm27xx/patches-5.4/950-0599-drm-vc4-Reset-audio-infoframe-on-encoder_enable-if-p.patch
new file mode 100644 (file)
index 0000000..56106a4
--- /dev/null
@@ -0,0 +1,72 @@
+From 3e45488069e20b07b83d8cbba88c7fa2b205e559 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 25 Mar 2020 18:01:04 +0000
+Subject: [PATCH] drm/vc4: Reset audio infoframe on encoder_enable if
+ previously streaming
+
+If the encoder is disabled and re-enabled (eg mode change) all infoframes
+are reset, whilst the audio subsystem know nothing about this change.
+The driver therefore needs to reinstate the audio infoframe for
+itself.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 12 ++++++++++++
+ drivers/gpu/drm/vc4/vc4_hdmi.h |  2 ++
+ 2 files changed, 14 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -344,8 +344,16 @@ static void vc4_hdmi_set_audio_infoframe
+ static void vc4_hdmi_set_infoframes(struct drm_encoder *encoder)
+ {
++      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
++
+       vc4_hdmi_set_avi_infoframe(encoder);
+       vc4_hdmi_set_spd_infoframe(encoder);
++      /*
++       * If audio was streaming, then we need to reenabled the audio
++       * infoframe here during encoder_enable.
++       */
++      if (vc4_hdmi->audio.streaming)
++              vc4_hdmi_set_audio_infoframe(encoder);
+ }
+ static void vc4_hdmi_encoder_disable(struct drm_encoder *encoder)
+@@ -825,6 +833,7 @@ static void vc4_hdmi_audio_reset(struct
+       struct device *dev = &vc4_hdmi->pdev->dev;
+       int ret;
++      vc4_hdmi->audio.streaming = false;
+       ret = vc4_hdmi_stop_packet(encoder, HDMI_INFOFRAME_TYPE_AUDIO);
+       if (ret)
+               dev_err(dev, "Failed to stop audio infoframe: %d\n", ret);
+@@ -928,6 +937,7 @@ static int vc4_hdmi_audio_trigger(struct
+       switch (cmd) {
+       case SNDRV_PCM_TRIGGER_START:
+               vc4_hdmi_set_audio_infoframe(encoder);
++              vc4_hdmi->audio.streaming = true;
+               if (vc4_hdmi->variant->phy_rng_enable)
+                       vc4_hdmi->variant->phy_rng_enable(vc4_hdmi);
+@@ -946,6 +956,8 @@ static int vc4_hdmi_audio_trigger(struct
+               if (vc4_hdmi->variant->phy_rng_disable)
+                       vc4_hdmi->variant->phy_rng_disable(vc4_hdmi);
++              vc4_hdmi->audio.streaming = false;
++
+               break;
+       default:
+               break;
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -101,6 +101,8 @@ struct vc4_hdmi_audio {
+       int channels;
+       struct snd_dmaengine_dai_dma_data dma_data;
+       struct snd_pcm_substream *substream;
++
++      bool streaming;
+ };
+ /* General HDMI hardware state. */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0599-dtoverlays-Add-Pi4-version-of-vc4-kms-v3d.patch b/target/linux/bcm27xx/patches-5.4/950-0599-dtoverlays-Add-Pi4-version-of-vc4-kms-v3d.patch
deleted file mode 100644 (file)
index 1c46fa4..0000000
+++ /dev/null
@@ -1,241 +0,0 @@
-From 7f9f7a113e9c5d6efd997de7de93af31ec286174 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.org>
-Date: Fri, 20 Sep 2019 17:20:01 +0100
-Subject: [PATCH] dtoverlays: Add Pi4 version of vc4-kms-v3d
-
-The Pi4 version of the KMS drivers is a work in progress, some
-blocks need alternate configuration, and some blocks currently
-need to remain disabled (eg the VEC).
-
-Add a new overlay (vc4-kms-v3d-pi4) that loads the parts of
-vc4-kms that do work on Pi4.
-This has been tested with DPI and HDMI (not 100% reliable on mode
-switching)
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.org>
----
- arch/arm/boot/dts/overlays/Makefile           |   1 +
- arch/arm/boot/dts/overlays/README             |  14 ++
- .../dts/overlays/vc4-kms-v3d-pi4-overlay.dts  | 183 ++++++++++++++++++
- 3 files changed, 198 insertions(+)
- create mode 100644 arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -191,6 +191,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       vc4-fkms-v3d.dtbo \
-       vc4-kms-kippah-7inch.dtbo \
-       vc4-kms-v3d.dtbo \
-+      vc4-kms-v3d-pi4.dtbo \
-       vga666.dtbo \
-       w1-gpio.dtbo \
-       w1-gpio-pullup.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -2684,6 +2684,20 @@ Params: cma-256                 CMA is 2
-         audio                   Enable or disable audio over HDMI (default "on")
-+Name:   vc4-kms-v3d-pi4
-+Info:   Enable Eric Anholt's DRM VC4 HDMI/HVS/V3D driver for Pi4.
-+Load:   dtoverlay=vc4-kms-v3d-pi4,<param>
-+Params: cma-256                 CMA is 256MB
-+        cma-192                 CMA is 192MB
-+        cma-128                 CMA is 128MB
-+        cma-96                  CMA is 96MB
-+        cma-64                  CMA is 64MB
-+        audio                   Enable or disable audio over HDMI0 (default
-+                                "on")
-+        audio1                  Enable or disable audio over HDMI1 (default
-+                                "on")
-+
-+
- Name:   vga666
- Info:   Overlay for the Fen Logic VGA666 board
-         This uses GPIOs 2-21 (so no I2C), and activates the output 2-3 seconds
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
-@@ -0,0 +1,183 @@
-+/*
-+ * vc4-kms-v3d-pi4-overlay.dts
-+ */
-+
-+/dts-v1/;
-+/plugin/;
-+
-+#include <dt-bindings/clock/bcm2835.h>
-+
-+/ {
-+      compatible = "brcm,bcm2835";
-+
-+      fragment@0 {
-+              target-path = "/chosen";
-+              __overlay__ {
-+                      bootargs = "cma=256M";
-+              };
-+      };
-+
-+      fragment@1 {
-+              target-path = "/chosen";
-+              __dormant__ {
-+                      bootargs = "cma=192M";
-+              };
-+      };
-+
-+      fragment@2 {
-+              target-path = "/chosen";
-+              __dormant__ {
-+                      bootargs = "cma=128M";
-+              };
-+      };
-+
-+      fragment@3 {
-+              target-path = "/chosen";
-+              __dormant__ {
-+                      bootargs = "cma=96M";
-+              };
-+      };
-+
-+      fragment@4 {
-+              target-path = "/chosen";
-+              __dormant__ {
-+                      bootargs = "cma=64M";
-+              };
-+      };
-+
-+      fragment@5 {
-+              target = <&ddc0>;
-+              __overlay__  {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@6 {
-+              target = <&ddc1>;
-+              __overlay__  {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@7 {
-+              target = <&hdmi0>;
-+              __overlay__  {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@8 {
-+              target = <&hdmi1>;
-+              __overlay__  {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@9 {
-+              target = <&hvs>;
-+              __overlay__  {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@10 {
-+              target = <&pixelvalve0>;
-+              __overlay__  {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@11 {
-+              target = <&pixelvalve1>;
-+              __overlay__  {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@12 {
-+              target = <&pixelvalve2>;
-+              __overlay__  {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@13 {
-+              target = <&pixelvalve3>;
-+              __overlay__  {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@14 {
-+              target = <&pixelvalve4>;
-+              __overlay__  {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@15 {
-+              target = <&v3d>;
-+              __overlay__  {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@16 {
-+              target = <&vc4>;
-+              __overlay__  {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@17 {
-+              target = <&txp>;
-+              __overlay__  {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@18 {
-+              target = <&fb>;
-+              __overlay__  {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@19 {
-+              target = <&firmwarekms>;
-+              __overlay__  {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@20 {
-+              target = <&vec>;
-+              __overlay__  {
-+                      status = "disabled";
-+              };
-+      };
-+
-+      fragment@21 {
-+              target = <&hdmi0>;
-+              __dormant__  {
-+                      dmas;
-+              };
-+      };
-+
-+      fragment@22 {
-+              target = <&hdmi1>;
-+              __dormant__  {
-+                      dmas;
-+              };
-+      };
-+
-+      __overrides__ {
-+              cma-256 = <0>,"+0-1-2-3-4";
-+              cma-192 = <0>,"-0+1-2-3-4";
-+              cma-128 = <0>,"-0-1+2-3-4";
-+              cma-96  = <0>,"-0-1-2+3-4";
-+              cma-64  = <0>,"-0-1-2-3+4";
-+              audio   = <0>,"!21";
-+              audio1   = <0>,"!22";
-+      };
-+};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0600-drm-Checking-of-the-pitch-is-only-valid-for-linear-f.patch b/target/linux/bcm27xx/patches-5.4/950-0600-drm-Checking-of-the-pitch-is-only-valid-for-linear-f.patch
deleted file mode 100644 (file)
index 3f0aa4a..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-From 60ef8af4bc2d5f8643adbcb69bb1f52e491a96ae Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Mon, 27 Jan 2020 10:22:44 +0000
-Subject: [PATCH] drm: Checking of the pitch is only valid for linear
- formats
-
-framebuffer_check was computing a minimum pitch value and ensuring
-that the provided value was greater than this.
-That check is only valid if the format is linear.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/drm_framebuffer.c | 16 ++++++++++------
- 1 file changed, 10 insertions(+), 6 deletions(-)
-
---- a/drivers/gpu/drm/drm_framebuffer.c
-+++ b/drivers/gpu/drm/drm_framebuffer.c
-@@ -217,12 +217,16 @@ static int framebuffer_check(struct drm_
-               if (min_pitch > UINT_MAX)
-                       return -ERANGE;
--              if ((uint64_t) height * r->pitches[i] + r->offsets[i] > UINT_MAX)
--                      return -ERANGE;
-+              if (r->modifier[i] == DRM_FORMAT_MOD_LINEAR) {
-+                      if ((uint64_t)height * r->pitches[i] + r->offsets[i] >
-+                                                              UINT_MAX)
-+                              return -ERANGE;
--              if (block_size && r->pitches[i] < min_pitch) {
--                      DRM_DEBUG_KMS("bad pitch %u for plane %d\n", r->pitches[i], i);
--                      return -EINVAL;
-+                      if (block_size && r->pitches[i] < min_pitch) {
-+                              DRM_DEBUG_KMS("bad pitch %u for plane %d\n",
-+                                            r->pitches[i], i);
-+                              return -EINVAL;
-+                      }
-               }
-               if (r->modifier[i] && !(r->flags & DRM_MODE_FB_MODIFIERS)) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0600-drm-vc4-Set-the-b-frame-marker-to-the-match-ALSA-s-d.patch b/target/linux/bcm27xx/patches-5.4/950-0600-drm-vc4-Set-the-b-frame-marker-to-the-match-ALSA-s-d.patch
new file mode 100644 (file)
index 0000000..4827f96
--- /dev/null
@@ -0,0 +1,31 @@
+From 1cf3e20f13378430cd1fc929548bca9f5e517afe Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 25 Mar 2020 18:03:42 +0000
+Subject: [PATCH] drm/vc4: Set the b-frame marker to the match ALSA's
+ default.
+
+ALSA's iec958 plugin by default sets the block start preamble
+to 8, whilst this driver was programming the hardware to expect
+0xF.
+Amend the hardware config to match ALSA.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -885,10 +885,11 @@ static int vc4_hdmi_audio_hw_params(stru
+       vc4_hdmi_audio_set_mai_clock(vc4_hdmi);
++      /* The B frame identifier should match the value used by alsa-lib (8) */
+       audio_packet_config =
+               VC4_HDMI_AUDIO_PACKET_ZERO_DATA_ON_SAMPLE_FLAT |
+               VC4_HDMI_AUDIO_PACKET_ZERO_DATA_ON_INACTIVE_CHANNELS |
+-              VC4_SET_FIELD(0xf, VC4_HDMI_AUDIO_PACKET_B_FRAME_IDENTIFIER);
++              VC4_SET_FIELD(0x8, VC4_HDMI_AUDIO_PACKET_B_FRAME_IDENTIFIER);
+       channel_mask = GENMASK(vc4_hdmi->audio.channels - 1, 0);
+       audio_packet_config |= VC4_SET_FIELD(channel_mask,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0601-drm-vc4-Add-support-for-DRM_FORMAT_P030-to-vc4-plane.patch b/target/linux/bcm27xx/patches-5.4/950-0601-drm-vc4-Add-support-for-DRM_FORMAT_P030-to-vc4-plane.patch
deleted file mode 100644 (file)
index a4d5afe..0000000
+++ /dev/null
@@ -1,174 +0,0 @@
-From 87c4b03b9d1180c2f878b19363ec0609b5f24c75 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Fri, 24 Jan 2020 14:25:41 +0000
-Subject: [PATCH] drm/vc4: Add support for DRM_FORMAT_P030 to vc4
- planes
-
-This currently doesn't handle non-zero source rectangles correctly,
-but add support for DRM_FORMAT_P030 with DRM_FORMAT_MOD_BROADCOM_SAND128
-modifier to planes when running on HVS5.
-
-WIP still for source cropping SAND/P030 formats
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_plane.c | 83 +++++++++++++++++++++++----------
- 1 file changed, 59 insertions(+), 24 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_plane.c
-+++ b/drivers/gpu/drm/vc4/vc4_plane.c
-@@ -33,6 +33,7 @@ static const struct hvs_format {
-       u32 hvs; /* HVS_FORMAT_* */
-       u32 pixel_order;
-       u32 pixel_order_hvs5;
-+      bool hvs5_only;
- } hvs_formats[] = {
-       {
-               .drm = DRM_FORMAT_XRGB8888,
-@@ -128,6 +129,12 @@ static const struct hvs_format {
-               .hvs = HVS_PIXEL_FORMAT_YCBCR_YUV422_2PLANE,
-               .pixel_order = HVS_PIXEL_ORDER_XYCRCB,
-       },
-+      {
-+              .drm = DRM_FORMAT_P030,
-+              .hvs = HVS_PIXEL_FORMAT_YCBCR_10BIT,
-+              .pixel_order = HVS_PIXEL_ORDER_XYCBCR,
-+              .hvs5_only = true,
-+      },
- };
- static const struct hvs_format *vc4_get_hvs_format(u32 drm_format)
-@@ -809,27 +816,33 @@ static int vc4_plane_mode_set(struct drm
-               uint32_t param = fourcc_mod_broadcom_param(fb->modifier);
-               u32 tile_w, tile, x_off, pix_per_tile;
--              hvs_format = HVS_PIXEL_FORMAT_H264;
--
--              switch (base_format_mod) {
--              case DRM_FORMAT_MOD_BROADCOM_SAND64:
--                      tiling = SCALER_CTL0_TILING_64B;
--                      tile_w = 64;
--                      break;
--              case DRM_FORMAT_MOD_BROADCOM_SAND128:
-+              if (fb->format->format == DRM_FORMAT_P030) {
-+                      hvs_format = HVS_PIXEL_FORMAT_YCBCR_10BIT;
-                       tiling = SCALER_CTL0_TILING_128B;
--                      tile_w = 128;
--                      break;
--              case DRM_FORMAT_MOD_BROADCOM_SAND256:
--                      tiling = SCALER_CTL0_TILING_256B_OR_T;
--                      tile_w = 256;
--                      break;
--              default:
--                      break;
--              }
-+                      tile_w = 96;
-+              } else {
-+                      hvs_format = HVS_PIXEL_FORMAT_H264;
-+                      switch (base_format_mod) {
-+                      case DRM_FORMAT_MOD_BROADCOM_SAND64:
-+                              tiling = SCALER_CTL0_TILING_64B;
-+                              tile_w = 64;
-+                              break;
-+                      case DRM_FORMAT_MOD_BROADCOM_SAND128:
-+                              tiling = SCALER_CTL0_TILING_128B;
-+                              tile_w = 128;
-+                              break;
-+                      case DRM_FORMAT_MOD_BROADCOM_SAND256:
-+                              tiling = SCALER_CTL0_TILING_256B_OR_T;
-+                              tile_w = 256;
-+                              break;
-+                      default:
-+                              break;
-+                      }
-+              }
-               if (param > SCALER_TILE_HEIGHT_MASK) {
--                      DRM_DEBUG_KMS("SAND height too large (%d)\n", param);
-+                      DRM_DEBUG_KMS("SAND height too large (%d)\n",
-+                                    param);
-                       return -EINVAL;
-               }
-@@ -839,6 +852,13 @@ static int vc4_plane_mode_set(struct drm
-               /* Adjust the base pointer to the first pixel to be scanned
-                * out.
-+               *
-+               * For P030, y_ptr [31:4] is the 128bit word for the start pixel
-+               * y_ptr [3:0] is the pixel (0-11) contained within that 128bit
-+               * word that should be taken as the first pixel.
-+               * Ditto uv_ptr [31:4] vs [3:0], however [3:0] contains the
-+               * element within the 128bit word, eg for pixel 3 the value
-+               * should be 6.
-                */
-               for (i = 0; i < num_planes; i++) {
-                       vc4_state->offsets[i] += param * tile_w * tile;
-@@ -951,8 +971,8 @@ static int vc4_plane_mode_set(struct drm
-               vc4_dlist_write(vc4_state,
-                               VC4_SET_FIELD(state->alpha >> 4,
-                                             SCALER5_CTL2_ALPHA) |
--                              fb->format->has_alpha ?
--                                      SCALER5_CTL2_ALPHA_PREMULT : 0 |
-+                              (fb->format->has_alpha ?
-+                                      SCALER5_CTL2_ALPHA_PREMULT : 0) |
-                               (mix_plane_alpha ?
-                                       SCALER5_CTL2_ALPHA_MIX : 0) |
-                               VC4_SET_FIELD(fb->format->has_alpha ?
-@@ -1000,7 +1020,8 @@ static int vc4_plane_mode_set(struct drm
-       /* Pitch word 1/2 */
-       for (i = 1; i < num_planes; i++) {
--              if (hvs_format != HVS_PIXEL_FORMAT_H264) {
-+              if (hvs_format != HVS_PIXEL_FORMAT_H264 &&
-+                  hvs_format != HVS_PIXEL_FORMAT_YCBCR_10BIT) {
-                       vc4_dlist_write(vc4_state,
-                                       VC4_SET_FIELD(fb->pitches[i],
-                                                     SCALER_SRC_PITCH));
-@@ -1371,6 +1392,13 @@ static bool vc4_format_mod_supported(str
-               default:
-                       return false;
-               }
-+      case DRM_FORMAT_P030:
-+              switch (fourcc_mod_broadcom_mod(modifier)) {
-+              case DRM_FORMAT_MOD_BROADCOM_SAND128:
-+                      return true;
-+              default:
-+                      return false;
-+              }
-       case DRM_FORMAT_RGBX1010102:
-       case DRM_FORMAT_BGRX1010102:
-       case DRM_FORMAT_RGBA1010102:
-@@ -1403,8 +1431,11 @@ struct drm_plane *vc4_plane_init(struct
-       struct drm_plane *plane = NULL;
-       struct vc4_plane *vc4_plane;
-       u32 formats[ARRAY_SIZE(hvs_formats)];
-+      int num_formats = 0;
-       int ret = 0;
-       unsigned i;
-+      bool hvs5 = of_device_is_compatible(dev->dev->of_node,
-+                                          "brcm,bcm2711-vc5");
-       static const uint64_t modifiers[] = {
-               DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED,
-               DRM_FORMAT_MOD_BROADCOM_SAND128,
-@@ -1419,13 +1450,17 @@ struct drm_plane *vc4_plane_init(struct
-       if (!vc4_plane)
-               return ERR_PTR(-ENOMEM);
--      for (i = 0; i < ARRAY_SIZE(hvs_formats); i++)
--              formats[i] = hvs_formats[i].drm;
-+      for (i = 0; i < ARRAY_SIZE(hvs_formats); i++) {
-+              if (hvs_formats[i].hvs5_only || hvs5) {
-+                      formats[num_formats] = hvs_formats[i].drm;
-+                      num_formats++;
-+              }
-+      }
-       plane = &vc4_plane->base;
-       ret = drm_universal_plane_init(dev, plane, 0,
-                                      &vc4_plane_funcs,
--                                     formats, ARRAY_SIZE(formats),
-+                                     formats, num_formats,
-                                      modifiers, type, NULL);
-       drm_plane_helper_add(plane, &vc4_plane_helper_funcs);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0601-dts-Add-reg-names-for-the-HDMI-registers-on-bcm2835.patch b/target/linux/bcm27xx/patches-5.4/950-0601-dts-Add-reg-names-for-the-HDMI-registers-on-bcm2835.patch
new file mode 100644 (file)
index 0000000..65e206c
--- /dev/null
@@ -0,0 +1,27 @@
+From 9f7718ae7edcf5feab81d3c8561e6c5112e0b462 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 25 Mar 2020 18:07:19 +0000
+Subject: [PATCH] dts: Add reg-names for the HDMI registers on bcm2835
+
+Pi4 is requiring many more register configs in the HDMI
+block, and has switched to using reg-names instead of fixed index
+values.
+
+Switch bc2835-common to match.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2835-common.dtsi | 2 ++
+ 1 file changed, 2 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2835-common.dtsi
++++ b/arch/arm/boot/dts/bcm2835-common.dtsi
+@@ -110,6 +110,8 @@
+                       compatible = "brcm,bcm2835-hdmi";
+                       reg = <0x7e902000 0x600>,
+                             <0x7e808000 0x100>;
++                      reg-names = "hdmi",
++                                  "hd";
+                       interrupts = <2 8>, <2 9>;
+                       ddc = <&i2c2>;
+                       clocks = <&clocks BCM2835_PLLH_PIX>,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0602-Fixup-P030-support.patch b/target/linux/bcm27xx/patches-5.4/950-0602-Fixup-P030-support.patch
deleted file mode 100644 (file)
index 0963824..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From 63423f4f48afc96949a63c53203faa904a85670b Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Tue, 25 Feb 2020 17:35:10 +0000
-Subject: [PATCH] Fixup P030 support
-
-I got the logic wrong for enabling pixel formats, resulting in
-Pi0-3 only getting a single, invalid, format (P030 SAND).
-
-Fixes: e07ef1d drm/vc4: Add support for DRM_FORMAT_P030 to vc4 planes
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_plane.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_plane.c
-+++ b/drivers/gpu/drm/vc4/vc4_plane.c
-@@ -1451,7 +1451,7 @@ struct drm_plane *vc4_plane_init(struct
-               return ERR_PTR(-ENOMEM);
-       for (i = 0; i < ARRAY_SIZE(hvs_formats); i++) {
--              if (hvs_formats[i].hvs5_only || hvs5) {
-+              if (!hvs_formats[i].hvs5_only || hvs5) {
-                       formats[num_formats] = hvs_formats[i].drm;
-                       num_formats++;
-               }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0602-dt-Add-HDMI-audio-dma-values-to-bcm2711.dtsi.patch b/target/linux/bcm27xx/patches-5.4/950-0602-dt-Add-HDMI-audio-dma-values-to-bcm2711.dtsi.patch
new file mode 100644 (file)
index 0000000..9a9fd06
--- /dev/null
@@ -0,0 +1,32 @@
+From 4a3b5d7018f3b0d66f412b0b1500b76ab089a2c9 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 25 Mar 2020 18:08:39 +0000
+Subject: [PATCH] dt: Add HDMI audio dma values to bcm2711.dtsi
+
+Adds the relevant DMA settings for HDMI audio to work.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711.dtsi | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -348,6 +348,8 @@
+                       clock-names = "hdmi";
+                       resets = <&dvp 0>;
+                       ddc = <&ddc0>;
++                      dmas = <&dma 10>;
++                      dma-names = "audio-rx";
+                       status = "disabled";
+               };
+@@ -383,6 +385,8 @@
+                       clocks = <&firmware_clocks 13>;
+                       clock-names = "hdmi";
+                       resets = <&dvp 1>;
++                      dmas = <&dma 17>;
++                      dma-names = "audio-rx";
+                       status = "disabled";
+               };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0603-drm-vc4-The-check-for-assigned-HVS-channels-is-not-a.patch b/target/linux/bcm27xx/patches-5.4/950-0603-drm-vc4-The-check-for-assigned-HVS-channels-is-not-a.patch
deleted file mode 100644 (file)
index 00a65a9..0000000
+++ /dev/null
@@ -1,33 +0,0 @@
-From 76534156ad6e835ad89135210d565dd5f58e91ab Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Tue, 11 Feb 2020 15:36:59 +0000
-Subject: [PATCH] drm/vc4: The check for assigned HVS channels is not
- applicable firmware_kms
-
-Channel assignments is only in full KMS, so skip the check
-if in firmware kms mode.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_kms.c | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_kms.c
-@@ -579,6 +579,7 @@ static int
- vc4_atomic_check(struct drm_device *dev, struct drm_atomic_state *state)
- {
-       unsigned long unassigned_channels = GENMASK(NUM_CHANNELS - 1, 0);
-+      struct vc4_dev *vc4 = to_vc4_dev(state->dev);
-       struct drm_crtc_state *crtc_state;
-       struct drm_crtc *crtc;
-       int i, ret;
-@@ -590,7 +591,7 @@ vc4_atomic_check(struct drm_device *dev,
-               bool is_assigned = false;
-               unsigned int channel;
--              if (!crtc_state->active)
-+              if (!crtc_state->active || vc4->firmware_kms)
-                       continue;
-               /*
diff --git a/target/linux/bcm27xx/patches-5.4/950-0603-drm-vc4-Use-reg-names-to-configure-HDMI-audio.patch b/target/linux/bcm27xx/patches-5.4/950-0603-drm-vc4-Use-reg-names-to-configure-HDMI-audio.patch
new file mode 100644 (file)
index 0000000..2504a07
--- /dev/null
@@ -0,0 +1,35 @@
+From 7a463d59a0539cdf79ee6f1fe6c52f0a487ee63e Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 25 Mar 2020 18:11:41 +0000
+Subject: [PATCH] drm/vc4: Use reg-names to configure HDMI audio.
+
+HDMI audio configuration was using fixed index numbers to
+load in DT register settings.
+Switch to using reg-names for flexibility and to match Pi4.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 5 ++++-
+ 1 file changed, 4 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1097,6 +1097,7 @@ static int vc4_hdmi_audio_init(struct vc
+       struct snd_soc_card *card = &vc4_hdmi->audio.card;
+       struct device *dev = &vc4_hdmi->pdev->dev;
+       const __be32 *addr;
++      int index;
+       int ret;
+       int len;
+@@ -1122,7 +1123,9 @@ static int vc4_hdmi_audio_init(struct vc
+        * for DMA transfers.
+        * This VC/MMU should probably be exposed to avoid this kind of hacks.
+        */
+-      addr = of_get_address(dev->of_node, 1, NULL, NULL);
++      index = of_property_match_string(dev->of_node, "reg-names", "hd");
++      addr = of_get_address(dev->of_node, index, NULL, NULL);
++
+       vc4_hdmi->audio.dma_data.addr = be32_to_cpup(addr) + mai_data->offset;
+       vc4_hdmi->audio.dma_data.addr_width = DMA_SLAVE_BUSWIDTH_4_BYTES;
+       vc4_hdmi->audio.dma_data.maxburst = 2;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0604-drm-vc4-Add-audio-initialisation-for-Pi4.patch b/target/linux/bcm27xx/patches-5.4/950-0604-drm-vc4-Add-audio-initialisation-for-Pi4.patch
new file mode 100644 (file)
index 0000000..4388099
--- /dev/null
@@ -0,0 +1,127 @@
+From 727b5180ec09faab313d7e2517e225001c967bb0 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 25 Mar 2020 18:16:14 +0000
+Subject: [PATCH] drm/vc4: Add audio initialisation for Pi4.
+
+The audio configuration has changed for Pi4, so support the
+configuration functions via the variant tables.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 51 ++++++++++++++++++++++++++++------
+ drivers/gpu/drm/vc4/vc4_hdmi.h |  6 ++++
+ 2 files changed, 49 insertions(+), 8 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -742,10 +742,44 @@ static const struct drm_encoder_helper_f
+       .enable = vc4_hdmi_encoder_enable,
+ };
++static u32 vc4_hdmi_get_hsm_clock(struct vc4_hdmi *vc4_hdmi)
++{
++      return clk_get_rate(vc4_hdmi->hsm_clock);
++}
++
++static u32 vc5_hdmi_get_hsm_clock(struct vc4_hdmi *vc4_hdmi)
++{
++      return 108000000;
++}
++
++static u32 vc4_hdmi_channel_map(struct vc4_hdmi *vc4_hdmi, u32 channel_mask)
++{
++      int i;
++      u32 channel_map = 0;
++
++      for (i = 0; i < 8; i++) {
++              if (channel_mask & BIT(i))
++                      channel_map |= i << (3 * i);
++      }
++      return channel_map;
++}
++
++static u32 vc5_hdmi_channel_map(struct vc4_hdmi *vc4_hdmi, u32 channel_mask)
++{
++      int i;
++      u32 channel_map = 0;
++
++      for (i = 0; i < 8; i++) {
++              if (channel_mask & BIT(i))
++                      channel_map |= i << (4 * i);
++      }
++      return channel_map;
++}
++
+ /* HDMI audio codec callbacks */
+ static void vc4_hdmi_audio_set_mai_clock(struct vc4_hdmi *vc4_hdmi)
+ {
+-      u32 hsm_clock = clk_get_rate(vc4_hdmi->hsm_clock);
++      u32 hsm_clock = vc4_hdmi->variant->get_hsm_clock(vc4_hdmi);
+       unsigned long n, m;
+       rational_best_approximation(hsm_clock, vc4_hdmi->audio.samplerate,
+@@ -864,7 +898,7 @@ static int vc4_hdmi_audio_hw_params(stru
+       struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
+       struct device *dev = &vc4_hdmi->pdev->dev;
+       u32 audio_packet_config, channel_mask;
+-      u32 channel_map, i;
++      u32 channel_map;
+       if (substream != vc4_hdmi->audio.substream)
+               return -EINVAL;
+@@ -916,12 +950,7 @@ static int vc4_hdmi_audio_hw_params(stru
+                  VC4_HDMI_MAI_CONFIG_BIT_REVERSE |
+                  VC4_SET_FIELD(channel_mask, VC4_HDMI_MAI_CHANNEL_MASK));
+-      channel_map = 0;
+-      for (i = 0; i < 8; i++) {
+-              if (channel_mask & BIT(i))
+-                      channel_map |= i << (3 * i);
+-      }
+-
++      channel_map = vc4_hdmi->variant->channel_map(vc4_hdmi, channel_mask);
+       HDMI_WRITE(HDMI_MAI_CHANNEL_MAP, channel_map);
+       HDMI_WRITE(HDMI_AUDIO_PACKET_CONFIG, audio_packet_config);
+       vc4_hdmi_set_n_cts(vc4_hdmi);
+@@ -1716,6 +1745,8 @@ static const struct vc4_hdmi_variant bcm
+       .phy_disable            = vc4_hdmi_phy_disable,
+       .phy_rng_enable         = vc4_hdmi_phy_rng_enable,
+       .phy_rng_disable        = vc4_hdmi_phy_rng_disable,
++      .get_hsm_clock          = vc4_hdmi_get_hsm_clock,
++      .channel_map            = vc4_hdmi_channel_map,
+ };
+ static const struct vc4_hdmi_variant bcm2711_hdmi0_variant = {
+@@ -1737,6 +1768,8 @@ static const struct vc4_hdmi_variant bcm
+       .phy_init               = vc5_hdmi_phy_init,
+       .phy_rng_enable         = vc5_hdmi_phy_rng_enable,
+       .phy_rng_disable        = vc5_hdmi_phy_rng_disable,
++      .get_hsm_clock          = vc5_hdmi_get_hsm_clock,
++      .channel_map            = vc5_hdmi_channel_map,
+ };
+ static const struct vc4_hdmi_variant bcm2711_hdmi1_variant = {
+@@ -1758,6 +1791,8 @@ static const struct vc4_hdmi_variant bcm
+       .phy_init               = vc5_hdmi_phy_init,
+       .phy_rng_enable         = vc5_hdmi_phy_rng_enable,
+       .phy_rng_disable        = vc5_hdmi_phy_rng_disable,
++      .get_hsm_clock          = vc5_hdmi_get_hsm_clock,
++      .channel_map            = vc5_hdmi_channel_map,
+ };
+ static const struct of_device_id vc4_hdmi_dt_match[] = {
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -88,6 +88,12 @@ struct vc4_hdmi_variant {
+       /* Callback to disable the RNG in the PHY */
+       void (*phy_rng_disable)(struct vc4_hdmi *vc4_hdmi);
++
++      /* Callback to get hsm clock */
++      u32 (*get_hsm_clock)(struct vc4_hdmi *vc4_hdmi);
++
++      /* Callback to get channel map */
++      u32 (*channel_map)(struct vc4_hdmi *vc4_hdmi, u32 channel_mask);
+ };
+ /* HDMI audio information */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0604-dt-Update-v3d-to-use-firmware_clocks.patch b/target/linux/bcm27xx/patches-5.4/950-0604-dt-Update-v3d-to-use-firmware_clocks.patch
deleted file mode 100644 (file)
index b67f387..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-From 310d91d120b672d13d83fd4ab7cfb9cff485a1de Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Mon, 17 Feb 2020 11:37:21 +0000
-Subject: [PATCH] dt: Update v3d to use firmware_clocks.
-
-Use the updated DT clock-names property to map the v3d clock
-to the firmware_clocks driver, instead of the older clkdev API.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711-rpi.dtsi | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -34,6 +34,7 @@
-                       power-domains = <&pm BCM2835_POWER_DOMAIN_GRAFX_V3D>;
-                       resets = <&pm BCM2835_RESET_V3D>;
-                       clocks = <&firmware_clocks 5>;
-+                      clocks-names = "v3d";
-                       interrupts = <GIC_SPI 74 IRQ_TYPE_LEVEL_HIGH>;
-                       status = "disabled";
-               };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0605-drm-vc4-Enable-audio-on-Pi4.patch b/target/linux/bcm27xx/patches-5.4/950-0605-drm-vc4-Enable-audio-on-Pi4.patch
new file mode 100644 (file)
index 0000000..b07a815
--- /dev/null
@@ -0,0 +1,31 @@
+From 446b19807781d73f214f959a8f4dab7662eed337 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 25 Mar 2020 18:18:45 +0000
+Subject: [PATCH] drm/vc4: Enable audio on Pi4.
+
+This could be a revert of "drm/vc4: hdmi: Add an audio support flag"
+as it is no longer needed.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 2 ++
+ 1 file changed, 2 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1751,6 +1751,7 @@ static const struct vc4_hdmi_variant bcm
+ static const struct vc4_hdmi_variant bcm2711_hdmi0_variant = {
+       .id                     = 0,
++      .audio_available        = true,
+       .max_pixel_clock        = 297000000,
+       .registers              = vc5_hdmi_hdmi0_fields,
+       .num_registers          = ARRAY_SIZE(vc5_hdmi_hdmi0_fields),
+@@ -1774,6 +1775,7 @@ static const struct vc4_hdmi_variant bcm
+ static const struct vc4_hdmi_variant bcm2711_hdmi1_variant = {
+       .id                     = 1,
++      .audio_available        = true,
+       .max_pixel_clock        = 297000000,
+       .registers              = vc5_hdmi_hdmi1_fields,
+       .num_registers          = ARRAY_SIZE(vc5_hdmi_hdmi1_fields),
diff --git a/target/linux/bcm27xx/patches-5.4/950-0605-drm-vc4-Reset-audio-infoframe-on-encoder_enable-if-p.patch b/target/linux/bcm27xx/patches-5.4/950-0605-drm-vc4-Reset-audio-infoframe-on-encoder_enable-if-p.patch
deleted file mode 100644 (file)
index 56106a4..0000000
+++ /dev/null
@@ -1,72 +0,0 @@
-From 3e45488069e20b07b83d8cbba88c7fa2b205e559 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 25 Mar 2020 18:01:04 +0000
-Subject: [PATCH] drm/vc4: Reset audio infoframe on encoder_enable if
- previously streaming
-
-If the encoder is disabled and re-enabled (eg mode change) all infoframes
-are reset, whilst the audio subsystem know nothing about this change.
-The driver therefore needs to reinstate the audio infoframe for
-itself.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 12 ++++++++++++
- drivers/gpu/drm/vc4/vc4_hdmi.h |  2 ++
- 2 files changed, 14 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -344,8 +344,16 @@ static void vc4_hdmi_set_audio_infoframe
- static void vc4_hdmi_set_infoframes(struct drm_encoder *encoder)
- {
-+      struct vc4_hdmi *vc4_hdmi = encoder_to_vc4_hdmi(encoder);
-+
-       vc4_hdmi_set_avi_infoframe(encoder);
-       vc4_hdmi_set_spd_infoframe(encoder);
-+      /*
-+       * If audio was streaming, then we need to reenabled the audio
-+       * infoframe here during encoder_enable.
-+       */
-+      if (vc4_hdmi->audio.streaming)
-+              vc4_hdmi_set_audio_infoframe(encoder);
- }
- static void vc4_hdmi_encoder_disable(struct drm_encoder *encoder)
-@@ -825,6 +833,7 @@ static void vc4_hdmi_audio_reset(struct
-       struct device *dev = &vc4_hdmi->pdev->dev;
-       int ret;
-+      vc4_hdmi->audio.streaming = false;
-       ret = vc4_hdmi_stop_packet(encoder, HDMI_INFOFRAME_TYPE_AUDIO);
-       if (ret)
-               dev_err(dev, "Failed to stop audio infoframe: %d\n", ret);
-@@ -928,6 +937,7 @@ static int vc4_hdmi_audio_trigger(struct
-       switch (cmd) {
-       case SNDRV_PCM_TRIGGER_START:
-               vc4_hdmi_set_audio_infoframe(encoder);
-+              vc4_hdmi->audio.streaming = true;
-               if (vc4_hdmi->variant->phy_rng_enable)
-                       vc4_hdmi->variant->phy_rng_enable(vc4_hdmi);
-@@ -946,6 +956,8 @@ static int vc4_hdmi_audio_trigger(struct
-               if (vc4_hdmi->variant->phy_rng_disable)
-                       vc4_hdmi->variant->phy_rng_disable(vc4_hdmi);
-+              vc4_hdmi->audio.streaming = false;
-+
-               break;
-       default:
-               break;
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -101,6 +101,8 @@ struct vc4_hdmi_audio {
-       int channels;
-       struct snd_dmaengine_dai_dma_data dma_data;
-       struct snd_pcm_substream *substream;
-+
-+      bool streaming;
- };
- /* General HDMI hardware state. */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0606-drm-vc4-Alter-the-HDMI-state-machine-clock-calc-to-a.patch b/target/linux/bcm27xx/patches-5.4/950-0606-drm-vc4-Alter-the-HDMI-state-machine-clock-calc-to-a.patch
new file mode 100644 (file)
index 0000000..dc2d1a2
--- /dev/null
@@ -0,0 +1,46 @@
+From 37b204f22778f51cad7bdf678d7574ff6d7508a6 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 25 Mar 2020 18:22:40 +0000
+Subject: [PATCH] drm/vc4: Alter the HDMI state machine clock calc to
+ allow for 1920x1200
+
+Whilst the documentation for BCM2835 states that the HDMI state machine
+clock needs to be 108% of the pixel clock, other documentation says
+that it only has to be greater than the pixel clock. The firmware
+uses 101%, and that allows 1920x1200@60Hz to work within the
+constraint of the HSM clock being < 163.68MHz.
+
+Adopt 101%, and increase the maximum pixel clock for vc4 to 162MHz
+so that it too supports 1920x1200@60.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 9 +++++----
+ 1 file changed, 5 insertions(+), 4 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -581,10 +581,11 @@ static void vc4_hdmi_encoder_enable(stru
+       }
+       /*
+-       * The HSM rate needs to be at 108% of the pixel clock, with a
+-       * minimum of 108MHz.
++       * The HSM rate needs to be slightly greater than the pixel clock, with
++       * a minimum of 108MHz.
++       * Use 101% as this is what the firmware uses.
+        */
+-      hsm_rate = max_t(unsigned long, 108000000, (pixel_rate / 100) * 108);
++      hsm_rate = max_t(unsigned long, 108000000, (pixel_rate / 100) * 101);
+       ret = clk_set_rate(vc4_hdmi->hsm_clock, hsm_rate);
+       if (ret) {
+               DRM_ERROR("Failed to set HSM clock rate: %d\n", ret);
+@@ -1731,7 +1732,7 @@ static int vc4_hdmi_dev_remove(struct pl
+ }
+ static const struct vc4_hdmi_variant bcm2835_variant = {
+-      .max_pixel_clock        = 148500000,
++      .max_pixel_clock        = 162000000,
+       .audio_available        = true,
+       .cec_available          = true,
+       .registers              = vc4_hdmi_fields,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0606-drm-vc4-Set-the-b-frame-marker-to-the-match-ALSA-s-d.patch b/target/linux/bcm27xx/patches-5.4/950-0606-drm-vc4-Set-the-b-frame-marker-to-the-match-ALSA-s-d.patch
deleted file mode 100644 (file)
index 4827f96..0000000
+++ /dev/null
@@ -1,31 +0,0 @@
-From 1cf3e20f13378430cd1fc929548bca9f5e517afe Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 25 Mar 2020 18:03:42 +0000
-Subject: [PATCH] drm/vc4: Set the b-frame marker to the match ALSA's
- default.
-
-ALSA's iec958 plugin by default sets the block start preamble
-to 8, whilst this driver was programming the hardware to expect
-0xF.
-Amend the hardware config to match ALSA.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -885,10 +885,11 @@ static int vc4_hdmi_audio_hw_params(stru
-       vc4_hdmi_audio_set_mai_clock(vc4_hdmi);
-+      /* The B frame identifier should match the value used by alsa-lib (8) */
-       audio_packet_config =
-               VC4_HDMI_AUDIO_PACKET_ZERO_DATA_ON_SAMPLE_FLAT |
-               VC4_HDMI_AUDIO_PACKET_ZERO_DATA_ON_INACTIVE_CHANNELS |
--              VC4_SET_FIELD(0xf, VC4_HDMI_AUDIO_PACKET_B_FRAME_IDENTIFIER);
-+              VC4_SET_FIELD(0x8, VC4_HDMI_AUDIO_PACKET_B_FRAME_IDENTIFIER);
-       channel_mask = GENMASK(vc4_hdmi->audio.channels - 1, 0);
-       audio_packet_config |= VC4_SET_FIELD(channel_mask,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0607-dtoverlays-Remove-comment-about-vc4-kms-v3d-locking-.patch b/target/linux/bcm27xx/patches-5.4/950-0607-dtoverlays-Remove-comment-about-vc4-kms-v3d-locking-.patch
new file mode 100644 (file)
index 0000000..a05bf05
--- /dev/null
@@ -0,0 +1,28 @@
+From 11d932df7f39124645cd017eb00853b4a70c28b4 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 26 Mar 2020 11:51:55 +0000
+Subject: [PATCH] dtoverlays: Remove comment about vc4-kms-v3d locking
+ up X from README
+
+Using vc4-kms-v3d with X has worked for quite a while, and essentially
+required not using fbturbo and having an up to date MESA library.
+Remove the comment that says otherwise.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README | 4 +---
+ 1 file changed, 1 insertion(+), 3 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2672,9 +2672,7 @@ Params: <None>
+ Name:   vc4-kms-v3d
+-Info:   Enable Eric Anholt's DRM VC4 HDMI/HVS/V3D driver. Running startx or
+-        booting to GUI while this overlay is in use will cause interesting
+-        lockups.
++Info:   Enable Eric Anholt's DRM VC4 HDMI/HVS/V3D driver.
+ Load:   dtoverlay=vc4-kms-v3d,<param>
+ Params: cma-256                 CMA is 256MB (needs 1GB)
+         cma-192                 CMA is 192MB (needs 1GB)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0607-dts-Add-reg-names-for-the-HDMI-registers-on-bcm2835.patch b/target/linux/bcm27xx/patches-5.4/950-0607-dts-Add-reg-names-for-the-HDMI-registers-on-bcm2835.patch
deleted file mode 100644 (file)
index 65e206c..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From 9f7718ae7edcf5feab81d3c8561e6c5112e0b462 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 25 Mar 2020 18:07:19 +0000
-Subject: [PATCH] dts: Add reg-names for the HDMI registers on bcm2835
-
-Pi4 is requiring many more register configs in the HDMI
-block, and has switched to using reg-names instead of fixed index
-values.
-
-Switch bc2835-common to match.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2835-common.dtsi | 2 ++
- 1 file changed, 2 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2835-common.dtsi
-+++ b/arch/arm/boot/dts/bcm2835-common.dtsi
-@@ -110,6 +110,8 @@
-                       compatible = "brcm,bcm2835-hdmi";
-                       reg = <0x7e902000 0x600>,
-                             <0x7e808000 0x100>;
-+                      reg-names = "hdmi",
-+                                  "hd";
-                       interrupts = <2 8>, <2 9>;
-                       ddc = <&i2c2>;
-                       clocks = <&clocks BCM2835_PLLH_PIX>,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0608-drm-vc4-Kick-the-core-clock-up-during-a-mode-change.patch b/target/linux/bcm27xx/patches-5.4/950-0608-drm-vc4-Kick-the-core-clock-up-during-a-mode-change.patch
new file mode 100644 (file)
index 0000000..871d86a
--- /dev/null
@@ -0,0 +1,97 @@
+From 289c29b96fcc6cbe5c966fb0cc9e1bb8efbdd9dc Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 26 Mar 2020 15:32:19 +0000
+Subject: [PATCH] drm/vc4: Kick the core clock up during a mode change
+
+Experimental commit to kick the core clock up during mode
+switching. This makes mode switching far more reliable, and
+mimics what the firmware does.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711.dtsi | 1 +
+ drivers/gpu/drm/vc4/vc4_drv.h  | 2 ++
+ drivers/gpu/drm/vc4/vc4_hvs.c  | 7 +++++++
+ drivers/gpu/drm/vc4/vc4_kms.c  | 6 ++++++
+ 4 files changed, 16 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -306,6 +306,7 @@
+               };
+               hvs@7e400000 {
++                      clocks = <&firmware_clocks 4>;
+                       interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
+               };
+--- a/drivers/gpu/drm/vc4/vc4_drv.h
++++ b/drivers/gpu/drm/vc4/vc4_drv.h
+@@ -326,6 +326,8 @@ struct vc4_hvs {
+       void __iomem *regs;
+       u32 __iomem *dlist;
++      struct clk *core_clk;
++
+       /* Memory manager for CRTCs to allocate space in the display
+        * list.  Units are dwords.
+        */
+--- a/drivers/gpu/drm/vc4/vc4_hvs.c
++++ b/drivers/gpu/drm/vc4/vc4_hvs.c
+@@ -19,6 +19,7 @@
+  * each CRTC.
+  */
++#include <linux/clk.h>
+ #include <linux/component.h>
+ #include <linux/platform_device.h>
+@@ -239,6 +240,12 @@ static int vc4_hvs_bind(struct device *d
+       hvs->regset.regs = hvs_regs;
+       hvs->regset.nregs = ARRAY_SIZE(hvs_regs);
++      hvs->core_clk = devm_clk_get(&pdev->dev, NULL);
++      if (IS_ERR(hvs->core_clk)) {
++              dev_err(&pdev->dev, "Couldn't get core clock\n");
++              return PTR_ERR(hvs->regs);
++      }
++
+       hvs_version = readl(hvs->regs + SCALER_DISPLSTAT) >> 24;
+       if (hvs_version >= 0x40)
+               hvs->hvs5 = true;
+--- a/drivers/gpu/drm/vc4/vc4_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_kms.c
+@@ -13,6 +13,7 @@
+ #include <linux/bitfield.h>
+ #include <linux/bitops.h>
++#include <linux/clk.h>
+ #include <drm/drm_atomic.h>
+ #include <drm/drm_atomic_helper.h>
+@@ -222,6 +223,7 @@ vc4_atomic_complete_commit(struct drm_at
+ {
+       struct drm_device *dev = state->dev;
+       struct vc4_dev *vc4 = to_vc4_dev(dev);
++      struct vc4_hvs *hvs = vc4->hvs;
+       struct vc4_crtc *vc4_crtc;
+       int i;
+@@ -237,6 +239,8 @@ vc4_atomic_complete_commit(struct drm_at
+               vc4_hvs_mask_underrun(dev, vc4_crtc_state->assigned_channel);
+       }
++      clk_set_rate(hvs->core_clk, 500000000);
++
+       drm_atomic_helper_wait_for_fences(dev, state, false);
+       drm_atomic_helper_wait_for_dependencies(state);
+@@ -262,6 +266,8 @@ vc4_atomic_complete_commit(struct drm_at
+       drm_atomic_helper_commit_cleanup_done(state);
++      clk_set_rate(hvs->core_clk, 200000000);
++
+       drm_atomic_state_put(state);
+       up(&vc4->async_modeset);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0608-dt-Add-HDMI-audio-dma-values-to-bcm2711.dtsi.patch b/target/linux/bcm27xx/patches-5.4/950-0608-dt-Add-HDMI-audio-dma-values-to-bcm2711.dtsi.patch
deleted file mode 100644 (file)
index 9a9fd06..0000000
+++ /dev/null
@@ -1,32 +0,0 @@
-From 4a3b5d7018f3b0d66f412b0b1500b76ab089a2c9 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 25 Mar 2020 18:08:39 +0000
-Subject: [PATCH] dt: Add HDMI audio dma values to bcm2711.dtsi
-
-Adds the relevant DMA settings for HDMI audio to work.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711.dtsi | 4 ++++
- 1 file changed, 4 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -348,6 +348,8 @@
-                       clock-names = "hdmi";
-                       resets = <&dvp 0>;
-                       ddc = <&ddc0>;
-+                      dmas = <&dma 10>;
-+                      dma-names = "audio-rx";
-                       status = "disabled";
-               };
-@@ -383,6 +385,8 @@
-                       clocks = <&firmware_clocks 13>;
-                       clock-names = "hdmi";
-                       resets = <&dvp 1>;
-+                      dmas = <&dma 17>;
-+                      dma-names = "audio-rx";
-                       status = "disabled";
-               };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0609-drm-vc4-Fixup-for-firmware-KMS.patch b/target/linux/bcm27xx/patches-5.4/950-0609-drm-vc4-Fixup-for-firmware-KMS.patch
new file mode 100644 (file)
index 0000000..62080bf
--- /dev/null
@@ -0,0 +1,36 @@
+From 3c10a82ae5ce60ee8b4dbd1d1f8436efaa4593c3 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Mon, 30 Mar 2020 12:52:26 +0100
+Subject: [PATCH] drm/vc4: Fixup for firmware KMS
+
+Fix up "drm/vc4: Kick the core clock up during a mode change" for
+firmware KMS mode where we don't have the HVS or core clock
+configured.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_kms.c | 6 ++++--
+ 1 file changed, 4 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_kms.c
+@@ -239,7 +239,8 @@ vc4_atomic_complete_commit(struct drm_at
+               vc4_hvs_mask_underrun(dev, vc4_crtc_state->assigned_channel);
+       }
+-      clk_set_rate(hvs->core_clk, 500000000);
++      if (!vc4->firmware_kms)
++              clk_set_rate(hvs->core_clk, 500000000);
+       drm_atomic_helper_wait_for_fences(dev, state, false);
+@@ -266,7 +267,8 @@ vc4_atomic_complete_commit(struct drm_at
+       drm_atomic_helper_commit_cleanup_done(state);
+-      clk_set_rate(hvs->core_clk, 200000000);
++      if (!vc4->firmware_kms)
++              clk_set_rate(hvs->core_clk, 200000000);
+       drm_atomic_state_put(state);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0609-drm-vc4-Use-reg-names-to-configure-HDMI-audio.patch b/target/linux/bcm27xx/patches-5.4/950-0609-drm-vc4-Use-reg-names-to-configure-HDMI-audio.patch
deleted file mode 100644 (file)
index 2504a07..0000000
+++ /dev/null
@@ -1,35 +0,0 @@
-From 7a463d59a0539cdf79ee6f1fe6c52f0a487ee63e Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 25 Mar 2020 18:11:41 +0000
-Subject: [PATCH] drm/vc4: Use reg-names to configure HDMI audio.
-
-HDMI audio configuration was using fixed index numbers to
-load in DT register settings.
-Switch to using reg-names for flexibility and to match Pi4.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 5 ++++-
- 1 file changed, 4 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1097,6 +1097,7 @@ static int vc4_hdmi_audio_init(struct vc
-       struct snd_soc_card *card = &vc4_hdmi->audio.card;
-       struct device *dev = &vc4_hdmi->pdev->dev;
-       const __be32 *addr;
-+      int index;
-       int ret;
-       int len;
-@@ -1122,7 +1123,9 @@ static int vc4_hdmi_audio_init(struct vc
-        * for DMA transfers.
-        * This VC/MMU should probably be exposed to avoid this kind of hacks.
-        */
--      addr = of_get_address(dev->of_node, 1, NULL, NULL);
-+      index = of_property_match_string(dev->of_node, "reg-names", "hd");
-+      addr = of_get_address(dev->of_node, index, NULL, NULL);
-+
-       vc4_hdmi->audio.dma_data.addr = be32_to_cpup(addr) + mai_data->offset;
-       vc4_hdmi->audio.dma_data.addr_width = DMA_SLAVE_BUSWIDTH_4_BYTES;
-       vc4_hdmi->audio.dma_data.maxburst = 2;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0610-drm-vc4-Add-audio-initialisation-for-Pi4.patch b/target/linux/bcm27xx/patches-5.4/950-0610-drm-vc4-Add-audio-initialisation-for-Pi4.patch
deleted file mode 100644 (file)
index 4388099..0000000
+++ /dev/null
@@ -1,127 +0,0 @@
-From 727b5180ec09faab313d7e2517e225001c967bb0 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 25 Mar 2020 18:16:14 +0000
-Subject: [PATCH] drm/vc4: Add audio initialisation for Pi4.
-
-The audio configuration has changed for Pi4, so support the
-configuration functions via the variant tables.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 51 ++++++++++++++++++++++++++++------
- drivers/gpu/drm/vc4/vc4_hdmi.h |  6 ++++
- 2 files changed, 49 insertions(+), 8 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -742,10 +742,44 @@ static const struct drm_encoder_helper_f
-       .enable = vc4_hdmi_encoder_enable,
- };
-+static u32 vc4_hdmi_get_hsm_clock(struct vc4_hdmi *vc4_hdmi)
-+{
-+      return clk_get_rate(vc4_hdmi->hsm_clock);
-+}
-+
-+static u32 vc5_hdmi_get_hsm_clock(struct vc4_hdmi *vc4_hdmi)
-+{
-+      return 108000000;
-+}
-+
-+static u32 vc4_hdmi_channel_map(struct vc4_hdmi *vc4_hdmi, u32 channel_mask)
-+{
-+      int i;
-+      u32 channel_map = 0;
-+
-+      for (i = 0; i < 8; i++) {
-+              if (channel_mask & BIT(i))
-+                      channel_map |= i << (3 * i);
-+      }
-+      return channel_map;
-+}
-+
-+static u32 vc5_hdmi_channel_map(struct vc4_hdmi *vc4_hdmi, u32 channel_mask)
-+{
-+      int i;
-+      u32 channel_map = 0;
-+
-+      for (i = 0; i < 8; i++) {
-+              if (channel_mask & BIT(i))
-+                      channel_map |= i << (4 * i);
-+      }
-+      return channel_map;
-+}
-+
- /* HDMI audio codec callbacks */
- static void vc4_hdmi_audio_set_mai_clock(struct vc4_hdmi *vc4_hdmi)
- {
--      u32 hsm_clock = clk_get_rate(vc4_hdmi->hsm_clock);
-+      u32 hsm_clock = vc4_hdmi->variant->get_hsm_clock(vc4_hdmi);
-       unsigned long n, m;
-       rational_best_approximation(hsm_clock, vc4_hdmi->audio.samplerate,
-@@ -864,7 +898,7 @@ static int vc4_hdmi_audio_hw_params(stru
-       struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
-       struct device *dev = &vc4_hdmi->pdev->dev;
-       u32 audio_packet_config, channel_mask;
--      u32 channel_map, i;
-+      u32 channel_map;
-       if (substream != vc4_hdmi->audio.substream)
-               return -EINVAL;
-@@ -916,12 +950,7 @@ static int vc4_hdmi_audio_hw_params(stru
-                  VC4_HDMI_MAI_CONFIG_BIT_REVERSE |
-                  VC4_SET_FIELD(channel_mask, VC4_HDMI_MAI_CHANNEL_MASK));
--      channel_map = 0;
--      for (i = 0; i < 8; i++) {
--              if (channel_mask & BIT(i))
--                      channel_map |= i << (3 * i);
--      }
--
-+      channel_map = vc4_hdmi->variant->channel_map(vc4_hdmi, channel_mask);
-       HDMI_WRITE(HDMI_MAI_CHANNEL_MAP, channel_map);
-       HDMI_WRITE(HDMI_AUDIO_PACKET_CONFIG, audio_packet_config);
-       vc4_hdmi_set_n_cts(vc4_hdmi);
-@@ -1716,6 +1745,8 @@ static const struct vc4_hdmi_variant bcm
-       .phy_disable            = vc4_hdmi_phy_disable,
-       .phy_rng_enable         = vc4_hdmi_phy_rng_enable,
-       .phy_rng_disable        = vc4_hdmi_phy_rng_disable,
-+      .get_hsm_clock          = vc4_hdmi_get_hsm_clock,
-+      .channel_map            = vc4_hdmi_channel_map,
- };
- static const struct vc4_hdmi_variant bcm2711_hdmi0_variant = {
-@@ -1737,6 +1768,8 @@ static const struct vc4_hdmi_variant bcm
-       .phy_init               = vc5_hdmi_phy_init,
-       .phy_rng_enable         = vc5_hdmi_phy_rng_enable,
-       .phy_rng_disable        = vc5_hdmi_phy_rng_disable,
-+      .get_hsm_clock          = vc5_hdmi_get_hsm_clock,
-+      .channel_map            = vc5_hdmi_channel_map,
- };
- static const struct vc4_hdmi_variant bcm2711_hdmi1_variant = {
-@@ -1758,6 +1791,8 @@ static const struct vc4_hdmi_variant bcm
-       .phy_init               = vc5_hdmi_phy_init,
-       .phy_rng_enable         = vc5_hdmi_phy_rng_enable,
-       .phy_rng_disable        = vc5_hdmi_phy_rng_disable,
-+      .get_hsm_clock          = vc5_hdmi_get_hsm_clock,
-+      .channel_map            = vc5_hdmi_channel_map,
- };
- static const struct of_device_id vc4_hdmi_dt_match[] = {
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -88,6 +88,12 @@ struct vc4_hdmi_variant {
-       /* Callback to disable the RNG in the PHY */
-       void (*phy_rng_disable)(struct vc4_hdmi *vc4_hdmi);
-+
-+      /* Callback to get hsm clock */
-+      u32 (*get_hsm_clock)(struct vc4_hdmi *vc4_hdmi);
-+
-+      /* Callback to get channel map */
-+      u32 (*channel_map)(struct vc4_hdmi *vc4_hdmi, u32 channel_mask);
- };
- /* HDMI audio information */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0610-drm-vc4-Fixup-plane-init-within-firmware-kms.patch b/target/linux/bcm27xx/patches-5.4/950-0610-drm-vc4-Fixup-plane-init-within-firmware-kms.patch
new file mode 100644 (file)
index 0000000..8edba98
--- /dev/null
@@ -0,0 +1,31 @@
+From d39fee7763d49f3b0bfd57e6cdc014467415d56a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Mon, 30 Mar 2020 18:25:10 +0100
+Subject: [PATCH] drm/vc4: Fixup plane init within firmware-kms
+
+"drm/vc4: plane: Move additional planes creation to driver" moved
+overlay and cursor plane creation to a global function thata was
+unconditionally run, when it is not wanted in firmware KMS mode.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_drv.c | 8 +++++---
+ 1 file changed, 5 insertions(+), 3 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_drv.c
++++ b/drivers/gpu/drm/vc4/vc4_drv.c
+@@ -291,9 +291,11 @@ static int vc4_drm_bind(struct device *d
+       if (ret)
+               goto gem_destroy;
+-      ret = vc4_plane_create_additional_planes(drm);
+-      if (ret)
+-              goto unbind_all;
++      if (!vc4->firmware_kms) {
++              ret = vc4_plane_create_additional_planes(drm);
++              if (ret)
++                      goto unbind_all;
++      }
+       drm_fb_helper_remove_conflicting_framebuffers(NULL, "vc4drmfb", false);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0611-drm-vc4-Enable-audio-on-Pi4.patch b/target/linux/bcm27xx/patches-5.4/950-0611-drm-vc4-Enable-audio-on-Pi4.patch
deleted file mode 100644 (file)
index b07a815..0000000
+++ /dev/null
@@ -1,31 +0,0 @@
-From 446b19807781d73f214f959a8f4dab7662eed337 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 25 Mar 2020 18:18:45 +0000
-Subject: [PATCH] drm/vc4: Enable audio on Pi4.
-
-This could be a revert of "drm/vc4: hdmi: Add an audio support flag"
-as it is no longer needed.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 2 ++
- 1 file changed, 2 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1751,6 +1751,7 @@ static const struct vc4_hdmi_variant bcm
- static const struct vc4_hdmi_variant bcm2711_hdmi0_variant = {
-       .id                     = 0,
-+      .audio_available        = true,
-       .max_pixel_clock        = 297000000,
-       .registers              = vc5_hdmi_hdmi0_fields,
-       .num_registers          = ARRAY_SIZE(vc5_hdmi_hdmi0_fields),
-@@ -1774,6 +1775,7 @@ static const struct vc4_hdmi_variant bcm
- static const struct vc4_hdmi_variant bcm2711_hdmi1_variant = {
-       .id                     = 1,
-+      .audio_available        = true,
-       .max_pixel_clock        = 297000000,
-       .registers              = vc5_hdmi_hdmi1_fields,
-       .num_registers          = ARRAY_SIZE(vc5_hdmi_hdmi1_fields),
diff --git a/target/linux/bcm27xx/patches-5.4/950-0611-drm-vc4-hdmi-Give-the-HDMI-audio-instances-different.patch b/target/linux/bcm27xx/patches-5.4/950-0611-drm-vc4-hdmi-Give-the-HDMI-audio-instances-different.patch
new file mode 100644 (file)
index 0000000..aff182d
--- /dev/null
@@ -0,0 +1,26 @@
+From 992513ac2ec9245cb8f0fdd9c0e2ce4add07beb2 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 31 Mar 2020 16:21:45 +0100
+Subject: [PATCH] drm/vc4-hdmi: Give the HDMI audio instances different
+ names
+
+The debugfs usage within asoc gets confused if multiple interfaces
+have the same card name, therefore use unique names when
+initialising them.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1198,7 +1198,7 @@ static int vc4_hdmi_audio_init(struct vc
+       card->dai_link = dai_link;
+       card->num_links = 1;
+-      card->name = "vc4-hdmi";
++      card->name = vc4_hdmi->variant->id ? "vc4-hdmi1" : "vc4-hdmi";
+       card->dev = dev;
+       card->owner = THIS_MODULE;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0612-drm-vc4-Alter-the-HDMI-state-machine-clock-calc-to-a.patch b/target/linux/bcm27xx/patches-5.4/950-0612-drm-vc4-Alter-the-HDMI-state-machine-clock-calc-to-a.patch
deleted file mode 100644 (file)
index dc2d1a2..0000000
+++ /dev/null
@@ -1,46 +0,0 @@
-From 37b204f22778f51cad7bdf678d7574ff6d7508a6 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 25 Mar 2020 18:22:40 +0000
-Subject: [PATCH] drm/vc4: Alter the HDMI state machine clock calc to
- allow for 1920x1200
-
-Whilst the documentation for BCM2835 states that the HDMI state machine
-clock needs to be 108% of the pixel clock, other documentation says
-that it only has to be greater than the pixel clock. The firmware
-uses 101%, and that allows 1920x1200@60Hz to work within the
-constraint of the HSM clock being < 163.68MHz.
-
-Adopt 101%, and increase the maximum pixel clock for vc4 to 162MHz
-so that it too supports 1920x1200@60.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 9 +++++----
- 1 file changed, 5 insertions(+), 4 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -581,10 +581,11 @@ static void vc4_hdmi_encoder_enable(stru
-       }
-       /*
--       * The HSM rate needs to be at 108% of the pixel clock, with a
--       * minimum of 108MHz.
-+       * The HSM rate needs to be slightly greater than the pixel clock, with
-+       * a minimum of 108MHz.
-+       * Use 101% as this is what the firmware uses.
-        */
--      hsm_rate = max_t(unsigned long, 108000000, (pixel_rate / 100) * 108);
-+      hsm_rate = max_t(unsigned long, 108000000, (pixel_rate / 100) * 101);
-       ret = clk_set_rate(vc4_hdmi->hsm_clock, hsm_rate);
-       if (ret) {
-               DRM_ERROR("Failed to set HSM clock rate: %d\n", ret);
-@@ -1731,7 +1732,7 @@ static int vc4_hdmi_dev_remove(struct pl
- }
- static const struct vc4_hdmi_variant bcm2835_variant = {
--      .max_pixel_clock        = 148500000,
-+      .max_pixel_clock        = 162000000,
-       .audio_available        = true,
-       .cec_available          = true,
-       .registers              = vc4_hdmi_fields,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0612-i2c-brcmstb-The-interrupt-line-is-optional-so-use-pl.patch b/target/linux/bcm27xx/patches-5.4/950-0612-i2c-brcmstb-The-interrupt-line-is-optional-so-use-pl.patch
new file mode 100644 (file)
index 0000000..89285ad
--- /dev/null
@@ -0,0 +1,49 @@
+From 8aa48c2a3fa470d348104e8f8aa558a661b724e5 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 31 Mar 2020 16:23:11 +0100
+Subject: [PATCH] i2c: brcmstb: The interrupt line is optional, so use
+ platform_get_irq_optional
+
+If there is no interrupt defined then an error is logged due
+to the use of platform_get_irq. The driver handles not having
+the interrupt by falling back to polling, therefore make
+the appropriate call when claiming it.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/i2c/busses/i2c-brcmstb.c | 20 +++++++++++---------
+ 1 file changed, 11 insertions(+), 9 deletions(-)
+
+--- a/drivers/i2c/busses/i2c-brcmstb.c
++++ b/drivers/i2c/busses/i2c-brcmstb.c
+@@ -647,20 +647,22 @@ static int brcmstb_i2c_probe(struct plat
+               int_name = NULL;
+       /* Get the interrupt number */
+-      dev->irq = platform_get_irq(pdev, 0);
++      dev->irq = platform_get_irq_optional(pdev, 0);
+       /* disable the bsc interrupt line */
+       brcmstb_i2c_enable_disable_irq(dev, INT_DISABLE);
+       /* register the ISR handler */
+-      rc = devm_request_irq(&pdev->dev, dev->irq, brcmstb_i2c_isr,
+-                            IRQF_SHARED,
+-                            int_name ? int_name : pdev->name,
+-                            dev);
++      if (dev->irq >= 0) {
++              rc = devm_request_irq(&pdev->dev, dev->irq, brcmstb_i2c_isr,
++                                    IRQF_SHARED,
++                                    int_name ? int_name : pdev->name,
++                                    dev);
+-      if (rc) {
+-              dev_dbg(dev->device, "falling back to polling mode");
+-              dev->irq = -1;
++              if (rc) {
++                      dev_dbg(dev->device, "falling back to polling mode");
++                      dev->irq = -1;
++              }
+       }
+       if (of_property_read_u32(dev->device->of_node,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0613-dt-Drop-I2C-for-Pi4-HDMI-interfaces-to-97.5kHz.patch b/target/linux/bcm27xx/patches-5.4/950-0613-dt-Drop-I2C-for-Pi4-HDMI-interfaces-to-97.5kHz.patch
new file mode 100644 (file)
index 0000000..cd18cd1
--- /dev/null
@@ -0,0 +1,35 @@
+From 460ddd2729f0dbb2723e48f3a22ffccbb78b42c7 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 31 Mar 2020 17:54:08 +0100
+Subject: [PATCH] dt: Drop I2C for Pi4 HDMI interfaces to 97.5kHz.
+
+It was set to 390kHz, which is outside of the required spec for
+reading HDMI (max 100kHz). The i2c-brcmstb driver only supports
+a number of fixed bus speeds, of which 97.5kHz is the closest to
+100kHz without exceeding it.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711.dtsi | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -358,7 +358,7 @@
+                       compatible = "brcm,bcm2711-hdmi-i2c";
+                       reg = <0x7ef04500 0x100>, <0x7ef00b00 0x300>;
+                       reg-names = "bsc", "auto-i2c";
+-                      clock-frequency = <390000>;
++                      clock-frequency = <97500>;
+                       status = "disabled";
+               };
+@@ -395,7 +395,7 @@
+                       compatible = "brcm,bcm2711-hdmi-i2c";
+                       reg = <0x7ef09500 0x100>, <0x7ef05b00 0x300>;
+                       reg-names = "bsc", "auto-i2c";
+-                      clock-frequency = <390000>;
++                      clock-frequency = <97500>;
+                       status = "disabled";
+               };
+       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0613-dtoverlays-Remove-comment-about-vc4-kms-v3d-locking-.patch b/target/linux/bcm27xx/patches-5.4/950-0613-dtoverlays-Remove-comment-about-vc4-kms-v3d-locking-.patch
deleted file mode 100644 (file)
index a05bf05..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From 11d932df7f39124645cd017eb00853b4a70c28b4 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Thu, 26 Mar 2020 11:51:55 +0000
-Subject: [PATCH] dtoverlays: Remove comment about vc4-kms-v3d locking
- up X from README
-
-Using vc4-kms-v3d with X has worked for quite a while, and essentially
-required not using fbturbo and having an up to date MESA library.
-Remove the comment that says otherwise.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/README | 4 +---
- 1 file changed, 1 insertion(+), 3 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -2672,9 +2672,7 @@ Params: <None>
- Name:   vc4-kms-v3d
--Info:   Enable Eric Anholt's DRM VC4 HDMI/HVS/V3D driver. Running startx or
--        booting to GUI while this overlay is in use will cause interesting
--        lockups.
-+Info:   Enable Eric Anholt's DRM VC4 HDMI/HVS/V3D driver.
- Load:   dtoverlay=vc4-kms-v3d,<param>
- Params: cma-256                 CMA is 256MB (needs 1GB)
-         cma-192                 CMA is 192MB (needs 1GB)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0614-drm-vc4-Kick-the-core-clock-up-during-a-mode-change.patch b/target/linux/bcm27xx/patches-5.4/950-0614-drm-vc4-Kick-the-core-clock-up-during-a-mode-change.patch
deleted file mode 100644 (file)
index 871d86a..0000000
+++ /dev/null
@@ -1,97 +0,0 @@
-From 289c29b96fcc6cbe5c966fb0cc9e1bb8efbdd9dc Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Thu, 26 Mar 2020 15:32:19 +0000
-Subject: [PATCH] drm/vc4: Kick the core clock up during a mode change
-
-Experimental commit to kick the core clock up during mode
-switching. This makes mode switching far more reliable, and
-mimics what the firmware does.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711.dtsi | 1 +
- drivers/gpu/drm/vc4/vc4_drv.h  | 2 ++
- drivers/gpu/drm/vc4/vc4_hvs.c  | 7 +++++++
- drivers/gpu/drm/vc4/vc4_kms.c  | 6 ++++++
- 4 files changed, 16 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -306,6 +306,7 @@
-               };
-               hvs@7e400000 {
-+                      clocks = <&firmware_clocks 4>;
-                       interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
-               };
---- a/drivers/gpu/drm/vc4/vc4_drv.h
-+++ b/drivers/gpu/drm/vc4/vc4_drv.h
-@@ -326,6 +326,8 @@ struct vc4_hvs {
-       void __iomem *regs;
-       u32 __iomem *dlist;
-+      struct clk *core_clk;
-+
-       /* Memory manager for CRTCs to allocate space in the display
-        * list.  Units are dwords.
-        */
---- a/drivers/gpu/drm/vc4/vc4_hvs.c
-+++ b/drivers/gpu/drm/vc4/vc4_hvs.c
-@@ -19,6 +19,7 @@
-  * each CRTC.
-  */
-+#include <linux/clk.h>
- #include <linux/component.h>
- #include <linux/platform_device.h>
-@@ -239,6 +240,12 @@ static int vc4_hvs_bind(struct device *d
-       hvs->regset.regs = hvs_regs;
-       hvs->regset.nregs = ARRAY_SIZE(hvs_regs);
-+      hvs->core_clk = devm_clk_get(&pdev->dev, NULL);
-+      if (IS_ERR(hvs->core_clk)) {
-+              dev_err(&pdev->dev, "Couldn't get core clock\n");
-+              return PTR_ERR(hvs->regs);
-+      }
-+
-       hvs_version = readl(hvs->regs + SCALER_DISPLSTAT) >> 24;
-       if (hvs_version >= 0x40)
-               hvs->hvs5 = true;
---- a/drivers/gpu/drm/vc4/vc4_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_kms.c
-@@ -13,6 +13,7 @@
- #include <linux/bitfield.h>
- #include <linux/bitops.h>
-+#include <linux/clk.h>
- #include <drm/drm_atomic.h>
- #include <drm/drm_atomic_helper.h>
-@@ -222,6 +223,7 @@ vc4_atomic_complete_commit(struct drm_at
- {
-       struct drm_device *dev = state->dev;
-       struct vc4_dev *vc4 = to_vc4_dev(dev);
-+      struct vc4_hvs *hvs = vc4->hvs;
-       struct vc4_crtc *vc4_crtc;
-       int i;
-@@ -237,6 +239,8 @@ vc4_atomic_complete_commit(struct drm_at
-               vc4_hvs_mask_underrun(dev, vc4_crtc_state->assigned_channel);
-       }
-+      clk_set_rate(hvs->core_clk, 500000000);
-+
-       drm_atomic_helper_wait_for_fences(dev, state, false);
-       drm_atomic_helper_wait_for_dependencies(state);
-@@ -262,6 +266,8 @@ vc4_atomic_complete_commit(struct drm_at
-       drm_atomic_helper_commit_cleanup_done(state);
-+      clk_set_rate(hvs->core_clk, 200000000);
-+
-       drm_atomic_state_put(state);
-       up(&vc4->async_modeset);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0614-overlays-Add-missing-rpi-poe-parameters.patch b/target/linux/bcm27xx/patches-5.4/950-0614-overlays-Add-missing-rpi-poe-parameters.patch
new file mode 100644 (file)
index 0000000..716678f
--- /dev/null
@@ -0,0 +1,39 @@
+From bb766b0401a49f4a824dd116b9befe8542fe3cd6 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 27 Mar 2020 13:49:25 +0000
+Subject: [PATCH] overlays: Add missing rpi-poe parameters
+
+The rpi-poe fan overlay has gained two more fan speeds and adjusted
+the thresholds and hystereses.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README | 14 +++++++++++---
+ 1 file changed, 11 insertions(+), 3 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2037,12 +2037,20 @@ Name:   rpi-poe
+ Info:   Raspberry Pi PoE HAT fan
+ Load:   dtoverlay=rpi-poe,<param>[=<val>]
+ Params: poe_fan_temp0           Temperature (in millicelcius) at which the fan
+-                                turns on (default 50000)
++                                turns on (default 40000)
+         poe_fan_temp0_hyst      Temperature delta (in millicelcius) at which
+-                                the fan turns off (default 5000)
++                                the fan turns off (default 2000)
+         poe_fan_temp1           Temperature (in millicelcius) at which the fan
+-                                speeds up (default 55000)
++                                speeds up (default 45000)
+         poe_fan_temp1_hyst      Temperature delta (in millicelcius) at which
++                                the fan slows down (default 2000)
++        poe_fan_temp2           Temperature (in millicelcius) at which the fan
++                                speeds up (default 50000)
++        poe_fan_temp2_hyst      Temperature delta (in millicelcius) at which
++                                the fan slows down (default 2000)
++        poe_fan_temp3           Temperature (in millicelcius) at which the fan
++                                speeds up (default 55000)
++        poe_fan_temp3_hyst      Temperature delta (in millicelcius) at which
+                                 the fan slows down (default 5000)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0615-drm-vc4-Fixup-for-firmware-KMS.patch b/target/linux/bcm27xx/patches-5.4/950-0615-drm-vc4-Fixup-for-firmware-KMS.patch
deleted file mode 100644 (file)
index 62080bf..0000000
+++ /dev/null
@@ -1,36 +0,0 @@
-From 3c10a82ae5ce60ee8b4dbd1d1f8436efaa4593c3 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Mon, 30 Mar 2020 12:52:26 +0100
-Subject: [PATCH] drm/vc4: Fixup for firmware KMS
-
-Fix up "drm/vc4: Kick the core clock up during a mode change" for
-firmware KMS mode where we don't have the HVS or core clock
-configured.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_kms.c | 6 ++++--
- 1 file changed, 4 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_kms.c
-@@ -239,7 +239,8 @@ vc4_atomic_complete_commit(struct drm_at
-               vc4_hvs_mask_underrun(dev, vc4_crtc_state->assigned_channel);
-       }
--      clk_set_rate(hvs->core_clk, 500000000);
-+      if (!vc4->firmware_kms)
-+              clk_set_rate(hvs->core_clk, 500000000);
-       drm_atomic_helper_wait_for_fences(dev, state, false);
-@@ -266,7 +267,8 @@ vc4_atomic_complete_commit(struct drm_at
-       drm_atomic_helper_commit_cleanup_done(state);
--      clk_set_rate(hvs->core_clk, 200000000);
-+      if (!vc4->firmware_kms)
-+              clk_set_rate(hvs->core_clk, 200000000);
-       drm_atomic_state_put(state);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0615-vc4_hdmi_phy-Fix-offset-calculation.patch b/target/linux/bcm27xx/patches-5.4/950-0615-vc4_hdmi_phy-Fix-offset-calculation.patch
new file mode 100644 (file)
index 0000000..83d8d25
--- /dev/null
@@ -0,0 +1,30 @@
+From 9da2d153eb010d3e92083c322e87de9ae066d93e Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Thu, 2 Apr 2020 16:46:31 +0100
+Subject: [PATCH] vc4_hdmi_phy: Fix offset calculation
+
+The original firmware code worked with float and did
+   offset = ((vco_freq / fref * 2) * (1 << 22));
+   offset >>= 2;
+
+In this code it's all integer so doing the integer divide before the shift loses lots of precision
+
+This fixes the issue of 1080p59.94 mode having 59.64 fps
+
+Signed-off-by: popcornmix <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi_phy.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
+@@ -192,8 +192,8 @@ static u32 phy_get_rm_offset(unsigned lo
+       /* RM offset is stored as 9.22 format */
+       offset = vco_freq * 2;
+-      do_div(offset, fref);
+       offset = offset << 22;
++      do_div(offset, fref);
+       offset >>= 2;
+       return offset;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0616-drm-vc4-Fixup-plane-init-within-firmware-kms.patch b/target/linux/bcm27xx/patches-5.4/950-0616-drm-vc4-Fixup-plane-init-within-firmware-kms.patch
deleted file mode 100644 (file)
index 8edba98..0000000
+++ /dev/null
@@ -1,31 +0,0 @@
-From d39fee7763d49f3b0bfd57e6cdc014467415d56a Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Mon, 30 Mar 2020 18:25:10 +0100
-Subject: [PATCH] drm/vc4: Fixup plane init within firmware-kms
-
-"drm/vc4: plane: Move additional planes creation to driver" moved
-overlay and cursor plane creation to a global function thata was
-unconditionally run, when it is not wanted in firmware KMS mode.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_drv.c | 8 +++++---
- 1 file changed, 5 insertions(+), 3 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_drv.c
-+++ b/drivers/gpu/drm/vc4/vc4_drv.c
-@@ -291,9 +291,11 @@ static int vc4_drm_bind(struct device *d
-       if (ret)
-               goto gem_destroy;
--      ret = vc4_plane_create_additional_planes(drm);
--      if (ret)
--              goto unbind_all;
-+      if (!vc4->firmware_kms) {
-+              ret = vc4_plane_create_additional_planes(drm);
-+              if (ret)
-+                      goto unbind_all;
-+      }
-       drm_fb_helper_remove_conflicting_framebuffers(NULL, "vc4drmfb", false);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0616-overlays-Add-overlay_map.patch b/target/linux/bcm27xx/patches-5.4/950-0616-overlays-Add-overlay_map.patch
new file mode 100644 (file)
index 0000000..20f5483
--- /dev/null
@@ -0,0 +1,101 @@
+From b9b7a84463d95fd912406e377a58af8b1fb81d21 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 1 Apr 2020 15:09:42 +0100
+Subject: [PATCH] overlays: Add overlay_map
+
+The overlay map permits platform-specific overlays, with deprecation
+and renaming.
+
+See: https://github.com/raspberrypi/linux/issues/3520
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile        |  2 +
+ arch/arm/boot/dts/overlays/overlay_map.dts | 71 ++++++++++++++++++++++
+ 2 files changed, 73 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/overlay_map.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -1,5 +1,7 @@
+ # Overlays for the Raspberry Pi platform
++dtb-$(CONFIG_ARCH_BCM2835) += overlay_map.dtb
++
+ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       act-led.dtbo \
+       adau1977-adc.dtbo \
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/overlay_map.dts
+@@ -0,0 +1,71 @@
++/dts-v1/;
++
++/ {
++      i2c3 {
++              bcm2711;
++      };
++
++      i2c4 {
++              bcm2711;
++      };
++
++      i2c5 {
++              bcm2711;
++      };
++
++      i2c6 {
++              bcm2711;
++      };
++
++      rpivid-v4l2 {
++              bcm2711;
++      };
++
++      spi3-1cs {
++              bcm2711;
++      };
++
++      spi3-2cs {
++              bcm2711;
++      };
++
++      spi4-1cs {
++              bcm2711;
++      };
++
++      spi4-2cs {
++              bcm2711;
++      };
++
++      spi5-1cs {
++              bcm2711;
++      };
++
++      spi5-2cs {
++              bcm2711;
++      };
++
++      spi6-1cs {
++              bcm2711;
++      };
++
++      spi6-2cs {
++              bcm2711;
++      };
++
++      uart2 {
++              bcm2711;
++      };
++
++      uart3 {
++              bcm2711;
++      };
++
++      uart4 {
++              bcm2711;
++      };
++
++      uart5 {
++              bcm2711;
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0617-drm-vc4-hdmi-Give-the-HDMI-audio-instances-different.patch b/target/linux/bcm27xx/patches-5.4/950-0617-drm-vc4-hdmi-Give-the-HDMI-audio-instances-different.patch
deleted file mode 100644 (file)
index aff182d..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From 992513ac2ec9245cb8f0fdd9c0e2ce4add07beb2 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Tue, 31 Mar 2020 16:21:45 +0100
-Subject: [PATCH] drm/vc4-hdmi: Give the HDMI audio instances different
- names
-
-The debugfs usage within asoc gets confused if multiple interfaces
-have the same card name, therefore use unique names when
-initialising them.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1198,7 +1198,7 @@ static int vc4_hdmi_audio_init(struct vc
-       card->dai_link = dai_link;
-       card->num_links = 1;
--      card->name = "vc4-hdmi";
-+      card->name = vc4_hdmi->variant->id ? "vc4-hdmi1" : "vc4-hdmi";
-       card->dev = dev;
-       card->owner = THIS_MODULE;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0617-overlays-Formally-rename-deprecate-old-overlays.patch b/target/linux/bcm27xx/patches-5.4/950-0617-overlays-Formally-rename-deprecate-old-overlays.patch
new file mode 100644 (file)
index 0000000..edcb379
--- /dev/null
@@ -0,0 +1,226 @@
+From c9d7d2eb73f2c6024e3f94765fc830bce0203f2b Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 1 Apr 2020 17:24:15 +0100
+Subject: [PATCH] overlays: Formally rename/deprecate old overlays
+
+Take advantage of the overlay_map to rename or deprecate some obsolete
+overlays.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  7 ----
+ arch/arm/boot/dts/overlays/README             | 12 +-----
+ .../overlays/bmp085_i2c-sensor-overlay.dts    | 23 -----------
+ .../dts/overlays/i2c0-bcm2708-overlay.dts     | 14 -------
+ .../dts/overlays/i2c1-bcm2708-overlay.dts     |  9 -----
+ arch/arm/boot/dts/overlays/overlay_map.dts    | 40 +++++++++++++++++++
+ .../boot/dts/overlays/pi3-act-led-overlay.dts |  1 -
+ .../dts/overlays/pi3-disable-bt-overlay.dts   |  1 -
+ .../dts/overlays/pi3-disable-wifi-overlay.dts |  1 -
+ .../dts/overlays/pi3-miniuart-bt-overlay.dts  |  1 -
+ 10 files changed, 42 insertions(+), 67 deletions(-)
+ delete mode 100644 arch/arm/boot/dts/overlays/bmp085_i2c-sensor-overlay.dts
+ delete mode 100644 arch/arm/boot/dts/overlays/i2c0-bcm2708-overlay.dts
+ delete mode 100644 arch/arm/boot/dts/overlays/i2c1-bcm2708-overlay.dts
+ delete mode 100644 arch/arm/boot/dts/overlays/pi3-act-led-overlay.dts
+ delete mode 100644 arch/arm/boot/dts/overlays/pi3-disable-bt-overlay.dts
+ delete mode 100644 arch/arm/boot/dts/overlays/pi3-disable-wifi-overlay.dts
+ delete mode 100644 arch/arm/boot/dts/overlays/pi3-miniuart-bt-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -27,7 +27,6 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       audiosense-pi.dtbo \
+       audremap.dtbo \
+       balena-fin.dtbo \
+-      bmp085_i2c-sensor.dtbo \
+       dht11.dtbo \
+       dionaudio-loco.dtbo \
+       dionaudio-loco-v2.dtbo \
+@@ -75,9 +74,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       i2c-rtc-gpio.dtbo \
+       i2c-sensor.dtbo \
+       i2c0.dtbo \
+-      i2c0-bcm2708.dtbo \
+       i2c1.dtbo \
+-      i2c1-bcm2708.dtbo \
+       i2c3.dtbo \
+       i2c4.dtbo \
+       i2c5.dtbo \
+@@ -114,10 +111,6 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       mz61581.dtbo \
+       ov5647.dtbo \
+       papirus.dtbo \
+-      pi3-act-led.dtbo \
+-      pi3-disable-bt.dtbo \
+-      pi3-disable-wifi.dtbo \
+-      pi3-miniuart-bt.dtbo \
+       pibell.dtbo \
+       piglow.dtbo \
+       piscreen.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1288,11 +1288,8 @@ Params: pins_0_1                Use pins
+ Name:   i2c0-bcm2708
+-Info:   Deprecated, legacy version of i2c0, from which it inherits its
+-        parameters, just adding the explicit individual pin specifiers.
++Info:   Deprecated, legacy version of i2c0.
+ Load:   <Deprecated>
+-Params: sda0_pin                GPIO pin for SDA0 (deprecated - use pins_*)
+-        scl0_pin                GPIO pin for SCL0 (deprecated - use pins_*)
+ Name:   i2c1
+@@ -1307,13 +1304,8 @@ Params: pins_2_3                Use pins
+ Name:   i2c1-bcm2708
+-Info:   Deprecated, legacy version of i2c1, from which it inherits its
+-        parameters, just adding the explicit individual pin specifiers.
++Info:   Deprecated, legacy version of i2c1.
+ Load:   <Deprecated>
+-Params: sda1_pin                GPIO pin for SDA1 (2 or 44 - default 2)
+-        scl1_pin                GPIO pin for SCL1 (3 or 45 - default 3)
+-        pin_func                Alternative pin function (4 (alt0), 6 (alt2) -
+-                                default 4)
+ Name:   i2c3
+--- a/arch/arm/boot/dts/overlays/bmp085_i2c-sensor-overlay.dts
++++ /dev/null
+@@ -1,23 +0,0 @@
+-// Definitions for BMP085/BMP180 digital barometric pressure and temperature sensors from Bosch Sensortec
+-/dts-v1/;
+-/plugin/;
+-
+-/ {
+-        compatible = "brcm,bcm2835";
+-
+-        fragment@0 {
+-                target = <&i2c_arm>;
+-                __overlay__ {
+-                        #address-cells = <1>;
+-                        #size-cells = <0>;
+-                        status = "okay";
+-
+-                        bmp085@77 {
+-                                compatible = "bosch,bmp085";
+-                                reg = <0x77>;
+-                                default-oversampling = <3>;
+-                                status = "okay";
+-                        };
+-                };
+-        };
+-};
+--- a/arch/arm/boot/dts/overlays/i2c0-bcm2708-overlay.dts
++++ /dev/null
+@@ -1,14 +0,0 @@
+-#include "i2c0-overlay.dts"
+-
+-/{
+-      __overrides__ {
+-              sda0_pin = <&pins1>,"brcm,pins:0",
+-                         <&pins2>,"brcm,pins:0",
+-                         <&pins3>,"brcm,pins:0",
+-                         <&pins4>,"brcm,pins:0";
+-              scl0_pin = <&pins1>,"brcm,pins:4",
+-                         <&pins2>,"brcm,pins:4",
+-                         <&pins3>,"brcm,pins:4",
+-                         <&pins4>,"brcm,pins:4";
+-      };
+-};
+--- a/arch/arm/boot/dts/overlays/i2c1-bcm2708-overlay.dts
++++ /dev/null
+@@ -1,9 +0,0 @@
+-#include "i2c1-overlay.dts"
+-
+-/{
+-      __overrides__ {
+-              sda1_pin = <&pins1>,"brcm,pins:0", <&pins2>,"brcm,pins:0";
+-              scl1_pin = <&pins1>,"brcm,pins:4", <&pins1>,"brcm,pins:4";
+-              pin_func = <&pins1>,"brcm,function:0", <&pins2>,"brcm,function:0";
+-      };
+-};
+--- a/arch/arm/boot/dts/overlays/overlay_map.dts
++++ b/arch/arm/boot/dts/overlays/overlay_map.dts
+@@ -1,6 +1,18 @@
+ /dts-v1/;
+ / {
++      bmp085_i2c-sensor {
++              deprecated = "use i2c-sensor,bmp085";
++      };
++
++      i2c0-bcm2708 {
++              deprecated = "use i2c0";
++      };
++
++      i2c1-bcm2708 {
++              deprecated = "use i2c1";
++      };
++
+       i2c3 {
+               bcm2711;
+       };
+@@ -17,10 +29,34 @@
+               bcm2711;
+       };
++      lirc-rpi {
++              deprecated = "use gpio-ir";
++      };
++
++      pi3-act-led {
++              renamed = "act-led";
++      };
++
++      pi3-disable-bt {
++              renamed = "disable-bt";
++      };
++
++      pi3-disable-wifi {
++              renamed = "disable-wifi";
++      };
++
++      pi3-miniuart-bt {
++              renamed = "miniuart-bt";
++      };
++
+       rpivid-v4l2 {
+               bcm2711;
+       };
++      sdio-1bit {
++              deprecated = "use sdio,bus_width=1,gpios_22_25";
++      };
++
+       spi3-1cs {
+               bcm2711;
+       };
+@@ -68,4 +104,8 @@
+       uart5 {
+               bcm2711;
+       };
++
++      upstream-aux-interrupt {
++              deprecated = "no longer necessary";
++      };
+ };
+--- a/arch/arm/boot/dts/overlays/pi3-act-led-overlay.dts
++++ /dev/null
+@@ -1 +0,0 @@
+-#include "act-led-overlay.dts"
+--- a/arch/arm/boot/dts/overlays/pi3-disable-bt-overlay.dts
++++ /dev/null
+@@ -1 +0,0 @@
+-#include "disable-bt-overlay.dts"
+--- a/arch/arm/boot/dts/overlays/pi3-disable-wifi-overlay.dts
++++ /dev/null
+@@ -1 +0,0 @@
+-#include "disable-wifi-overlay.dts"
+--- a/arch/arm/boot/dts/overlays/pi3-miniuart-bt-overlay.dts
++++ /dev/null
+@@ -1 +0,0 @@
+-#include "miniuart-bt-overlay.dts"
diff --git a/target/linux/bcm27xx/patches-5.4/950-0618-i2c-brcmstb-The-interrupt-line-is-optional-so-use-pl.patch b/target/linux/bcm27xx/patches-5.4/950-0618-i2c-brcmstb-The-interrupt-line-is-optional-so-use-pl.patch
deleted file mode 100644 (file)
index 89285ad..0000000
+++ /dev/null
@@ -1,49 +0,0 @@
-From 8aa48c2a3fa470d348104e8f8aa558a661b724e5 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Tue, 31 Mar 2020 16:23:11 +0100
-Subject: [PATCH] i2c: brcmstb: The interrupt line is optional, so use
- platform_get_irq_optional
-
-If there is no interrupt defined then an error is logged due
-to the use of platform_get_irq. The driver handles not having
-the interrupt by falling back to polling, therefore make
-the appropriate call when claiming it.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/i2c/busses/i2c-brcmstb.c | 20 +++++++++++---------
- 1 file changed, 11 insertions(+), 9 deletions(-)
-
---- a/drivers/i2c/busses/i2c-brcmstb.c
-+++ b/drivers/i2c/busses/i2c-brcmstb.c
-@@ -647,20 +647,22 @@ static int brcmstb_i2c_probe(struct plat
-               int_name = NULL;
-       /* Get the interrupt number */
--      dev->irq = platform_get_irq(pdev, 0);
-+      dev->irq = platform_get_irq_optional(pdev, 0);
-       /* disable the bsc interrupt line */
-       brcmstb_i2c_enable_disable_irq(dev, INT_DISABLE);
-       /* register the ISR handler */
--      rc = devm_request_irq(&pdev->dev, dev->irq, brcmstb_i2c_isr,
--                            IRQF_SHARED,
--                            int_name ? int_name : pdev->name,
--                            dev);
-+      if (dev->irq >= 0) {
-+              rc = devm_request_irq(&pdev->dev, dev->irq, brcmstb_i2c_isr,
-+                                    IRQF_SHARED,
-+                                    int_name ? int_name : pdev->name,
-+                                    dev);
--      if (rc) {
--              dev_dbg(dev->device, "falling back to polling mode");
--              dev->irq = -1;
-+              if (rc) {
-+                      dev_dbg(dev->device, "falling back to polling mode");
-+                      dev->irq = -1;
-+              }
-       }
-       if (of_property_read_u32(dev->device->of_node,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0618-overlays-Add-vc4-kms-v3d-pi4-to-overlay_map.patch b/target/linux/bcm27xx/patches-5.4/950-0618-overlays-Add-vc4-kms-v3d-pi4-to-overlay_map.patch
new file mode 100644 (file)
index 0000000..4fd5879
--- /dev/null
@@ -0,0 +1,26 @@
+From ed5f6f5d1077e849c0762595069e79a7749951bf Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 1 Apr 2020 15:51:56 +0100
+Subject: [PATCH] overlays: Add vc4-kms-v3d-pi4 to overlay_map
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/overlay_map.dts | 9 +++++++++
+ 1 file changed, 9 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/overlay_map.dts
++++ b/arch/arm/boot/dts/overlays/overlay_map.dts
+@@ -108,4 +108,13 @@
+       upstream-aux-interrupt {
+               deprecated = "no longer necessary";
+       };
++
++      vc4-kms-v3d {
++              bcm2835;
++              bcm2711 = "vc4-kms-v3d-pi4";
++      };
++
++      vc4-kms-v3d-pi4 {
++              bcm2711;
++      };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0619-Add-upstream-and-upstream-pi4-to-overlay_map.patch b/target/linux/bcm27xx/patches-5.4/950-0619-Add-upstream-and-upstream-pi4-to-overlay_map.patch
new file mode 100644 (file)
index 0000000..e76367b
--- /dev/null
@@ -0,0 +1,229 @@
+From 0a65f76d99bce7685e57ae506eedc499c551ac83 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 6 Apr 2020 09:47:42 +0100
+Subject: [PATCH] Add upstream and upstream-pi4 to overlay_map
+
+Because the upstream overlay applies vc4-kms-v3d, of which Pi 4 has its
+own version, there also needs to be a Pi 4 version - vc4-kms-v3d-pi4.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |   7 +
+ arch/arm/boot/dts/overlays/overlay_map.dts    |   9 +
+ .../dts/overlays/upstream-pi4-overlay.dts     | 161 ++++++++++++++++++
+ 4 files changed, 178 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -183,6 +183,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       uart5.dtbo \
+       udrc.dtbo \
+       upstream.dtbo \
++      upstream-pi4.dtbo \
+       vc4-fkms-v3d.dtbo \
+       vc4-kms-kippah-7inch.dtbo \
+       vc4-kms-v3d.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2653,6 +2653,13 @@ Info:   This overlay has been deprecated
+ Load:   <Deprecated>
++Name:   upstream-pi4
++Info:   Allow usage of downstream .dtb with upstream kernel on Pi 4. Comprises
++        the vc4-kms-v3d-pi4 and dwc2 overlays.
++Load:   dtoverlay=upstream-pi4
++Params: <None>
++
++
+ Name:   vc4-fkms-v3d
+ Info:   Enable Eric Anholt's DRM VC4 V3D driver on top of the dispmanx
+         display stack.
+--- a/arch/arm/boot/dts/overlays/overlay_map.dts
++++ b/arch/arm/boot/dts/overlays/overlay_map.dts
+@@ -105,10 +105,19 @@
+               bcm2711;
+       };
++      upstream {
++              bcm2835;
++              bcm2711 = "upstream-pi4";
++      };
++
+       upstream-aux-interrupt {
+               deprecated = "no longer necessary";
+       };
++      upstream-pi4 {
++              bcm2711;
++      };
++
+       vc4-kms-v3d {
+               bcm2835;
+               bcm2711 = "vc4-kms-v3d-pi4";
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
+@@ -0,0 +1,161 @@
++// redo: ovmerge -c vc4-kms-v3d-pi4-overlay.dts,cma-96 dwc2-overlay.dts,dr_mode=otg
++
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/clock/bcm2835.h>
++
++/ {
++      compatible = "brcm,bcm2835";
++      fragment@0 {
++              target-path = "/chosen";
++              __dormant__ {
++                      bootargs = "cma=256M";
++              };
++      };
++      fragment@1 {
++              target-path = "/chosen";
++              __dormant__ {
++                      bootargs = "cma=192M";
++              };
++      };
++      fragment@2 {
++              target-path = "/chosen";
++              __dormant__ {
++                      bootargs = "cma=128M";
++              };
++      };
++      fragment@3 {
++              target-path = "/chosen";
++              __overlay__ {
++                      bootargs = "cma=96M";
++              };
++      };
++      fragment@4 {
++              target-path = "/chosen";
++              __dormant__ {
++                      bootargs = "cma=64M";
++              };
++      };
++      fragment@5 {
++              target = <&ddc0>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@6 {
++              target = <&ddc1>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@7 {
++              target = <&hdmi0>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@8 {
++              target = <&hdmi1>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@9 {
++              target = <&hvs>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@10 {
++              target = <&pixelvalve0>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@11 {
++              target = <&pixelvalve1>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@12 {
++              target = <&pixelvalve2>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@13 {
++              target = <&pixelvalve3>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@14 {
++              target = <&pixelvalve4>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@15 {
++              target = <&v3d>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@16 {
++              target = <&vc4>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@17 {
++              target = <&txp>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@18 {
++              target = <&fb>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++      fragment@19 {
++              target = <&firmwarekms>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++      fragment@20 {
++              target = <&vec>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++      fragment@21 {
++              target = <&hdmi0>;
++              __dormant__ {
++                      dmas;
++              };
++      };
++      fragment@22 {
++              target = <&hdmi1>;
++              __dormant__ {
++                      dmas;
++              };
++      };
++      fragment@23 {
++              target = <&usb>;
++              #address-cells = <1>;
++              #size-cells = <1>;
++              __overlay__ {
++                      compatible = "brcm,bcm2835-usb";
++                      dr_mode = "otg";
++                      g-np-tx-fifo-size = <32>;
++                      g-rx-fifo-size = <558>;
++                      g-tx-fifo-size = <512 512 512 512 512 256 256>;
++                      status = "okay";
++              };
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0619-dt-Drop-I2C-for-Pi4-HDMI-interfaces-to-97.5kHz.patch b/target/linux/bcm27xx/patches-5.4/950-0619-dt-Drop-I2C-for-Pi4-HDMI-interfaces-to-97.5kHz.patch
deleted file mode 100644 (file)
index cd18cd1..0000000
+++ /dev/null
@@ -1,35 +0,0 @@
-From 460ddd2729f0dbb2723e48f3a22ffccbb78b42c7 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Tue, 31 Mar 2020 17:54:08 +0100
-Subject: [PATCH] dt: Drop I2C for Pi4 HDMI interfaces to 97.5kHz.
-
-It was set to 390kHz, which is outside of the required spec for
-reading HDMI (max 100kHz). The i2c-brcmstb driver only supports
-a number of fixed bus speeds, of which 97.5kHz is the closest to
-100kHz without exceeding it.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711.dtsi | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -358,7 +358,7 @@
-                       compatible = "brcm,bcm2711-hdmi-i2c";
-                       reg = <0x7ef04500 0x100>, <0x7ef00b00 0x300>;
-                       reg-names = "bsc", "auto-i2c";
--                      clock-frequency = <390000>;
-+                      clock-frequency = <97500>;
-                       status = "disabled";
-               };
-@@ -395,7 +395,7 @@
-                       compatible = "brcm,bcm2711-hdmi-i2c";
-                       reg = <0x7ef09500 0x100>, <0x7ef05b00 0x300>;
-                       reg-names = "bsc", "auto-i2c";
--                      clock-frequency = <390000>;
-+                      clock-frequency = <97500>;
-                       status = "disabled";
-               };
-       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0620-clk-raspberrypi-Allow-cpufreq-driver-to-also-adjust-.patch b/target/linux/bcm27xx/patches-5.4/950-0620-clk-raspberrypi-Allow-cpufreq-driver-to-also-adjust-.patch
new file mode 100644 (file)
index 0000000..c0422f4
--- /dev/null
@@ -0,0 +1,25 @@
+From a2e39f36678626f5d7883c5a1dc8c476134c5e0b Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Mon, 9 Sep 2019 15:49:56 +0100
+Subject: [PATCH] clk-raspberrypi: Allow cpufreq driver to also adjust
+ gpu clocks
+
+For performance/power it is beneficial to adjust gpu clocks with arm clock.
+This is how the downstream cpufreq driver works
+
+Signed-off-by: popcornmix <popcornmix@gmail.com>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -76,7 +76,7 @@ static int raspberrypi_clock_property(st
+       struct raspberrypi_firmware_prop msg = {
+               .id = cpu_to_le32(data->id),
+               .val = cpu_to_le32(*val),
+-              .disable_turbo = cpu_to_le32(1),
++              .disable_turbo = cpu_to_le32(0),
+       };
+       int ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0620-overlays-Add-missing-rpi-poe-parameters.patch b/target/linux/bcm27xx/patches-5.4/950-0620-overlays-Add-missing-rpi-poe-parameters.patch
deleted file mode 100644 (file)
index 716678f..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-From bb766b0401a49f4a824dd116b9befe8542fe3cd6 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Fri, 27 Mar 2020 13:49:25 +0000
-Subject: [PATCH] overlays: Add missing rpi-poe parameters
-
-The rpi-poe fan overlay has gained two more fan speeds and adjusted
-the thresholds and hystereses.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/README | 14 +++++++++++---
- 1 file changed, 11 insertions(+), 3 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -2037,12 +2037,20 @@ Name:   rpi-poe
- Info:   Raspberry Pi PoE HAT fan
- Load:   dtoverlay=rpi-poe,<param>[=<val>]
- Params: poe_fan_temp0           Temperature (in millicelcius) at which the fan
--                                turns on (default 50000)
-+                                turns on (default 40000)
-         poe_fan_temp0_hyst      Temperature delta (in millicelcius) at which
--                                the fan turns off (default 5000)
-+                                the fan turns off (default 2000)
-         poe_fan_temp1           Temperature (in millicelcius) at which the fan
--                                speeds up (default 55000)
-+                                speeds up (default 45000)
-         poe_fan_temp1_hyst      Temperature delta (in millicelcius) at which
-+                                the fan slows down (default 2000)
-+        poe_fan_temp2           Temperature (in millicelcius) at which the fan
-+                                speeds up (default 50000)
-+        poe_fan_temp2_hyst      Temperature delta (in millicelcius) at which
-+                                the fan slows down (default 2000)
-+        poe_fan_temp3           Temperature (in millicelcius) at which the fan
-+                                speeds up (default 55000)
-+        poe_fan_temp3_hyst      Temperature delta (in millicelcius) at which
-                                 the fan slows down (default 5000)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0621-Add-support-for-the-AudioInjector.net-Isolated-sound.patch b/target/linux/bcm27xx/patches-5.4/950-0621-Add-support-for-the-AudioInjector.net-Isolated-sound.patch
new file mode 100644 (file)
index 0000000..e358069
--- /dev/null
@@ -0,0 +1,323 @@
+From 5b37b08ff1c29e7386eb8a29b168e94e33cf82c3 Mon Sep 17 00:00:00 2001
+From: Matt Flax <flatmax@flatmax.org>
+Date: Wed, 8 Apr 2020 20:00:30 +1000
+Subject: [PATCH] Add support for the AudioInjector.net Isolated sound
+ card
+
+This patch adds support for the Audio Injector Isolated sound card.
+
+Signed-off-by: Matt Flax <flatmax@flatmax.org>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |   6 +
+ ...dioinjector-isolated-soundcard-overlay.dts |  55 ++++++
+ sound/soc/bcm/Kconfig                         |   7 +
+ sound/soc/bcm/Makefile                        |   2 +
+ .../bcm/audioinjector-isolated-soundcard.c    | 183 ++++++++++++++++++
+ 11 files changed, 259 insertions(+), 5 deletions(-)
+ create mode 100644 arch/arm/boot/dts/overlays/audioinjector-isolated-soundcard-overlay.dts
+ create mode 100644 sound/soc/bcm/audioinjector-isolated-soundcard.c
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -22,6 +22,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       applepi-dac.dtbo \
+       at86rf233.dtbo \
+       audioinjector-addons.dtbo \
++      audioinjector-isolated-soundcard.dtbo \
+       audioinjector-ultra.dtbo \
+       audioinjector-wm8731-audio.dtbo \
+       audiosense-pi.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -505,6 +505,12 @@ Params: non-stop-clocks         Keeps th
+                                 is paused or stopped (default off)
++Name:   audioinjector-isolated-soundcard
++Info:   Configures the audioinjector.net isolated soundcard
++Load:   dtoverlay=audioinjector-isolated-soundcard
++Params: <None>
++
++
+ Name:   audioinjector-ultra
+ Info:   Configures the audioinjector.net ultra soundcard
+ Load:   dtoverlay=audioinjector-ultra
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/audioinjector-isolated-soundcard-overlay.dts
+@@ -0,0 +1,55 @@
++// Definitions for audioinjector.net audio isolated soundcard
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&i2s>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@1 {
++              target-path = "/";
++              __overlay__ {
++                      cs4272_mclk: codec-mclk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <24576000>;
++                      };
++              };
++      };
++
++      fragment@2 {
++              target = <&i2c1>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      cs4272: cs4271@10 {
++                              #sound-dai-cells = <0>;
++                              compatible = "cirrus,cs4271";
++                              reg = <0x10>;
++                              reset-gpio = <&gpio 5 0>;
++                              clocks = <&cs4272_mclk>;
++                              clock-names = "mclk";
++                              status = "okay";
++                      };
++              };
++      };
++
++      fragment@3 {
++              target = <&sound>;
++              snd: __overlay__ {
++                      compatible = "ai,audioinjector-isolated-soundcard";
++                      mute-gpios = <&gpio 17 0>;
++                      i2s-controller = <&i2s>;
++                      codec = <&cs4272>;
++                      status = "okay";
++              };
++      };
++};
+--- a/sound/soc/bcm/Kconfig
++++ b/sound/soc/bcm/Kconfig
+@@ -192,6 +192,13 @@ config SND_AUDIOINJECTOR_OCTO_SOUNDCARD
+       help
+         Say Y or M if you want to add support for audioinjector.net octo add on
++config SND_AUDIOINJECTOR_ISOLATED_SOUNDCARD
++      tristate "Support for audioinjector.net isolated DAC and ADC soundcard"
++      depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
++      select SND_SOC_CS4271_I2C
++      help
++        Say Y or M if you want to add support for audioinjector.net isolated soundcard
++
+ config SND_AUDIOSENSE_PI
+       tristate "Support for AudioSense Add-On Soundcard"
+       depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
+--- a/sound/soc/bcm/Makefile
++++ b/sound/soc/bcm/Makefile
+@@ -27,6 +27,7 @@ snd-soc-iqaudio-dac-objs := iqaudio-dac.
+  snd-soc-i-sabre-q2m-objs := i-sabre-q2m.o
+ snd-soc-audioinjector-pi-soundcard-objs := audioinjector-pi-soundcard.o
+ snd-soc-audioinjector-octo-soundcard-objs := audioinjector-octo-soundcard.o
++snd-soc-audioinjector-isolated-soundcard-objs := audioinjector-isolated-soundcard.o
+ snd-soc-audiosense-pi-objs := audiosense-pi.o
+ snd-soc-digidac1-soundcard-objs := digidac1-soundcard.o
+ snd-soc-dionaudio-loco-objs := dionaudio_loco.o
+@@ -55,6 +56,7 @@ obj-$(CONFIG_SND_BCM2708_SOC_IQAUDIO_DAC
+  obj-$(CONFIG_SND_BCM2708_SOC_I_SABRE_Q2M) += snd-soc-i-sabre-q2m.o
+ obj-$(CONFIG_SND_AUDIOINJECTOR_PI_SOUNDCARD) += snd-soc-audioinjector-pi-soundcard.o
+ obj-$(CONFIG_SND_AUDIOINJECTOR_OCTO_SOUNDCARD) += snd-soc-audioinjector-octo-soundcard.o
++obj-$(CONFIG_SND_AUDIOINJECTOR_ISOLATED_SOUNDCARD) += snd-soc-audioinjector-isolated-soundcard.o
+ obj-$(CONFIG_SND_AUDIOSENSE_PI) += snd-soc-audiosense-pi.o
+ obj-$(CONFIG_SND_DIGIDAC1_SOUNDCARD) += snd-soc-digidac1-soundcard.o
+ obj-$(CONFIG_SND_BCM2708_SOC_DIONAUDIO_LOCO) += snd-soc-dionaudio-loco.o
+--- /dev/null
++++ b/sound/soc/bcm/audioinjector-isolated-soundcard.c
+@@ -0,0 +1,183 @@
++/*
++ * ASoC Driver for AudioInjector.net isolated soundcard
++ *
++ *  Created on: 20-February-2020
++ *      Author: flatmax@flatmax.org
++ *              based on audioinjector-octo-soundcard.c
++ *
++ * Copyright (C) 2020 Flatmax Pty. Ltd.
++ *
++ * This program is free software; you can redistribute it and/or
++ * modify it under the terms of the GNU General Public License
++ * version 2 as published by the Free Software Foundation.
++ *
++ * This program is distributed in the hope that it will be useful, but
++ * WITHOUT ANY WARRANTY; without even the implied warranty of
++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
++ * General Public License for more details.
++ */
++
++#include <linux/module.h>
++#include <linux/types.h>
++#include <linux/gpio/consumer.h>
++
++#include <sound/core.h>
++#include <sound/soc.h>
++#include <sound/pcm_params.h>
++#include <sound/control.h>
++
++static struct gpio_desc *mute_gpio;
++
++static const unsigned int audioinjector_isolated_rates[] = {
++      192000, 96000, 48000, 32000, 24000, 16000, 8000
++};
++
++static struct snd_pcm_hw_constraint_list audioinjector_isolated_constraints = {
++      .list = audioinjector_isolated_rates,
++      .count = ARRAY_SIZE(audioinjector_isolated_rates),
++};
++
++static int audioinjector_isolated_dai_init(struct snd_soc_pcm_runtime *rtd)
++{
++      int ret=snd_soc_dai_set_sysclk(rtd->codec_dai, 0, 24576000, 0);
++      if (ret)
++              return ret;
++
++      return snd_soc_dai_set_bclk_ratio(rtd->cpu_dai, 64);
++}
++
++static int audioinjector_isolated_startup(struct snd_pcm_substream *substream)
++{
++      snd_pcm_hw_constraint_list(substream->runtime, 0,
++                              SNDRV_PCM_HW_PARAM_RATE, &audioinjector_isolated_constraints);
++
++      return 0;
++}
++
++static int audioinjector_isolated_trigger(struct snd_pcm_substream *substream,
++                                                              int cmd){
++
++      switch (cmd) {
++      case SNDRV_PCM_TRIGGER_STOP:
++      case SNDRV_PCM_TRIGGER_SUSPEND:
++      case SNDRV_PCM_TRIGGER_PAUSE_PUSH:
++              gpiod_set_value(mute_gpio, 0);
++              break;
++      case SNDRV_PCM_TRIGGER_START:
++      case SNDRV_PCM_TRIGGER_RESUME:
++      case SNDRV_PCM_TRIGGER_PAUSE_RELEASE:
++              gpiod_set_value(mute_gpio, 1);
++              break;
++      default:
++              return -EINVAL;
++      }
++      return 0;
++}
++
++static struct snd_soc_ops audioinjector_isolated_ops = {
++      .startup        = audioinjector_isolated_startup,
++      .trigger = audioinjector_isolated_trigger,
++};
++
++SND_SOC_DAILINK_DEFS(audioinjector_isolated,
++      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
++      DAILINK_COMP_ARRAY(COMP_CODEC("cs4271.1-0010", "cs4271-hifi")),
++      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2708-i2s.0")));
++
++static struct snd_soc_dai_link audioinjector_isolated_dai[] = {
++      {
++              .name = "AudioInjector ISO",
++              .stream_name = "AI-HIFI",
++              .ops = &audioinjector_isolated_ops,
++              .init = audioinjector_isolated_dai_init,
++              .symmetric_rates = 1,
++              .symmetric_channels = 1,
++              .dai_fmt = SND_SOC_DAIFMT_CBM_CFM|SND_SOC_DAIFMT_I2S|SND_SOC_DAIFMT_NB_NF,
++              SND_SOC_DAILINK_REG(audioinjector_isolated),
++      }
++};
++
++static const struct snd_soc_dapm_widget audioinjector_isolated_widgets[] = {
++      SND_SOC_DAPM_OUTPUT("OUTPUTS"),
++      SND_SOC_DAPM_INPUT("INPUTS"),
++};
++
++static const struct snd_soc_dapm_route audioinjector_isolated_route[] = {
++      /* Balanced outputs */
++      {"OUTPUTS", NULL, "AOUTA+"},
++      {"OUTPUTS", NULL, "AOUTA-"},
++      {"OUTPUTS", NULL, "AOUTB+"},
++      {"OUTPUTS", NULL, "AOUTB-"},
++
++      /* Balanced inputs */
++      {"AINA", NULL, "INPUTS"},
++      {"AINB", NULL, "INPUTS"},
++};
++
++static struct snd_soc_card snd_soc_audioinjector_isolated = {
++      .name = "audioinjector-isolated-soundcard",
++      .dai_link = audioinjector_isolated_dai,
++      .num_links = ARRAY_SIZE(audioinjector_isolated_dai),
++
++      .dapm_widgets = audioinjector_isolated_widgets,
++      .num_dapm_widgets = ARRAY_SIZE(audioinjector_isolated_widgets),
++      .dapm_routes = audioinjector_isolated_route,
++      .num_dapm_routes = ARRAY_SIZE(audioinjector_isolated_route),
++};
++
++static int audioinjector_isolated_probe(struct platform_device *pdev)
++{
++      struct snd_soc_card *card = &snd_soc_audioinjector_isolated;
++      int ret;
++
++      card->dev = &pdev->dev;
++
++      if (pdev->dev.of_node) {
++              struct snd_soc_dai_link *dai = &audioinjector_isolated_dai[0];
++              struct device_node *i2s_node =
++                                      of_parse_phandle(pdev->dev.of_node, "i2s-controller", 0);
++
++              if (i2s_node) {
++                      dai->cpus->dai_name = NULL;
++                      dai->cpus->of_node = i2s_node;
++                      dai->platforms->name = NULL;
++                      dai->platforms->of_node = i2s_node;
++              } else {
++                              dev_err(&pdev->dev,
++                              "i2s-controller missing or invalid in DT\n");
++                              return -EINVAL;
++              }
++
++              mute_gpio = devm_gpiod_get_optional(&pdev->dev, "mute", GPIOD_OUT_LOW);
++              if (IS_ERR(mute_gpio)){
++                      dev_err(&pdev->dev, "mute gpio not found in dt overlay\n");
++                      return PTR_ERR(mute_gpio);
++              }
++      }
++
++      ret = devm_snd_soc_register_card(&pdev->dev, card);
++      if (ret && ret != -EPROBE_DEFER)
++              dev_err(&pdev->dev, "snd_soc_register_card failed (%d)\n", ret);
++      return ret;
++}
++
++static const struct of_device_id audioinjector_isolated_of_match[] = {
++      { .compatible = "ai,audioinjector-isolated-soundcard", },
++      {},
++};
++MODULE_DEVICE_TABLE(of, audioinjector_isolated_of_match);
++
++static struct platform_driver audioinjector_isolated_driver = {
++      .driver = {
++              .name                   = "audioinjector-isolated",
++              .owner                  = THIS_MODULE,
++              .of_match_table = audioinjector_isolated_of_match,
++      },
++      .probe  = audioinjector_isolated_probe,
++};
++
++module_platform_driver(audioinjector_isolated_driver);
++MODULE_AUTHOR("Matt Flax <flatmax@flatmax.org>");
++MODULE_DESCRIPTION("AudioInjector.net isolated Soundcard");
++MODULE_LICENSE("GPL v2");
++MODULE_ALIAS("platform:audioinjector-isolated-soundcard");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0621-vc4_hdmi_phy-Fix-offset-calculation.patch b/target/linux/bcm27xx/patches-5.4/950-0621-vc4_hdmi_phy-Fix-offset-calculation.patch
deleted file mode 100644 (file)
index 83d8d25..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-From 9da2d153eb010d3e92083c322e87de9ae066d93e Mon Sep 17 00:00:00 2001
-From: popcornmix <popcornmix@gmail.com>
-Date: Thu, 2 Apr 2020 16:46:31 +0100
-Subject: [PATCH] vc4_hdmi_phy: Fix offset calculation
-
-The original firmware code worked with float and did
-   offset = ((vco_freq / fref * 2) * (1 << 22));
-   offset >>= 2;
-
-In this code it's all integer so doing the integer divide before the shift loses lots of precision
-
-This fixes the issue of 1080p59.94 mode having 59.64 fps
-
-Signed-off-by: popcornmix <popcornmix@gmail.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi_phy.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
-@@ -192,8 +192,8 @@ static u32 phy_get_rm_offset(unsigned lo
-       /* RM offset is stored as 9.22 format */
-       offset = vco_freq * 2;
--      do_div(offset, fref);
-       offset = offset << 22;
-+      do_div(offset, fref);
-       offset >>= 2;
-       return offset;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0622-overlays-Add-overlay_map.patch b/target/linux/bcm27xx/patches-5.4/950-0622-overlays-Add-overlay_map.patch
deleted file mode 100644 (file)
index 20f5483..0000000
+++ /dev/null
@@ -1,101 +0,0 @@
-From b9b7a84463d95fd912406e377a58af8b1fb81d21 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Wed, 1 Apr 2020 15:09:42 +0100
-Subject: [PATCH] overlays: Add overlay_map
-
-The overlay map permits platform-specific overlays, with deprecation
-and renaming.
-
-See: https://github.com/raspberrypi/linux/issues/3520
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/Makefile        |  2 +
- arch/arm/boot/dts/overlays/overlay_map.dts | 71 ++++++++++++++++++++++
- 2 files changed, 73 insertions(+)
- create mode 100644 arch/arm/boot/dts/overlays/overlay_map.dts
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -1,5 +1,7 @@
- # Overlays for the Raspberry Pi platform
-+dtb-$(CONFIG_ARCH_BCM2835) += overlay_map.dtb
-+
- dtbo-$(CONFIG_ARCH_BCM2835) += \
-       act-led.dtbo \
-       adau1977-adc.dtbo \
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/overlay_map.dts
-@@ -0,0 +1,71 @@
-+/dts-v1/;
-+
-+/ {
-+      i2c3 {
-+              bcm2711;
-+      };
-+
-+      i2c4 {
-+              bcm2711;
-+      };
-+
-+      i2c5 {
-+              bcm2711;
-+      };
-+
-+      i2c6 {
-+              bcm2711;
-+      };
-+
-+      rpivid-v4l2 {
-+              bcm2711;
-+      };
-+
-+      spi3-1cs {
-+              bcm2711;
-+      };
-+
-+      spi3-2cs {
-+              bcm2711;
-+      };
-+
-+      spi4-1cs {
-+              bcm2711;
-+      };
-+
-+      spi4-2cs {
-+              bcm2711;
-+      };
-+
-+      spi5-1cs {
-+              bcm2711;
-+      };
-+
-+      spi5-2cs {
-+              bcm2711;
-+      };
-+
-+      spi6-1cs {
-+              bcm2711;
-+      };
-+
-+      spi6-2cs {
-+              bcm2711;
-+      };
-+
-+      uart2 {
-+              bcm2711;
-+      };
-+
-+      uart3 {
-+              bcm2711;
-+      };
-+
-+      uart4 {
-+              bcm2711;
-+      };
-+
-+      uart5 {
-+              bcm2711;
-+      };
-+};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0622-overlays-Fix-dtc-warnings-in-i2c-gpio.patch b/target/linux/bcm27xx/patches-5.4/950-0622-overlays-Fix-dtc-warnings-in-i2c-gpio.patch
new file mode 100644 (file)
index 0000000..2da57b8
--- /dev/null
@@ -0,0 +1,24 @@
+From 1231481bdb45114abe7b0348c78a943642fde717 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 8 Apr 2020 11:59:39 +0100
+Subject: [PATCH] overlays: Fix dtc warnings in i2c-gpio
+
+Better late than never.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts | 3 +++
+ 1 file changed, 3 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts
+@@ -9,6 +9,9 @@
+               target-path = "/";
+               __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
+                       i2c_gpio: i2c@0 {
+                               reg = <0xffffffff>;
+                               compatible = "i2c-gpio";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0623-kbuild-Disable-gcc-plugins.patch b/target/linux/bcm27xx/patches-5.4/950-0623-kbuild-Disable-gcc-plugins.patch
new file mode 100644 (file)
index 0000000..66091ca
--- /dev/null
@@ -0,0 +1,28 @@
+From 31b68a380e7649f0cbc7209c465bf747c072a7ce Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 8 Apr 2020 15:23:56 +0100
+Subject: [PATCH] kbuild: Disable gcc plugins
+
+The GCC plugin feature leads to different kernel configurations on what
+ought to be equivalent build systems because they depend on the build
+hosts native compilers rather than the cross compilers needed for the
+target. This causes problems with module symbol version mismatches.
+
+Disable GCC plugins for all build hosts.
+
+Advanced build script hackery borrowed from a patch by milhouse.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ scripts/gcc-plugin.sh | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/scripts/gcc-plugin.sh
++++ b/scripts/gcc-plugin.sh
+@@ -1,5 +1,6 @@
+ #!/bin/sh
+ # SPDX-License-Identifier: GPL-2.0
++exit 0 # Disable plugins
+ srctree=$(dirname "$0")
+ SHOW_ERROR=
diff --git a/target/linux/bcm27xx/patches-5.4/950-0623-overlays-Formally-rename-deprecate-old-overlays.patch b/target/linux/bcm27xx/patches-5.4/950-0623-overlays-Formally-rename-deprecate-old-overlays.patch
deleted file mode 100644 (file)
index edcb379..0000000
+++ /dev/null
@@ -1,226 +0,0 @@
-From c9d7d2eb73f2c6024e3f94765fc830bce0203f2b Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Wed, 1 Apr 2020 17:24:15 +0100
-Subject: [PATCH] overlays: Formally rename/deprecate old overlays
-
-Take advantage of the overlay_map to rename or deprecate some obsolete
-overlays.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/Makefile           |  7 ----
- arch/arm/boot/dts/overlays/README             | 12 +-----
- .../overlays/bmp085_i2c-sensor-overlay.dts    | 23 -----------
- .../dts/overlays/i2c0-bcm2708-overlay.dts     | 14 -------
- .../dts/overlays/i2c1-bcm2708-overlay.dts     |  9 -----
- arch/arm/boot/dts/overlays/overlay_map.dts    | 40 +++++++++++++++++++
- .../boot/dts/overlays/pi3-act-led-overlay.dts |  1 -
- .../dts/overlays/pi3-disable-bt-overlay.dts   |  1 -
- .../dts/overlays/pi3-disable-wifi-overlay.dts |  1 -
- .../dts/overlays/pi3-miniuart-bt-overlay.dts  |  1 -
- 10 files changed, 42 insertions(+), 67 deletions(-)
- delete mode 100644 arch/arm/boot/dts/overlays/bmp085_i2c-sensor-overlay.dts
- delete mode 100644 arch/arm/boot/dts/overlays/i2c0-bcm2708-overlay.dts
- delete mode 100644 arch/arm/boot/dts/overlays/i2c1-bcm2708-overlay.dts
- delete mode 100644 arch/arm/boot/dts/overlays/pi3-act-led-overlay.dts
- delete mode 100644 arch/arm/boot/dts/overlays/pi3-disable-bt-overlay.dts
- delete mode 100644 arch/arm/boot/dts/overlays/pi3-disable-wifi-overlay.dts
- delete mode 100644 arch/arm/boot/dts/overlays/pi3-miniuart-bt-overlay.dts
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -27,7 +27,6 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       audiosense-pi.dtbo \
-       audremap.dtbo \
-       balena-fin.dtbo \
--      bmp085_i2c-sensor.dtbo \
-       dht11.dtbo \
-       dionaudio-loco.dtbo \
-       dionaudio-loco-v2.dtbo \
-@@ -75,9 +74,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       i2c-rtc-gpio.dtbo \
-       i2c-sensor.dtbo \
-       i2c0.dtbo \
--      i2c0-bcm2708.dtbo \
-       i2c1.dtbo \
--      i2c1-bcm2708.dtbo \
-       i2c3.dtbo \
-       i2c4.dtbo \
-       i2c5.dtbo \
-@@ -114,10 +111,6 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       mz61581.dtbo \
-       ov5647.dtbo \
-       papirus.dtbo \
--      pi3-act-led.dtbo \
--      pi3-disable-bt.dtbo \
--      pi3-disable-wifi.dtbo \
--      pi3-miniuart-bt.dtbo \
-       pibell.dtbo \
-       piglow.dtbo \
-       piscreen.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -1288,11 +1288,8 @@ Params: pins_0_1                Use pins
- Name:   i2c0-bcm2708
--Info:   Deprecated, legacy version of i2c0, from which it inherits its
--        parameters, just adding the explicit individual pin specifiers.
-+Info:   Deprecated, legacy version of i2c0.
- Load:   <Deprecated>
--Params: sda0_pin                GPIO pin for SDA0 (deprecated - use pins_*)
--        scl0_pin                GPIO pin for SCL0 (deprecated - use pins_*)
- Name:   i2c1
-@@ -1307,13 +1304,8 @@ Params: pins_2_3                Use pins
- Name:   i2c1-bcm2708
--Info:   Deprecated, legacy version of i2c1, from which it inherits its
--        parameters, just adding the explicit individual pin specifiers.
-+Info:   Deprecated, legacy version of i2c1.
- Load:   <Deprecated>
--Params: sda1_pin                GPIO pin for SDA1 (2 or 44 - default 2)
--        scl1_pin                GPIO pin for SCL1 (3 or 45 - default 3)
--        pin_func                Alternative pin function (4 (alt0), 6 (alt2) -
--                                default 4)
- Name:   i2c3
---- a/arch/arm/boot/dts/overlays/bmp085_i2c-sensor-overlay.dts
-+++ /dev/null
-@@ -1,23 +0,0 @@
--// Definitions for BMP085/BMP180 digital barometric pressure and temperature sensors from Bosch Sensortec
--/dts-v1/;
--/plugin/;
--
--/ {
--        compatible = "brcm,bcm2835";
--
--        fragment@0 {
--                target = <&i2c_arm>;
--                __overlay__ {
--                        #address-cells = <1>;
--                        #size-cells = <0>;
--                        status = "okay";
--
--                        bmp085@77 {
--                                compatible = "bosch,bmp085";
--                                reg = <0x77>;
--                                default-oversampling = <3>;
--                                status = "okay";
--                        };
--                };
--        };
--};
---- a/arch/arm/boot/dts/overlays/i2c0-bcm2708-overlay.dts
-+++ /dev/null
-@@ -1,14 +0,0 @@
--#include "i2c0-overlay.dts"
--
--/{
--      __overrides__ {
--              sda0_pin = <&pins1>,"brcm,pins:0",
--                         <&pins2>,"brcm,pins:0",
--                         <&pins3>,"brcm,pins:0",
--                         <&pins4>,"brcm,pins:0";
--              scl0_pin = <&pins1>,"brcm,pins:4",
--                         <&pins2>,"brcm,pins:4",
--                         <&pins3>,"brcm,pins:4",
--                         <&pins4>,"brcm,pins:4";
--      };
--};
---- a/arch/arm/boot/dts/overlays/i2c1-bcm2708-overlay.dts
-+++ /dev/null
-@@ -1,9 +0,0 @@
--#include "i2c1-overlay.dts"
--
--/{
--      __overrides__ {
--              sda1_pin = <&pins1>,"brcm,pins:0", <&pins2>,"brcm,pins:0";
--              scl1_pin = <&pins1>,"brcm,pins:4", <&pins1>,"brcm,pins:4";
--              pin_func = <&pins1>,"brcm,function:0", <&pins2>,"brcm,function:0";
--      };
--};
---- a/arch/arm/boot/dts/overlays/overlay_map.dts
-+++ b/arch/arm/boot/dts/overlays/overlay_map.dts
-@@ -1,6 +1,18 @@
- /dts-v1/;
- / {
-+      bmp085_i2c-sensor {
-+              deprecated = "use i2c-sensor,bmp085";
-+      };
-+
-+      i2c0-bcm2708 {
-+              deprecated = "use i2c0";
-+      };
-+
-+      i2c1-bcm2708 {
-+              deprecated = "use i2c1";
-+      };
-+
-       i2c3 {
-               bcm2711;
-       };
-@@ -17,10 +29,34 @@
-               bcm2711;
-       };
-+      lirc-rpi {
-+              deprecated = "use gpio-ir";
-+      };
-+
-+      pi3-act-led {
-+              renamed = "act-led";
-+      };
-+
-+      pi3-disable-bt {
-+              renamed = "disable-bt";
-+      };
-+
-+      pi3-disable-wifi {
-+              renamed = "disable-wifi";
-+      };
-+
-+      pi3-miniuart-bt {
-+              renamed = "miniuart-bt";
-+      };
-+
-       rpivid-v4l2 {
-               bcm2711;
-       };
-+      sdio-1bit {
-+              deprecated = "use sdio,bus_width=1,gpios_22_25";
-+      };
-+
-       spi3-1cs {
-               bcm2711;
-       };
-@@ -68,4 +104,8 @@
-       uart5 {
-               bcm2711;
-       };
-+
-+      upstream-aux-interrupt {
-+              deprecated = "no longer necessary";
-+      };
- };
---- a/arch/arm/boot/dts/overlays/pi3-act-led-overlay.dts
-+++ /dev/null
-@@ -1 +0,0 @@
--#include "act-led-overlay.dts"
---- a/arch/arm/boot/dts/overlays/pi3-disable-bt-overlay.dts
-+++ /dev/null
-@@ -1 +0,0 @@
--#include "disable-bt-overlay.dts"
---- a/arch/arm/boot/dts/overlays/pi3-disable-wifi-overlay.dts
-+++ /dev/null
-@@ -1 +0,0 @@
--#include "disable-wifi-overlay.dts"
---- a/arch/arm/boot/dts/overlays/pi3-miniuart-bt-overlay.dts
-+++ /dev/null
-@@ -1 +0,0 @@
--#include "miniuart-bt-overlay.dts"
diff --git a/target/linux/bcm27xx/patches-5.4/950-0624-ASoC-ma120x0p-Add-96KHz-rate-support.patch b/target/linux/bcm27xx/patches-5.4/950-0624-ASoC-ma120x0p-Add-96KHz-rate-support.patch
new file mode 100644 (file)
index 0000000..a5aee43
--- /dev/null
@@ -0,0 +1,42 @@
+From 9554903fc8c15828d8f6cc9bd8c5444433c56cae Mon Sep 17 00:00:00 2001
+From: AMuszkat <ariel.muszkat@gmail.com>
+Date: Wed, 8 Apr 2020 10:04:49 +0200
+Subject: [PATCH] ASoC: ma120x0p: Add 96KHz rate support
+
+Add 96KHz rate support to MA120X0P codec and make enable and mute gpio
+pins optional.
+
+Signed-off-by: AMuszkat <ariel.muszkat@gmail.com>
+---
+ sound/soc/codecs/ma120x0p.c | 6 +++---
+ 1 file changed, 3 insertions(+), 3 deletions(-)
+
+--- a/sound/soc/codecs/ma120x0p.c
++++ b/sound/soc/codecs/ma120x0p.c
+@@ -1002,7 +1002,7 @@ static struct snd_soc_dai_driver ma120x0
+               .channels_max   = 2,
+               .rates = SNDRV_PCM_RATE_CONTINUOUS,
+               .rate_min = 44100,
+-              .rate_max = 48000,
++              .rate_max = 96000,
+               .formats = SNDRV_PCM_FMTBIT_S24_LE | SNDRV_PCM_FMTBIT_S32_LE
+       },
+       .ops        = &ma120x0p_dai_ops,
+@@ -1235,7 +1235,7 @@ static int ma120x0p_i2c_probe(struct i2c
+       //Startup sequence
+       //Make sure the device is muted
+-      priv_data->mute_gpio = devm_gpiod_get(&i2c->dev, "mute_gp",
++      priv_data->mute_gpio = devm_gpiod_get_optional(&i2c->dev, "mute_gp",
+               GPIOD_OUT_LOW);
+       if (IS_ERR(priv_data->mute_gpio)) {
+               ret = PTR_ERR(priv_data->mute_gpio);
+@@ -1262,7 +1262,7 @@ static int ma120x0p_i2c_probe(struct i2c
+       msleep(200);
+       //Enable ma120x0pp
+-      priv_data->enable_gpio = devm_gpiod_get(&i2c->dev,
++      priv_data->enable_gpio = devm_gpiod_get_optional(&i2c->dev,
+               "enable_gp", GPIOD_OUT_LOW);
+       if (IS_ERR(priv_data->enable_gpio)) {
+               ret = PTR_ERR(priv_data->enable_gpio);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0624-overlays-Add-vc4-kms-v3d-pi4-to-overlay_map.patch b/target/linux/bcm27xx/patches-5.4/950-0624-overlays-Add-vc4-kms-v3d-pi4-to-overlay_map.patch
deleted file mode 100644 (file)
index 4fd5879..0000000
+++ /dev/null
@@ -1,26 +0,0 @@
-From ed5f6f5d1077e849c0762595069e79a7749951bf Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Wed, 1 Apr 2020 15:51:56 +0100
-Subject: [PATCH] overlays: Add vc4-kms-v3d-pi4 to overlay_map
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/overlay_map.dts | 9 +++++++++
- 1 file changed, 9 insertions(+)
-
---- a/arch/arm/boot/dts/overlays/overlay_map.dts
-+++ b/arch/arm/boot/dts/overlays/overlay_map.dts
-@@ -108,4 +108,13 @@
-       upstream-aux-interrupt {
-               deprecated = "no longer necessary";
-       };
-+
-+      vc4-kms-v3d {
-+              bcm2835;
-+              bcm2711 = "vc4-kms-v3d-pi4";
-+      };
-+
-+      vc4-kms-v3d-pi4 {
-+              bcm2711;
-+      };
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0625-Add-upstream-and-upstream-pi4-to-overlay_map.patch b/target/linux/bcm27xx/patches-5.4/950-0625-Add-upstream-and-upstream-pi4-to-overlay_map.patch
deleted file mode 100644 (file)
index e76367b..0000000
+++ /dev/null
@@ -1,229 +0,0 @@
-From 0a65f76d99bce7685e57ae506eedc499c551ac83 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Mon, 6 Apr 2020 09:47:42 +0100
-Subject: [PATCH] Add upstream and upstream-pi4 to overlay_map
-
-Because the upstream overlay applies vc4-kms-v3d, of which Pi 4 has its
-own version, there also needs to be a Pi 4 version - vc4-kms-v3d-pi4.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/Makefile           |   1 +
- arch/arm/boot/dts/overlays/README             |   7 +
- arch/arm/boot/dts/overlays/overlay_map.dts    |   9 +
- .../dts/overlays/upstream-pi4-overlay.dts     | 161 ++++++++++++++++++
- 4 files changed, 178 insertions(+)
- create mode 100644 arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -183,6 +183,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       uart5.dtbo \
-       udrc.dtbo \
-       upstream.dtbo \
-+      upstream-pi4.dtbo \
-       vc4-fkms-v3d.dtbo \
-       vc4-kms-kippah-7inch.dtbo \
-       vc4-kms-v3d.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -2653,6 +2653,13 @@ Info:   This overlay has been deprecated
- Load:   <Deprecated>
-+Name:   upstream-pi4
-+Info:   Allow usage of downstream .dtb with upstream kernel on Pi 4. Comprises
-+        the vc4-kms-v3d-pi4 and dwc2 overlays.
-+Load:   dtoverlay=upstream-pi4
-+Params: <None>
-+
-+
- Name:   vc4-fkms-v3d
- Info:   Enable Eric Anholt's DRM VC4 V3D driver on top of the dispmanx
-         display stack.
---- a/arch/arm/boot/dts/overlays/overlay_map.dts
-+++ b/arch/arm/boot/dts/overlays/overlay_map.dts
-@@ -105,10 +105,19 @@
-               bcm2711;
-       };
-+      upstream {
-+              bcm2835;
-+              bcm2711 = "upstream-pi4";
-+      };
-+
-       upstream-aux-interrupt {
-               deprecated = "no longer necessary";
-       };
-+      upstream-pi4 {
-+              bcm2711;
-+      };
-+
-       vc4-kms-v3d {
-               bcm2835;
-               bcm2711 = "vc4-kms-v3d-pi4";
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
-@@ -0,0 +1,161 @@
-+// redo: ovmerge -c vc4-kms-v3d-pi4-overlay.dts,cma-96 dwc2-overlay.dts,dr_mode=otg
-+
-+/dts-v1/;
-+/plugin/;
-+
-+#include <dt-bindings/clock/bcm2835.h>
-+
-+/ {
-+      compatible = "brcm,bcm2835";
-+      fragment@0 {
-+              target-path = "/chosen";
-+              __dormant__ {
-+                      bootargs = "cma=256M";
-+              };
-+      };
-+      fragment@1 {
-+              target-path = "/chosen";
-+              __dormant__ {
-+                      bootargs = "cma=192M";
-+              };
-+      };
-+      fragment@2 {
-+              target-path = "/chosen";
-+              __dormant__ {
-+                      bootargs = "cma=128M";
-+              };
-+      };
-+      fragment@3 {
-+              target-path = "/chosen";
-+              __overlay__ {
-+                      bootargs = "cma=96M";
-+              };
-+      };
-+      fragment@4 {
-+              target-path = "/chosen";
-+              __dormant__ {
-+                      bootargs = "cma=64M";
-+              };
-+      };
-+      fragment@5 {
-+              target = <&ddc0>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+      fragment@6 {
-+              target = <&ddc1>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+      fragment@7 {
-+              target = <&hdmi0>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+      fragment@8 {
-+              target = <&hdmi1>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+      fragment@9 {
-+              target = <&hvs>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+      fragment@10 {
-+              target = <&pixelvalve0>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+      fragment@11 {
-+              target = <&pixelvalve1>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+      fragment@12 {
-+              target = <&pixelvalve2>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+      fragment@13 {
-+              target = <&pixelvalve3>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+      fragment@14 {
-+              target = <&pixelvalve4>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+      fragment@15 {
-+              target = <&v3d>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+      fragment@16 {
-+              target = <&vc4>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+      fragment@17 {
-+              target = <&txp>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+      fragment@18 {
-+              target = <&fb>;
-+              __overlay__ {
-+                      status = "disabled";
-+              };
-+      };
-+      fragment@19 {
-+              target = <&firmwarekms>;
-+              __overlay__ {
-+                      status = "disabled";
-+              };
-+      };
-+      fragment@20 {
-+              target = <&vec>;
-+              __overlay__ {
-+                      status = "disabled";
-+              };
-+      };
-+      fragment@21 {
-+              target = <&hdmi0>;
-+              __dormant__ {
-+                      dmas;
-+              };
-+      };
-+      fragment@22 {
-+              target = <&hdmi1>;
-+              __dormant__ {
-+                      dmas;
-+              };
-+      };
-+      fragment@23 {
-+              target = <&usb>;
-+              #address-cells = <1>;
-+              #size-cells = <1>;
-+              __overlay__ {
-+                      compatible = "brcm,bcm2835-usb";
-+                      dr_mode = "otg";
-+                      g-np-tx-fifo-size = <32>;
-+                      g-rx-fifo-size = <558>;
-+                      g-tx-fifo-size = <512 512 512 512 512 256 256>;
-+                      status = "okay";
-+              };
-+      };
-+};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0625-arm64-mm-reserve-CMA-and-crashkernel-in-ZONE_DMA32.patch b/target/linux/bcm27xx/patches-5.4/950-0625-arm64-mm-reserve-CMA-and-crashkernel-in-ZONE_DMA32.patch
new file mode 100644 (file)
index 0000000..e1a340e
--- /dev/null
@@ -0,0 +1,44 @@
+From d4cf092a0e923361f521e1bc7d1fbfb1907958b3 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Thu, 7 Nov 2019 10:56:11 +0100
+Subject: [PATCH] arm64: mm: reserve CMA and crashkernel in ZONE_DMA32
+
+commit bff3b04460a80f425442fe8e5c6ee8c3ebef611f upstream.
+
+With the introduction of ZONE_DMA in arm64 we moved the default CMA and
+crashkernel reservation into that area. This caused a regression on big
+machines that need big CMA and crashkernel reservations. Note that
+ZONE_DMA is only 1GB big.
+
+Restore the previous behavior as the wide majority of devices are OK
+with reserving these in ZONE_DMA32. The ones that need them in ZONE_DMA
+will configure it explicitly.
+
+Fixes: 1a8e1cef7603 ("arm64: use both ZONE_DMA and ZONE_DMA32")
+Reported-by: Qian Cai <cai@lca.pw>
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
+---
+ arch/arm64/mm/init.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/arch/arm64/mm/init.c
++++ b/arch/arm64/mm/init.c
+@@ -85,7 +85,7 @@ static void __init reserve_crashkernel(v
+       if (crash_base == 0) {
+               /* Current arm64 boot protocol requires 2MB alignment */
+-              crash_base = memblock_find_in_range(0, ARCH_LOW_ADDRESS_LIMIT,
++              crash_base = memblock_find_in_range(0, arm64_dma32_phys_limit,
+                               crash_size, SZ_2M);
+               if (crash_base == 0) {
+                       pr_warn("cannot allocate crashkernel (size:0x%llx)\n",
+@@ -449,7 +449,7 @@ void __init arm64_memblock_init(void)
+       high_memory = __va(memblock_end_of_DRAM() - 1) + 1;
+-      dma_contiguous_reserve(arm64_dma_phys_limit ? : arm64_dma32_phys_limit);
++      dma_contiguous_reserve(arm64_dma32_phys_limit);
+ }
+ void __init bootmem_init(void)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0626-arm64-mm-Fix-initialisation-of-DMA-zones-on-non-NUMA.patch b/target/linux/bcm27xx/patches-5.4/950-0626-arm64-mm-Fix-initialisation-of-DMA-zones-on-non-NUMA.patch
new file mode 100644 (file)
index 0000000..0f4f09e
--- /dev/null
@@ -0,0 +1,105 @@
+From cd2d09e995bc72711b48b5c271b72e3ea3e99cdf Mon Sep 17 00:00:00 2001
+From: Will Deacon <will@kernel.org>
+Date: Tue, 3 Dec 2019 12:10:13 +0000
+Subject: [PATCH] arm64: mm: Fix initialisation of DMA zones on
+ non-NUMA systems
+
+commit 93b90414c33f59b7960bc8d607da0ce83377e021 upstream.
+
+John reports that the recently merged commit 1a8e1cef7603 ("arm64: use
+both ZONE_DMA and ZONE_DMA32") breaks the boot on his DB845C board:
+
+  | Booting Linux on physical CPU 0x0000000000 [0x517f803c]
+  | Linux version 5.4.0-mainline-10675-g957a03b9e38f
+  | Machine model: Thundercomm Dragonboard 845c
+  | [...]
+  | Built 1 zonelists, mobility grouping on.  Total pages: -188245
+  | Kernel command line: earlycon
+  | firmware_class.path=/vendor/firmware/ androidboot.hardware=db845c
+  | init=/init androidboot.boot_devices=soc/1d84000.ufshc
+  | printk.devkmsg=on buildvariant=userdebug root=/dev/sda2
+  | androidboot.bootdevice=1d84000.ufshc androidboot.serialno=c4e1189c
+  | androidboot.baseband=sda
+  | msm_drm.dsi_display0=dsi_lt9611_1080_video_display:
+  | androidboot.slot_suffix=_a skip_initramfs rootwait ro init=/init
+  |
+  | <hangs indefinitely here>
+
+This is because, when CONFIG_NUMA=n, zone_sizes_init() fails to handle
+memblocks that fall entirely within the ZONE_DMA region and erroneously ends up
+trying to add a negatively-sized region into the following ZONE_DMA32, which is
+later interpreted as a large unsigned region by the core MM code.
+
+Rework the non-NUMA implementation of zone_sizes_init() so that the start
+address of the memblock being processed is adjusted according to the end of the
+previous zone, which is then range-checked before updating the hole information
+of subsequent zones.
+
+Cc: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Cc: Christoph Hellwig <hch@lst.de>
+Cc: Bjorn Andersson <bjorn.andersson@linaro.org>
+Link: https://lore.kernel.org/lkml/CALAqxLVVcsmFrDKLRGRq7GewcW405yTOxG=KR3csVzQ6bXutkA@mail.gmail.com
+Fixes: 1a8e1cef7603 ("arm64: use both ZONE_DMA and ZONE_DMA32")
+Reported-by: John Stultz <john.stultz@linaro.org>
+Tested-by: John Stultz <john.stultz@linaro.org>
+Signed-off-by: Will Deacon <will@kernel.org>
+Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
+---
+ arch/arm64/mm/init.c | 25 +++++++++++--------------
+ 1 file changed, 11 insertions(+), 14 deletions(-)
+
+--- a/arch/arm64/mm/init.c
++++ b/arch/arm64/mm/init.c
+@@ -208,15 +208,14 @@ static void __init zone_sizes_init(unsig
+ {
+       struct memblock_region *reg;
+       unsigned long zone_size[MAX_NR_ZONES], zhole_size[MAX_NR_ZONES];
+-      unsigned long max_dma32 = min;
+-      unsigned long max_dma = min;
++      unsigned long __maybe_unused max_dma, max_dma32;
+       memset(zone_size, 0, sizeof(zone_size));
++      max_dma = max_dma32 = min;
+ #ifdef CONFIG_ZONE_DMA
+-      max_dma = PFN_DOWN(arm64_dma_phys_limit);
++      max_dma = max_dma32 = PFN_DOWN(arm64_dma_phys_limit);
+       zone_size[ZONE_DMA] = max_dma - min;
+-      max_dma32 = max_dma;
+ #endif
+ #ifdef CONFIG_ZONE_DMA32
+       max_dma32 = PFN_DOWN(arm64_dma32_phys_limit);
+@@ -230,25 +229,23 @@ static void __init zone_sizes_init(unsig
+               unsigned long start = memblock_region_memory_base_pfn(reg);
+               unsigned long end = memblock_region_memory_end_pfn(reg);
+-              if (start >= max)
+-                      continue;
+ #ifdef CONFIG_ZONE_DMA
+-              if (start < max_dma) {
+-                      unsigned long dma_end = min_not_zero(end, max_dma);
++              if (start >= min && start < max_dma) {
++                      unsigned long dma_end = min(end, max_dma);
+                       zhole_size[ZONE_DMA] -= dma_end - start;
++                      start = dma_end;
+               }
+ #endif
+ #ifdef CONFIG_ZONE_DMA32
+-              if (start < max_dma32) {
++              if (start >= max_dma && start < max_dma32) {
+                       unsigned long dma32_end = min(end, max_dma32);
+-                      unsigned long dma32_start = max(start, max_dma);
+-                      zhole_size[ZONE_DMA32] -= dma32_end - dma32_start;
++                      zhole_size[ZONE_DMA32] -= dma32_end - start;
++                      start = dma32_end;
+               }
+ #endif
+-              if (end > max_dma32) {
++              if (start >= max_dma32 && start < max) {
+                       unsigned long normal_end = min(end, max);
+-                      unsigned long normal_start = max(start, max_dma32);
+-                      zhole_size[ZONE_NORMAL] -= normal_end - normal_start;
++                      zhole_size[ZONE_NORMAL] -= normal_end - start;
+               }
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0626-clk-raspberrypi-Allow-cpufreq-driver-to-also-adjust-.patch b/target/linux/bcm27xx/patches-5.4/950-0626-clk-raspberrypi-Allow-cpufreq-driver-to-also-adjust-.patch
deleted file mode 100644 (file)
index c0422f4..0000000
+++ /dev/null
@@ -1,25 +0,0 @@
-From a2e39f36678626f5d7883c5a1dc8c476134c5e0b Mon Sep 17 00:00:00 2001
-From: popcornmix <popcornmix@gmail.com>
-Date: Mon, 9 Sep 2019 15:49:56 +0100
-Subject: [PATCH] clk-raspberrypi: Allow cpufreq driver to also adjust
- gpu clocks
-
-For performance/power it is beneficial to adjust gpu clocks with arm clock.
-This is how the downstream cpufreq driver works
-
-Signed-off-by: popcornmix <popcornmix@gmail.com>
----
- drivers/clk/bcm/clk-raspberrypi.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/clk/bcm/clk-raspberrypi.c
-+++ b/drivers/clk/bcm/clk-raspberrypi.c
-@@ -76,7 +76,7 @@ static int raspberrypi_clock_property(st
-       struct raspberrypi_firmware_prop msg = {
-               .id = cpu_to_le32(data->id),
-               .val = cpu_to_le32(*val),
--              .disable_turbo = cpu_to_le32(1),
-+              .disable_turbo = cpu_to_le32(0),
-       };
-       int ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0627-ARM-dts-bcm283x-Unify-CMA-configuration.patch b/target/linux/bcm27xx/patches-5.4/950-0627-ARM-dts-bcm283x-Unify-CMA-configuration.patch
new file mode 100644 (file)
index 0000000..a0dfcb3
--- /dev/null
@@ -0,0 +1,95 @@
+From a2e6d1c03908eccf76b9305c4a493230a36035c0 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Fri, 10 Jan 2020 18:29:35 +0100
+Subject: [PATCH] ARM: dts: bcm283x: Unify CMA configuration
+
+commit c5a1e5375d19bd4001c59dc5d482ac5b1ba51cbf upstream.
+
+With the introduction of the Raspberry Pi 4 we were forced to explicitly
+configure CMA's location, since arm64 defaults it into the ZONE_DMA32
+memory area, which is not good enough to perform DMA operations on that
+device. To bypass this limitation a dedicated CMA DT node was created,
+explicitly indicating the acceptable memory range and size.
+
+That said, compatibility between boards is a must on the Raspberry Pi
+ecosystem so this creates a common CMA DT node so as for DT overlays to
+be able to update CMA's properties regardless of the board being used.
+
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Phil Elwell <phil@raspberrypi.org>
+Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
+---
+ arch/arm/boot/dts/bcm2711.dtsi | 32 +++++++++++++-------------------
+ arch/arm/boot/dts/bcm283x.dtsi | 13 +++++++++++++
+ 2 files changed, 26 insertions(+), 19 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -12,25 +12,6 @@
+       interrupt-parent = <&gicv2>;
+-      reserved-memory {
+-              #address-cells = <2>;
+-              #size-cells = <1>;
+-              ranges;
+-
+-              /*
+-               * arm64 reserves the CMA by default somewhere in ZONE_DMA32,
+-               * that's not good enough for the BCM2711 as some devices can
+-               * only address the lower 1G of memory (ZONE_DMA).
+-               */
+-              linux,cma {
+-                      compatible = "shared-dma-pool";
+-                      size = <0x2000000>; /* 32MB */
+-                      alloc-ranges = <0x0 0x00000000 0x40000000>;
+-                      reusable;
+-                      linux,cma-default;
+-              };
+-      };
+-
+       vc4: gpu {
+               compatible = "brcm,bcm2711-vc5";
+               status = "disabled";
+@@ -992,6 +973,19 @@
+       };
+ };
++&rmem {
++      #address-cells = <2>;
++};
++
++&cma {
++      /*
++       * arm64 reserves the CMA by default somewhere in ZONE_DMA32,
++       * that's not good enough for the BCM2711 as some devices can
++       * only address the lower 1G of memory (ZONE_DMA).
++       */
++      alloc-ranges = <0x0 0x00000000 0x40000000>;
++};
++
+ &i2c0 {
+       compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
+       interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+--- a/arch/arm/boot/dts/bcm283x.dtsi
++++ b/arch/arm/boot/dts/bcm283x.dtsi
+@@ -30,6 +30,19 @@
+               stdout-path = "serial0:115200n8";
+       };
++      rmem: reserved-memory {
++              #address-cells = <1>;
++              #size-cells = <1>;
++              ranges;
++
++              cma: linux,cma {
++                      compatible = "shared-dma-pool";
++                      size = <0x4000000>; /* 64MB */
++                      reusable;
++                      linux,cma-default;
++              };
++      };
++
+       thermal-zones {
+               cpu_thermal: cpu-thermal {
+                       polling-delay-passive = <0>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0627-Add-support-for-the-AudioInjector.net-Isolated-sound.patch b/target/linux/bcm27xx/patches-5.4/950-0627-Add-support-for-the-AudioInjector.net-Isolated-sound.patch
deleted file mode 100644 (file)
index e358069..0000000
+++ /dev/null
@@ -1,323 +0,0 @@
-From 5b37b08ff1c29e7386eb8a29b168e94e33cf82c3 Mon Sep 17 00:00:00 2001
-From: Matt Flax <flatmax@flatmax.org>
-Date: Wed, 8 Apr 2020 20:00:30 +1000
-Subject: [PATCH] Add support for the AudioInjector.net Isolated sound
- card
-
-This patch adds support for the Audio Injector Isolated sound card.
-
-Signed-off-by: Matt Flax <flatmax@flatmax.org>
----
- arch/arm/boot/dts/overlays/Makefile           |   1 +
- arch/arm/boot/dts/overlays/README             |   6 +
- ...dioinjector-isolated-soundcard-overlay.dts |  55 ++++++
- sound/soc/bcm/Kconfig                         |   7 +
- sound/soc/bcm/Makefile                        |   2 +
- .../bcm/audioinjector-isolated-soundcard.c    | 183 ++++++++++++++++++
- 11 files changed, 259 insertions(+), 5 deletions(-)
- create mode 100644 arch/arm/boot/dts/overlays/audioinjector-isolated-soundcard-overlay.dts
- create mode 100644 sound/soc/bcm/audioinjector-isolated-soundcard.c
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -22,6 +22,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       applepi-dac.dtbo \
-       at86rf233.dtbo \
-       audioinjector-addons.dtbo \
-+      audioinjector-isolated-soundcard.dtbo \
-       audioinjector-ultra.dtbo \
-       audioinjector-wm8731-audio.dtbo \
-       audiosense-pi.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -505,6 +505,12 @@ Params: non-stop-clocks         Keeps th
-                                 is paused or stopped (default off)
-+Name:   audioinjector-isolated-soundcard
-+Info:   Configures the audioinjector.net isolated soundcard
-+Load:   dtoverlay=audioinjector-isolated-soundcard
-+Params: <None>
-+
-+
- Name:   audioinjector-ultra
- Info:   Configures the audioinjector.net ultra soundcard
- Load:   dtoverlay=audioinjector-ultra
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/audioinjector-isolated-soundcard-overlay.dts
-@@ -0,0 +1,55 @@
-+// Definitions for audioinjector.net audio isolated soundcard
-+/dts-v1/;
-+/plugin/;
-+
-+/ {
-+      compatible = "brcm,bcm2835";
-+
-+      fragment@0 {
-+              target = <&i2s>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@1 {
-+              target-path = "/";
-+              __overlay__ {
-+                      cs4272_mclk: codec-mclk {
-+                              compatible = "fixed-clock";
-+                              #clock-cells = <0>;
-+                              clock-frequency = <24576000>;
-+                      };
-+              };
-+      };
-+
-+      fragment@2 {
-+              target = <&i2c1>;
-+              __overlay__ {
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "okay";
-+
-+                      cs4272: cs4271@10 {
-+                              #sound-dai-cells = <0>;
-+                              compatible = "cirrus,cs4271";
-+                              reg = <0x10>;
-+                              reset-gpio = <&gpio 5 0>;
-+                              clocks = <&cs4272_mclk>;
-+                              clock-names = "mclk";
-+                              status = "okay";
-+                      };
-+              };
-+      };
-+
-+      fragment@3 {
-+              target = <&sound>;
-+              snd: __overlay__ {
-+                      compatible = "ai,audioinjector-isolated-soundcard";
-+                      mute-gpios = <&gpio 17 0>;
-+                      i2s-controller = <&i2s>;
-+                      codec = <&cs4272>;
-+                      status = "okay";
-+              };
-+      };
-+};
---- a/sound/soc/bcm/Kconfig
-+++ b/sound/soc/bcm/Kconfig
-@@ -192,6 +192,13 @@ config SND_AUDIOINJECTOR_OCTO_SOUNDCARD
-       help
-         Say Y or M if you want to add support for audioinjector.net octo add on
-+config SND_AUDIOINJECTOR_ISOLATED_SOUNDCARD
-+      tristate "Support for audioinjector.net isolated DAC and ADC soundcard"
-+      depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
-+      select SND_SOC_CS4271_I2C
-+      help
-+        Say Y or M if you want to add support for audioinjector.net isolated soundcard
-+
- config SND_AUDIOSENSE_PI
-       tristate "Support for AudioSense Add-On Soundcard"
-       depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
---- a/sound/soc/bcm/Makefile
-+++ b/sound/soc/bcm/Makefile
-@@ -27,6 +27,7 @@ snd-soc-iqaudio-dac-objs := iqaudio-dac.
-  snd-soc-i-sabre-q2m-objs := i-sabre-q2m.o
- snd-soc-audioinjector-pi-soundcard-objs := audioinjector-pi-soundcard.o
- snd-soc-audioinjector-octo-soundcard-objs := audioinjector-octo-soundcard.o
-+snd-soc-audioinjector-isolated-soundcard-objs := audioinjector-isolated-soundcard.o
- snd-soc-audiosense-pi-objs := audiosense-pi.o
- snd-soc-digidac1-soundcard-objs := digidac1-soundcard.o
- snd-soc-dionaudio-loco-objs := dionaudio_loco.o
-@@ -55,6 +56,7 @@ obj-$(CONFIG_SND_BCM2708_SOC_IQAUDIO_DAC
-  obj-$(CONFIG_SND_BCM2708_SOC_I_SABRE_Q2M) += snd-soc-i-sabre-q2m.o
- obj-$(CONFIG_SND_AUDIOINJECTOR_PI_SOUNDCARD) += snd-soc-audioinjector-pi-soundcard.o
- obj-$(CONFIG_SND_AUDIOINJECTOR_OCTO_SOUNDCARD) += snd-soc-audioinjector-octo-soundcard.o
-+obj-$(CONFIG_SND_AUDIOINJECTOR_ISOLATED_SOUNDCARD) += snd-soc-audioinjector-isolated-soundcard.o
- obj-$(CONFIG_SND_AUDIOSENSE_PI) += snd-soc-audiosense-pi.o
- obj-$(CONFIG_SND_DIGIDAC1_SOUNDCARD) += snd-soc-digidac1-soundcard.o
- obj-$(CONFIG_SND_BCM2708_SOC_DIONAUDIO_LOCO) += snd-soc-dionaudio-loco.o
---- /dev/null
-+++ b/sound/soc/bcm/audioinjector-isolated-soundcard.c
-@@ -0,0 +1,183 @@
-+/*
-+ * ASoC Driver for AudioInjector.net isolated soundcard
-+ *
-+ *  Created on: 20-February-2020
-+ *      Author: flatmax@flatmax.org
-+ *              based on audioinjector-octo-soundcard.c
-+ *
-+ * Copyright (C) 2020 Flatmax Pty. Ltd.
-+ *
-+ * This program is free software; you can redistribute it and/or
-+ * modify it under the terms of the GNU General Public License
-+ * version 2 as published by the Free Software Foundation.
-+ *
-+ * This program is distributed in the hope that it will be useful, but
-+ * WITHOUT ANY WARRANTY; without even the implied warranty of
-+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
-+ * General Public License for more details.
-+ */
-+
-+#include <linux/module.h>
-+#include <linux/types.h>
-+#include <linux/gpio/consumer.h>
-+
-+#include <sound/core.h>
-+#include <sound/soc.h>
-+#include <sound/pcm_params.h>
-+#include <sound/control.h>
-+
-+static struct gpio_desc *mute_gpio;
-+
-+static const unsigned int audioinjector_isolated_rates[] = {
-+      192000, 96000, 48000, 32000, 24000, 16000, 8000
-+};
-+
-+static struct snd_pcm_hw_constraint_list audioinjector_isolated_constraints = {
-+      .list = audioinjector_isolated_rates,
-+      .count = ARRAY_SIZE(audioinjector_isolated_rates),
-+};
-+
-+static int audioinjector_isolated_dai_init(struct snd_soc_pcm_runtime *rtd)
-+{
-+      int ret=snd_soc_dai_set_sysclk(rtd->codec_dai, 0, 24576000, 0);
-+      if (ret)
-+              return ret;
-+
-+      return snd_soc_dai_set_bclk_ratio(rtd->cpu_dai, 64);
-+}
-+
-+static int audioinjector_isolated_startup(struct snd_pcm_substream *substream)
-+{
-+      snd_pcm_hw_constraint_list(substream->runtime, 0,
-+                              SNDRV_PCM_HW_PARAM_RATE, &audioinjector_isolated_constraints);
-+
-+      return 0;
-+}
-+
-+static int audioinjector_isolated_trigger(struct snd_pcm_substream *substream,
-+                                                              int cmd){
-+
-+      switch (cmd) {
-+      case SNDRV_PCM_TRIGGER_STOP:
-+      case SNDRV_PCM_TRIGGER_SUSPEND:
-+      case SNDRV_PCM_TRIGGER_PAUSE_PUSH:
-+              gpiod_set_value(mute_gpio, 0);
-+              break;
-+      case SNDRV_PCM_TRIGGER_START:
-+      case SNDRV_PCM_TRIGGER_RESUME:
-+      case SNDRV_PCM_TRIGGER_PAUSE_RELEASE:
-+              gpiod_set_value(mute_gpio, 1);
-+              break;
-+      default:
-+              return -EINVAL;
-+      }
-+      return 0;
-+}
-+
-+static struct snd_soc_ops audioinjector_isolated_ops = {
-+      .startup        = audioinjector_isolated_startup,
-+      .trigger = audioinjector_isolated_trigger,
-+};
-+
-+SND_SOC_DAILINK_DEFS(audioinjector_isolated,
-+      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
-+      DAILINK_COMP_ARRAY(COMP_CODEC("cs4271.1-0010", "cs4271-hifi")),
-+      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2708-i2s.0")));
-+
-+static struct snd_soc_dai_link audioinjector_isolated_dai[] = {
-+      {
-+              .name = "AudioInjector ISO",
-+              .stream_name = "AI-HIFI",
-+              .ops = &audioinjector_isolated_ops,
-+              .init = audioinjector_isolated_dai_init,
-+              .symmetric_rates = 1,
-+              .symmetric_channels = 1,
-+              .dai_fmt = SND_SOC_DAIFMT_CBM_CFM|SND_SOC_DAIFMT_I2S|SND_SOC_DAIFMT_NB_NF,
-+              SND_SOC_DAILINK_REG(audioinjector_isolated),
-+      }
-+};
-+
-+static const struct snd_soc_dapm_widget audioinjector_isolated_widgets[] = {
-+      SND_SOC_DAPM_OUTPUT("OUTPUTS"),
-+      SND_SOC_DAPM_INPUT("INPUTS"),
-+};
-+
-+static const struct snd_soc_dapm_route audioinjector_isolated_route[] = {
-+      /* Balanced outputs */
-+      {"OUTPUTS", NULL, "AOUTA+"},
-+      {"OUTPUTS", NULL, "AOUTA-"},
-+      {"OUTPUTS", NULL, "AOUTB+"},
-+      {"OUTPUTS", NULL, "AOUTB-"},
-+
-+      /* Balanced inputs */
-+      {"AINA", NULL, "INPUTS"},
-+      {"AINB", NULL, "INPUTS"},
-+};
-+
-+static struct snd_soc_card snd_soc_audioinjector_isolated = {
-+      .name = "audioinjector-isolated-soundcard",
-+      .dai_link = audioinjector_isolated_dai,
-+      .num_links = ARRAY_SIZE(audioinjector_isolated_dai),
-+
-+      .dapm_widgets = audioinjector_isolated_widgets,
-+      .num_dapm_widgets = ARRAY_SIZE(audioinjector_isolated_widgets),
-+      .dapm_routes = audioinjector_isolated_route,
-+      .num_dapm_routes = ARRAY_SIZE(audioinjector_isolated_route),
-+};
-+
-+static int audioinjector_isolated_probe(struct platform_device *pdev)
-+{
-+      struct snd_soc_card *card = &snd_soc_audioinjector_isolated;
-+      int ret;
-+
-+      card->dev = &pdev->dev;
-+
-+      if (pdev->dev.of_node) {
-+              struct snd_soc_dai_link *dai = &audioinjector_isolated_dai[0];
-+              struct device_node *i2s_node =
-+                                      of_parse_phandle(pdev->dev.of_node, "i2s-controller", 0);
-+
-+              if (i2s_node) {
-+                      dai->cpus->dai_name = NULL;
-+                      dai->cpus->of_node = i2s_node;
-+                      dai->platforms->name = NULL;
-+                      dai->platforms->of_node = i2s_node;
-+              } else {
-+                              dev_err(&pdev->dev,
-+                              "i2s-controller missing or invalid in DT\n");
-+                              return -EINVAL;
-+              }
-+
-+              mute_gpio = devm_gpiod_get_optional(&pdev->dev, "mute", GPIOD_OUT_LOW);
-+              if (IS_ERR(mute_gpio)){
-+                      dev_err(&pdev->dev, "mute gpio not found in dt overlay\n");
-+                      return PTR_ERR(mute_gpio);
-+              }
-+      }
-+
-+      ret = devm_snd_soc_register_card(&pdev->dev, card);
-+      if (ret && ret != -EPROBE_DEFER)
-+              dev_err(&pdev->dev, "snd_soc_register_card failed (%d)\n", ret);
-+      return ret;
-+}
-+
-+static const struct of_device_id audioinjector_isolated_of_match[] = {
-+      { .compatible = "ai,audioinjector-isolated-soundcard", },
-+      {},
-+};
-+MODULE_DEVICE_TABLE(of, audioinjector_isolated_of_match);
-+
-+static struct platform_driver audioinjector_isolated_driver = {
-+      .driver = {
-+              .name                   = "audioinjector-isolated",
-+              .owner                  = THIS_MODULE,
-+              .of_match_table = audioinjector_isolated_of_match,
-+      },
-+      .probe  = audioinjector_isolated_probe,
-+};
-+
-+module_platform_driver(audioinjector_isolated_driver);
-+MODULE_AUTHOR("Matt Flax <flatmax@flatmax.org>");
-+MODULE_DESCRIPTION("AudioInjector.net isolated Soundcard");
-+MODULE_LICENSE("GPL v2");
-+MODULE_ALIAS("platform:audioinjector-isolated-soundcard");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0628-dma-contiguous-CMA-give-precedence-to-cmdline.patch b/target/linux/bcm27xx/patches-5.4/950-0628-dma-contiguous-CMA-give-precedence-to-cmdline.patch
new file mode 100644 (file)
index 0000000..d3354bb
--- /dev/null
@@ -0,0 +1,49 @@
+From cf40e83d2b6fb6857b13df4c8d69cc4c45395ea2 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Fri, 10 Jan 2020 18:19:33 +0100
+Subject: [PATCH] dma-contiguous: CMA: give precedence to cmdline
+
+commit 8c8c5a4994a306c217fd061cbfc5903399fd4c1c upstream.
+
+Although the device tree might contain a reserved-memory DT node
+dedicated as the default CMA pool, users might want to change CMA's
+parameters using the kernel command line for debugging purposes and
+whatnot. Honor this by bypassing the reserved memory CMA setup, which
+will ultimately end up freeing the memblock and allow the command line
+CMA configuration routine to run.
+
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Reviewed-by: Phil Elwell <phil@raspberrypi.org>
+Signed-off-by: Christoph Hellwig <hch@lst.de>
+---
+ kernel/dma/contiguous.c | 9 ++++++++-
+ 1 file changed, 8 insertions(+), 1 deletion(-)
+
+--- a/kernel/dma/contiguous.c
++++ b/kernel/dma/contiguous.c
+@@ -301,9 +301,16 @@ static int __init rmem_cma_setup(struct
+       phys_addr_t align = PAGE_SIZE << max(MAX_ORDER - 1, pageblock_order);
+       phys_addr_t mask = align - 1;
+       unsigned long node = rmem->fdt_node;
++      bool default_cma = of_get_flat_dt_prop(node, "linux,cma-default", NULL);
+       struct cma *cma;
+       int err;
++      if (size_cmdline != -1 && default_cma) {
++              pr_info("Reserved memory: bypass %s node, using cmdline CMA params instead\n",
++                      rmem->name);
++              return -EBUSY;
++      }
++
+       if (!of_get_flat_dt_prop(node, "reusable", NULL) ||
+           of_get_flat_dt_prop(node, "no-map", NULL))
+               return -EINVAL;
+@@ -321,7 +328,7 @@ static int __init rmem_cma_setup(struct
+       /* Architecture specific contiguous memory fixup. */
+       dma_contiguous_early_fixup(rmem->base, rmem->size);
+-      if (of_get_flat_dt_prop(node, "linux,cma-default", NULL))
++      if (default_cma)
+               dma_contiguous_set_default(cma);
+       rmem->ops = &rmem_cma_ops;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0628-overlays-Fix-dtc-warnings-in-i2c-gpio.patch b/target/linux/bcm27xx/patches-5.4/950-0628-overlays-Fix-dtc-warnings-in-i2c-gpio.patch
deleted file mode 100644 (file)
index 2da57b8..0000000
+++ /dev/null
@@ -1,24 +0,0 @@
-From 1231481bdb45114abe7b0348c78a943642fde717 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Wed, 8 Apr 2020 11:59:39 +0100
-Subject: [PATCH] overlays: Fix dtc warnings in i2c-gpio
-
-Better late than never.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts | 3 +++
- 1 file changed, 3 insertions(+)
-
---- a/arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts
-@@ -9,6 +9,9 @@
-               target-path = "/";
-               __overlay__ {
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-                       i2c_gpio: i2c@0 {
-                               reg = <0xffffffff>;
-                               compatible = "i2c-gpio";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0629-ARM-dts-Use-upstream-CMA-configuration.patch b/target/linux/bcm27xx/patches-5.4/950-0629-ARM-dts-Use-upstream-CMA-configuration.patch
new file mode 100644 (file)
index 0000000..fb4fe89
--- /dev/null
@@ -0,0 +1,36 @@
+From 4d0f0dfc57f6a8652c624575ea34f04bddea629b Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Thu, 2 Apr 2020 19:22:46 +0200
+Subject: [PATCH] ARM: dts: Use upstream CMA configuration
+
+Now that the kernel command line has precedence over the device tree,
+we can use the upstream CMA setup without breaking backward
+compatibility.
+
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 6 +-----
+ 1 file changed, 1 insertion(+), 5 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -195,7 +195,7 @@
+ / {
+       chosen {
+-              bootargs = "coherent_pool=1M 8250.nr_uarts=1 cma=64M";
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1";
+       };
+       aliases {
+@@ -215,10 +215,6 @@
+       };
+       /delete-node/ wifi-pwrseq;
+-
+-      reserved-memory {
+-              /delete-node/ linux,cma;
+-      };
+ };
+ &mmcnr {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0629-kbuild-Disable-gcc-plugins.patch b/target/linux/bcm27xx/patches-5.4/950-0629-kbuild-Disable-gcc-plugins.patch
deleted file mode 100644 (file)
index 66091ca..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From 31b68a380e7649f0cbc7209c465bf747c072a7ce Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Wed, 8 Apr 2020 15:23:56 +0100
-Subject: [PATCH] kbuild: Disable gcc plugins
-
-The GCC plugin feature leads to different kernel configurations on what
-ought to be equivalent build systems because they depend on the build
-hosts native compilers rather than the cross compilers needed for the
-target. This causes problems with module symbol version mismatches.
-
-Disable GCC plugins for all build hosts.
-
-Advanced build script hackery borrowed from a patch by milhouse.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- scripts/gcc-plugin.sh | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/scripts/gcc-plugin.sh
-+++ b/scripts/gcc-plugin.sh
-@@ -1,5 +1,6 @@
- #!/bin/sh
- # SPDX-License-Identifier: GPL-2.0
-+exit 0 # Disable plugins
- srctree=$(dirname "$0")
- SHOW_ERROR=
diff --git a/target/linux/bcm27xx/patches-5.4/950-0630-ARM-dts-overlays-Unify-overlay-CMA-handling.patch b/target/linux/bcm27xx/patches-5.4/950-0630-ARM-dts-overlays-Unify-overlay-CMA-handling.patch
new file mode 100644 (file)
index 0000000..3c0f1e4
--- /dev/null
@@ -0,0 +1,871 @@
+From 3cd31a44e61e2219d730d6b1a4a13c8e15d6e395 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Thu, 2 Apr 2020 19:54:33 +0200
+Subject: [PATCH] ARM: dts: overlays: Unify overlay CMA handling
+
+Now that we don't have to abuse the kernel command line to change CMA's
+size we can clean-up and centralize CMA usage in overlays.
+
+A new file, cma-overlay.dts is created to be used as a standalone
+overlay or included on other overlays. All CMA users are converted to
+this scheme. Ultimately upstream-overlay.dts is also updated to use the
+default CMA size provided by upstream.
+
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  1 +
+ arch/arm/boot/dts/overlays/README             | 19 +++++
+ arch/arm/boot/dts/overlays/cma-overlay.dts    | 32 ++++++++
+ .../boot/dts/overlays/upstream-overlay.dts    | 56 ++++---------
+ .../dts/overlays/upstream-pi4-overlay.dts     | 66 +++++----------
+ .../dts/overlays/vc4-fkms-v3d-overlay.dts     | 51 ++----------
+ .../boot/dts/overlays/vc4-kms-v3d-overlay.dts | 66 ++++-----------
+ .../dts/overlays/vc4-kms-v3d-pi4-overlay.dts  | 80 +++++--------------
+ 8 files changed, 129 insertions(+), 242 deletions(-)
+ create mode 100644 arch/arm/boot/dts/overlays/cma-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -28,6 +28,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       audiosense-pi.dtbo \
+       audremap.dtbo \
+       balena-fin.dtbo \
++      cma.dtbo \
+       dht11.dtbo \
+       dionaudio-loco.dtbo \
+       dionaudio-loco-v2.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -554,6 +554,19 @@ Info:   This overlay is now deprecated -
+ Load:   <Deprecated>
++Name:   cma
++Info:   Set custom CMA sizes, only use if you know what you are doing, might
++        clash with other overlays like vc4-fkms-v3d and vc4-kms-v3d.
++Load:   dtoverlay=cma,<param>=<val>
++Params: cma-256                 CMA is 256MB (needs 1GB)
++        cma-192                 CMA is 192MB (needs 1GB)
++        cma-128                 CMA is 128MB
++        cma-96                  CMA is 96MB
++        cma-64                  CMA is 64MB
++        cma-size                CMA size in bytes, 4MB aligned
++        cma-default             Use upstream's default value
++
++
+ Name:   dht11
+ Info:   Overlay for the DHT11/DHT21/DHT22 humidity/temperature sensors
+         Also sometimes found with the part number(s) AM230x.
+@@ -2675,6 +2688,8 @@ Params: cma-256                 CMA is 2
+         cma-128                 CMA is 128MB
+         cma-96                  CMA is 96MB
+         cma-64                  CMA is 64MB
++        cma-size                CMA size in bytes, 4MB aligned
++        cma-default             Use upstream's default value
+ Name:   vc4-kms-kippah-7inch
+@@ -2692,6 +2707,8 @@ Params: cma-256                 CMA is 2
+         cma-128                 CMA is 128MB
+         cma-96                  CMA is 96MB
+         cma-64                  CMA is 64MB
++        cma-size                CMA size in bytes, 4MB aligned
++        cma-default             Use upstream's default value
+         audio                   Enable or disable audio over HDMI (default "on")
+@@ -2703,6 +2720,8 @@ Params: cma-256                 CMA is 2
+         cma-128                 CMA is 128MB
+         cma-96                  CMA is 96MB
+         cma-64                  CMA is 64MB
++        cma-size                CMA size in bytes, 4MB aligned
++        cma-default             Use upstream's default value
+         audio                   Enable or disable audio over HDMI0 (default
+                                 "on")
+         audio1                  Enable or disable audio over HDMI1 (default
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/cma-overlay.dts
+@@ -0,0 +1,32 @@
++/*
++ * cma.dts
++ */
++
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&cma>;
++              frag0: __overlay__ {
++                      /*
++                       * The default size when using this overlay is 256 MB
++                       * and should be kept as is for backwards
++                       * compatibility.
++                       */
++                      size = <0x10000000>;
++              };
++      };
++
++      __overrides__ {
++              cma-256 = <&frag0>,"size:0=",<0x10000000>;
++              cma-192 = <&frag0>,"size:0=",<0xC000000>;
++              cma-128 = <&frag0>,"size:0=",<0x8000000>;
++              cma-96  = <&frag0>,"size:0=",<0x6000000>;
++              cma-64  = <&frag0>,"size:0=",<0x4000000>;
++              cma-size = <&frag0>,"size:0"; /* in bytes, 4MB aligned */
++              cma-default = <0>,"-0";
++      };
++};
+--- a/arch/arm/boot/dts/overlays/upstream-overlay.dts
++++ b/arch/arm/boot/dts/overlays/upstream-overlay.dts
+@@ -1,4 +1,4 @@
+-// redo: ovmerge -c vc4-kms-v3d-overlay.dts,cma-96 dwc2-overlay.dts,dr_mode=otg
++// redo: ovmerge -c vc4-kms-v3d-overlay.dts,cma-default dwc2-overlay.dts,dr_mode=otg
+ /dts-v1/;
+ /plugin/;
+@@ -8,114 +8,90 @@
+ / {
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target-path = "/chosen";
++              target = <&cma>;
+               __dormant__ {
+-                      bootargs = "cma=256M";
++                      size = <0x10000000>;
+               };
+       };
+       fragment@1 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=192M";
+-              };
+-      };
+-      fragment@2 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=128M";
+-              };
+-      };
+-      fragment@3 {
+-              target-path = "/chosen";
+-              __overlay__ {
+-                      bootargs = "cma=96M";
+-              };
+-      };
+-      fragment@4 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=64M";
+-              };
+-      };
+-      fragment@5 {
+               target = <&i2c2>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@6 {
++      fragment@2 {
+               target = <&fb>;
+               __overlay__ {
+                       status = "disabled";
+               };
+       };
+-      fragment@7 {
++      fragment@3 {
+               target = <&pixelvalve0>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@8 {
++      fragment@4 {
+               target = <&pixelvalve1>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@9 {
++      fragment@5 {
+               target = <&pixelvalve2>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@10 {
++      fragment@6 {
+               target = <&hvs>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@11 {
++      fragment@7 {
+               target = <&hdmi>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@12 {
++      fragment@8 {
+               target = <&v3d>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@13 {
++      fragment@9 {
+               target = <&vc4>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@14 {
++      fragment@10 {
+               target = <&clocks>;
+               __overlay__ {
+                       claim-clocks = <BCM2835_PLLD_DSI0 BCM2835_PLLD_DSI1 BCM2835_PLLH_AUX BCM2835_PLLH_PIX>;
+               };
+       };
+-      fragment@15 {
++      fragment@11 {
+               target = <&vec>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@16 {
++      fragment@12 {
+               target = <&txp>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@17 {
++      fragment@13 {
+               target = <&hdmi>;
+               __dormant__ {
+                       dmas;
+               };
+       };
+-      fragment@18 {
++      fragment@14 {
+               target = <&usb>;
+               #address-cells = <1>;
+               #size-cells = <1>;
+--- a/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
++++ b/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
+@@ -8,144 +8,120 @@
+ / {
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=256M";
+-              };
+-      };
+-      fragment@1 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=192M";
+-              };
+-      };
+-      fragment@2 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=128M";
+-              };
+-      };
+-      fragment@3 {
+-              target-path = "/chosen";
++              target = <&cma>;
+               __overlay__ {
+-                      bootargs = "cma=96M";
++                      size = <100663296>;
+               };
+       };
+-      fragment@4 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=64M";
+-              };
+-      };
+-      fragment@5 {
++      fragment@1 {
+               target = <&ddc0>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@6 {
++      fragment@2 {
+               target = <&ddc1>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@7 {
++      fragment@3 {
+               target = <&hdmi0>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@8 {
++      fragment@4 {
+               target = <&hdmi1>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@9 {
++      fragment@5 {
+               target = <&hvs>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@10 {
++      fragment@6 {
+               target = <&pixelvalve0>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@11 {
++      fragment@7 {
+               target = <&pixelvalve1>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@12 {
++      fragment@8 {
+               target = <&pixelvalve2>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@13 {
++      fragment@9 {
+               target = <&pixelvalve3>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@14 {
++      fragment@10 {
+               target = <&pixelvalve4>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@15 {
++      fragment@11 {
+               target = <&v3d>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@16 {
++      fragment@12 {
+               target = <&vc4>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@17 {
++      fragment@13 {
+               target = <&txp>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@18 {
++      fragment@14 {
+               target = <&fb>;
+               __overlay__ {
+                       status = "disabled";
+               };
+       };
+-      fragment@19 {
++      fragment@15 {
+               target = <&firmwarekms>;
+               __overlay__ {
+                       status = "disabled";
+               };
+       };
+-      fragment@20 {
++      fragment@16 {
+               target = <&vec>;
+               __overlay__ {
+                       status = "disabled";
+               };
+       };
+-      fragment@21 {
++      fragment@17 {
+               target = <&hdmi0>;
+               __dormant__ {
+                       dmas;
+               };
+       };
+-      fragment@22 {
++      fragment@18 {
+               target = <&hdmi1>;
+               __dormant__ {
+                       dmas;
+               };
+       };
+-      fragment@23 {
++      fragment@19 {
+               target = <&usb>;
+               #address-cells = <1>;
+               #size-cells = <1>;
+--- a/arch/arm/boot/dts/overlays/vc4-fkms-v3d-overlay.dts
++++ b/arch/arm/boot/dts/overlays/vc4-fkms-v3d-overlay.dts
+@@ -5,77 +5,36 @@
+ /dts-v1/;
+ /plugin/;
++#include "cma-overlay.dts"
++
+ / {
+       compatible = "brcm,bcm2835";
+-      fragment@0 {
+-              target-path = "/chosen";
+-              __overlay__ {
+-                      bootargs = "cma=256M";
+-              };
+-      };
+-
+       fragment@1 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=192M";
+-              };
+-      };
+-
+-      fragment@2 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=128M";
+-              };
+-      };
+-
+-      fragment@3 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=96M";
+-              };
+-      };
+-
+-      fragment@4 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=64M";
+-              };
+-      };
+-
+-      fragment@5 {
+               target = <&fb>;
+               __overlay__  {
+                       status = "disabled";
+               };
+       };
+-      fragment@6 {
++      fragment@2 {
+               target = <&firmwarekms>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@7 {
++      fragment@3 {
+               target = <&v3d>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@8 {
++      fragment@4 {
+               target = <&vc4>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-
+-      __overrides__ {
+-              cma-256 = <0>,"+0-1-2-3-4";
+-              cma-192 = <0>,"-0+1-2-3-4";
+-              cma-128 = <0>,"-0-1+2-3-4";
+-              cma-96  = <0>,"-0-1-2+3-4";
+-              cma-64  = <0>,"-0-1-2-3+4";
+-      };
+ };
+--- a/arch/arm/boot/dts/overlays/vc4-kms-v3d-overlay.dts
++++ b/arch/arm/boot/dts/overlays/vc4-kms-v3d-overlay.dts
+@@ -7,108 +7,75 @@
+ #include <dt-bindings/clock/bcm2835.h>
++#include "cma-overlay.dts"
++
+ / {
+       compatible = "brcm,bcm2835";
+-      fragment@0 {
+-              target-path = "/chosen";
+-              __overlay__ {
+-                      bootargs = "cma=256M";
+-              };
+-      };
+-
+       fragment@1 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=192M";
+-              };
+-      };
+-
+-      fragment@2 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=128M";
+-              };
+-      };
+-
+-      fragment@3 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=96M";
+-              };
+-      };
+-
+-      fragment@4 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=64M";
+-              };
+-      };
+-
+-      fragment@5 {
+               target = <&i2c2>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@6 {
++      fragment@2 {
+               target = <&fb>;
+               __overlay__  {
+                       status = "disabled";
+               };
+       };
+-      fragment@7 {
++      fragment@3 {
+               target = <&pixelvalve0>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@8 {
++      fragment@4 {
+               target = <&pixelvalve1>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@9 {
++      fragment@5 {
+               target = <&pixelvalve2>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@10 {
++      fragment@6 {
+               target = <&hvs>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@11 {
++      fragment@7 {
+               target = <&hdmi>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@12 {
++      fragment@8 {
+               target = <&v3d>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@13 {
++      fragment@9 {
+               target = <&vc4>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@14 {
++      fragment@10 {
+               target = <&clocks>;
+               __overlay__  {
+                       claim-clocks = <
+@@ -120,21 +87,21 @@
+               };
+       };
+-      fragment@15 {
++      fragment@11 {
+               target = <&vec>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@16 {
++      fragment@12 {
+               target = <&txp>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@17 {
++      fragment@13 {
+               target = <&hdmi>;
+               __dormant__  {
+                       dmas;
+@@ -142,11 +109,6 @@
+       };
+       __overrides__ {
+-              cma-256 = <0>,"+0-1-2-3-4";
+-              cma-192 = <0>,"-0+1-2-3-4";
+-              cma-128 = <0>,"-0-1+2-3-4";
+-              cma-96  = <0>,"-0-1-2+3-4";
+-              cma-64  = <0>,"-0-1-2-3+4";
+               audio   = <0>,"!17";
+       };
+ };
+--- a/arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
++++ b/arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
+@@ -7,164 +7,131 @@
+ #include <dt-bindings/clock/bcm2835.h>
++#include "cma-overlay.dts"
++
+ / {
+       compatible = "brcm,bcm2835";
+-      fragment@0 {
+-              target-path = "/chosen";
+-              __overlay__ {
+-                      bootargs = "cma=256M";
+-              };
+-      };
+-
+       fragment@1 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=192M";
+-              };
+-      };
+-
+-      fragment@2 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=128M";
+-              };
+-      };
+-
+-      fragment@3 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=96M";
+-              };
+-      };
+-
+-      fragment@4 {
+-              target-path = "/chosen";
+-              __dormant__ {
+-                      bootargs = "cma=64M";
+-              };
+-      };
+-
+-      fragment@5 {
+               target = <&ddc0>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@6 {
++      fragment@2 {
+               target = <&ddc1>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@7 {
++      fragment@3 {
+               target = <&hdmi0>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@8 {
++      fragment@4 {
+               target = <&hdmi1>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@9 {
++      fragment@5 {
+               target = <&hvs>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@10 {
++      fragment@6 {
+               target = <&pixelvalve0>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@11 {
++      fragment@7 {
+               target = <&pixelvalve1>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@12 {
++      fragment@8 {
+               target = <&pixelvalve2>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@13 {
++      fragment@9 {
+               target = <&pixelvalve3>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@14 {
++      fragment@10 {
+               target = <&pixelvalve4>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@15 {
++      fragment@11 {
+               target = <&v3d>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@16 {
++      fragment@12 {
+               target = <&vc4>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@17 {
++      fragment@13 {
+               target = <&txp>;
+               __overlay__  {
+                       status = "okay";
+               };
+       };
+-      fragment@18 {
++      fragment@14 {
+               target = <&fb>;
+               __overlay__  {
+                       status = "disabled";
+               };
+       };
+-      fragment@19 {
++      fragment@15 {
+               target = <&firmwarekms>;
+               __overlay__  {
+                       status = "disabled";
+               };
+       };
+-      fragment@20 {
++      fragment@16 {
+               target = <&vec>;
+               __overlay__  {
+                       status = "disabled";
+               };
+       };
+-      fragment@21 {
++      fragment@17 {
+               target = <&hdmi0>;
+               __dormant__  {
+                       dmas;
+               };
+       };
+-      fragment@22 {
++      fragment@18 {
+               target = <&hdmi1>;
+               __dormant__  {
+                       dmas;
+@@ -172,12 +139,7 @@
+       };
+       __overrides__ {
+-              cma-256 = <0>,"+0-1-2-3-4";
+-              cma-192 = <0>,"-0+1-2-3-4";
+-              cma-128 = <0>,"-0-1+2-3-4";
+-              cma-96  = <0>,"-0-1-2+3-4";
+-              cma-64  = <0>,"-0-1-2-3+4";
+-              audio   = <0>,"!21";
+-              audio1   = <0>,"!22";
++              audio   = <0>,"!17";
++              audio1   = <0>,"!18";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0630-ASoC-ma120x0p-Add-96KHz-rate-support.patch b/target/linux/bcm27xx/patches-5.4/950-0630-ASoC-ma120x0p-Add-96KHz-rate-support.patch
deleted file mode 100644 (file)
index a5aee43..0000000
+++ /dev/null
@@ -1,42 +0,0 @@
-From 9554903fc8c15828d8f6cc9bd8c5444433c56cae Mon Sep 17 00:00:00 2001
-From: AMuszkat <ariel.muszkat@gmail.com>
-Date: Wed, 8 Apr 2020 10:04:49 +0200
-Subject: [PATCH] ASoC: ma120x0p: Add 96KHz rate support
-
-Add 96KHz rate support to MA120X0P codec and make enable and mute gpio
-pins optional.
-
-Signed-off-by: AMuszkat <ariel.muszkat@gmail.com>
----
- sound/soc/codecs/ma120x0p.c | 6 +++---
- 1 file changed, 3 insertions(+), 3 deletions(-)
-
---- a/sound/soc/codecs/ma120x0p.c
-+++ b/sound/soc/codecs/ma120x0p.c
-@@ -1002,7 +1002,7 @@ static struct snd_soc_dai_driver ma120x0
-               .channels_max   = 2,
-               .rates = SNDRV_PCM_RATE_CONTINUOUS,
-               .rate_min = 44100,
--              .rate_max = 48000,
-+              .rate_max = 96000,
-               .formats = SNDRV_PCM_FMTBIT_S24_LE | SNDRV_PCM_FMTBIT_S32_LE
-       },
-       .ops        = &ma120x0p_dai_ops,
-@@ -1235,7 +1235,7 @@ static int ma120x0p_i2c_probe(struct i2c
-       //Startup sequence
-       //Make sure the device is muted
--      priv_data->mute_gpio = devm_gpiod_get(&i2c->dev, "mute_gp",
-+      priv_data->mute_gpio = devm_gpiod_get_optional(&i2c->dev, "mute_gp",
-               GPIOD_OUT_LOW);
-       if (IS_ERR(priv_data->mute_gpio)) {
-               ret = PTR_ERR(priv_data->mute_gpio);
-@@ -1262,7 +1262,7 @@ static int ma120x0p_i2c_probe(struct i2c
-       msleep(200);
-       //Enable ma120x0pp
--      priv_data->enable_gpio = devm_gpiod_get(&i2c->dev,
-+      priv_data->enable_gpio = devm_gpiod_get_optional(&i2c->dev,
-               "enable_gp", GPIOD_OUT_LOW);
-       if (IS_ERR(priv_data->enable_gpio)) {
-               ret = PTR_ERR(priv_data->enable_gpio);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0631-ARM-dts-bcm283x-Fix-vc4-s-firmware-bus-DMA-limitatio.patch b/target/linux/bcm27xx/patches-5.4/950-0631-ARM-dts-bcm283x-Fix-vc4-s-firmware-bus-DMA-limitatio.patch
new file mode 100644 (file)
index 0000000..31840e5
--- /dev/null
@@ -0,0 +1,28 @@
+From 142ad0b8433d6beb87070bd39a6b2d23ca9cae30 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Thu, 19 Mar 2020 20:00:13 +0100
+Subject: [PATCH] ARM: dts: bcm283x: Fix vc4's firmware bus DMA
+ limitations
+
+The bus is virtual and devices have to inherit their DMA constraints
+from the underlying interconnect. So add an empty dma-ranges property to
+the bus node, implying the firmware bus' DMA constraints are identical to
+its parent's.
+
+Fixes: 7dbe8c62ceeb ("ARM: dts: Add minimal Raspberry Pi 4 support")
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
+---
+ arch/arm/boot/dts/bcm2835-rpi.dtsi | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/arch/arm/boot/dts/bcm2835-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2835-rpi.dtsi
+@@ -15,6 +15,7 @@
+               firmware: firmware {
+                       compatible = "raspberrypi,bcm2835-firmware", "simple-bus";
+                       mboxes = <&mailbox>;
++                      dma-ranges;
+               };
+               power: power {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0631-arm64-mm-reserve-CMA-and-crashkernel-in-ZONE_DMA32.patch b/target/linux/bcm27xx/patches-5.4/950-0631-arm64-mm-reserve-CMA-and-crashkernel-in-ZONE_DMA32.patch
deleted file mode 100644 (file)
index e1a340e..0000000
+++ /dev/null
@@ -1,44 +0,0 @@
-From d4cf092a0e923361f521e1bc7d1fbfb1907958b3 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Thu, 7 Nov 2019 10:56:11 +0100
-Subject: [PATCH] arm64: mm: reserve CMA and crashkernel in ZONE_DMA32
-
-commit bff3b04460a80f425442fe8e5c6ee8c3ebef611f upstream.
-
-With the introduction of ZONE_DMA in arm64 we moved the default CMA and
-crashkernel reservation into that area. This caused a regression on big
-machines that need big CMA and crashkernel reservations. Note that
-ZONE_DMA is only 1GB big.
-
-Restore the previous behavior as the wide majority of devices are OK
-with reserving these in ZONE_DMA32. The ones that need them in ZONE_DMA
-will configure it explicitly.
-
-Fixes: 1a8e1cef7603 ("arm64: use both ZONE_DMA and ZONE_DMA32")
-Reported-by: Qian Cai <cai@lca.pw>
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
----
- arch/arm64/mm/init.c | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/arch/arm64/mm/init.c
-+++ b/arch/arm64/mm/init.c
-@@ -85,7 +85,7 @@ static void __init reserve_crashkernel(v
-       if (crash_base == 0) {
-               /* Current arm64 boot protocol requires 2MB alignment */
--              crash_base = memblock_find_in_range(0, ARCH_LOW_ADDRESS_LIMIT,
-+              crash_base = memblock_find_in_range(0, arm64_dma32_phys_limit,
-                               crash_size, SZ_2M);
-               if (crash_base == 0) {
-                       pr_warn("cannot allocate crashkernel (size:0x%llx)\n",
-@@ -449,7 +449,7 @@ void __init arm64_memblock_init(void)
-       high_memory = __va(memblock_end_of_DRAM() - 1) + 1;
--      dma_contiguous_reserve(arm64_dma_phys_limit ? : arm64_dma32_phys_limit);
-+      dma_contiguous_reserve(arm64_dma32_phys_limit);
- }
- void __init bootmem_init(void)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0632-ARM-dts-bcm2711-Restrict-CMA-to-first-768MB.patch b/target/linux/bcm27xx/patches-5.4/950-0632-ARM-dts-bcm2711-Restrict-CMA-to-first-768MB.patch
new file mode 100644 (file)
index 0000000..9a1e29e
--- /dev/null
@@ -0,0 +1,33 @@
+From 7b307016ed13cbb65e08b6a704912e5c9e5b81ac Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 14 Apr 2020 15:25:02 +0100
+Subject: [PATCH] ARM: dts: bcm2711: Restrict CMA to first 768MB
+
+The downstream 32-bit 2711 kernel configuration enables HIGHMEM for
+access to more physical RAM. The HIGHMEM zone starts at 0x30000000
+(768MB), and allowing the CMA zone to overlap that area causes a
+failure during CMA activation.
+
+Avoid the overlap by limiting CMA to the first 768MB. This is overly
+restrictive on a 64-bit kernel, but shouldn't cause any practical
+problems.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 5 +++++
+ 1 file changed, 5 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -45,6 +45,11 @@
+       };
+ };
++&cma {
++      /* Limit cma to the lower 768MB to allow room for HIGHMEM on 32-bit */
++      alloc-ranges = <0x0 0x00000000 0x30000000>;
++};
++
+ &soc {
+       thermal: thermal@7d5d2200 {
+               compatible = "brcm,avs-tmon-bcm2711";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0632-arm64-mm-Fix-initialisation-of-DMA-zones-on-non-NUMA.patch b/target/linux/bcm27xx/patches-5.4/950-0632-arm64-mm-Fix-initialisation-of-DMA-zones-on-non-NUMA.patch
deleted file mode 100644 (file)
index 0f4f09e..0000000
+++ /dev/null
@@ -1,105 +0,0 @@
-From cd2d09e995bc72711b48b5c271b72e3ea3e99cdf Mon Sep 17 00:00:00 2001
-From: Will Deacon <will@kernel.org>
-Date: Tue, 3 Dec 2019 12:10:13 +0000
-Subject: [PATCH] arm64: mm: Fix initialisation of DMA zones on
- non-NUMA systems
-
-commit 93b90414c33f59b7960bc8d607da0ce83377e021 upstream.
-
-John reports that the recently merged commit 1a8e1cef7603 ("arm64: use
-both ZONE_DMA and ZONE_DMA32") breaks the boot on his DB845C board:
-
-  | Booting Linux on physical CPU 0x0000000000 [0x517f803c]
-  | Linux version 5.4.0-mainline-10675-g957a03b9e38f
-  | Machine model: Thundercomm Dragonboard 845c
-  | [...]
-  | Built 1 zonelists, mobility grouping on.  Total pages: -188245
-  | Kernel command line: earlycon
-  | firmware_class.path=/vendor/firmware/ androidboot.hardware=db845c
-  | init=/init androidboot.boot_devices=soc/1d84000.ufshc
-  | printk.devkmsg=on buildvariant=userdebug root=/dev/sda2
-  | androidboot.bootdevice=1d84000.ufshc androidboot.serialno=c4e1189c
-  | androidboot.baseband=sda
-  | msm_drm.dsi_display0=dsi_lt9611_1080_video_display:
-  | androidboot.slot_suffix=_a skip_initramfs rootwait ro init=/init
-  |
-  | <hangs indefinitely here>
-
-This is because, when CONFIG_NUMA=n, zone_sizes_init() fails to handle
-memblocks that fall entirely within the ZONE_DMA region and erroneously ends up
-trying to add a negatively-sized region into the following ZONE_DMA32, which is
-later interpreted as a large unsigned region by the core MM code.
-
-Rework the non-NUMA implementation of zone_sizes_init() so that the start
-address of the memblock being processed is adjusted according to the end of the
-previous zone, which is then range-checked before updating the hole information
-of subsequent zones.
-
-Cc: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Cc: Christoph Hellwig <hch@lst.de>
-Cc: Bjorn Andersson <bjorn.andersson@linaro.org>
-Link: https://lore.kernel.org/lkml/CALAqxLVVcsmFrDKLRGRq7GewcW405yTOxG=KR3csVzQ6bXutkA@mail.gmail.com
-Fixes: 1a8e1cef7603 ("arm64: use both ZONE_DMA and ZONE_DMA32")
-Reported-by: John Stultz <john.stultz@linaro.org>
-Tested-by: John Stultz <john.stultz@linaro.org>
-Signed-off-by: Will Deacon <will@kernel.org>
-Signed-off-by: Catalin Marinas <catalin.marinas@arm.com>
----
- arch/arm64/mm/init.c | 25 +++++++++++--------------
- 1 file changed, 11 insertions(+), 14 deletions(-)
-
---- a/arch/arm64/mm/init.c
-+++ b/arch/arm64/mm/init.c
-@@ -208,15 +208,14 @@ static void __init zone_sizes_init(unsig
- {
-       struct memblock_region *reg;
-       unsigned long zone_size[MAX_NR_ZONES], zhole_size[MAX_NR_ZONES];
--      unsigned long max_dma32 = min;
--      unsigned long max_dma = min;
-+      unsigned long __maybe_unused max_dma, max_dma32;
-       memset(zone_size, 0, sizeof(zone_size));
-+      max_dma = max_dma32 = min;
- #ifdef CONFIG_ZONE_DMA
--      max_dma = PFN_DOWN(arm64_dma_phys_limit);
-+      max_dma = max_dma32 = PFN_DOWN(arm64_dma_phys_limit);
-       zone_size[ZONE_DMA] = max_dma - min;
--      max_dma32 = max_dma;
- #endif
- #ifdef CONFIG_ZONE_DMA32
-       max_dma32 = PFN_DOWN(arm64_dma32_phys_limit);
-@@ -230,25 +229,23 @@ static void __init zone_sizes_init(unsig
-               unsigned long start = memblock_region_memory_base_pfn(reg);
-               unsigned long end = memblock_region_memory_end_pfn(reg);
--              if (start >= max)
--                      continue;
- #ifdef CONFIG_ZONE_DMA
--              if (start < max_dma) {
--                      unsigned long dma_end = min_not_zero(end, max_dma);
-+              if (start >= min && start < max_dma) {
-+                      unsigned long dma_end = min(end, max_dma);
-                       zhole_size[ZONE_DMA] -= dma_end - start;
-+                      start = dma_end;
-               }
- #endif
- #ifdef CONFIG_ZONE_DMA32
--              if (start < max_dma32) {
-+              if (start >= max_dma && start < max_dma32) {
-                       unsigned long dma32_end = min(end, max_dma32);
--                      unsigned long dma32_start = max(start, max_dma);
--                      zhole_size[ZONE_DMA32] -= dma32_end - dma32_start;
-+                      zhole_size[ZONE_DMA32] -= dma32_end - start;
-+                      start = dma32_end;
-               }
- #endif
--              if (end > max_dma32) {
-+              if (start >= max_dma32 && start < max) {
-                       unsigned long normal_end = min(end, max);
--                      unsigned long normal_start = max(start, max_dma32);
--                      zhole_size[ZONE_NORMAL] -= normal_end - normal_start;
-+                      zhole_size[ZONE_NORMAL] -= normal_end - start;
-               }
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0633-ARM-dts-Extend-SCB-bus-address-range.patch b/target/linux/bcm27xx/patches-5.4/950-0633-ARM-dts-Extend-SCB-bus-address-range.patch
new file mode 100644 (file)
index 0000000..8eb2b16
--- /dev/null
@@ -0,0 +1,23 @@
+From 9773c8c521f45f34631bcb147d638ba0252bbdd4 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 4 Feb 2020 12:51:56 +0000
+Subject: [PATCH] ARM: dts: Extend SCB bus address range
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 4 +++-
+ 1 file changed, 3 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -69,7 +69,9 @@
+                <0x0 0x40000000  0x0 0xff800000  0x00800000>,
+                <0x6 0x00000000  0x6 0x00000000  0x40000000>,
+                <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
+-      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
++      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0xfc000000>,
++                   <0x1 0x00000000  0x1 0x00000000  0x80000000>,
++                   <0x1 0x80000000  0x1 0x80000000  0x80000000>;
+       dma40: dma@7e007b00 {
+               compatible = "brcm,bcm2711-dma";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0633-ARM-dts-bcm283x-Unify-CMA-configuration.patch b/target/linux/bcm27xx/patches-5.4/950-0633-ARM-dts-bcm283x-Unify-CMA-configuration.patch
deleted file mode 100644 (file)
index a0dfcb3..0000000
+++ /dev/null
@@ -1,95 +0,0 @@
-From a2e6d1c03908eccf76b9305c4a493230a36035c0 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Fri, 10 Jan 2020 18:29:35 +0100
-Subject: [PATCH] ARM: dts: bcm283x: Unify CMA configuration
-
-commit c5a1e5375d19bd4001c59dc5d482ac5b1ba51cbf upstream.
-
-With the introduction of the Raspberry Pi 4 we were forced to explicitly
-configure CMA's location, since arm64 defaults it into the ZONE_DMA32
-memory area, which is not good enough to perform DMA operations on that
-device. To bypass this limitation a dedicated CMA DT node was created,
-explicitly indicating the acceptable memory range and size.
-
-That said, compatibility between boards is a must on the Raspberry Pi
-ecosystem so this creates a common CMA DT node so as for DT overlays to
-be able to update CMA's properties regardless of the board being used.
-
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Phil Elwell <phil@raspberrypi.org>
-Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
----
- arch/arm/boot/dts/bcm2711.dtsi | 32 +++++++++++++-------------------
- arch/arm/boot/dts/bcm283x.dtsi | 13 +++++++++++++
- 2 files changed, 26 insertions(+), 19 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -12,25 +12,6 @@
-       interrupt-parent = <&gicv2>;
--      reserved-memory {
--              #address-cells = <2>;
--              #size-cells = <1>;
--              ranges;
--
--              /*
--               * arm64 reserves the CMA by default somewhere in ZONE_DMA32,
--               * that's not good enough for the BCM2711 as some devices can
--               * only address the lower 1G of memory (ZONE_DMA).
--               */
--              linux,cma {
--                      compatible = "shared-dma-pool";
--                      size = <0x2000000>; /* 32MB */
--                      alloc-ranges = <0x0 0x00000000 0x40000000>;
--                      reusable;
--                      linux,cma-default;
--              };
--      };
--
-       vc4: gpu {
-               compatible = "brcm,bcm2711-vc5";
-               status = "disabled";
-@@ -992,6 +973,19 @@
-       };
- };
-+&rmem {
-+      #address-cells = <2>;
-+};
-+
-+&cma {
-+      /*
-+       * arm64 reserves the CMA by default somewhere in ZONE_DMA32,
-+       * that's not good enough for the BCM2711 as some devices can
-+       * only address the lower 1G of memory (ZONE_DMA).
-+       */
-+      alloc-ranges = <0x0 0x00000000 0x40000000>;
-+};
-+
- &i2c0 {
-       compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-       interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
---- a/arch/arm/boot/dts/bcm283x.dtsi
-+++ b/arch/arm/boot/dts/bcm283x.dtsi
-@@ -30,6 +30,19 @@
-               stdout-path = "serial0:115200n8";
-       };
-+      rmem: reserved-memory {
-+              #address-cells = <1>;
-+              #size-cells = <1>;
-+              ranges;
-+
-+              cma: linux,cma {
-+                      compatible = "shared-dma-pool";
-+                      size = <0x4000000>; /* 64MB */
-+                      reusable;
-+                      linux,cma-default;
-+              };
-+      };
-+
-       thermal-zones {
-               cpu_thermal: cpu-thermal {
-                       polling-delay-passive = <0>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0634-dma-contiguous-CMA-give-precedence-to-cmdline.patch b/target/linux/bcm27xx/patches-5.4/950-0634-dma-contiguous-CMA-give-precedence-to-cmdline.patch
deleted file mode 100644 (file)
index d3354bb..0000000
+++ /dev/null
@@ -1,49 +0,0 @@
-From cf40e83d2b6fb6857b13df4c8d69cc4c45395ea2 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Fri, 10 Jan 2020 18:19:33 +0100
-Subject: [PATCH] dma-contiguous: CMA: give precedence to cmdline
-
-commit 8c8c5a4994a306c217fd061cbfc5903399fd4c1c upstream.
-
-Although the device tree might contain a reserved-memory DT node
-dedicated as the default CMA pool, users might want to change CMA's
-parameters using the kernel command line for debugging purposes and
-whatnot. Honor this by bypassing the reserved memory CMA setup, which
-will ultimately end up freeing the memblock and allow the command line
-CMA configuration routine to run.
-
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Reviewed-by: Phil Elwell <phil@raspberrypi.org>
-Signed-off-by: Christoph Hellwig <hch@lst.de>
----
- kernel/dma/contiguous.c | 9 ++++++++-
- 1 file changed, 8 insertions(+), 1 deletion(-)
-
---- a/kernel/dma/contiguous.c
-+++ b/kernel/dma/contiguous.c
-@@ -301,9 +301,16 @@ static int __init rmem_cma_setup(struct
-       phys_addr_t align = PAGE_SIZE << max(MAX_ORDER - 1, pageblock_order);
-       phys_addr_t mask = align - 1;
-       unsigned long node = rmem->fdt_node;
-+      bool default_cma = of_get_flat_dt_prop(node, "linux,cma-default", NULL);
-       struct cma *cma;
-       int err;
-+      if (size_cmdline != -1 && default_cma) {
-+              pr_info("Reserved memory: bypass %s node, using cmdline CMA params instead\n",
-+                      rmem->name);
-+              return -EBUSY;
-+      }
-+
-       if (!of_get_flat_dt_prop(node, "reusable", NULL) ||
-           of_get_flat_dt_prop(node, "no-map", NULL))
-               return -EINVAL;
-@@ -321,7 +328,7 @@ static int __init rmem_cma_setup(struct
-       /* Architecture specific contiguous memory fixup. */
-       dma_contiguous_early_fixup(rmem->base, rmem->size);
--      if (of_get_flat_dt_prop(node, "linux,cma-default", NULL))
-+      if (default_cma)
-               dma_contiguous_set_default(cma);
-       rmem->ops = &rmem_cma_ops;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0634-dts-bcm2711-Move-emmc2-to-its-own-bus.patch b/target/linux/bcm27xx/patches-5.4/950-0634-dts-bcm2711-Move-emmc2-to-its-own-bus.patch
new file mode 100644 (file)
index 0000000..52cf03a
--- /dev/null
@@ -0,0 +1,52 @@
+From f97ba33547711d727fbbcb10eb046f8ac605a966 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Thu, 5 Dec 2019 18:02:08 +0000
+Subject: [PATCH] dts: bcm2711: Move emmc2 to its own "bus"
+
+Moving the EMMC2 controller under a dedicated bus allows the firmware
+to patch the dma-ranges property for different memory sizes without
+affecting anything else.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 22 ++++++++++++++++++++++
+ 1 file changed, 22 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -193,6 +193,8 @@
+ #include "bcm2711-rpi.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
++/delete-node/ &emmc2;
++
+ / {
+       chosen {
+               bootargs = "coherent_pool=1M 8250.nr_uarts=1";
+@@ -212,6 +214,26 @@
+               /delete-property/ ethernet;
+               /delete-property/ intc;
+               pcie0 = &pcie0;
++              emmc2bus = &emmc2bus;
++      };
++
++      emmc2bus: emmc2bus {
++              compatible = "simple-bus";
++              #address-cells = <2>;
++              #size-cells = <1>;
++
++              ranges = <0x0 0x7e000000  0x0 0xfe000000  0x01800000>;
++              dma-ranges = <0x0 0xc0000000  0x0 0x00000000  0x3c000000>;
++
++              emmc2: emmc2@7e340000 {
++                      compatible = "brcm,bcm2711-emmc2";
++                      status = "okay";
++                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
++                      reg = <0x0 0x7e340000 0x100>;
++                      vqmmc-supply = <&sd_io_1v8_reg>;
++                      broken-cd;
++              };
+       };
+       /delete-node/ wifi-pwrseq;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0635-ARM-dts-Use-upstream-CMA-configuration.patch b/target/linux/bcm27xx/patches-5.4/950-0635-ARM-dts-Use-upstream-CMA-configuration.patch
deleted file mode 100644 (file)
index fb4fe89..0000000
+++ /dev/null
@@ -1,36 +0,0 @@
-From 4d0f0dfc57f6a8652c624575ea34f04bddea629b Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Thu, 2 Apr 2020 19:22:46 +0200
-Subject: [PATCH] ARM: dts: Use upstream CMA configuration
-
-Now that the kernel command line has precedence over the device tree,
-we can use the upstream CMA setup without breaking backward
-compatibility.
-
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 6 +-----
- 1 file changed, 1 insertion(+), 5 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -195,7 +195,7 @@
- / {
-       chosen {
--              bootargs = "coherent_pool=1M 8250.nr_uarts=1 cma=64M";
-+              bootargs = "coherent_pool=1M 8250.nr_uarts=1";
-       };
-       aliases {
-@@ -215,10 +215,6 @@
-       };
-       /delete-node/ wifi-pwrseq;
--
--      reserved-memory {
--              /delete-node/ linux,cma;
--      };
- };
- &mmcnr {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0635-drm-vc4-hdmi-Silence-pixel-clock-error-on-EPROBE_DEF.patch b/target/linux/bcm27xx/patches-5.4/950-0635-drm-vc4-hdmi-Silence-pixel-clock-error-on-EPROBE_DEF.patch
new file mode 100644 (file)
index 0000000..0580fc8
--- /dev/null
@@ -0,0 +1,29 @@
+From ba875ce27cd407bc61502517671623df07bb6c1a Mon Sep 17 00:00:00 2001
+From: James Hilliard <james.hilliard1@gmail.com>
+Date: Fri, 10 Apr 2020 19:24:40 -0600
+Subject: [PATCH] drm/vc4: hdmi: Silence pixel clock error on
+ -EPROBE_DEFER
+
+If the vc4 hdmi driver loads before the pixel clock is available we
+see a spurious "*ERROR* Failed to get pixel clock" error.
+
+Signed-off-by: James Hilliard <james.hilliard1@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 6 ++++--
+ 1 file changed, 4 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1494,8 +1494,10 @@ static int vc4_hdmi_init_resources(struc
+       vc4_hdmi->pixel_clock = devm_clk_get(dev, "pixel");
+       if (IS_ERR(vc4_hdmi->pixel_clock)) {
+-              DRM_ERROR("Failed to get pixel clock\n");
+-              return PTR_ERR(vc4_hdmi->pixel_clock);
++              ret = PTR_ERR(vc4_hdmi->pixel_clock);
++              if (ret != -EPROBE_DEFER)
++                      DRM_ERROR("Failed to get pixel clock\n");
++              return ret;
+       }
+       vc4_hdmi->hsm_clock = devm_clk_get(dev, "hdmi");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0636-ARM-dts-overlays-Unify-overlay-CMA-handling.patch b/target/linux/bcm27xx/patches-5.4/950-0636-ARM-dts-overlays-Unify-overlay-CMA-handling.patch
deleted file mode 100644 (file)
index 3c0f1e4..0000000
+++ /dev/null
@@ -1,871 +0,0 @@
-From 3cd31a44e61e2219d730d6b1a4a13c8e15d6e395 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Thu, 2 Apr 2020 19:54:33 +0200
-Subject: [PATCH] ARM: dts: overlays: Unify overlay CMA handling
-
-Now that we don't have to abuse the kernel command line to change CMA's
-size we can clean-up and centralize CMA usage in overlays.
-
-A new file, cma-overlay.dts is created to be used as a standalone
-overlay or included on other overlays. All CMA users are converted to
-this scheme. Ultimately upstream-overlay.dts is also updated to use the
-default CMA size provided by upstream.
-
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
----
- arch/arm/boot/dts/overlays/Makefile           |  1 +
- arch/arm/boot/dts/overlays/README             | 19 +++++
- arch/arm/boot/dts/overlays/cma-overlay.dts    | 32 ++++++++
- .../boot/dts/overlays/upstream-overlay.dts    | 56 ++++---------
- .../dts/overlays/upstream-pi4-overlay.dts     | 66 +++++----------
- .../dts/overlays/vc4-fkms-v3d-overlay.dts     | 51 ++----------
- .../boot/dts/overlays/vc4-kms-v3d-overlay.dts | 66 ++++-----------
- .../dts/overlays/vc4-kms-v3d-pi4-overlay.dts  | 80 +++++--------------
- 8 files changed, 129 insertions(+), 242 deletions(-)
- create mode 100644 arch/arm/boot/dts/overlays/cma-overlay.dts
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -28,6 +28,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       audiosense-pi.dtbo \
-       audremap.dtbo \
-       balena-fin.dtbo \
-+      cma.dtbo \
-       dht11.dtbo \
-       dionaudio-loco.dtbo \
-       dionaudio-loco-v2.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -554,6 +554,19 @@ Info:   This overlay is now deprecated -
- Load:   <Deprecated>
-+Name:   cma
-+Info:   Set custom CMA sizes, only use if you know what you are doing, might
-+        clash with other overlays like vc4-fkms-v3d and vc4-kms-v3d.
-+Load:   dtoverlay=cma,<param>=<val>
-+Params: cma-256                 CMA is 256MB (needs 1GB)
-+        cma-192                 CMA is 192MB (needs 1GB)
-+        cma-128                 CMA is 128MB
-+        cma-96                  CMA is 96MB
-+        cma-64                  CMA is 64MB
-+        cma-size                CMA size in bytes, 4MB aligned
-+        cma-default             Use upstream's default value
-+
-+
- Name:   dht11
- Info:   Overlay for the DHT11/DHT21/DHT22 humidity/temperature sensors
-         Also sometimes found with the part number(s) AM230x.
-@@ -2675,6 +2688,8 @@ Params: cma-256                 CMA is 2
-         cma-128                 CMA is 128MB
-         cma-96                  CMA is 96MB
-         cma-64                  CMA is 64MB
-+        cma-size                CMA size in bytes, 4MB aligned
-+        cma-default             Use upstream's default value
- Name:   vc4-kms-kippah-7inch
-@@ -2692,6 +2707,8 @@ Params: cma-256                 CMA is 2
-         cma-128                 CMA is 128MB
-         cma-96                  CMA is 96MB
-         cma-64                  CMA is 64MB
-+        cma-size                CMA size in bytes, 4MB aligned
-+        cma-default             Use upstream's default value
-         audio                   Enable or disable audio over HDMI (default "on")
-@@ -2703,6 +2720,8 @@ Params: cma-256                 CMA is 2
-         cma-128                 CMA is 128MB
-         cma-96                  CMA is 96MB
-         cma-64                  CMA is 64MB
-+        cma-size                CMA size in bytes, 4MB aligned
-+        cma-default             Use upstream's default value
-         audio                   Enable or disable audio over HDMI0 (default
-                                 "on")
-         audio1                  Enable or disable audio over HDMI1 (default
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/cma-overlay.dts
-@@ -0,0 +1,32 @@
-+/*
-+ * cma.dts
-+ */
-+
-+/dts-v1/;
-+/plugin/;
-+
-+/ {
-+      compatible = "brcm,bcm2835";
-+
-+      fragment@0 {
-+              target = <&cma>;
-+              frag0: __overlay__ {
-+                      /*
-+                       * The default size when using this overlay is 256 MB
-+                       * and should be kept as is for backwards
-+                       * compatibility.
-+                       */
-+                      size = <0x10000000>;
-+              };
-+      };
-+
-+      __overrides__ {
-+              cma-256 = <&frag0>,"size:0=",<0x10000000>;
-+              cma-192 = <&frag0>,"size:0=",<0xC000000>;
-+              cma-128 = <&frag0>,"size:0=",<0x8000000>;
-+              cma-96  = <&frag0>,"size:0=",<0x6000000>;
-+              cma-64  = <&frag0>,"size:0=",<0x4000000>;
-+              cma-size = <&frag0>,"size:0"; /* in bytes, 4MB aligned */
-+              cma-default = <0>,"-0";
-+      };
-+};
---- a/arch/arm/boot/dts/overlays/upstream-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/upstream-overlay.dts
-@@ -1,4 +1,4 @@
--// redo: ovmerge -c vc4-kms-v3d-overlay.dts,cma-96 dwc2-overlay.dts,dr_mode=otg
-+// redo: ovmerge -c vc4-kms-v3d-overlay.dts,cma-default dwc2-overlay.dts,dr_mode=otg
- /dts-v1/;
- /plugin/;
-@@ -8,114 +8,90 @@
- / {
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target-path = "/chosen";
-+              target = <&cma>;
-               __dormant__ {
--                      bootargs = "cma=256M";
-+                      size = <0x10000000>;
-               };
-       };
-       fragment@1 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=192M";
--              };
--      };
--      fragment@2 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=128M";
--              };
--      };
--      fragment@3 {
--              target-path = "/chosen";
--              __overlay__ {
--                      bootargs = "cma=96M";
--              };
--      };
--      fragment@4 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=64M";
--              };
--      };
--      fragment@5 {
-               target = <&i2c2>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@6 {
-+      fragment@2 {
-               target = <&fb>;
-               __overlay__ {
-                       status = "disabled";
-               };
-       };
--      fragment@7 {
-+      fragment@3 {
-               target = <&pixelvalve0>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@8 {
-+      fragment@4 {
-               target = <&pixelvalve1>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@9 {
-+      fragment@5 {
-               target = <&pixelvalve2>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@10 {
-+      fragment@6 {
-               target = <&hvs>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@11 {
-+      fragment@7 {
-               target = <&hdmi>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@12 {
-+      fragment@8 {
-               target = <&v3d>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@13 {
-+      fragment@9 {
-               target = <&vc4>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@14 {
-+      fragment@10 {
-               target = <&clocks>;
-               __overlay__ {
-                       claim-clocks = <BCM2835_PLLD_DSI0 BCM2835_PLLD_DSI1 BCM2835_PLLH_AUX BCM2835_PLLH_PIX>;
-               };
-       };
--      fragment@15 {
-+      fragment@11 {
-               target = <&vec>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@16 {
-+      fragment@12 {
-               target = <&txp>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@17 {
-+      fragment@13 {
-               target = <&hdmi>;
-               __dormant__ {
-                       dmas;
-               };
-       };
--      fragment@18 {
-+      fragment@14 {
-               target = <&usb>;
-               #address-cells = <1>;
-               #size-cells = <1>;
---- a/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
-@@ -8,144 +8,120 @@
- / {
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=256M";
--              };
--      };
--      fragment@1 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=192M";
--              };
--      };
--      fragment@2 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=128M";
--              };
--      };
--      fragment@3 {
--              target-path = "/chosen";
-+              target = <&cma>;
-               __overlay__ {
--                      bootargs = "cma=96M";
-+                      size = <100663296>;
-               };
-       };
--      fragment@4 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=64M";
--              };
--      };
--      fragment@5 {
-+      fragment@1 {
-               target = <&ddc0>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@6 {
-+      fragment@2 {
-               target = <&ddc1>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@7 {
-+      fragment@3 {
-               target = <&hdmi0>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@8 {
-+      fragment@4 {
-               target = <&hdmi1>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@9 {
-+      fragment@5 {
-               target = <&hvs>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@10 {
-+      fragment@6 {
-               target = <&pixelvalve0>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@11 {
-+      fragment@7 {
-               target = <&pixelvalve1>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@12 {
-+      fragment@8 {
-               target = <&pixelvalve2>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@13 {
-+      fragment@9 {
-               target = <&pixelvalve3>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@14 {
-+      fragment@10 {
-               target = <&pixelvalve4>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@15 {
-+      fragment@11 {
-               target = <&v3d>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@16 {
-+      fragment@12 {
-               target = <&vc4>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@17 {
-+      fragment@13 {
-               target = <&txp>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@18 {
-+      fragment@14 {
-               target = <&fb>;
-               __overlay__ {
-                       status = "disabled";
-               };
-       };
--      fragment@19 {
-+      fragment@15 {
-               target = <&firmwarekms>;
-               __overlay__ {
-                       status = "disabled";
-               };
-       };
--      fragment@20 {
-+      fragment@16 {
-               target = <&vec>;
-               __overlay__ {
-                       status = "disabled";
-               };
-       };
--      fragment@21 {
-+      fragment@17 {
-               target = <&hdmi0>;
-               __dormant__ {
-                       dmas;
-               };
-       };
--      fragment@22 {
-+      fragment@18 {
-               target = <&hdmi1>;
-               __dormant__ {
-                       dmas;
-               };
-       };
--      fragment@23 {
-+      fragment@19 {
-               target = <&usb>;
-               #address-cells = <1>;
-               #size-cells = <1>;
---- a/arch/arm/boot/dts/overlays/vc4-fkms-v3d-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/vc4-fkms-v3d-overlay.dts
-@@ -5,77 +5,36 @@
- /dts-v1/;
- /plugin/;
-+#include "cma-overlay.dts"
-+
- / {
-       compatible = "brcm,bcm2835";
--      fragment@0 {
--              target-path = "/chosen";
--              __overlay__ {
--                      bootargs = "cma=256M";
--              };
--      };
--
-       fragment@1 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=192M";
--              };
--      };
--
--      fragment@2 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=128M";
--              };
--      };
--
--      fragment@3 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=96M";
--              };
--      };
--
--      fragment@4 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=64M";
--              };
--      };
--
--      fragment@5 {
-               target = <&fb>;
-               __overlay__  {
-                       status = "disabled";
-               };
-       };
--      fragment@6 {
-+      fragment@2 {
-               target = <&firmwarekms>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@7 {
-+      fragment@3 {
-               target = <&v3d>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@8 {
-+      fragment@4 {
-               target = <&vc4>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--
--      __overrides__ {
--              cma-256 = <0>,"+0-1-2-3-4";
--              cma-192 = <0>,"-0+1-2-3-4";
--              cma-128 = <0>,"-0-1+2-3-4";
--              cma-96  = <0>,"-0-1-2+3-4";
--              cma-64  = <0>,"-0-1-2-3+4";
--      };
- };
---- a/arch/arm/boot/dts/overlays/vc4-kms-v3d-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/vc4-kms-v3d-overlay.dts
-@@ -7,108 +7,75 @@
- #include <dt-bindings/clock/bcm2835.h>
-+#include "cma-overlay.dts"
-+
- / {
-       compatible = "brcm,bcm2835";
--      fragment@0 {
--              target-path = "/chosen";
--              __overlay__ {
--                      bootargs = "cma=256M";
--              };
--      };
--
-       fragment@1 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=192M";
--              };
--      };
--
--      fragment@2 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=128M";
--              };
--      };
--
--      fragment@3 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=96M";
--              };
--      };
--
--      fragment@4 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=64M";
--              };
--      };
--
--      fragment@5 {
-               target = <&i2c2>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@6 {
-+      fragment@2 {
-               target = <&fb>;
-               __overlay__  {
-                       status = "disabled";
-               };
-       };
--      fragment@7 {
-+      fragment@3 {
-               target = <&pixelvalve0>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@8 {
-+      fragment@4 {
-               target = <&pixelvalve1>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@9 {
-+      fragment@5 {
-               target = <&pixelvalve2>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@10 {
-+      fragment@6 {
-               target = <&hvs>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@11 {
-+      fragment@7 {
-               target = <&hdmi>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@12 {
-+      fragment@8 {
-               target = <&v3d>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@13 {
-+      fragment@9 {
-               target = <&vc4>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@14 {
-+      fragment@10 {
-               target = <&clocks>;
-               __overlay__  {
-                       claim-clocks = <
-@@ -120,21 +87,21 @@
-               };
-       };
--      fragment@15 {
-+      fragment@11 {
-               target = <&vec>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@16 {
-+      fragment@12 {
-               target = <&txp>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@17 {
-+      fragment@13 {
-               target = <&hdmi>;
-               __dormant__  {
-                       dmas;
-@@ -142,11 +109,6 @@
-       };
-       __overrides__ {
--              cma-256 = <0>,"+0-1-2-3-4";
--              cma-192 = <0>,"-0+1-2-3-4";
--              cma-128 = <0>,"-0-1+2-3-4";
--              cma-96  = <0>,"-0-1-2+3-4";
--              cma-64  = <0>,"-0-1-2-3+4";
-               audio   = <0>,"!17";
-       };
- };
---- a/arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
-@@ -7,164 +7,131 @@
- #include <dt-bindings/clock/bcm2835.h>
-+#include "cma-overlay.dts"
-+
- / {
-       compatible = "brcm,bcm2835";
--      fragment@0 {
--              target-path = "/chosen";
--              __overlay__ {
--                      bootargs = "cma=256M";
--              };
--      };
--
-       fragment@1 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=192M";
--              };
--      };
--
--      fragment@2 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=128M";
--              };
--      };
--
--      fragment@3 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=96M";
--              };
--      };
--
--      fragment@4 {
--              target-path = "/chosen";
--              __dormant__ {
--                      bootargs = "cma=64M";
--              };
--      };
--
--      fragment@5 {
-               target = <&ddc0>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@6 {
-+      fragment@2 {
-               target = <&ddc1>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@7 {
-+      fragment@3 {
-               target = <&hdmi0>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@8 {
-+      fragment@4 {
-               target = <&hdmi1>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@9 {
-+      fragment@5 {
-               target = <&hvs>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@10 {
-+      fragment@6 {
-               target = <&pixelvalve0>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@11 {
-+      fragment@7 {
-               target = <&pixelvalve1>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@12 {
-+      fragment@8 {
-               target = <&pixelvalve2>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@13 {
-+      fragment@9 {
-               target = <&pixelvalve3>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@14 {
-+      fragment@10 {
-               target = <&pixelvalve4>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@15 {
-+      fragment@11 {
-               target = <&v3d>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@16 {
-+      fragment@12 {
-               target = <&vc4>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@17 {
-+      fragment@13 {
-               target = <&txp>;
-               __overlay__  {
-                       status = "okay";
-               };
-       };
--      fragment@18 {
-+      fragment@14 {
-               target = <&fb>;
-               __overlay__  {
-                       status = "disabled";
-               };
-       };
--      fragment@19 {
-+      fragment@15 {
-               target = <&firmwarekms>;
-               __overlay__  {
-                       status = "disabled";
-               };
-       };
--      fragment@20 {
-+      fragment@16 {
-               target = <&vec>;
-               __overlay__  {
-                       status = "disabled";
-               };
-       };
--      fragment@21 {
-+      fragment@17 {
-               target = <&hdmi0>;
-               __dormant__  {
-                       dmas;
-               };
-       };
--      fragment@22 {
-+      fragment@18 {
-               target = <&hdmi1>;
-               __dormant__  {
-                       dmas;
-@@ -172,12 +139,7 @@
-       };
-       __overrides__ {
--              cma-256 = <0>,"+0-1-2-3-4";
--              cma-192 = <0>,"-0+1-2-3-4";
--              cma-128 = <0>,"-0-1+2-3-4";
--              cma-96  = <0>,"-0-1-2+3-4";
--              cma-64  = <0>,"-0-1-2-3+4";
--              audio   = <0>,"!21";
--              audio1   = <0>,"!22";
-+              audio   = <0>,"!17";
-+              audio1   = <0>,"!18";
-       };
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0636-Fixes-a-problem-with-clock-settings-of-HiFiBerry-DAC.patch b/target/linux/bcm27xx/patches-5.4/950-0636-Fixes-a-problem-with-clock-settings-of-HiFiBerry-DAC.patch
new file mode 100644 (file)
index 0000000..f1427ae
--- /dev/null
@@ -0,0 +1,42 @@
+From f303194bc24925d3efd965ccfae40974ea437240 Mon Sep 17 00:00:00 2001
+From: =?UTF-8?q?J=C3=B6rg=20Schambacher?=
+ <j-schambacher@users.noreply.github.com>
+Date: Wed, 15 Apr 2020 11:48:29 +0200
+Subject: [PATCH] Fixes a problem with clock settings of HiFiBerry
+ DAC+ADC PRO (#3545)
+
+This patch fixes a problem of the re-calculation of
+i2s-clock and -parameter settings when only the ADC is activated.
+
+Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
+---
+ sound/soc/bcm/hifiberry_dacplusadcpro.c | 9 ++++++++-
+ 1 file changed, 8 insertions(+), 1 deletion(-)
+
+--- a/sound/soc/bcm/hifiberry_dacplusadcpro.c
++++ b/sound/soc/bcm/hifiberry_dacplusadcpro.c
+@@ -390,9 +390,11 @@ static int snd_rpi_hifiberry_dacplusadcp
+       int channels = params_channels(params);
+       int width = 32;
+       struct snd_soc_component *dac = rtd->codec_dais[0]->component;
++      struct snd_soc_dai *dai = rtd->codec_dais[0];
++      struct snd_soc_dai_driver *drv = dai->driver;
++      const struct snd_soc_dai_ops *ops = drv->ops;
+       if (snd_rpi_hifiberry_is_dacpro) {
+-
+               width = snd_pcm_format_physical_width(params_format(params));
+               snd_rpi_hifiberry_dacplusadcpro_set_sclk(dac,
+@@ -414,6 +416,11 @@ static int snd_rpi_hifiberry_dacplusadcp
+               return ret;
+       ret = snd_soc_dai_set_tdm_slot(rtd->codec_dais[1], 0x03, 0x03,
+               channels, width);
++      if (ret)
++              return ret;
++
++      if (snd_rpi_hifiberry_is_dacpro && ops->hw_params)
++                      ret = ops->hw_params(substream, params, dai);
+       return ret;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0637-ARM-dts-bcm283x-Fix-vc4-s-firmware-bus-DMA-limitatio.patch b/target/linux/bcm27xx/patches-5.4/950-0637-ARM-dts-bcm283x-Fix-vc4-s-firmware-bus-DMA-limitatio.patch
deleted file mode 100644 (file)
index 31840e5..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From 142ad0b8433d6beb87070bd39a6b2d23ca9cae30 Mon Sep 17 00:00:00 2001
-From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Date: Thu, 19 Mar 2020 20:00:13 +0100
-Subject: [PATCH] ARM: dts: bcm283x: Fix vc4's firmware bus DMA
- limitations
-
-The bus is virtual and devices have to inherit their DMA constraints
-from the underlying interconnect. So add an empty dma-ranges property to
-the bus node, implying the firmware bus' DMA constraints are identical to
-its parent's.
-
-Fixes: 7dbe8c62ceeb ("ARM: dts: Add minimal Raspberry Pi 4 support")
-Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
----
- arch/arm/boot/dts/bcm2835-rpi.dtsi | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/arch/arm/boot/dts/bcm2835-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2835-rpi.dtsi
-@@ -15,6 +15,7 @@
-               firmware: firmware {
-                       compatible = "raspberrypi,bcm2835-firmware", "simple-bus";
-                       mboxes = <&mailbox>;
-+                      dma-ranges;
-               };
-               power: power {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0637-Documentation-media-Update-sub-device-API-intro.patch b/target/linux/bcm27xx/patches-5.4/950-0637-Documentation-media-Update-sub-device-API-intro.patch
new file mode 100644 (file)
index 0000000..f843a83
--- /dev/null
@@ -0,0 +1,34 @@
+From afd6952c4dfb0d4945b496ef08b2f478d6f40097 Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo@jmondi.org>
+Date: Tue, 7 Apr 2020 17:21:55 +0200
+Subject: [PATCH] Documentation: media: Update sub-device API intro
+
+Update the V4L2 sub-device userspace API introduction to provide more
+details on why complex devices might want to register devnodes for the
+connected subdevices.
+
+Acked-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Suggested-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
+---
+ Documentation/media/kapi/v4l2-subdev.rst | 9 +++++++--
+ 1 file changed, 7 insertions(+), 2 deletions(-)
+
+--- a/Documentation/media/kapi/v4l2-subdev.rst
++++ b/Documentation/media/kapi/v4l2-subdev.rst
+@@ -275,8 +275,13 @@ system the .unbind() method is called. A
+ V4L2 sub-device userspace API
+ -----------------------------
+-Beside exposing a kernel API through the :c:type:`v4l2_subdev_ops` structure,
+-V4L2 sub-devices can also be controlled directly by userspace applications.
++Bridge drivers traditionally expose one or multiple video nodes to userspace,
++and control subdevices through the :c:type:`v4l2_subdev_ops` operations in
++response to video node operations. This hides the complexity of the underlying
++hardware from applications. For complex devices, finer-grained control of the
++device than what the video nodes offer may be required. In those cases, bridge
++drivers that implement :ref:`the media controller API <media_controller>` may
++opt for making the subdevice operations directly accessible from userpace.
+ Device nodes named ``v4l-subdev``\ *X* can be created in ``/dev`` to access
+ sub-devices directly. If a sub-device supports direct userspace configuration
diff --git a/target/linux/bcm27xx/patches-5.4/950-0638-ARM-dts-bcm2711-Restrict-CMA-to-first-768MB.patch b/target/linux/bcm27xx/patches-5.4/950-0638-ARM-dts-bcm2711-Restrict-CMA-to-first-768MB.patch
deleted file mode 100644 (file)
index 9a1e29e..0000000
+++ /dev/null
@@ -1,33 +0,0 @@
-From 7b307016ed13cbb65e08b6a704912e5c9e5b81ac Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Tue, 14 Apr 2020 15:25:02 +0100
-Subject: [PATCH] ARM: dts: bcm2711: Restrict CMA to first 768MB
-
-The downstream 32-bit 2711 kernel configuration enables HIGHMEM for
-access to more physical RAM. The HIGHMEM zone starts at 0x30000000
-(768MB), and allowing the CMA zone to overlap that area causes a
-failure during CMA activation.
-
-Avoid the overlap by limiting CMA to the first 768MB. This is overly
-restrictive on a 64-bit kernel, but shouldn't cause any practical
-problems.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711-rpi.dtsi | 5 +++++
- 1 file changed, 5 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -45,6 +45,11 @@
-       };
- };
-+&cma {
-+      /* Limit cma to the lower 768MB to allow room for HIGHMEM on 32-bit */
-+      alloc-ranges = <0x0 0x00000000 0x30000000>;
-+};
-+
- &soc {
-       thermal: thermal@7d5d2200 {
-               compatible = "brcm,avs-tmon-bcm2711";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0638-Documentation-media-Document-read-only-subdevice.patch b/target/linux/bcm27xx/patches-5.4/950-0638-Documentation-media-Document-read-only-subdevice.patch
new file mode 100644 (file)
index 0000000..1983334
--- /dev/null
@@ -0,0 +1,217 @@
+From c1a630e792140b4791bad84974e31b4a1cf09b1b Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo@jmondi.org>
+Date: Tue, 7 Apr 2020 17:21:56 +0200
+Subject: [PATCH] Documentation: media: Document read-only subdevice
+
+Document a new kAPI function to register subdev device nodes in read only
+mode and for each affected ioctl report how access is restricted.
+
+Acked-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
+---
+ Documentation/media/kapi/v4l2-subdev.rst      | 44 +++++++++++++++++++
+ Documentation/media/uapi/v4l/dev-subdev.rst   |  5 +++
+ .../media/uapi/v4l/vidioc-g-dv-timings.rst    |  6 +++
+ Documentation/media/uapi/v4l/vidioc-g-std.rst |  6 +++
+ .../media/uapi/v4l/vidioc-subdev-g-crop.rst   |  9 ++++
+ .../media/uapi/v4l/vidioc-subdev-g-fmt.rst    |  8 ++++
+ .../v4l/vidioc-subdev-g-frame-interval.rst    |  8 ++++
+ .../uapi/v4l/vidioc-subdev-g-selection.rst    |  8 ++++
+ 8 files changed, 94 insertions(+)
+
+--- a/Documentation/media/kapi/v4l2-subdev.rst
++++ b/Documentation/media/kapi/v4l2-subdev.rst
+@@ -332,6 +332,50 @@ Private ioctls
+       All ioctls not in the above list are passed directly to the sub-device
+       driver through the core::ioctl operation.
++Read-only sub-device userspace API
++----------------------------------
++
++Bridge drivers that control their connected subdevices through direct calls to
++the kernel API realized by :c:type:`v4l2_subdev_ops` structure do not usually
++want userspace to be able to change the same parameters through the subdevice
++device node and thus do not usually register any.
++
++It is sometimes useful to report to userspace the current subdevice
++configuration through a read-only API, that does not permit applications to
++change to the device parameters but allows interfacing to the subdevice device
++node to inspect them.
++
++For instance, to implement cameras based on computational photography, userspace
++needs to know the detailed camera sensor configuration (in terms of skipping,
++binning, cropping and scaling) for each supported output resolution. To support
++such use cases, bridge drivers may expose the subdevice operations to userspace
++through a read-only API.
++
++To create a read-only device node for all the subdevices registered with the
++``V4L2_SUBDEV_FL_HAS_DEVNODE`` set, the :c:type:`v4l2_device` driver should call
++:c:func:`v4l2_device_register_ro_subdev_nodes`.
++
++Access to the following ioctls for userspace applications is restricted on
++sub-device device nodes registered with
++:c:func:`v4l2_device_register_ro_subdev_nodes`.
++
++``VIDIOC_SUBDEV_S_FMT``,
++``VIDIOC_SUBDEV_S_CROP``,
++``VIDIOC_SUBDEV_S_SELECTION``:
++
++      These ioctls are only allowed on a read-only subdevice device node
++      for the :ref:`V4L2_SUBDEV_FORMAT_TRY <v4l2-subdev-format-whence>`
++      formats and selection rectangles.
++
++``VIDIOC_SUBDEV_S_FRAME_INTERVAL``,
++``VIDIOC_SUBDEV_S_DV_TIMINGS``,
++``VIDIOC_SUBDEV_S_STD``:
++
++      These ioctls are not allowed on a read-only subdevice node.
++
++In case the ioctl is not allowed, or the format to modify is set to
++``V4L2_SUBDEV_FORMAT_ACTIVE``, the core returns a negative error code and
++the errno variable is set to ``-EPERM``.
+ I2C sub-device drivers
+ ----------------------
+--- a/Documentation/media/uapi/v4l/dev-subdev.rst
++++ b/Documentation/media/uapi/v4l/dev-subdev.rst
+@@ -39,6 +39,11 @@ will feature a character device node on
+ Sub-device character device nodes, conventionally named
+ ``/dev/v4l-subdev*``, use major number 81.
++Drivers may opt to limit the sub-device character devices to only expose
++operations that do not modify the device state. In such a case the sub-devices
++are referred to as ``read-only`` in the rest of this documentation, and the
++related restrictions are documented in individual ioctls.
++
+ Controls
+ ========
+--- a/Documentation/media/uapi/v4l/vidioc-g-dv-timings.rst
++++ b/Documentation/media/uapi/v4l/vidioc-g-dv-timings.rst
+@@ -57,6 +57,10 @@ pointer to the struct :c:type:`v4l2_dv_t
+ structure as argument. If the ioctl is not supported or the timing
+ values are not correct, the driver returns ``EINVAL`` error code.
++Calling ``VIDIOC_SUBDEV_S_DV_TIMINGS`` on a subdev device node that has been
++registered in read-only mode is not allowed. An error is returned and the errno
++variable is set to ``-EPERM``.
++
+ The ``linux/v4l2-dv-timings.h`` header can be used to get the timings of
+ the formats in the :ref:`cea861` and :ref:`vesadmt` standards. If
+ the current input or output does not support DV timings (e.g. if
+@@ -81,6 +85,8 @@ ENODATA
+ EBUSY
+     The device is busy and therefore can not change the timings.
++EPERM
++    ``VIDIOC_SUBDEV_S_DV_TIMINGS`` has been called on a read-only subdevice.
+ .. tabularcolumns:: |p{4.4cm}|p{4.4cm}|p{8.7cm}|
+--- a/Documentation/media/uapi/v4l/vidioc-g-std.rst
++++ b/Documentation/media/uapi/v4l/vidioc-g-std.rst
+@@ -66,6 +66,9 @@ video timings (e.g. if :ref:`VIDIOC_ENUM
+ does not set the ``V4L2_IN_CAP_STD`` flag), then ``ENODATA`` error code is
+ returned.
++Calling ``VIDIOC_SUBDEV_S_STD`` on a subdev device node that has been registered
++in read-only mode is not allowed. An error is returned and the errno variable is
++set to ``-EPERM``.
+ Return Value
+ ============
+@@ -79,3 +82,6 @@ EINVAL
+ ENODATA
+     Standard video timings are not supported for this input or output.
++
++EPERM
++    ``VIDIOC_SUBDEV_S_STD`` has been called on a read-only subdevice.
+--- a/Documentation/media/uapi/v4l/vidioc-subdev-g-crop.rst
++++ b/Documentation/media/uapi/v4l/vidioc-subdev-g-crop.rst
+@@ -73,6 +73,11 @@ crop rectangles and stored in the sub-de
+ applications querying the same sub-device would thus not interact with
+ each other.
++If the subdev device node has been registered in read-only mode, calls to
++``VIDIOC_SUBDEV_S_CROP`` are only valid if the ``which`` field is set to
++``V4L2_SUBDEV_FORMAT_TRY``, otherwise an error is returned and the errno
++variable is set to ``-EPERM``.
++
+ Drivers must not return an error solely because the requested crop
+ rectangle doesn't match the device capabilities. They must instead
+ modify the rectangle to match what the hardware can provide. The
+@@ -123,3 +128,7 @@ EINVAL
+     references a non-existing pad, the ``which`` field references a
+     non-existing format, or cropping is not supported on the given
+     subdev pad.
++
++EPERM
++    The ``VIDIOC_SUBDEV_S_CROP`` ioctl has been called on a read-only subdevice
++    and the ``which`` field is set to ``V4L2_SUBDEV_FORMAT_ACTIVE``.
+--- a/Documentation/media/uapi/v4l/vidioc-subdev-g-fmt.rst
++++ b/Documentation/media/uapi/v4l/vidioc-subdev-g-fmt.rst
+@@ -78,6 +78,11 @@ current links configuration or sub-devic
+ a low-pass noise filter might crop pixels at the frame boundaries,
+ modifying its output frame size.
++If the subdev device node has been registered in read-only mode, calls to
++``VIDIOC_SUBDEV_S_FMT`` are only valid if the ``which`` field is set to
++``V4L2_SUBDEV_FORMAT_TRY``, otherwise an error is returned and the errno
++variable is set to ``-EPERM``.
++
+ Drivers must not return an error solely because the requested format
+ doesn't match the device capabilities. They must instead modify the
+ format to match what the hardware can provide. The modified format
+@@ -146,6 +151,9 @@ EINVAL
+     ``pad`` references a non-existing pad, or the ``which`` field
+     references a non-existing format.
++EPERM
++    The ``VIDIOC_SUBDEV_S_FMT`` ioctl has been called on a read-only subdevice
++    and the ``which`` field is set to ``V4L2_SUBDEV_FORMAT_ACTIVE``.
+ ============
+--- a/Documentation/media/uapi/v4l/vidioc-subdev-g-frame-interval.rst
++++ b/Documentation/media/uapi/v4l/vidioc-subdev-g-frame-interval.rst
+@@ -65,6 +65,10 @@ struct
+ contains the current frame interval as would be returned by a
+ ``VIDIOC_SUBDEV_G_FRAME_INTERVAL`` call.
++Calling ``VIDIOC_SUBDEV_S_FRAME_INTERVAL`` on a subdev device node that has been
++registered in read-only mode is not allowed. An error is returned and the errno
++variable is set to ``-EPERM``.
++
+ Drivers must not return an error solely because the requested interval
+ doesn't match the device capabilities. They must instead modify the
+ interval to match what the hardware can provide. The modified interval
+@@ -118,3 +122,7 @@ EINVAL
+     :c:type:`v4l2_subdev_frame_interval`
+     ``pad`` references a non-existing pad, or the pad doesn't support
+     frame intervals.
++
++EPERM
++    The ``VIDIOC_SUBDEV_S_FRAME_INTERVAL`` ioctl has been called on a read-only
++    subdevice.
+--- a/Documentation/media/uapi/v4l/vidioc-subdev-g-selection.rst
++++ b/Documentation/media/uapi/v4l/vidioc-subdev-g-selection.rst
+@@ -53,6 +53,10 @@ function of the crop API, and more, are
+ See :ref:`subdev` for more information on how each selection target
+ affects the image processing pipeline inside the subdevice.
++If the subdev device node has been registered in read-only mode, calls to
++``VIDIOC_SUBDEV_S_SELECTION`` are only valid if the ``which`` field is set to
++``V4L2_SUBDEV_FORMAT_TRY``, otherwise an error is returned and the errno
++variable is set to ``-EPERM``.
+ Types of selection targets
+ --------------------------
+@@ -123,3 +127,7 @@ EINVAL
+     ``pad`` references a non-existing pad, the ``which`` field
+     references a non-existing format, or the selection target is not
+     supported on the given subdev pad.
++
++EPERM
++    The ``VIDIOC_SUBDEV_S_SELECTION`` ioctl has been called on a read-only
++    subdevice and the ``which`` field is set to ``V4L2_SUBDEV_FORMAT_ACTIVE``.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0639-ARM-dts-Extend-SCB-bus-address-range.patch b/target/linux/bcm27xx/patches-5.4/950-0639-ARM-dts-Extend-SCB-bus-address-range.patch
deleted file mode 100644 (file)
index 8eb2b16..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-From 9773c8c521f45f34631bcb147d638ba0252bbdd4 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Tue, 4 Feb 2020 12:51:56 +0000
-Subject: [PATCH] ARM: dts: Extend SCB bus address range
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711-rpi.dtsi | 4 +++-
- 1 file changed, 3 insertions(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -69,7 +69,9 @@
-                <0x0 0x40000000  0x0 0xff800000  0x00800000>,
-                <0x6 0x00000000  0x6 0x00000000  0x40000000>,
-                <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
--      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
-+      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0xfc000000>,
-+                   <0x1 0x00000000  0x1 0x00000000  0x80000000>,
-+                   <0x1 0x80000000  0x1 0x80000000  0x80000000>;
-       dma40: dma@7e007b00 {
-               compatible = "brcm,bcm2711-dma";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0639-media-v4l2-dev-Add-v4l2_device_register_ro_subdev_no.patch b/target/linux/bcm27xx/patches-5.4/950-0639-media-v4l2-dev-Add-v4l2_device_register_ro_subdev_no.patch
new file mode 100644 (file)
index 0000000..47f2551
--- /dev/null
@@ -0,0 +1,206 @@
+From 90712c1a495c2aa4b10dd8127fdd7f1a0cd9ef00 Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo@jmondi.org>
+Date: Tue, 7 Apr 2020 17:21:57 +0200
+Subject: [PATCH] media: v4l2-dev: Add
+ v4l2_device_register_ro_subdev_node()
+
+Add to the V4L2 core a function to register device nodes for video
+subdevices in read-only mode.
+
+Registering a device node in read-only mode is useful to expose to
+userspace the current sub-device configuration, without allowing
+application to change it by using the V4L2 subdevice ioctls.
+
+Acked-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
+---
+ drivers/media/v4l2-core/v4l2-device.c |  7 ++--
+ drivers/media/v4l2-core/v4l2-subdev.c | 19 ++++++++++
+ include/media/v4l2-dev.h              |  7 ++++
+ include/media/v4l2-device.h           | 50 ++++++++++++++++++++++++---
+ 4 files changed, 77 insertions(+), 6 deletions(-)
+
+--- a/drivers/media/v4l2-core/v4l2-device.c
++++ b/drivers/media/v4l2-core/v4l2-device.c
+@@ -189,7 +189,8 @@ static void v4l2_device_release_subdev_n
+       kfree(vdev);
+ }
+-int v4l2_device_register_subdev_nodes(struct v4l2_device *v4l2_dev)
++int __v4l2_device_register_subdev_nodes(struct v4l2_device *v4l2_dev,
++                                      bool read_only)
+ {
+       struct video_device *vdev;
+       struct v4l2_subdev *sd;
+@@ -218,6 +219,8 @@ int v4l2_device_register_subdev_nodes(st
+               vdev->fops = &v4l2_subdev_fops;
+               vdev->release = v4l2_device_release_subdev_node;
+               vdev->ctrl_handler = sd->ctrl_handler;
++              if (read_only)
++                      set_bit(V4L2_FL_SUBDEV_RO_DEVNODE, &vdev->flags);
+               err = __video_register_device(vdev, VFL_TYPE_SUBDEV, -1, 1,
+                                             sd->owner);
+               if (err < 0) {
+@@ -255,7 +258,7 @@ clean_up:
+       return err;
+ }
+-EXPORT_SYMBOL_GPL(v4l2_device_register_subdev_nodes);
++EXPORT_SYMBOL_GPL(__v4l2_device_register_subdev_nodes);
+ void v4l2_device_unregister_subdev(struct v4l2_subdev *sd)
+ {
+--- a/drivers/media/v4l2-core/v4l2-subdev.c
++++ b/drivers/media/v4l2-core/v4l2-subdev.c
+@@ -331,6 +331,7 @@ static long subdev_do_ioctl(struct file
+       struct v4l2_fh *vfh = file->private_data;
+ #if defined(CONFIG_VIDEO_V4L2_SUBDEV_API)
+       struct v4l2_subdev_fh *subdev_fh = to_v4l2_subdev_fh(vfh);
++      bool ro_subdev = test_bit(V4L2_FL_SUBDEV_RO_DEVNODE, &vdev->flags);
+       int rval;
+ #endif
+@@ -453,6 +454,9 @@ static long subdev_do_ioctl(struct file
+       case VIDIOC_SUBDEV_S_FMT: {
+               struct v4l2_subdev_format *format = arg;
++              if (format->which != V4L2_SUBDEV_FORMAT_TRY && ro_subdev)
++                      return -EPERM;
++
+               memset(format->reserved, 0, sizeof(format->reserved));
+               memset(format->format.reserved, 0, sizeof(format->format.reserved));
+               return v4l2_subdev_call(sd, pad, set_fmt, subdev_fh->pad, format);
+@@ -480,6 +484,9 @@ static long subdev_do_ioctl(struct file
+               struct v4l2_subdev_crop *crop = arg;
+               struct v4l2_subdev_selection sel;
++              if (crop->which != V4L2_SUBDEV_FORMAT_TRY && ro_subdev)
++                      return -EPERM;
++
+               memset(crop->reserved, 0, sizeof(crop->reserved));
+               memset(&sel, 0, sizeof(sel));
+               sel.which = crop->which;
+@@ -521,6 +528,9 @@ static long subdev_do_ioctl(struct file
+       case VIDIOC_SUBDEV_S_FRAME_INTERVAL: {
+               struct v4l2_subdev_frame_interval *fi = arg;
++              if (ro_subdev)
++                      return -EPERM;
++
+               memset(fi->reserved, 0, sizeof(fi->reserved));
+               return v4l2_subdev_call(sd, video, s_frame_interval, arg);
+       }
+@@ -544,6 +554,9 @@ static long subdev_do_ioctl(struct file
+       case VIDIOC_SUBDEV_S_SELECTION: {
+               struct v4l2_subdev_selection *sel = arg;
++              if (sel->which != V4L2_SUBDEV_FORMAT_TRY && ro_subdev)
++                      return -EPERM;
++
+               memset(sel->reserved, 0, sizeof(sel->reserved));
+               return v4l2_subdev_call(
+                       sd, pad, set_selection, subdev_fh->pad, sel);
+@@ -580,6 +593,9 @@ static long subdev_do_ioctl(struct file
+               return v4l2_subdev_call(sd, video, g_dv_timings, arg);
+       case VIDIOC_SUBDEV_S_DV_TIMINGS:
++              if (ro_subdev)
++                      return -EPERM;
++
+               return v4l2_subdev_call(sd, video, s_dv_timings, arg);
+       case VIDIOC_SUBDEV_G_STD:
+@@ -588,6 +604,9 @@ static long subdev_do_ioctl(struct file
+       case VIDIOC_SUBDEV_S_STD: {
+               v4l2_std_id *std = arg;
++              if (ro_subdev)
++                      return -EPERM;
++
+               return v4l2_subdev_call(sd, video, s_std, *std);
+       }
+--- a/include/media/v4l2-dev.h
++++ b/include/media/v4l2-dev.h
+@@ -82,11 +82,18 @@ struct v4l2_ctrl_handler;
+  *    but the old crop API will still work as expected in order to preserve
+  *    backwards compatibility.
+  *    Never set this flag for new drivers.
++ * @V4L2_FL_SUBDEV_RO_DEVNODE:
++ *    indicates that the video device node is registered in read-only mode.
++ *    The flag only applies to device nodes registered for sub-devices, it is
++ *    set by the core when the sub-devices device nodes are registered with
++ *    v4l2_device_register_ro_subdev_nodes() and used by the sub-device ioctl
++ *    handler to restrict access to some ioctl calls.
+  */
+ enum v4l2_video_device_flags {
+       V4L2_FL_REGISTERED              = 0,
+       V4L2_FL_USES_V4L2_FH            = 1,
+       V4L2_FL_QUIRK_INVERTED_CROP     = 2,
++      V4L2_FL_SUBDEV_RO_DEVNODE       = 3,
+ };
+ /* Priority helper functions */
+--- a/include/media/v4l2-device.h
++++ b/include/media/v4l2-device.h
+@@ -174,14 +174,56 @@ int __must_check v4l2_device_register_su
+ void v4l2_device_unregister_subdev(struct v4l2_subdev *sd);
+ /**
+- * v4l2_device_register_subdev_nodes - Registers device nodes for all subdevs
+- *    of the v4l2 device that are marked with
+- *    the %V4L2_SUBDEV_FL_HAS_DEVNODE flag.
++ * __v4l2_device_register_ro_subdev_nodes - Registers device nodes for
++ *      all subdevs of the v4l2 device that are marked with the
++ *      %V4L2_SUBDEV_FL_HAS_DEVNODE flag.
+  *
+  * @v4l2_dev: pointer to struct v4l2_device
++ * @read_only: subdevices read-only flag. True to register the subdevices
++ *    device nodes in read-only mode, false to allow full access to the
++ *    subdevice userspace API.
+  */
+ int __must_check
+-v4l2_device_register_subdev_nodes(struct v4l2_device *v4l2_dev);
++__v4l2_device_register_subdev_nodes(struct v4l2_device *v4l2_dev,
++                                  bool read_only);
++
++/**
++ * v4l2_device_register_subdev_nodes - Registers subdevices device nodes with
++ *    unrestricted access to the subdevice userspace operations
++ *
++ * Internally calls __v4l2_device_register_subdev_nodes(). See its documentation
++ * for more details.
++ *
++ * @v4l2_dev: pointer to struct v4l2_device
++ */
++static inline int __must_check
++v4l2_device_register_subdev_nodes(struct v4l2_device *v4l2_dev)
++{
++#if defined(CONFIG_VIDEO_V4L2_SUBDEV_API)
++      return __v4l2_device_register_subdev_nodes(v4l2_dev, false);
++#else
++      return 0;
++#endif
++}
++
++/**
++ * v4l2_device_register_ro_subdev_nodes - Registers subdevices device nodes
++ *    in read-only mode
++ *
++ * Internally calls __v4l2_device_register_subdev_nodes(). See its documentation
++ * for more details.
++ *
++ * @v4l2_dev: pointer to struct v4l2_device
++ */
++static inline int __must_check
++v4l2_device_register_ro_subdev_nodes(struct v4l2_device *v4l2_dev)
++{
++#if defined(CONFIG_VIDEO_V4L2_SUBDEV_API)
++      return __v4l2_device_register_subdev_nodes(v4l2_dev, true);
++#else
++      return 0;
++#endif
++}
+ /**
+  * v4l2_subdev_notify - Sends a notification to v4l2_device.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0640-dts-bcm2711-Move-emmc2-to-its-own-bus.patch b/target/linux/bcm27xx/patches-5.4/950-0640-dts-bcm2711-Move-emmc2-to-its-own-bus.patch
deleted file mode 100644 (file)
index 52cf03a..0000000
+++ /dev/null
@@ -1,52 +0,0 @@
-From f97ba33547711d727fbbcb10eb046f8ac605a966 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Thu, 5 Dec 2019 18:02:08 +0000
-Subject: [PATCH] dts: bcm2711: Move emmc2 to its own "bus"
-
-Moving the EMMC2 controller under a dedicated bus allows the firmware
-to patch the dma-ranges property for different memory sizes without
-affecting anything else.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 22 ++++++++++++++++++++++
- 1 file changed, 22 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -193,6 +193,8 @@
- #include "bcm2711-rpi.dtsi"
- #include "bcm283x-rpi-csi1-2lane.dtsi"
-+/delete-node/ &emmc2;
-+
- / {
-       chosen {
-               bootargs = "coherent_pool=1M 8250.nr_uarts=1";
-@@ -212,6 +214,26 @@
-               /delete-property/ ethernet;
-               /delete-property/ intc;
-               pcie0 = &pcie0;
-+              emmc2bus = &emmc2bus;
-+      };
-+
-+      emmc2bus: emmc2bus {
-+              compatible = "simple-bus";
-+              #address-cells = <2>;
-+              #size-cells = <1>;
-+
-+              ranges = <0x0 0x7e000000  0x0 0xfe000000  0x01800000>;
-+              dma-ranges = <0x0 0xc0000000  0x0 0x00000000  0x3c000000>;
-+
-+              emmc2: emmc2@7e340000 {
-+                      compatible = "brcm,bcm2711-emmc2";
-+                      status = "okay";
-+                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
-+                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
-+                      reg = <0x0 0x7e340000 0x100>;
-+                      vqmmc-supply = <&sd_io_1v8_reg>;
-+                      broken-cd;
-+              };
-       };
-       /delete-node/ wifi-pwrseq;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0640-media-bcm2835-unicam-Driver-for-CCP2-CSI2-camera-int.patch b/target/linux/bcm27xx/patches-5.4/950-0640-media-bcm2835-unicam-Driver-for-CCP2-CSI2-camera-int.patch
new file mode 100644 (file)
index 0000000..e142f59
--- /dev/null
@@ -0,0 +1,2709 @@
+From 33a897162230dfc35b854aae2bec1ce8c2996642 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Wed, 1 Apr 2020 08:39:49 +0100
+Subject: [PATCH] media: bcm2835-unicam: Driver for CCP2/CSI2 camera
+ interface
+
+Add driver for the Unicam camera receiver block on
+BCM283x processors.
+
+This commit is made up of a series of changes cherry-picked from the
+rpi-4.19.y branch.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ MAINTAINERS                                   |    2 +-
+ drivers/media/platform/Kconfig                |    1 +
+ drivers/media/platform/Makefile               |    2 +
+ drivers/media/platform/bcm2835/Kconfig        |   14 +
+ drivers/media/platform/bcm2835/Makefile       |    3 +
+ .../media/platform/bcm2835/bcm2835-unicam.c   | 2369 +++++++++++++++++
+ .../media/platform/bcm2835/vc4-regs-unicam.h  |  253 ++
+ 7 files changed, 2643 insertions(+), 1 deletion(-)
+ create mode 100644 drivers/media/platform/bcm2835/Kconfig
+ create mode 100644 drivers/media/platform/bcm2835/Makefile
+ create mode 100644 drivers/media/platform/bcm2835/bcm2835-unicam.c
+ create mode 100644 drivers/media/platform/bcm2835/vc4-regs-unicam.h
+
+--- a/MAINTAINERS
++++ b/MAINTAINERS
+@@ -3206,7 +3206,7 @@ F:       Documentation/devicetree/bindings/med
+ F:    drivers/staging/media/rpivid
+ BROADCOM BCM2835 CAMERA DRIVER
+-M:    Dave Stevenson <dave.stevenson@raspberrypi.org>
++M:    Raspberry Pi Kernel Maintenance <kernel-list@raspberrypi.com>
+ L:    linux-media@vger.kernel.org
+ S:    Maintained
+ F:    drivers/media/platform/bcm2835/
+--- a/drivers/media/platform/Kconfig
++++ b/drivers/media/platform/Kconfig
+@@ -146,6 +146,7 @@ source "drivers/media/platform/am437x/Kc
+ source "drivers/media/platform/xilinx/Kconfig"
+ source "drivers/media/platform/rcar-vin/Kconfig"
+ source "drivers/media/platform/atmel/Kconfig"
++source "drivers/media/platform/bcm2835/Kconfig"
+ source "drivers/media/platform/sunxi/Kconfig"
+ config VIDEO_TI_CAL
+--- a/drivers/media/platform/Makefile
++++ b/drivers/media/platform/Makefile
+@@ -100,4 +100,6 @@ obj-y                                      += meson/
+ obj-y                                 += cros-ec-cec/
++obj-y                                 += bcm2835/
++
+ obj-y                                 += sunxi/
+--- /dev/null
++++ b/drivers/media/platform/bcm2835/Kconfig
+@@ -0,0 +1,14 @@
++# Broadcom VideoCore4 V4L2 camera support
++
++config VIDEO_BCM2835_UNICAM
++      tristate "Broadcom BCM2835 Unicam video capture driver"
++      depends on VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API && MEDIA_CONTROLLER
++      depends on ARCH_BCM2835 || COMPILE_TEST
++      select VIDEOBUF2_DMA_CONTIG
++      select V4L2_FWNODE
++      help
++        Say Y here to enable V4L2 subdevice for CSI2 receiver.
++        This is a V4L2 subdevice that interfaces directly to the VC4 peripheral.
++
++         To compile this driver as a module, choose M here. The module
++         will be called bcm2835-unicam.
+--- /dev/null
++++ b/drivers/media/platform/bcm2835/Makefile
+@@ -0,0 +1,3 @@
++# Makefile for BCM2835 Unicam driver
++
++obj-$(CONFIG_VIDEO_BCM2835_UNICAM) += bcm2835-unicam.o
+--- /dev/null
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -0,0 +1,2369 @@
++// SPDX-License-Identifier: GPL-2.0-only
++/*
++ * BCM2835 Unicam Capture Driver
++ *
++ * Copyright (C) 2017-2020 - Raspberry Pi (Trading) Ltd.
++ *
++ * Dave Stevenson <dave.stevenson@raspberrypi.com>
++ *
++ * Based on TI am437x driver by
++ *   Benoit Parrot <bparrot@ti.com>
++ *   Lad, Prabhakar <prabhakar.csengg@gmail.com>
++ *
++ * and TI CAL camera interface driver by
++ *    Benoit Parrot <bparrot@ti.com>
++ *
++ *
++ * There are two camera drivers in the kernel for BCM283x - this one
++ * and bcm2835-camera (currently in staging).
++ *
++ * This driver directly controls the Unicam peripheral - there is no
++ * involvement with the VideoCore firmware. Unicam receives CSI-2 or
++ * CCP2 data and writes it into SDRAM.
++ * The only potential processing options are to repack Bayer data into an
++ * alternate format, and applying windowing.
++ * The repacking does not shift the data, so can repack V4L2_PIX_FMT_Sxxxx10P
++ * to V4L2_PIX_FMT_Sxxxx10, or V4L2_PIX_FMT_Sxxxx12P to V4L2_PIX_FMT_Sxxxx12,
++ * but not generically up to V4L2_PIX_FMT_Sxxxx16. The driver will add both
++ * formats where the relevant formats are defined, and will automatically
++ * configure the repacking as required.
++ * Support for windowing may be added later.
++ *
++ * It should be possible to connect this driver to any sensor with a
++ * suitable output interface and V4L2 subdevice driver.
++ *
++ * bcm2835-camera uses the VideoCore firmware to control the sensor,
++ * Unicam, ISP, and all tuner control loops. Fully processed frames are
++ * delivered to the driver by the firmware. It only has sensor drivers
++ * for Omnivision OV5647, and Sony IMX219 sensors.
++ *
++ * The two drivers are mutually exclusive for the same Unicam instance.
++ * The VideoCore firmware checks the device tree configuration during boot.
++ * If it finds device tree nodes called csi0 or csi1 it will block the
++ * firmware from accessing the peripheral, and bcm2835-camera will
++ * not be able to stream data.
++ */
++
++#include <linux/clk.h>
++#include <linux/delay.h>
++#include <linux/device.h>
++#include <linux/err.h>
++#include <linux/init.h>
++#include <linux/interrupt.h>
++#include <linux/io.h>
++#include <linux/module.h>
++#include <linux/of_device.h>
++#include <linux/of_graph.h>
++#include <linux/pinctrl/consumer.h>
++#include <linux/platform_device.h>
++#include <linux/pm_runtime.h>
++#include <linux/slab.h>
++#include <linux/uaccess.h>
++#include <linux/videodev2.h>
++
++#include <media/v4l2-common.h>
++#include <media/v4l2-ctrls.h>
++#include <media/v4l2-dev.h>
++#include <media/v4l2-device.h>
++#include <media/v4l2-dv-timings.h>
++#include <media/v4l2-event.h>
++#include <media/v4l2-ioctl.h>
++#include <media/v4l2-fwnode.h>
++#include <media/videobuf2-dma-contig.h>
++
++#include "vc4-regs-unicam.h"
++
++#define UNICAM_MODULE_NAME    "unicam"
++#define UNICAM_VERSION                "0.1.0"
++
++static int debug;
++module_param(debug, int, 0644);
++MODULE_PARM_DESC(debug, "Debug level 0-3");
++
++#define unicam_dbg(level, dev, fmt, arg...)   \
++              v4l2_dbg(level, debug, &(dev)->v4l2_dev, fmt, ##arg)
++#define unicam_info(dev, fmt, arg...) \
++              v4l2_info(&(dev)->v4l2_dev, fmt, ##arg)
++#define unicam_err(dev, fmt, arg...)  \
++              v4l2_err(&(dev)->v4l2_dev, fmt, ##arg)
++
++/* To protect against a dodgy sensor driver never returning an error from
++ * enum_mbus_code, set a maximum index value to be used.
++ */
++#define MAX_ENUM_MBUS_CODE    128
++
++/*
++ * Stride is a 16 bit register, but also has to be a multiple of 32.
++ */
++#define BPL_ALIGNMENT         32
++#define MAX_BYTESPERLINE      ((1 << 16) - BPL_ALIGNMENT)
++/*
++ * Max width is therefore determined by the max stride divided by
++ * the number of bits per pixel. Take 32bpp as a
++ * worst case.
++ * No imposed limit on the height, so adopt a square image for want
++ * of anything better.
++ */
++#define MAX_WIDTH     (MAX_BYTESPERLINE / 4)
++#define MAX_HEIGHT    MAX_WIDTH
++/* Define a nominal minimum image size */
++#define MIN_WIDTH     16
++#define MIN_HEIGHT    16
++
++/*
++ * struct unicam_fmt - Unicam media bus format information
++ * @pixelformat: V4L2 pixel format FCC identifier. 0 if n/a.
++ * @repacked_fourcc: V4L2 pixel format FCC identifier if the data is expanded
++ * out to 16bpp. 0 if n/a.
++ * @code: V4L2 media bus format code.
++ * @depth: Bits per pixel as delivered from the source.
++ * @csi_dt: CSI data type.
++ * @check_variants: Flag to denote that there are multiple mediabus formats
++ *            still in the list that could match this V4L2 format.
++ */
++struct unicam_fmt {
++      u32     fourcc;
++      u32     repacked_fourcc;
++      u32     code;
++      u8      depth;
++      u8      csi_dt;
++      u8      check_variants;
++};
++
++static const struct unicam_fmt formats[] = {
++      /* YUV Formats */
++      {
++              .fourcc         = V4L2_PIX_FMT_YUYV,
++              .code           = MEDIA_BUS_FMT_YUYV8_2X8,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++              .check_variants = 1,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_UYVY,
++              .code           = MEDIA_BUS_FMT_UYVY8_2X8,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++              .check_variants = 1,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_YVYU,
++              .code           = MEDIA_BUS_FMT_YVYU8_2X8,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++              .check_variants = 1,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_VYUY,
++              .code           = MEDIA_BUS_FMT_VYUY8_2X8,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++              .check_variants = 1,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_YUYV,
++              .code           = MEDIA_BUS_FMT_YUYV8_1X16,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_UYVY,
++              .code           = MEDIA_BUS_FMT_UYVY8_1X16,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_YVYU,
++              .code           = MEDIA_BUS_FMT_YVYU8_1X16,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_VYUY,
++              .code           = MEDIA_BUS_FMT_VYUY8_1X16,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++      }, {
++      /* RGB Formats */
++              .fourcc         = V4L2_PIX_FMT_RGB565, /* gggbbbbb rrrrrggg */
++              .code           = MEDIA_BUS_FMT_RGB565_2X8_LE,
++              .depth          = 16,
++              .csi_dt         = 0x22,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_RGB565X, /* rrrrrggg gggbbbbb */
++              .code           = MEDIA_BUS_FMT_RGB565_2X8_BE,
++              .depth          = 16,
++              .csi_dt         = 0x22
++      }, {
++              .fourcc         = V4L2_PIX_FMT_RGB555, /* gggbbbbb arrrrrgg */
++              .code           = MEDIA_BUS_FMT_RGB555_2X8_PADHI_LE,
++              .depth          = 16,
++              .csi_dt         = 0x21,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_RGB555X, /* arrrrrgg gggbbbbb */
++              .code           = MEDIA_BUS_FMT_RGB555_2X8_PADHI_BE,
++              .depth          = 16,
++              .csi_dt         = 0x21,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_RGB24, /* rgb */
++              .code           = MEDIA_BUS_FMT_RGB888_1X24,
++              .depth          = 24,
++              .csi_dt         = 0x24,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_BGR24, /* bgr */
++              .code           = MEDIA_BUS_FMT_BGR888_1X24,
++              .depth          = 24,
++              .csi_dt         = 0x24,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_RGB32, /* argb */
++              .code           = MEDIA_BUS_FMT_ARGB8888_1X32,
++              .depth          = 32,
++              .csi_dt         = 0x0,
++      }, {
++      /* Bayer Formats */
++              .fourcc         = V4L2_PIX_FMT_SBGGR8,
++              .code           = MEDIA_BUS_FMT_SBGGR8_1X8,
++              .depth          = 8,
++              .csi_dt         = 0x2a,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGBRG8,
++              .code           = MEDIA_BUS_FMT_SGBRG8_1X8,
++              .depth          = 8,
++              .csi_dt         = 0x2a,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGRBG8,
++              .code           = MEDIA_BUS_FMT_SGRBG8_1X8,
++              .depth          = 8,
++              .csi_dt         = 0x2a,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SRGGB8,
++              .code           = MEDIA_BUS_FMT_SRGGB8_1X8,
++              .depth          = 8,
++              .csi_dt         = 0x2a,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SBGGR10P,
++              .repacked_fourcc = V4L2_PIX_FMT_SBGGR10,
++              .code           = MEDIA_BUS_FMT_SBGGR10_1X10,
++              .depth          = 10,
++              .csi_dt         = 0x2b,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGBRG10P,
++              .repacked_fourcc = V4L2_PIX_FMT_SGBRG10,
++              .code           = MEDIA_BUS_FMT_SGBRG10_1X10,
++              .depth          = 10,
++              .csi_dt         = 0x2b,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGRBG10P,
++              .repacked_fourcc = V4L2_PIX_FMT_SGRBG10,
++              .code           = MEDIA_BUS_FMT_SGRBG10_1X10,
++              .depth          = 10,
++              .csi_dt         = 0x2b,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SRGGB10P,
++              .repacked_fourcc = V4L2_PIX_FMT_SRGGB10,
++              .code           = MEDIA_BUS_FMT_SRGGB10_1X10,
++              .depth          = 10,
++              .csi_dt         = 0x2b,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SBGGR12P,
++              .repacked_fourcc = V4L2_PIX_FMT_SBGGR12,
++              .code           = MEDIA_BUS_FMT_SBGGR12_1X12,
++              .depth          = 12,
++              .csi_dt         = 0x2c,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGBRG12P,
++              .repacked_fourcc = V4L2_PIX_FMT_SGBRG12,
++              .code           = MEDIA_BUS_FMT_SGBRG12_1X12,
++              .depth          = 12,
++              .csi_dt         = 0x2c,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGRBG12P,
++              .repacked_fourcc = V4L2_PIX_FMT_SGRBG12,
++              .code           = MEDIA_BUS_FMT_SGRBG12_1X12,
++              .depth          = 12,
++              .csi_dt         = 0x2c,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SRGGB12P,
++              .repacked_fourcc = V4L2_PIX_FMT_SRGGB12,
++              .code           = MEDIA_BUS_FMT_SRGGB12_1X12,
++              .depth          = 12,
++              .csi_dt         = 0x2c,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SBGGR14P,
++              .code           = MEDIA_BUS_FMT_SBGGR14_1X14,
++              .depth          = 14,
++              .csi_dt         = 0x2d,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGBRG14P,
++              .code           = MEDIA_BUS_FMT_SGBRG14_1X14,
++              .depth          = 14,
++              .csi_dt         = 0x2d,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGRBG14P,
++              .code           = MEDIA_BUS_FMT_SGRBG14_1X14,
++              .depth          = 14,
++              .csi_dt         = 0x2d,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SRGGB14P,
++              .code           = MEDIA_BUS_FMT_SRGGB14_1X14,
++              .depth          = 14,
++              .csi_dt         = 0x2d,
++      }, {
++      /*
++       * 16 bit Bayer formats could be supported, but there is no CSI2
++       * data_type defined for raw 16, and no sensors that produce it at
++       * present.
++       */
++
++      /* Greyscale formats */
++              .fourcc         = V4L2_PIX_FMT_GREY,
++              .code           = MEDIA_BUS_FMT_Y8_1X8,
++              .depth          = 8,
++              .csi_dt         = 0x2a,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_Y10P,
++              .repacked_fourcc = V4L2_PIX_FMT_Y10,
++              .code           = MEDIA_BUS_FMT_Y10_1X10,
++              .depth          = 10,
++              .csi_dt         = 0x2b,
++      }, {
++              /* NB There is no packed V4L2 fourcc for this format. */
++              .repacked_fourcc = V4L2_PIX_FMT_Y12,
++              .code           = MEDIA_BUS_FMT_Y12_1X12,
++              .depth          = 12,
++              .csi_dt         = 0x2c,
++      },
++};
++
++struct unicam_dmaqueue {
++      struct list_head        active;
++};
++
++struct unicam_buffer {
++      struct vb2_v4l2_buffer vb;
++      struct list_head list;
++};
++
++struct unicam_cfg {
++      /* peripheral base address */
++      void __iomem *base;
++      /* clock gating base address */
++      void __iomem *clk_gate_base;
++};
++
++#define MAX_POSSIBLE_PIX_FMTS (ARRAY_SIZE(formats))
++
++struct unicam_device {
++      /* V4l2 specific parameters */
++      /* Identifies video device for this channel */
++      struct video_device video_dev;
++      struct v4l2_ctrl_handler ctrl_handler;
++
++      struct v4l2_fwnode_endpoint endpoint;
++
++      struct v4l2_async_subdev asd;
++
++      /* unicam cfg */
++      struct unicam_cfg cfg;
++      /* clock handle */
++      struct clk *clock;
++      /* V4l2 device */
++      struct v4l2_device v4l2_dev;
++      struct media_device mdev;
++      struct media_pad pad;
++
++      /* parent device */
++      struct platform_device *pdev;
++      /* subdevice async Notifier */
++      struct v4l2_async_notifier notifier;
++      unsigned int sequence;
++
++      /* ptr to  sub device */
++      struct v4l2_subdev *sensor;
++      /* Pad config for the sensor */
++      struct v4l2_subdev_pad_config *sensor_config;
++      /* current input at the sub device */
++      int current_input;
++
++      /* Pointer pointing to current v4l2_buffer */
++      struct unicam_buffer *cur_frm;
++      /* Pointer pointing to next v4l2_buffer */
++      struct unicam_buffer *next_frm;
++
++      /* video capture */
++      const struct unicam_fmt *fmt;
++      /* Used to store current pixel format */
++      struct v4l2_format v_fmt;
++      /* Used to store current mbus frame format */
++      struct v4l2_mbus_framefmt m_fmt;
++
++      unsigned int virtual_channel;
++      enum v4l2_mbus_type bus_type;
++      /*
++       * Stores bus.mipi_csi2.flags for CSI2 sensors, or
++       * bus.mipi_csi1.strobe for CCP2.
++       */
++      unsigned int bus_flags;
++      unsigned int max_data_lanes;
++      unsigned int active_data_lanes;
++
++      struct v4l2_rect crop;
++
++      /* Currently selected input on subdev */
++      int input;
++
++      /* Buffer queue used in video-buf */
++      struct vb2_queue buffer_queue;
++      /* Queue of filled frames */
++      struct unicam_dmaqueue dma_queue;
++      /* IRQ lock for DMA queue */
++      spinlock_t dma_queue_lock;
++      /* lock used to access this structure */
++      struct mutex lock;
++      /* Flag to denote that we are processing buffers */
++      int streaming;
++};
++
++/* Hardware access */
++#define clk_write(dev, val) writel((val) | 0x5a000000, (dev)->clk_gate_base)
++#define clk_read(dev) readl((dev)->clk_gate_base)
++
++#define reg_read(dev, offset) readl((dev)->base + (offset))
++#define reg_write(dev, offset, val) writel(val, (dev)->base + (offset))
++
++#define reg_read_field(dev, offset, mask) get_field(reg_read((dev), (offset), \
++                                                  mask))
++
++static inline int get_field(u32 value, u32 mask)
++{
++      return (value & mask) >> __ffs(mask);
++}
++
++static inline void set_field(u32 *valp, u32 field, u32 mask)
++{
++      u32 val = *valp;
++
++      val &= ~mask;
++      val |= (field << __ffs(mask)) & mask;
++      *valp = val;
++}
++
++static inline void reg_write_field(struct unicam_cfg *dev, u32 offset,
++                                 u32 field, u32 mask)
++{
++      u32 val = reg_read((dev), (offset));
++
++      set_field(&val, field, mask);
++      reg_write((dev), (offset), val);
++}
++
++/* Power management functions */
++static inline int unicam_runtime_get(struct unicam_device *dev)
++{
++      return pm_runtime_get_sync(&dev->pdev->dev);
++}
++
++static inline void unicam_runtime_put(struct unicam_device *dev)
++{
++      pm_runtime_put_sync(&dev->pdev->dev);
++}
++
++/* Format setup functions */
++static const struct unicam_fmt *find_format_by_code(u32 code)
++{
++      unsigned int i;
++
++      for (i = 0; i < ARRAY_SIZE(formats); i++) {
++              if (formats[i].code == code)
++                      return &formats[i];
++      }
++
++      return NULL;
++}
++
++static int check_mbus_format(struct unicam_device *dev,
++                           const struct unicam_fmt *format)
++{
++      struct v4l2_subdev_mbus_code_enum mbus_code;
++      int ret = 0;
++      int i;
++
++      for (i = 0; !ret && i < MAX_ENUM_MBUS_CODE; i++) {
++              memset(&mbus_code, 0, sizeof(mbus_code));
++              mbus_code.index = i;
++              mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
++
++              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code,
++                                     NULL, &mbus_code);
++
++              if (!ret && mbus_code.code == format->code)
++                      return 1;
++      }
++
++      return 0;
++}
++
++static const struct unicam_fmt *find_format_by_pix(struct unicam_device *dev,
++                                                 u32 pixelformat)
++{
++      unsigned int i;
++
++      for (i = 0; i < ARRAY_SIZE(formats); i++) {
++              if (formats[i].fourcc == pixelformat ||
++                  formats[i].repacked_fourcc == pixelformat) {
++                      if (formats[i].check_variants &&
++                          !check_mbus_format(dev, &formats[i]))
++                              continue;
++                      return &formats[i];
++              }
++      }
++
++      return NULL;
++}
++
++static inline unsigned int bytes_per_line(u32 width,
++                                        const struct unicam_fmt *fmt,
++                                        u32 v4l2_fourcc)
++{
++      if (v4l2_fourcc == fmt->repacked_fourcc)
++              /* Repacking always goes to 16bpp */
++              return ALIGN(width << 1, BPL_ALIGNMENT);
++      else
++              return ALIGN((width * fmt->depth) >> 3, BPL_ALIGNMENT);
++}
++
++static int __subdev_get_format(struct unicam_device *dev,
++                             struct v4l2_mbus_framefmt *fmt)
++{
++      struct v4l2_subdev_format sd_fmt = {
++              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++      };
++      int ret;
++
++      ret = v4l2_subdev_call(dev->sensor, pad, get_fmt, dev->sensor_config,
++                             &sd_fmt);
++      if (ret < 0)
++              return ret;
++
++      *fmt = sd_fmt.format;
++
++      unicam_dbg(1, dev, "%s %dx%d code:%04x\n", __func__,
++                 fmt->width, fmt->height, fmt->code);
++
++      return 0;
++}
++
++static int __subdev_set_format(struct unicam_device *dev,
++                             struct v4l2_mbus_framefmt *fmt)
++{
++      struct v4l2_subdev_format sd_fmt = {
++              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++      };
++      int ret;
++
++      sd_fmt.format = *fmt;
++
++      ret = v4l2_subdev_call(dev->sensor, pad, set_fmt, dev->sensor_config,
++                             &sd_fmt);
++      if (ret < 0)
++              return ret;
++
++      unicam_dbg(1, dev, "%s %dx%d code:%04x\n", __func__,
++                 fmt->width, fmt->height, fmt->code);
++
++      return 0;
++}
++
++static int unicam_calc_format_size_bpl(struct unicam_device *dev,
++                                     const struct unicam_fmt *fmt,
++                                     struct v4l2_format *f)
++{
++      unsigned int min_bytesperline;
++
++      v4l_bound_align_image(&f->fmt.pix.width, MIN_WIDTH, MAX_WIDTH, 2,
++                            &f->fmt.pix.height, MIN_HEIGHT, MAX_HEIGHT, 0,
++                            0);
++
++      min_bytesperline = bytes_per_line(f->fmt.pix.width, fmt,
++                                        f->fmt.pix.pixelformat);
++
++      if (f->fmt.pix.bytesperline > min_bytesperline &&
++          f->fmt.pix.bytesperline <= MAX_BYTESPERLINE)
++              f->fmt.pix.bytesperline = ALIGN(f->fmt.pix.bytesperline,
++                                              BPL_ALIGNMENT);
++      else
++              f->fmt.pix.bytesperline = min_bytesperline;
++
++      f->fmt.pix.sizeimage = f->fmt.pix.height * f->fmt.pix.bytesperline;
++
++      unicam_dbg(3, dev, "%s: fourcc: %08X size: %dx%d bpl:%d img_size:%d\n",
++                 __func__,
++                 f->fmt.pix.pixelformat,
++                 f->fmt.pix.width, f->fmt.pix.height,
++                 f->fmt.pix.bytesperline, f->fmt.pix.sizeimage);
++
++      return 0;
++}
++
++static int unicam_reset_format(struct unicam_device *dev)
++{
++      struct v4l2_mbus_framefmt mbus_fmt;
++      int ret;
++
++      ret = __subdev_get_format(dev, &mbus_fmt);
++      if (ret) {
++              unicam_err(dev, "Failed to get_format - ret %d\n", ret);
++              return ret;
++      }
++
++      if (mbus_fmt.code != dev->fmt->code) {
++              unicam_err(dev, "code mismatch - fmt->code %08x, mbus_fmt.code %08x\n",
++                         dev->fmt->code, mbus_fmt.code);
++              return ret;
++      }
++
++      v4l2_fill_pix_format(&dev->v_fmt.fmt.pix, &mbus_fmt);
++      dev->v_fmt.type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
++
++      unicam_calc_format_size_bpl(dev, dev->fmt, &dev->v_fmt);
++
++      dev->m_fmt = mbus_fmt;
++
++      return 0;
++}
++
++static void unicam_wr_dma_addr(struct unicam_device *dev, dma_addr_t dmaaddr)
++{
++      /*
++       * dmaaddr should be a 32-bit address with the top two bits set to 0x3
++       * to signify uncached access through the Videocore memory controller.
++       */
++      BUG_ON((dmaaddr >> 30) != 0x3);
++
++      reg_write(&dev->cfg, UNICAM_IBSA0, dmaaddr);
++      reg_write(&dev->cfg, UNICAM_IBEA0,
++                dmaaddr + dev->v_fmt.fmt.pix.sizeimage);
++}
++
++static inline unsigned int unicam_get_lines_done(struct unicam_device *dev)
++{
++      dma_addr_t start_addr, cur_addr;
++      unsigned int stride = dev->v_fmt.fmt.pix.bytesperline;
++      struct unicam_buffer *frm = dev->cur_frm;
++
++      if (!frm)
++              return 0;
++
++      start_addr = vb2_dma_contig_plane_dma_addr(&frm->vb.vb2_buf, 0);
++      cur_addr = reg_read(&dev->cfg, UNICAM_IBWP);
++      return (unsigned int)(cur_addr - start_addr) / stride;
++}
++
++static inline void unicam_schedule_next_buffer(struct unicam_device *dev)
++{
++      struct unicam_dmaqueue *dma_q = &dev->dma_queue;
++      struct unicam_buffer *buf;
++      dma_addr_t addr;
++
++      buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
++      dev->next_frm = buf;
++      list_del(&buf->list);
++
++      addr = vb2_dma_contig_plane_dma_addr(&buf->vb.vb2_buf, 0);
++      unicam_wr_dma_addr(dev, addr);
++}
++
++static inline void unicam_process_buffer_complete(struct unicam_device *dev)
++{
++      dev->cur_frm->vb.field = dev->m_fmt.field;
++      dev->cur_frm->vb.sequence = dev->sequence++;
++
++      vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
++      dev->cur_frm = dev->next_frm;
++}
++
++/*
++ * unicam_isr : ISR handler for unicam capture
++ * @irq: irq number
++ * @dev_id: dev_id ptr
++ *
++ * It changes status of the captured buffer, takes next buffer from the queue
++ * and sets its address in unicam registers
++ */
++static irqreturn_t unicam_isr(int irq, void *dev)
++{
++      struct unicam_device *unicam = (struct unicam_device *)dev;
++      struct unicam_cfg *cfg = &unicam->cfg;
++      struct unicam_dmaqueue *dma_q = &unicam->dma_queue;
++      unsigned int lines_done = unicam_get_lines_done(dev);
++      unsigned int sequence = unicam->sequence;
++      int ista, sta;
++
++      /*
++       * Don't service interrupts if not streaming.
++       * Avoids issues if the VPU should enable the
++       * peripheral without the kernel knowing (that
++       * shouldn't happen, but causes issues if it does).
++       */
++      if (!unicam->streaming)
++              return IRQ_HANDLED;
++
++      sta = reg_read(cfg, UNICAM_STA);
++      /* Write value back to clear the interrupts */
++      reg_write(cfg, UNICAM_STA, sta);
++
++      ista = reg_read(cfg, UNICAM_ISTA);
++      /* Write value back to clear the interrupts */
++      reg_write(cfg, UNICAM_ISTA, ista);
++
++      unicam_dbg(3, unicam, "ISR: ISTA: 0x%X, STA: 0x%X, sequence %d, lines done %d",
++                 ista, sta, sequence, lines_done);
++
++      if (!(sta && (UNICAM_IS | UNICAM_PI0)))
++              return IRQ_HANDLED;
++
++      if (ista & UNICAM_FSI) {
++              /*
++               * Timestamp is to be when the first data byte was captured,
++               * aka frame start.
++               */
++              if (unicam->cur_frm)
++                      unicam->cur_frm->vb.vb2_buf.timestamp = ktime_get_ns();
++      }
++      if (ista & UNICAM_FEI || sta & UNICAM_PI0) {
++              /*
++               * Ensure we have swapped buffers already as we can't
++               * stop the peripheral. Overwrite the frame we've just
++               * captured instead.
++               */
++              if (unicam->cur_frm && unicam->cur_frm != unicam->next_frm)
++                      unicam_process_buffer_complete(unicam);
++      }
++
++      /* Cannot swap buffer at frame end, there may be a race condition
++       * where the HW does not actually swap it if the new frame has
++       * already started.
++       */
++      if (ista & (UNICAM_FSI | UNICAM_LCI) && !(ista & UNICAM_FEI)) {
++              spin_lock(&unicam->dma_queue_lock);
++              if (!list_empty(&dma_q->active) &&
++                  unicam->cur_frm == unicam->next_frm)
++                      unicam_schedule_next_buffer(unicam);
++              spin_unlock(&unicam->dma_queue_lock);
++      }
++
++      if (reg_read(&unicam->cfg, UNICAM_ICTL) & UNICAM_FCM) {
++              /* Switch out of trigger mode if selected */
++              reg_write_field(&unicam->cfg, UNICAM_ICTL, 1, UNICAM_TFC);
++              reg_write_field(&unicam->cfg, UNICAM_ICTL, 0, UNICAM_FCM);
++      }
++      return IRQ_HANDLED;
++}
++
++static int unicam_querycap(struct file *file, void *priv,
++                         struct v4l2_capability *cap)
++{
++      struct unicam_device *dev = video_drvdata(file);
++
++      strlcpy(cap->driver, UNICAM_MODULE_NAME, sizeof(cap->driver));
++      strlcpy(cap->card, UNICAM_MODULE_NAME, sizeof(cap->card));
++
++      snprintf(cap->bus_info, sizeof(cap->bus_info),
++               "platform:%s", dev->v4l2_dev.name);
++
++      return 0;
++}
++
++static int unicam_enum_fmt_vid_cap(struct file *file, void  *priv,
++                                 struct v4l2_fmtdesc *f)
++{
++      struct unicam_device *dev = video_drvdata(file);
++      struct v4l2_subdev_mbus_code_enum mbus_code;
++      const struct unicam_fmt *fmt = NULL;
++      int index = 0;
++      int ret = 0;
++      int i;
++
++      for (i = 0; !ret && i < MAX_ENUM_MBUS_CODE; i++) {
++              memset(&mbus_code, 0, sizeof(mbus_code));
++              mbus_code.index = i;
++
++              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code,
++                                     NULL, &mbus_code);
++              if (ret < 0) {
++                      unicam_dbg(2, dev,
++                                 "subdev->enum_mbus_code idx %d returned %d - index invalid\n",
++                                 i, ret);
++                      return -EINVAL;
++              }
++
++              fmt = find_format_by_code(mbus_code.code);
++              if (fmt) {
++                      if (fmt->fourcc) {
++                              if (index == f->index) {
++                                      f->pixelformat = fmt->fourcc;
++                                      break;
++                              }
++                              index++;
++                      }
++                      if (fmt->repacked_fourcc) {
++                              if (index == f->index) {
++                                      f->pixelformat = fmt->repacked_fourcc;
++                                      break;
++                              }
++                              index++;
++                      }
++              }
++      }
++
++      return 0;
++}
++
++static int unicam_g_fmt_vid_cap(struct file *file, void *priv,
++                              struct v4l2_format *f)
++{
++      struct unicam_device *dev = video_drvdata(file);
++
++      *f = dev->v_fmt;
++
++      return 0;
++}
++
++static
++const struct unicam_fmt *get_first_supported_format(struct unicam_device *dev)
++{
++      struct v4l2_subdev_mbus_code_enum mbus_code;
++      const struct unicam_fmt *fmt = NULL;
++      int ret;
++      int j;
++
++      for (j = 0; ret != -EINVAL && ret != -ENOIOCTLCMD; ++j) {
++              memset(&mbus_code, 0, sizeof(mbus_code));
++              mbus_code.index = j;
++              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code, NULL,
++                                     &mbus_code);
++              if (ret < 0) {
++                      unicam_dbg(2, dev,
++                                 "subdev->enum_mbus_code idx %d returned %d - continue\n",
++                                 j, ret);
++                      continue;
++              }
++
++              unicam_dbg(2, dev, "subdev %s: code: 0x%08x idx: %d\n",
++                         dev->sensor->name, mbus_code.code, j);
++
++              fmt = find_format_by_code(mbus_code.code);
++              unicam_dbg(2, dev, "fmt 0x%08x returned as %p, V4L2 FOURCC 0x%08x, csi_dt 0x%02x\n",
++                         mbus_code.code, fmt, fmt ? fmt->fourcc : 0,
++                         fmt ? fmt->csi_dt : 0);
++              if (fmt)
++                      return fmt;
++      }
++
++      return NULL;
++}
++
++static int unicam_try_fmt_vid_cap(struct file *file, void *priv,
++                                struct v4l2_format *f)
++{
++      struct unicam_device *dev = video_drvdata(file);
++      struct v4l2_subdev_format sd_fmt = {
++              .which = V4L2_SUBDEV_FORMAT_TRY,
++      };
++      struct v4l2_mbus_framefmt *mbus_fmt = &sd_fmt.format;
++      const struct unicam_fmt *fmt;
++      int ret;
++
++      fmt = find_format_by_pix(dev, f->fmt.pix.pixelformat);
++      if (!fmt) {
++              /* Pixel format not supported by unicam. Choose the first
++               * supported format, and let the sensor choose something else.
++               */
++              unicam_dbg(3, dev, "Fourcc format (0x%08x) not found. Use first format.\n",
++                         f->fmt.pix.pixelformat);
++
++              fmt = &formats[0];
++              f->fmt.pix.pixelformat = fmt->fourcc;
++      }
++
++      v4l2_fill_mbus_format(mbus_fmt, &f->fmt.pix, fmt->code);
++      /*
++       * No support for receiving interlaced video, so never
++       * request it from the sensor subdev.
++       */
++      mbus_fmt->field = V4L2_FIELD_NONE;
++
++      ret = v4l2_subdev_call(dev->sensor, pad, set_fmt, dev->sensor_config,
++                             &sd_fmt);
++      if (ret && ret != -ENOIOCTLCMD && ret != -ENODEV)
++              return ret;
++
++      if (mbus_fmt->field != V4L2_FIELD_NONE)
++              unicam_info(dev, "Sensor trying to send interlaced video - results may be unpredictable\n");
++
++      v4l2_fill_pix_format(&f->fmt.pix, &sd_fmt.format);
++      if (mbus_fmt->code != fmt->code) {
++              /* Sensor has returned an alternate format */
++              fmt = find_format_by_code(mbus_fmt->code);
++              if (!fmt) {
++                      /* The alternate format is one unicam can't support.
++                       * Find the first format that is supported by both, and
++                       * then set that.
++                       */
++                      fmt = get_first_supported_format(dev);
++                      mbus_fmt->code = fmt->code;
++
++                      ret = v4l2_subdev_call(dev->sensor, pad, set_fmt,
++                                             dev->sensor_config, &sd_fmt);
++                      if (ret && ret != -ENOIOCTLCMD && ret != -ENODEV)
++                              return ret;
++
++                      if (mbus_fmt->field != V4L2_FIELD_NONE)
++                              unicam_info(dev, "Sensor trying to send interlaced video - results may be unpredictable\n");
++
++                      v4l2_fill_pix_format(&f->fmt.pix, &sd_fmt.format);
++
++                      if (mbus_fmt->code != fmt->code) {
++                              /* We've set a format that the sensor reports
++                               * as being supported, but it refuses to set it.
++                               * Not much else we can do.
++                               * Assume that the sensor driver may accept the
++                               * format when it is set (rather than tried).
++                               */
++                              unicam_err(dev, "Sensor won't accept default format, and Unicam can't support sensor default\n");
++                      }
++              }
++
++              if (fmt->fourcc)
++                      f->fmt.pix.pixelformat = fmt->fourcc;
++              else
++                      f->fmt.pix.pixelformat = fmt->repacked_fourcc;
++      }
++
++      return unicam_calc_format_size_bpl(dev, fmt, f);
++}
++
++static int unicam_s_fmt_vid_cap(struct file *file, void *priv,
++                              struct v4l2_format *f)
++{
++      struct unicam_device *dev = video_drvdata(file);
++      struct vb2_queue *q = &dev->buffer_queue;
++      struct v4l2_mbus_framefmt mbus_fmt = {0};
++      const struct unicam_fmt *fmt;
++      int ret;
++
++      if (vb2_is_busy(q))
++              return -EBUSY;
++
++      ret = unicam_try_fmt_vid_cap(file, priv, f);
++      if (ret < 0)
++              return ret;
++
++      fmt = find_format_by_pix(dev, f->fmt.pix.pixelformat);
++      if (!fmt) {
++              /* Unknown pixel format - adopt a default.
++               * This shouldn't happen as try_fmt should have resolved any
++               * issues first.
++               */
++              fmt = get_first_supported_format(dev);
++              if (!fmt)
++                      /* It shouldn't be possible to get here with no
++                       * supported formats
++                       */
++                      return -EINVAL;
++              f->fmt.pix.pixelformat = fmt->fourcc;
++              return -EINVAL;
++      }
++
++      v4l2_fill_mbus_format(&mbus_fmt, &f->fmt.pix, fmt->code);
++
++      ret = __subdev_set_format(dev, &mbus_fmt);
++      if (ret) {
++              unicam_dbg(3, dev, "%s __subdev_set_format failed %d\n",
++                         __func__, ret);
++              return ret;
++      }
++
++      /* Just double check nothing has gone wrong */
++      if (mbus_fmt.code != fmt->code) {
++              unicam_dbg(3, dev,
++                         "%s subdev changed format on us, this should not happen\n",
++                         __func__);
++              return -EINVAL;
++      }
++
++      dev->fmt = fmt;
++      dev->v_fmt.fmt.pix.pixelformat = f->fmt.pix.pixelformat;
++      dev->v_fmt.fmt.pix.bytesperline = f->fmt.pix.bytesperline;
++      unicam_reset_format(dev);
++
++      unicam_dbg(3, dev, "%s %dx%d, mbus_fmt 0x%08X, V4L2 pix 0x%08X.\n",
++                 __func__, dev->v_fmt.fmt.pix.width,
++                 dev->v_fmt.fmt.pix.height, mbus_fmt.code,
++                 dev->v_fmt.fmt.pix.pixelformat);
++
++      *f = dev->v_fmt;
++
++      return 0;
++}
++
++static int unicam_queue_setup(struct vb2_queue *vq,
++                            unsigned int *nbuffers,
++                            unsigned int *nplanes,
++                            unsigned int sizes[],
++                            struct device *alloc_devs[])
++{
++      struct unicam_device *dev = vb2_get_drv_priv(vq);
++      unsigned int size = dev->v_fmt.fmt.pix.sizeimage;
++
++      if (vq->num_buffers + *nbuffers < 3)
++              *nbuffers = 3 - vq->num_buffers;
++
++      if (*nplanes) {
++              if (sizes[0] < size) {
++                      unicam_err(dev, "sizes[0] %i < size %u\n", sizes[0],
++                                 size);
++                      return -EINVAL;
++              }
++              size = sizes[0];
++      }
++
++      *nplanes = 1;
++      sizes[0] = size;
++
++      return 0;
++}
++
++static int unicam_buffer_prepare(struct vb2_buffer *vb)
++{
++      struct unicam_device *dev = vb2_get_drv_priv(vb->vb2_queue);
++      struct unicam_buffer *buf = container_of(vb, struct unicam_buffer,
++                                            vb.vb2_buf);
++      unsigned long size;
++
++      if (WARN_ON(!dev->fmt))
++              return -EINVAL;
++
++      size = dev->v_fmt.fmt.pix.sizeimage;
++      if (vb2_plane_size(vb, 0) < size) {
++              unicam_err(dev, "data will not fit into plane (%lu < %lu)\n",
++                         vb2_plane_size(vb, 0), size);
++              return -EINVAL;
++      }
++
++      vb2_set_plane_payload(&buf->vb.vb2_buf, 0, size);
++      return 0;
++}
++
++static void unicam_buffer_queue(struct vb2_buffer *vb)
++{
++      struct unicam_device *dev = vb2_get_drv_priv(vb->vb2_queue);
++      struct unicam_buffer *buf = container_of(vb, struct unicam_buffer,
++                                            vb.vb2_buf);
++      struct unicam_dmaqueue *dma_queue = &dev->dma_queue;
++      unsigned long flags = 0;
++
++      spin_lock_irqsave(&dev->dma_queue_lock, flags);
++      list_add_tail(&buf->list, &dma_queue->active);
++      spin_unlock_irqrestore(&dev->dma_queue_lock, flags);
++}
++
++static void unicam_set_packing_config(struct unicam_device *dev)
++{
++      int pack, unpack;
++      u32 val;
++
++      if (dev->v_fmt.fmt.pix.pixelformat == dev->fmt->fourcc) {
++              unpack = UNICAM_PUM_NONE;
++              pack = UNICAM_PPM_NONE;
++      } else {
++              switch (dev->fmt->depth) {
++              case 8:
++                      unpack = UNICAM_PUM_UNPACK8;
++                      break;
++              case 10:
++                      unpack = UNICAM_PUM_UNPACK10;
++                      break;
++              case 12:
++                      unpack = UNICAM_PUM_UNPACK12;
++                      break;
++              case 14:
++                      unpack = UNICAM_PUM_UNPACK14;
++                      break;
++              case 16:
++                      unpack = UNICAM_PUM_UNPACK16;
++                      break;
++              default:
++                      unpack = UNICAM_PUM_NONE;
++                      break;
++              }
++
++              /* Repacking is always to 16bpp */
++              pack = UNICAM_PPM_PACK16;
++      }
++
++      val = 0;
++      set_field(&val, unpack, UNICAM_PUM_MASK);
++      set_field(&val, pack, UNICAM_PPM_MASK);
++      reg_write(&dev->cfg, UNICAM_IPIPE, val);
++}
++
++static void unicam_cfg_image_id(struct unicam_device *dev)
++{
++      struct unicam_cfg *cfg = &dev->cfg;
++
++      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
++              /* CSI2 mode */
++              reg_write(cfg, UNICAM_IDI0,
++                        (dev->virtual_channel << 6) | dev->fmt->csi_dt);
++      } else {
++              /* CCP2 mode */
++              reg_write(cfg, UNICAM_IDI0, (0x80 | dev->fmt->csi_dt));
++      }
++}
++
++static void unicam_start_rx(struct unicam_device *dev, unsigned long addr)
++{
++      struct unicam_cfg *cfg = &dev->cfg;
++      int line_int_freq = dev->v_fmt.fmt.pix.height >> 2;
++      unsigned int i;
++      u32 val;
++
++      if (line_int_freq < 128)
++              line_int_freq = 128;
++
++      /* Enable lane clocks */
++      val = 1;
++      for (i = 0; i < dev->active_data_lanes; i++)
++              val = val << 2 | 1;
++      clk_write(cfg, val);
++
++      /* Basic init */
++      reg_write(cfg, UNICAM_CTRL, UNICAM_MEM);
++
++      /* Enable analogue control, and leave in reset. */
++      val = UNICAM_AR;
++      set_field(&val, 7, UNICAM_CTATADJ_MASK);
++      set_field(&val, 7, UNICAM_PTATADJ_MASK);
++      reg_write(cfg, UNICAM_ANA, val);
++      usleep_range(1000, 2000);
++
++      /* Come out of reset */
++      reg_write_field(cfg, UNICAM_ANA, 0, UNICAM_AR);
++
++      /* Peripheral reset */
++      reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_CPR);
++      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPR);
++
++      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPE);
++
++      /* Enable Rx control. */
++      val = reg_read(cfg, UNICAM_CTRL);
++      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
++              set_field(&val, UNICAM_CPM_CSI2, UNICAM_CPM_MASK);
++              set_field(&val, UNICAM_DCM_STROBE, UNICAM_DCM_MASK);
++      } else {
++              set_field(&val, UNICAM_CPM_CCP2, UNICAM_CPM_MASK);
++              set_field(&val, dev->bus_flags, UNICAM_DCM_MASK);
++      }
++      /* Packet framer timeout */
++      set_field(&val, 0xf, UNICAM_PFT_MASK);
++      set_field(&val, 128, UNICAM_OET_MASK);
++      reg_write(cfg, UNICAM_CTRL, val);
++
++      reg_write(cfg, UNICAM_IHWIN, 0);
++      reg_write(cfg, UNICAM_IVWIN, 0);
++
++      /* AXI bus access QoS setup */
++      val = reg_read(&dev->cfg, UNICAM_PRI);
++      set_field(&val, 0, UNICAM_BL_MASK);
++      set_field(&val, 0, UNICAM_BS_MASK);
++      set_field(&val, 0xe, UNICAM_PP_MASK);
++      set_field(&val, 8, UNICAM_NP_MASK);
++      set_field(&val, 2, UNICAM_PT_MASK);
++      set_field(&val, 1, UNICAM_PE);
++      reg_write(cfg, UNICAM_PRI, val);
++
++      reg_write_field(cfg, UNICAM_ANA, 0, UNICAM_DDL);
++
++      /* Always start in trigger frame capture mode (UNICAM_FCM set) */
++      val = UNICAM_FSIE | UNICAM_FEIE | UNICAM_FCM;
++      set_field(&val,  line_int_freq, UNICAM_LCIE_MASK);
++      reg_write(cfg, UNICAM_ICTL, val);
++      reg_write(cfg, UNICAM_STA, UNICAM_STA_MASK_ALL);
++      reg_write(cfg, UNICAM_ISTA, UNICAM_ISTA_MASK_ALL);
++
++      /* tclk_term_en */
++      reg_write_field(cfg, UNICAM_CLT, 2, UNICAM_CLT1_MASK);
++      /* tclk_settle */
++      reg_write_field(cfg, UNICAM_CLT, 6, UNICAM_CLT2_MASK);
++      /* td_term_en */
++      reg_write_field(cfg, UNICAM_DLT, 2, UNICAM_DLT1_MASK);
++      /* ths_settle */
++      reg_write_field(cfg, UNICAM_DLT, 6, UNICAM_DLT2_MASK);
++      /* trx_enable */
++      reg_write_field(cfg, UNICAM_DLT, 0, UNICAM_DLT3_MASK);
++
++      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_SOE);
++
++      /* Packet compare setup - required to avoid missing frame ends */
++      val = 0;
++      set_field(&val, 1, UNICAM_PCE);
++      set_field(&val, 1, UNICAM_GI);
++      set_field(&val, 1, UNICAM_CPH);
++      set_field(&val, 0, UNICAM_PCVC_MASK);
++      set_field(&val, 1, UNICAM_PCDT_MASK);
++      reg_write(cfg, UNICAM_CMP0, val);
++
++      /* Enable clock lane and set up terminations */
++      val = 0;
++      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
++              /* CSI2 */
++              set_field(&val, 1, UNICAM_CLE);
++              set_field(&val, 1, UNICAM_CLLPE);
++              if (dev->bus_flags & V4L2_MBUS_CSI2_CONTINUOUS_CLOCK) {
++                      set_field(&val, 1, UNICAM_CLTRE);
++                      set_field(&val, 1, UNICAM_CLHSE);
++              }
++      } else {
++              /* CCP2 */
++              set_field(&val, 1, UNICAM_CLE);
++              set_field(&val, 1, UNICAM_CLHSE);
++              set_field(&val, 1, UNICAM_CLTRE);
++      }
++      reg_write(cfg, UNICAM_CLK, val);
++
++      /*
++       * Enable required data lanes with appropriate terminations.
++       * The same value needs to be written to UNICAM_DATn registers for
++       * the active lanes, and 0 for inactive ones.
++       */
++      val = 0;
++      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
++              /* CSI2 */
++              set_field(&val, 1, UNICAM_DLE);
++              set_field(&val, 1, UNICAM_DLLPE);
++              if (dev->bus_flags & V4L2_MBUS_CSI2_CONTINUOUS_CLOCK) {
++                      set_field(&val, 1, UNICAM_DLTRE);
++                      set_field(&val, 1, UNICAM_DLHSE);
++              }
++      } else {
++              /* CCP2 */
++              set_field(&val, 1, UNICAM_DLE);
++              set_field(&val, 1, UNICAM_DLHSE);
++              set_field(&val, 1, UNICAM_DLTRE);
++      }
++      reg_write(cfg, UNICAM_DAT0, val);
++
++      if (dev->active_data_lanes == 1)
++              val = 0;
++      reg_write(cfg, UNICAM_DAT1, val);
++
++      if (dev->max_data_lanes > 2) {
++              /*
++               * Registers UNICAM_DAT2 and UNICAM_DAT3 only valid if the
++               * instance supports more than 2 data lanes.
++               */
++              if (dev->active_data_lanes == 2)
++                      val = 0;
++              reg_write(cfg, UNICAM_DAT2, val);
++
++              if (dev->active_data_lanes == 3)
++                      val = 0;
++              reg_write(cfg, UNICAM_DAT3, val);
++      }
++
++      reg_write(&dev->cfg, UNICAM_IBLS, dev->v_fmt.fmt.pix.bytesperline);
++      unicam_wr_dma_addr(dev, addr);
++      unicam_set_packing_config(dev);
++      unicam_cfg_image_id(dev);
++
++      /* Disabled embedded data */
++      val = 0;
++      set_field(&val, 0, UNICAM_EDL_MASK);
++      reg_write(cfg, UNICAM_DCS, val);
++
++      val = reg_read(cfg, UNICAM_MISC);
++      set_field(&val, 1, UNICAM_FL0);
++      set_field(&val, 1, UNICAM_FL1);
++      reg_write(cfg, UNICAM_MISC, val);
++
++      /* Enable peripheral */
++      reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_CPE);
++
++      /* Load image pointers */
++      reg_write_field(cfg, UNICAM_ICTL, 1, UNICAM_LIP_MASK);
++
++      /*
++       * Enable trigger only for the first frame to
++       * sync correctly to the FS from the source.
++       */
++      reg_write_field(cfg, UNICAM_ICTL, 1, UNICAM_TFC);
++}
++
++static void unicam_disable(struct unicam_device *dev)
++{
++      struct unicam_cfg *cfg = &dev->cfg;
++
++      /* Analogue lane control disable */
++      reg_write_field(cfg, UNICAM_ANA, 1, UNICAM_DDL);
++
++      /* Stop the output engine */
++      reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_SOE);
++
++      /* Disable the data lanes. */
++      reg_write(cfg, UNICAM_DAT0, 0);
++      reg_write(cfg, UNICAM_DAT1, 0);
++
++      if (dev->max_data_lanes > 2) {
++              reg_write(cfg, UNICAM_DAT2, 0);
++              reg_write(cfg, UNICAM_DAT3, 0);
++      }
++
++      /* Peripheral reset */
++      reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_CPR);
++      usleep_range(50, 100);
++      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPR);
++
++      /* Disable peripheral */
++      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPE);
++
++      /* Disable all lane clocks */
++      clk_write(cfg, 0);
++}
++
++static int unicam_start_streaming(struct vb2_queue *vq, unsigned int count)
++{
++      struct unicam_device *dev = vb2_get_drv_priv(vq);
++      struct unicam_dmaqueue *dma_q = &dev->dma_queue;
++      struct unicam_buffer *buf, *tmp;
++      unsigned long addr = 0;
++      unsigned long flags;
++      int ret;
++
++      spin_lock_irqsave(&dev->dma_queue_lock, flags);
++      buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
++      dev->cur_frm = buf;
++      dev->next_frm = buf;
++      list_del(&buf->list);
++      spin_unlock_irqrestore(&dev->dma_queue_lock, flags);
++
++      addr = vb2_dma_contig_plane_dma_addr(&dev->cur_frm->vb.vb2_buf, 0);
++      dev->sequence = 0;
++
++      ret = unicam_runtime_get(dev);
++      if (ret < 0) {
++              unicam_dbg(3, dev, "unicam_runtime_get failed\n");
++              goto err_release_buffers;
++      }
++
++      dev->active_data_lanes = dev->max_data_lanes;
++      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY &&
++          v4l2_subdev_has_op(dev->sensor, video, g_mbus_config)) {
++              struct v4l2_mbus_config mbus_config;
++
++              ret = v4l2_subdev_call(dev->sensor, video, g_mbus_config,
++                                     &mbus_config);
++              if (ret < 0) {
++                      unicam_dbg(3, dev, "g_mbus_config failed\n");
++                      goto err_pm_put;
++              }
++
++              dev->active_data_lanes =
++                      (mbus_config.flags & V4L2_MBUS_CSI2_LANE_MASK) >>
++                                      __ffs(V4L2_MBUS_CSI2_LANE_MASK);
++              if (!dev->active_data_lanes)
++                      dev->active_data_lanes = dev->max_data_lanes;
++      }
++      if (dev->active_data_lanes > dev->max_data_lanes) {
++              unicam_err(dev, "Device has requested %u data lanes, which is >%u configured in DT\n",
++                         dev->active_data_lanes, dev->max_data_lanes);
++              ret = -EINVAL;
++              goto err_pm_put;
++      }
++
++      unicam_dbg(1, dev, "Running with %u data lanes\n",
++                 dev->active_data_lanes);
++
++      ret = clk_set_rate(dev->clock, 100 * 1000 * 1000);
++      if (ret) {
++              unicam_err(dev, "failed to set up clock\n");
++              goto err_pm_put;
++      }
++
++      ret = clk_prepare_enable(dev->clock);
++      if (ret) {
++              unicam_err(dev, "Failed to enable CSI clock: %d\n", ret);
++              goto err_pm_put;
++      }
++      dev->streaming = 1;
++
++      unicam_start_rx(dev, addr);
++
++      ret = v4l2_subdev_call(dev->sensor, video, s_stream, 1);
++      if (ret < 0) {
++              unicam_err(dev, "stream on failed in subdev\n");
++              goto err_disable_unicam;
++      }
++
++      return 0;
++
++err_disable_unicam:
++      unicam_disable(dev);
++      clk_disable_unprepare(dev->clock);
++err_pm_put:
++      unicam_runtime_put(dev);
++err_release_buffers:
++      list_for_each_entry_safe(buf, tmp, &dma_q->active, list) {
++              list_del(&buf->list);
++              vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
++      }
++      if (dev->cur_frm != dev->next_frm)
++              vb2_buffer_done(&dev->next_frm->vb.vb2_buf,
++                              VB2_BUF_STATE_QUEUED);
++      vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
++      dev->next_frm = NULL;
++      dev->cur_frm = NULL;
++
++      return ret;
++}
++
++static void unicam_stop_streaming(struct vb2_queue *vq)
++{
++      struct unicam_device *dev = vb2_get_drv_priv(vq);
++      struct unicam_dmaqueue *dma_q = &dev->dma_queue;
++      struct unicam_buffer *buf, *tmp;
++      unsigned long flags;
++
++      if (v4l2_subdev_call(dev->sensor, video, s_stream, 0) < 0)
++              unicam_err(dev, "stream off failed in subdev\n");
++
++      unicam_disable(dev);
++
++      /* Release all active buffers */
++      spin_lock_irqsave(&dev->dma_queue_lock, flags);
++      list_for_each_entry_safe(buf, tmp, &dma_q->active, list) {
++              list_del(&buf->list);
++              vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_ERROR);
++      }
++
++      if (dev->cur_frm == dev->next_frm) {
++              vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_ERROR);
++      } else {
++              vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_ERROR);
++              vb2_buffer_done(&dev->next_frm->vb.vb2_buf,
++                              VB2_BUF_STATE_ERROR);
++      }
++      dev->cur_frm = NULL;
++      dev->next_frm = NULL;
++      spin_unlock_irqrestore(&dev->dma_queue_lock, flags);
++
++      clk_disable_unprepare(dev->clock);
++      unicam_runtime_put(dev);
++}
++
++static int unicam_enum_input(struct file *file, void *priv,
++                           struct v4l2_input *inp)
++{
++      struct unicam_device *dev = video_drvdata(file);
++
++      if (inp->index != 0)
++              return -EINVAL;
++
++      inp->type = V4L2_INPUT_TYPE_CAMERA;
++      if (v4l2_subdev_has_op(dev->sensor, video, s_dv_timings)) {
++              inp->capabilities = V4L2_IN_CAP_DV_TIMINGS;
++              inp->std = 0;
++      } else if (v4l2_subdev_has_op(dev->sensor, video, s_std)) {
++              inp->capabilities = V4L2_IN_CAP_STD;
++              if (v4l2_subdev_call(dev->sensor, video, g_tvnorms, &inp->std)
++                                      < 0)
++                      inp->std = V4L2_STD_ALL;
++      } else {
++              inp->capabilities = 0;
++              inp->std = 0;
++      }
++      sprintf(inp->name, "Camera 0");
++      return 0;
++}
++
++static int unicam_g_input(struct file *file, void *priv, unsigned int *i)
++{
++      *i = 0;
++
++      return 0;
++}
++
++static int unicam_s_input(struct file *file, void *priv, unsigned int i)
++{
++      /*
++       * FIXME: Ideally we would like to be able to query the source
++       * subdevice for information over the input connectors it supports,
++       * and map that through in to a call to video_ops->s_routing.
++       * There is no infrastructure support for defining that within
++       * devicetree at present. Until that is implemented we can't
++       * map a user physical connector number to s_routing input number.
++       */
++      if (i > 0)
++              return -EINVAL;
++
++      return 0;
++}
++
++static int unicam_querystd(struct file *file, void *priv,
++                         v4l2_std_id *std)
++{
++      struct unicam_device *dev = video_drvdata(file);
++
++      return v4l2_subdev_call(dev->sensor, video, querystd, std);
++}
++
++static int unicam_g_std(struct file *file, void *priv, v4l2_std_id *std)
++{
++      struct unicam_device *dev = video_drvdata(file);
++
++      return v4l2_subdev_call(dev->sensor, video, g_std, std);
++}
++
++static int unicam_s_std(struct file *file, void *priv, v4l2_std_id std)
++{
++      struct unicam_device *dev = video_drvdata(file);
++      int ret;
++      v4l2_std_id current_std;
++
++      ret = v4l2_subdev_call(dev->sensor, video, g_std, &current_std);
++      if (ret)
++              return ret;
++
++      if (std == current_std)
++              return 0;
++
++      if (vb2_is_busy(&dev->buffer_queue))
++              return -EBUSY;
++
++      ret = v4l2_subdev_call(dev->sensor, video, s_std, std);
++
++      /* Force recomputation of bytesperline */
++      dev->v_fmt.fmt.pix.bytesperline = 0;
++
++      unicam_reset_format(dev);
++
++      return ret;
++}
++
++static int unicam_s_edid(struct file *file, void *priv, struct v4l2_edid *edid)
++{
++      struct unicam_device *dev = video_drvdata(file);
++
++      return v4l2_subdev_call(dev->sensor, pad, set_edid, edid);
++}
++
++static int unicam_g_edid(struct file *file, void *priv, struct v4l2_edid *edid)
++{
++      struct unicam_device *dev = video_drvdata(file);
++
++      return v4l2_subdev_call(dev->sensor, pad, get_edid, edid);
++}
++
++static int unicam_enum_framesizes(struct file *file, void *priv,
++                                struct v4l2_frmsizeenum *fsize)
++{
++      struct unicam_device *dev = video_drvdata(file);
++      const struct unicam_fmt *fmt;
++      struct v4l2_subdev_frame_size_enum fse;
++      int ret;
++
++      /* check for valid format */
++      fmt = find_format_by_pix(dev, fsize->pixel_format);
++      if (!fmt) {
++              unicam_dbg(3, dev, "Invalid pixel code: %x\n",
++                         fsize->pixel_format);
++              return -EINVAL;
++      }
++
++      fse.index = fsize->index;
++      fse.pad = 0;
++      fse.code = fmt->code;
++
++      ret = v4l2_subdev_call(dev->sensor, pad, enum_frame_size, NULL, &fse);
++      if (ret)
++              return ret;
++
++      unicam_dbg(1, dev, "%s: index: %d code: %x W:[%d,%d] H:[%d,%d]\n",
++                 __func__, fse.index, fse.code, fse.min_width, fse.max_width,
++                 fse.min_height, fse.max_height);
++
++      fsize->type = V4L2_FRMSIZE_TYPE_DISCRETE;
++      fsize->discrete.width = fse.max_width;
++      fsize->discrete.height = fse.max_height;
++
++      return 0;
++}
++
++static int unicam_enum_frameintervals(struct file *file, void *priv,
++                                    struct v4l2_frmivalenum *fival)
++{
++      struct unicam_device *dev = video_drvdata(file);
++      const struct unicam_fmt *fmt;
++      struct v4l2_subdev_frame_interval_enum fie = {
++              .index = fival->index,
++              .width = fival->width,
++              .height = fival->height,
++              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++      };
++      int ret;
++
++      fmt = find_format_by_pix(dev, fival->pixel_format);
++      if (!fmt)
++              return -EINVAL;
++
++      fie.code = fmt->code;
++      ret = v4l2_subdev_call(dev->sensor, pad, enum_frame_interval,
++                             NULL, &fie);
++      if (ret)
++              return ret;
++
++      fival->type = V4L2_FRMIVAL_TYPE_DISCRETE;
++      fival->discrete = fie.interval;
++
++      return 0;
++}
++
++static int unicam_g_parm(struct file *file, void *fh, struct v4l2_streamparm *a)
++{
++      struct unicam_device *dev = video_drvdata(file);
++
++      return v4l2_g_parm_cap(video_devdata(file), dev->sensor, a);
++}
++
++static int unicam_s_parm(struct file *file, void *fh, struct v4l2_streamparm *a)
++{
++      struct unicam_device *dev = video_drvdata(file);
++
++      return v4l2_s_parm_cap(video_devdata(file), dev->sensor, a);
++}
++
++static int unicam_g_dv_timings(struct file *file, void *priv,
++                             struct v4l2_dv_timings *timings)
++{
++      struct unicam_device *dev = video_drvdata(file);
++
++      return v4l2_subdev_call(dev->sensor, video, g_dv_timings, timings);
++}
++
++static int unicam_s_dv_timings(struct file *file, void *priv,
++                             struct v4l2_dv_timings *timings)
++{
++      struct unicam_device *dev = video_drvdata(file);
++      struct v4l2_dv_timings current_timings;
++      int ret;
++
++      ret = v4l2_subdev_call(dev->sensor, video, g_dv_timings,
++                             &current_timings);
++
++      if (v4l2_match_dv_timings(timings, &current_timings, 0, false))
++              return 0;
++
++      if (vb2_is_busy(&dev->buffer_queue))
++              return -EBUSY;
++
++      ret = v4l2_subdev_call(dev->sensor, video, s_dv_timings, timings);
++
++      /* Force recomputation of bytesperline */
++      dev->v_fmt.fmt.pix.bytesperline = 0;
++
++      unicam_reset_format(dev);
++
++      return ret;
++}
++
++static int unicam_query_dv_timings(struct file *file, void *priv,
++                                 struct v4l2_dv_timings *timings)
++{
++      struct unicam_device *dev = video_drvdata(file);
++
++      return v4l2_subdev_call(dev->sensor, video, query_dv_timings, timings);
++}
++
++static int unicam_enum_dv_timings(struct file *file, void *priv,
++                                struct v4l2_enum_dv_timings *timings)
++{
++      struct unicam_device *dev = video_drvdata(file);
++
++      return v4l2_subdev_call(dev->sensor, pad, enum_dv_timings, timings);
++}
++
++static int unicam_dv_timings_cap(struct file *file, void *priv,
++                               struct v4l2_dv_timings_cap *cap)
++{
++      struct unicam_device *dev = video_drvdata(file);
++
++      return v4l2_subdev_call(dev->sensor, pad, dv_timings_cap, cap);
++}
++
++static int unicam_subscribe_event(struct v4l2_fh *fh,
++                                const struct v4l2_event_subscription *sub)
++{
++      switch (sub->type) {
++      case V4L2_EVENT_SOURCE_CHANGE:
++              return v4l2_event_subscribe(fh, sub, 4, NULL);
++      }
++
++      return v4l2_ctrl_subscribe_event(fh, sub);
++}
++
++static int unicam_log_status(struct file *file, void *fh)
++{
++      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_cfg *cfg = &dev->cfg;
++      u32 reg;
++
++      /* status for sub devices */
++      v4l2_device_call_all(&dev->v4l2_dev, 0, core, log_status);
++
++      unicam_info(dev, "-----Receiver status-----\n");
++      unicam_info(dev, "V4L2 width/height:   %ux%u\n",
++                  dev->v_fmt.fmt.pix.width, dev->v_fmt.fmt.pix.height);
++      unicam_info(dev, "Mediabus format:     %08x\n", dev->fmt->code);
++      unicam_info(dev, "V4L2 format:         %08x\n",
++                  dev->v_fmt.fmt.pix.pixelformat);
++      reg = reg_read(&dev->cfg, UNICAM_IPIPE);
++      unicam_info(dev, "Unpacking/packing:   %u / %u\n",
++                  get_field(reg, UNICAM_PUM_MASK),
++                  get_field(reg, UNICAM_PPM_MASK));
++      unicam_info(dev, "----Live data----\n");
++      unicam_info(dev, "Programmed stride:   %4u\n",
++                  reg_read(cfg, UNICAM_IBLS));
++      unicam_info(dev, "Detected resolution: %ux%u\n",
++                  reg_read(cfg, UNICAM_IHSTA),
++                  reg_read(cfg, UNICAM_IVSTA));
++      unicam_info(dev, "Write pointer:       %08x\n",
++                  reg_read(cfg, UNICAM_IBWP));
++
++      return 0;
++}
++
++static void unicam_notify(struct v4l2_subdev *sd,
++                        unsigned int notification, void *arg)
++{
++      struct unicam_device *dev =
++              container_of(sd->v4l2_dev, struct unicam_device, v4l2_dev);
++
++      switch (notification) {
++      case V4L2_DEVICE_NOTIFY_EVENT:
++              v4l2_event_queue(&dev->video_dev, arg);
++              break;
++      default:
++              break;
++      }
++}
++
++static const struct vb2_ops unicam_video_qops = {
++      .wait_prepare           = vb2_ops_wait_prepare,
++      .wait_finish            = vb2_ops_wait_finish,
++      .queue_setup            = unicam_queue_setup,
++      .buf_prepare            = unicam_buffer_prepare,
++      .buf_queue              = unicam_buffer_queue,
++      .start_streaming        = unicam_start_streaming,
++      .stop_streaming         = unicam_stop_streaming,
++};
++
++/*
++ * unicam_open : This function is based on the v4l2_fh_open helper function.
++ * It has been augmented to handle sensor subdevice power management,
++ */
++static int unicam_open(struct file *file)
++{
++      struct unicam_device *dev = video_drvdata(file);
++      int ret;
++
++      mutex_lock(&dev->lock);
++
++      ret = v4l2_fh_open(file);
++      if (ret) {
++              unicam_err(dev, "v4l2_fh_open failed\n");
++              goto unlock;
++      }
++
++      if (!v4l2_fh_is_singular_file(file))
++              goto unlock;
++
++      ret = v4l2_subdev_call(dev->sensor, core, s_power, 1);
++      if (ret < 0 && ret != -ENOIOCTLCMD) {
++              v4l2_fh_release(file);
++              goto unlock;
++      }
++
++      ret = 0;
++
++unlock:
++      mutex_unlock(&dev->lock);
++      return ret;
++}
++
++static int unicam_release(struct file *file)
++{
++      struct unicam_device *dev = video_drvdata(file);
++      struct v4l2_subdev *sd = dev->sensor;
++      bool fh_singular;
++      int ret;
++
++      mutex_lock(&dev->lock);
++
++      fh_singular = v4l2_fh_is_singular_file(file);
++
++      ret = _vb2_fop_release(file, NULL);
++
++      if (fh_singular)
++              v4l2_subdev_call(sd, core, s_power, 0);
++
++      mutex_unlock(&dev->lock);
++
++      return ret;
++}
++
++/* unicam capture driver file operations */
++static const struct v4l2_file_operations unicam_fops = {
++      .owner          = THIS_MODULE,
++      .open           = unicam_open,
++      .release        = unicam_release,
++      .read           = vb2_fop_read,
++      .poll           = vb2_fop_poll,
++      .unlocked_ioctl = video_ioctl2,
++      .mmap           = vb2_fop_mmap,
++};
++
++/* unicam capture ioctl operations */
++static const struct v4l2_ioctl_ops unicam_ioctl_ops = {
++      .vidioc_querycap                = unicam_querycap,
++      .vidioc_enum_fmt_vid_cap        = unicam_enum_fmt_vid_cap,
++      .vidioc_g_fmt_vid_cap           = unicam_g_fmt_vid_cap,
++      .vidioc_s_fmt_vid_cap           = unicam_s_fmt_vid_cap,
++      .vidioc_try_fmt_vid_cap         = unicam_try_fmt_vid_cap,
++
++      .vidioc_enum_input              = unicam_enum_input,
++      .vidioc_g_input                 = unicam_g_input,
++      .vidioc_s_input                 = unicam_s_input,
++
++      .vidioc_querystd                = unicam_querystd,
++      .vidioc_s_std                   = unicam_s_std,
++      .vidioc_g_std                   = unicam_g_std,
++
++      .vidioc_g_edid                  = unicam_g_edid,
++      .vidioc_s_edid                  = unicam_s_edid,
++
++      .vidioc_enum_framesizes         = unicam_enum_framesizes,
++      .vidioc_enum_frameintervals     = unicam_enum_frameintervals,
++
++      .vidioc_g_parm                  = unicam_g_parm,
++      .vidioc_s_parm                  = unicam_s_parm,
++
++      .vidioc_s_dv_timings            = unicam_s_dv_timings,
++      .vidioc_g_dv_timings            = unicam_g_dv_timings,
++      .vidioc_query_dv_timings        = unicam_query_dv_timings,
++      .vidioc_enum_dv_timings         = unicam_enum_dv_timings,
++      .vidioc_dv_timings_cap          = unicam_dv_timings_cap,
++
++      .vidioc_reqbufs                 = vb2_ioctl_reqbufs,
++      .vidioc_create_bufs             = vb2_ioctl_create_bufs,
++      .vidioc_prepare_buf             = vb2_ioctl_prepare_buf,
++      .vidioc_querybuf                = vb2_ioctl_querybuf,
++      .vidioc_qbuf                    = vb2_ioctl_qbuf,
++      .vidioc_dqbuf                   = vb2_ioctl_dqbuf,
++      .vidioc_expbuf                  = vb2_ioctl_expbuf,
++      .vidioc_streamon                = vb2_ioctl_streamon,
++      .vidioc_streamoff               = vb2_ioctl_streamoff,
++
++      .vidioc_log_status              = unicam_log_status,
++      .vidioc_subscribe_event         = unicam_subscribe_event,
++      .vidioc_unsubscribe_event       = v4l2_event_unsubscribe,
++};
++
++static int
++unicam_async_bound(struct v4l2_async_notifier *notifier,
++                 struct v4l2_subdev *subdev,
++                 struct v4l2_async_subdev *asd)
++{
++      struct unicam_device *unicam = container_of(notifier->v4l2_dev,
++                                             struct unicam_device, v4l2_dev);
++
++      if (unicam->sensor) {
++              unicam_info(unicam, "Rejecting subdev %s (Already set!!)",
++                          subdev->name);
++              return 0;
++      }
++
++      unicam->sensor = subdev;
++      unicam_dbg(1, unicam, "Using sensor %s for capture\n", subdev->name);
++
++      return 0;
++}
++
++static int unicam_probe_complete(struct unicam_device *unicam)
++{
++      struct video_device *vdev;
++      struct vb2_queue *q;
++      struct v4l2_mbus_framefmt mbus_fmt = {0};
++      const struct unicam_fmt *fmt;
++      int ret;
++
++      v4l2_set_subdev_hostdata(unicam->sensor, unicam);
++
++      unicam->v4l2_dev.notify = unicam_notify;
++
++      unicam->sensor_config = v4l2_subdev_alloc_pad_config(unicam->sensor);
++      if (!unicam->sensor_config)
++              return -ENOMEM;
++
++      ret = __subdev_get_format(unicam, &mbus_fmt);
++      if (ret) {
++              unicam_err(unicam, "Failed to get_format - ret %d\n", ret);
++              return ret;
++      }
++
++      fmt = find_format_by_code(mbus_fmt.code);
++      if (!fmt) {
++              /* Find the first format that the sensor and unicam both
++               * support
++               */
++              fmt = get_first_supported_format(unicam);
++
++              if (!fmt)
++                      /* No compatible formats */
++                      return -EINVAL;
++
++              mbus_fmt.code = fmt->code;
++              ret = __subdev_set_format(unicam, &mbus_fmt);
++              if (ret)
++                      return -EINVAL;
++      }
++      if (mbus_fmt.field != V4L2_FIELD_NONE) {
++              /* Interlaced not supported - disable it now. */
++              mbus_fmt.field = V4L2_FIELD_NONE;
++              ret = __subdev_set_format(unicam, &mbus_fmt);
++              if (ret)
++                      return -EINVAL;
++      }
++
++      unicam->fmt = fmt;
++      if (fmt->fourcc)
++              unicam->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
++      else
++              unicam->v_fmt.fmt.pix.pixelformat = fmt->repacked_fourcc;
++
++      /* Read current subdev format */
++      unicam_reset_format(unicam);
++
++      if (v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
++              v4l2_std_id tvnorms;
++
++              if (WARN_ON(!v4l2_subdev_has_op(unicam->sensor, video,
++                                              g_tvnorms)))
++                      /*
++                       * Subdevice should not advertise s_std but not
++                       * g_tvnorms
++                       */
++                      return -EINVAL;
++
++              ret = v4l2_subdev_call(unicam->sensor, video,
++                                     g_tvnorms, &tvnorms);
++              if (WARN_ON(ret))
++                      return -EINVAL;
++              unicam->video_dev.tvnorms |= tvnorms;
++      }
++
++      spin_lock_init(&unicam->dma_queue_lock);
++      mutex_init(&unicam->lock);
++
++      /* Add controls from the subdevice */
++      ret = v4l2_ctrl_add_handler(&unicam->ctrl_handler,
++                                  unicam->sensor->ctrl_handler, NULL, true);
++      if (ret < 0)
++              return ret;
++
++      q = &unicam->buffer_queue;
++      q->type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
++      q->io_modes = VB2_MMAP | VB2_DMABUF | VB2_READ;
++      q->drv_priv = unicam;
++      q->ops = &unicam_video_qops;
++      q->mem_ops = &vb2_dma_contig_memops;
++      q->buf_struct_size = sizeof(struct unicam_buffer);
++      q->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_MONOTONIC;
++      q->lock = &unicam->lock;
++      q->min_buffers_needed = 2;
++      q->dev = &unicam->pdev->dev;
++
++      ret = vb2_queue_init(q);
++      if (ret) {
++              unicam_err(unicam, "vb2_queue_init() failed\n");
++              return ret;
++      }
++
++      INIT_LIST_HEAD(&unicam->dma_queue.active);
++
++      vdev = &unicam->video_dev;
++      strlcpy(vdev->name, UNICAM_MODULE_NAME, sizeof(vdev->name));
++      vdev->release = video_device_release_empty;
++      vdev->fops = &unicam_fops;
++      vdev->ioctl_ops = &unicam_ioctl_ops;
++      vdev->v4l2_dev = &unicam->v4l2_dev;
++      vdev->vfl_dir = VFL_DIR_RX;
++      vdev->queue = q;
++      vdev->lock = &unicam->lock;
++      vdev->device_caps = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING |
++                          V4L2_CAP_READWRITE;
++
++      /* If the source has no controls then remove our ctrl handler. */
++      if (list_empty(&unicam->ctrl_handler.ctrls))
++              unicam->v4l2_dev.ctrl_handler = NULL;
++
++      video_set_drvdata(vdev, unicam);
++      vdev->entity.flags |= MEDIA_ENT_FL_DEFAULT;
++
++      if (!v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_STD);
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_STD);
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_ENUMSTD);
++      }
++      if (!v4l2_subdev_has_op(unicam->sensor, video, querystd))
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_QUERYSTD);
++      if (!v4l2_subdev_has_op(unicam->sensor, video, s_dv_timings)) {
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_EDID);
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_EDID);
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_DV_TIMINGS_CAP);
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_DV_TIMINGS);
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_DV_TIMINGS);
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_ENUM_DV_TIMINGS);
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_QUERY_DV_TIMINGS);
++      }
++      if (!v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_interval))
++              v4l2_disable_ioctl(&unicam->video_dev,
++                                 VIDIOC_ENUM_FRAMEINTERVALS);
++      if (!v4l2_subdev_has_op(unicam->sensor, video, g_frame_interval))
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_PARM);
++      if (!v4l2_subdev_has_op(unicam->sensor, video, s_frame_interval))
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_PARM);
++
++      if (!v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_size))
++              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_ENUM_FRAMESIZES);
++
++      ret = video_register_device(vdev, VFL_TYPE_GRABBER, -1);
++      if (ret) {
++              unicam_err(unicam, "Unable to register video device.\n");
++              return ret;
++      }
++
++      ret = v4l2_device_register_ro_subdev_nodes(&unicam->v4l2_dev);
++      if (ret) {
++              unicam_err(unicam,
++                         "Unable to register subdev nodes.\n");
++              video_unregister_device(&unicam->video_dev);
++              return ret;
++      }
++
++      ret = media_create_pad_link(&unicam->sensor->entity, 0,
++                                  &unicam->video_dev.entity, 0,
++                                  MEDIA_LNK_FL_ENABLED |
++                                  MEDIA_LNK_FL_IMMUTABLE);
++      if (ret) {
++              unicam_err(unicam, "Unable to create pad links.\n");
++              video_unregister_device(&unicam->video_dev);
++              return ret;
++      }
++
++      return 0;
++}
++
++static int unicam_async_complete(struct v4l2_async_notifier *notifier)
++{
++      struct unicam_device *unicam = container_of(notifier->v4l2_dev,
++                                      struct unicam_device, v4l2_dev);
++
++      return unicam_probe_complete(unicam);
++}
++
++static const struct v4l2_async_notifier_operations unicam_async_ops = {
++      .bound = unicam_async_bound,
++      .complete = unicam_async_complete,
++};
++
++static int of_unicam_connect_subdevs(struct unicam_device *dev)
++{
++      struct platform_device *pdev = dev->pdev;
++      struct device_node *parent, *ep_node = NULL, *remote_ep = NULL,
++                      *sensor_node = NULL;
++      struct v4l2_fwnode_endpoint *ep;
++      struct v4l2_async_subdev *asd;
++      unsigned int peripheral_data_lanes;
++      int ret = -EINVAL;
++      unsigned int lane;
++
++      parent = pdev->dev.of_node;
++
++      asd = &dev->asd;
++      ep = &dev->endpoint;
++
++      ep_node = of_graph_get_next_endpoint(parent, NULL);
++      if (!ep_node) {
++              unicam_dbg(3, dev, "can't get next endpoint\n");
++              goto cleanup_exit;
++      }
++
++      unicam_dbg(3, dev, "ep_node is %s\n", ep_node->name);
++
++      v4l2_fwnode_endpoint_parse(of_fwnode_handle(ep_node), ep);
++
++      for (lane = 0; lane < ep->bus.mipi_csi2.num_data_lanes; lane++) {
++              if (ep->bus.mipi_csi2.data_lanes[lane] != lane + 1) {
++                      unicam_err(dev, "Local endpoint - data lane reordering not supported\n");
++                      goto cleanup_exit;
++              }
++      }
++
++      peripheral_data_lanes = ep->bus.mipi_csi2.num_data_lanes;
++
++      sensor_node = of_graph_get_remote_port_parent(ep_node);
++      if (!sensor_node) {
++              unicam_dbg(3, dev, "can't get remote parent\n");
++              goto cleanup_exit;
++      }
++      unicam_dbg(3, dev, "sensor_node is %s\n", sensor_node->name);
++      asd->match_type = V4L2_ASYNC_MATCH_FWNODE;
++      asd->match.fwnode = of_fwnode_handle(sensor_node);
++
++      remote_ep = of_graph_get_remote_endpoint(ep_node);
++      if (!remote_ep) {
++              unicam_dbg(3, dev, "can't get remote-endpoint\n");
++              goto cleanup_exit;
++      }
++      unicam_dbg(3, dev, "remote_ep is %s\n", remote_ep->name);
++      v4l2_fwnode_endpoint_parse(of_fwnode_handle(remote_ep), ep);
++      unicam_dbg(3, dev, "parsed remote_ep to endpoint. nr_of_link_frequencies %u, bus_type %u\n",
++                 ep->nr_of_link_frequencies, ep->bus_type);
++
++      switch (ep->bus_type) {
++      case V4L2_MBUS_CSI2_DPHY:
++              if (ep->bus.mipi_csi2.num_data_lanes >
++                              peripheral_data_lanes) {
++                      unicam_err(dev, "Subdevice %s wants too many data lanes (%u > %u)\n",
++                                 sensor_node->name,
++                                 ep->bus.mipi_csi2.num_data_lanes,
++                                 peripheral_data_lanes);
++                      goto cleanup_exit;
++              }
++              for (lane = 0;
++                   lane < ep->bus.mipi_csi2.num_data_lanes;
++                   lane++) {
++                      if (ep->bus.mipi_csi2.data_lanes[lane] != lane + 1) {
++                              unicam_err(dev, "Subdevice %s - incompatible data lane config\n",
++                                         sensor_node->name);
++                              goto cleanup_exit;
++                      }
++              }
++              dev->max_data_lanes = ep->bus.mipi_csi2.num_data_lanes;
++              dev->bus_flags = ep->bus.mipi_csi2.flags;
++              break;
++      case V4L2_MBUS_CCP2:
++              if (ep->bus.mipi_csi1.clock_lane != 0 ||
++                  ep->bus.mipi_csi1.data_lane != 1) {
++                      unicam_err(dev, "Subdevice %s incompatible lane config\n",
++                                 sensor_node->name);
++                      goto cleanup_exit;
++              }
++              dev->max_data_lanes = 1;
++              dev->bus_flags = ep->bus.mipi_csi1.strobe;
++              break;
++      default:
++              /* Unsupported bus type */
++              unicam_err(dev, "sub-device %s is not a CSI2 or CCP2 device %d\n",
++                         sensor_node->name, ep->bus_type);
++              goto cleanup_exit;
++      }
++
++      /* Store bus type - CSI2 or CCP2 */
++      dev->bus_type = ep->bus_type;
++      unicam_dbg(3, dev, "bus_type is %d\n", dev->bus_type);
++
++      /* Store Virtual Channel number */
++      dev->virtual_channel = ep->base.id;
++
++      unicam_dbg(3, dev, "v4l2-endpoint: %s\n",
++                 dev->bus_type == V4L2_MBUS_CSI2_DPHY ? "CSI2" : "CCP2");
++      unicam_dbg(3, dev, "Virtual Channel=%d\n", dev->virtual_channel);
++      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY)
++              unicam_dbg(3, dev, "flags=0x%08x\n", ep->bus.mipi_csi2.flags);
++      unicam_dbg(3, dev, "num_data_lanes=%d\n", dev->max_data_lanes);
++
++      unicam_dbg(1, dev, "found sub-device %s\n", sensor_node->name);
++
++      v4l2_async_notifier_init(&dev->notifier);
++
++      ret = v4l2_async_notifier_add_subdev(&dev->notifier, asd);
++      if (ret) {
++              unicam_err(dev, "Error adding subdevice - ret %d\n", ret);
++              goto cleanup_exit;
++      }
++
++      dev->notifier.ops = &unicam_async_ops;
++      ret = v4l2_async_notifier_register(&dev->v4l2_dev,
++                                         &dev->notifier);
++      if (ret) {
++              unicam_err(dev, "Error registering async notifier - ret %d\n",
++                         ret);
++              ret = -EINVAL;
++      }
++
++cleanup_exit:
++      if (remote_ep)
++              of_node_put(remote_ep);
++      if (sensor_node)
++              of_node_put(sensor_node);
++      if (ep_node)
++              of_node_put(ep_node);
++
++      return ret;
++}
++
++static int unicam_probe(struct platform_device *pdev)
++{
++      struct unicam_cfg *unicam_cfg;
++      struct unicam_device *unicam;
++      struct v4l2_ctrl_handler *hdl;
++      struct resource *res;
++      int ret;
++
++      unicam = devm_kzalloc(&pdev->dev, sizeof(*unicam), GFP_KERNEL);
++      if (!unicam)
++              return -ENOMEM;
++
++      unicam->pdev = pdev;
++      unicam_cfg = &unicam->cfg;
++
++      res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
++      unicam_cfg->base = devm_ioremap_resource(&pdev->dev, res);
++      if (IS_ERR(unicam_cfg->base)) {
++              unicam_err(unicam, "Failed to get main io block\n");
++              return PTR_ERR(unicam_cfg->base);
++      }
++
++      res = platform_get_resource(pdev, IORESOURCE_MEM, 1);
++      unicam_cfg->clk_gate_base = devm_ioremap_resource(&pdev->dev, res);
++      if (IS_ERR(unicam_cfg->clk_gate_base)) {
++              unicam_err(unicam, "Failed to get 2nd io block\n");
++              return PTR_ERR(unicam_cfg->clk_gate_base);
++      }
++
++      unicam->clock = devm_clk_get(&pdev->dev, "lp");
++      if (IS_ERR(unicam->clock)) {
++              unicam_err(unicam, "Failed to get clock\n");
++              return PTR_ERR(unicam->clock);
++      }
++
++      ret = platform_get_irq(pdev, 0);
++      if (ret <= 0) {
++              dev_err(&pdev->dev, "No IRQ resource\n");
++              return -ENODEV;
++      }
++
++      ret = devm_request_irq(&pdev->dev, ret, unicam_isr, 0,
++                             "unicam_capture0", unicam);
++      if (ret) {
++              dev_err(&pdev->dev, "Unable to request interrupt\n");
++              return -EINVAL;
++      }
++
++      unicam->mdev.dev = &pdev->dev;
++      strscpy(unicam->mdev.model, UNICAM_MODULE_NAME,
++              sizeof(unicam->mdev.model));
++      strscpy(unicam->mdev.serial, "", sizeof(unicam->mdev.serial));
++      snprintf(unicam->mdev.bus_info, sizeof(unicam->mdev.bus_info),
++               "platform:%s %s",
++               pdev->dev.driver->name, dev_name(&pdev->dev));
++      unicam->mdev.hw_revision = 1;
++
++      media_entity_pads_init(&unicam->video_dev.entity, 1, &unicam->pad);
++      media_device_init(&unicam->mdev);
++
++      unicam->v4l2_dev.mdev = &unicam->mdev;
++
++      ret = v4l2_device_register(&pdev->dev, &unicam->v4l2_dev);
++      if (ret) {
++              unicam_err(unicam,
++                         "Unable to register v4l2 device.\n");
++              goto media_cleanup;
++      }
++
++      ret = media_device_register(&unicam->mdev);
++      if (ret < 0) {
++              unicam_err(unicam,
++                         "Unable to register media-controller device.\n");
++              goto probe_out_v4l2_unregister;
++      }
++
++      /* Reserve space for the controls */
++      hdl = &unicam->ctrl_handler;
++      ret = v4l2_ctrl_handler_init(hdl, 16);
++      if (ret < 0)
++              goto media_unregister;
++      unicam->v4l2_dev.ctrl_handler = hdl;
++
++      /* set the driver data in platform device */
++      platform_set_drvdata(pdev, unicam);
++
++      ret = of_unicam_connect_subdevs(unicam);
++      if (ret) {
++              dev_err(&pdev->dev, "Failed to connect subdevs\n");
++              goto free_hdl;
++      }
++
++      /* Enable the block power domain */
++      pm_runtime_enable(&pdev->dev);
++
++      return 0;
++
++free_hdl:
++      v4l2_ctrl_handler_free(hdl);
++media_unregister:
++      media_device_unregister(&unicam->mdev);
++probe_out_v4l2_unregister:
++      v4l2_device_unregister(&unicam->v4l2_dev);
++media_cleanup:
++      media_device_cleanup(&unicam->mdev);
++
++      return ret;
++}
++
++static int unicam_remove(struct platform_device *pdev)
++{
++      struct unicam_device *unicam = platform_get_drvdata(pdev);
++
++      unicam_dbg(2, unicam, "%s\n", __func__);
++
++      pm_runtime_disable(&pdev->dev);
++
++      v4l2_async_notifier_unregister(&unicam->notifier);
++      v4l2_ctrl_handler_free(&unicam->ctrl_handler);
++      v4l2_device_unregister(&unicam->v4l2_dev);
++      video_unregister_device(&unicam->video_dev);
++      if (unicam->sensor_config)
++              v4l2_subdev_free_pad_config(unicam->sensor_config);
++      media_device_unregister(&unicam->mdev);
++      media_device_cleanup(&unicam->mdev);
++
++      return 0;
++}
++
++static const struct of_device_id unicam_of_match[] = {
++      { .compatible = "brcm,bcm2835-unicam", },
++      { /* sentinel */ },
++};
++MODULE_DEVICE_TABLE(of, unicam_of_match);
++
++static struct platform_driver unicam_driver = {
++      .probe          = unicam_probe,
++      .remove         = unicam_remove,
++      .driver = {
++              .name   = UNICAM_MODULE_NAME,
++              .of_match_table = of_match_ptr(unicam_of_match),
++      },
++};
++
++module_platform_driver(unicam_driver);
++
++MODULE_AUTHOR("Dave Stevenson <dave.stevenson@raspberrypi.com>");
++MODULE_DESCRIPTION("BCM2835 Unicam driver");
++MODULE_LICENSE("GPL");
++MODULE_VERSION(UNICAM_VERSION);
+--- /dev/null
++++ b/drivers/media/platform/bcm2835/vc4-regs-unicam.h
+@@ -0,0 +1,253 @@
++/* SPDX-License-Identifier: GPL-2.0-only */
++
++/*
++ * Copyright (C) 2017-2020 Raspberry Pi Trading.
++ * Dave Stevenson <dave.stevenson@raspberrypi.com>
++ */
++
++#ifndef VC4_REGS_UNICAM_H
++#define VC4_REGS_UNICAM_H
++
++/*
++ * The following values are taken from files found within the code drop
++ * made by Broadcom for the BCM21553 Graphics Driver, predominantly in
++ * brcm_usrlib/dag/vmcsx/vcinclude/hardware_vc4.h.
++ * They have been modified to be only the register offset.
++ */
++#define UNICAM_CTRL   0x000
++#define UNICAM_STA    0x004
++#define UNICAM_ANA    0x008
++#define UNICAM_PRI    0x00c
++#define UNICAM_CLK    0x010
++#define UNICAM_CLT    0x014
++#define UNICAM_DAT0   0x018
++#define UNICAM_DAT1   0x01c
++#define UNICAM_DAT2   0x020
++#define UNICAM_DAT3   0x024
++#define UNICAM_DLT    0x028
++#define UNICAM_CMP0   0x02c
++#define UNICAM_CMP1   0x030
++#define UNICAM_CAP0   0x034
++#define UNICAM_CAP1   0x038
++#define UNICAM_ICTL   0x100
++#define UNICAM_ISTA   0x104
++#define UNICAM_IDI0   0x108
++#define UNICAM_IPIPE  0x10c
++#define UNICAM_IBSA0  0x110
++#define UNICAM_IBEA0  0x114
++#define UNICAM_IBLS   0x118
++#define UNICAM_IBWP   0x11c
++#define UNICAM_IHWIN  0x120
++#define UNICAM_IHSTA  0x124
++#define UNICAM_IVWIN  0x128
++#define UNICAM_IVSTA  0x12c
++#define UNICAM_ICC    0x130
++#define UNICAM_ICS    0x134
++#define UNICAM_IDC    0x138
++#define UNICAM_IDPO   0x13c
++#define UNICAM_IDCA   0x140
++#define UNICAM_IDCD   0x144
++#define UNICAM_IDS    0x148
++#define UNICAM_DCS    0x200
++#define UNICAM_DBSA0  0x204
++#define UNICAM_DBEA0  0x208
++#define UNICAM_DBWP   0x20c
++#define UNICAM_DBCTL  0x300
++#define UNICAM_IBSA1  0x304
++#define UNICAM_IBEA1  0x308
++#define UNICAM_IDI1   0x30c
++#define UNICAM_DBSA1  0x310
++#define UNICAM_DBEA1  0x314
++#define UNICAM_MISC   0x400
++
++/*
++ * The following bitmasks are from the kernel released by Broadcom
++ * for Android - https://android.googlesource.com/kernel/bcm/
++ * The Rhea, Hawaii, and Java chips all contain the same VideoCore4
++ * Unicam block as BCM2835, as defined in eg
++ * arch/arm/mach-rhea/include/mach/rdb_A0/brcm_rdb_cam.h and similar.
++ * Values reworked to use the kernel BIT and GENMASK macros.
++ *
++ * Some of the bit mnenomics have been amended to match the datasheet.
++ */
++/* UNICAM_CTRL Register */
++#define UNICAM_CPE            BIT(0)
++#define UNICAM_MEM            BIT(1)
++#define UNICAM_CPR            BIT(2)
++#define UNICAM_CPM_MASK               GENMASK(3, 3)
++#define UNICAM_CPM_CSI2               0
++#define UNICAM_CPM_CCP2               1
++#define UNICAM_SOE            BIT(4)
++#define UNICAM_DCM_MASK               GENMASK(5, 5)
++#define UNICAM_DCM_STROBE     0
++#define UNICAM_DCM_DATA               1
++#define UNICAM_SLS            BIT(6)
++#define UNICAM_PFT_MASK               GENMASK(11, 8)
++#define UNICAM_OET_MASK               GENMASK(20, 12)
++
++/* UNICAM_STA Register */
++#define UNICAM_SYN            BIT(0)
++#define UNICAM_CS             BIT(1)
++#define UNICAM_SBE            BIT(2)
++#define UNICAM_PBE            BIT(3)
++#define UNICAM_HOE            BIT(4)
++#define UNICAM_PLE            BIT(5)
++#define UNICAM_SSC            BIT(6)
++#define UNICAM_CRCE           BIT(7)
++#define UNICAM_OES            BIT(8)
++#define UNICAM_IFO            BIT(9)
++#define UNICAM_OFO            BIT(10)
++#define UNICAM_BFO            BIT(11)
++#define UNICAM_DL             BIT(12)
++#define UNICAM_PS             BIT(13)
++#define UNICAM_IS             BIT(14)
++#define UNICAM_PI0            BIT(15)
++#define UNICAM_PI1            BIT(16)
++#define UNICAM_FSI_S          BIT(17)
++#define UNICAM_FEI_S          BIT(18)
++#define UNICAM_LCI_S          BIT(19)
++#define UNICAM_BUF0_RDY               BIT(20)
++#define UNICAM_BUF0_NO                BIT(21)
++#define UNICAM_BUF1_RDY               BIT(22)
++#define UNICAM_BUF1_NO                BIT(23)
++#define UNICAM_DI             BIT(24)
++
++#define UNICAM_STA_MASK_ALL \
++              (UNICAM_DL + \
++              UNICAM_SBE + \
++              UNICAM_PBE + \
++              UNICAM_HOE + \
++              UNICAM_PLE + \
++              UNICAM_SSC + \
++              UNICAM_CRCE + \
++              UNICAM_IFO + \
++              UNICAM_OFO + \
++              UNICAM_PS + \
++              UNICAM_PI0 + \
++              UNICAM_PI1)
++
++/* UNICAM_ANA Register */
++#define UNICAM_APD            BIT(0)
++#define UNICAM_BPD            BIT(1)
++#define UNICAM_AR             BIT(2)
++#define UNICAM_DDL            BIT(3)
++#define UNICAM_CTATADJ_MASK   GENMASK(7, 4)
++#define UNICAM_PTATADJ_MASK   GENMASK(11, 8)
++
++/* UNICAM_PRI Register */
++#define UNICAM_PE             BIT(0)
++#define UNICAM_PT_MASK                GENMASK(2, 1)
++#define UNICAM_NP_MASK                GENMASK(7, 4)
++#define UNICAM_PP_MASK                GENMASK(11, 8)
++#define UNICAM_BS_MASK                GENMASK(15, 12)
++#define UNICAM_BL_MASK                GENMASK(17, 16)
++
++/* UNICAM_CLK Register */
++#define UNICAM_CLE            BIT(0)
++#define UNICAM_CLPD           BIT(1)
++#define UNICAM_CLLPE          BIT(2)
++#define UNICAM_CLHSE          BIT(3)
++#define UNICAM_CLTRE          BIT(4)
++#define UNICAM_CLAC_MASK      GENMASK(8, 5)
++#define UNICAM_CLSTE          BIT(29)
++
++/* UNICAM_CLT Register */
++#define UNICAM_CLT1_MASK      GENMASK(7, 0)
++#define UNICAM_CLT2_MASK      GENMASK(15, 8)
++
++/* UNICAM_DATn Registers */
++#define UNICAM_DLE            BIT(0)
++#define UNICAM_DLPD           BIT(1)
++#define UNICAM_DLLPE          BIT(2)
++#define UNICAM_DLHSE          BIT(3)
++#define UNICAM_DLTRE          BIT(4)
++#define UNICAM_DLSM           BIT(5)
++#define UNICAM_DLFO           BIT(28)
++#define UNICAM_DLSTE          BIT(29)
++
++#define UNICAM_DAT_MASK_ALL (UNICAM_DLSTE + UNICAM_DLFO)
++
++/* UNICAM_DLT Register */
++#define UNICAM_DLT1_MASK      GENMASK(7, 0)
++#define UNICAM_DLT2_MASK      GENMASK(15, 8)
++#define UNICAM_DLT3_MASK      GENMASK(23, 16)
++
++/* UNICAM_ICTL Register */
++#define UNICAM_FSIE           BIT(0)
++#define UNICAM_FEIE           BIT(1)
++#define UNICAM_IBOB           BIT(2)
++#define UNICAM_FCM            BIT(3)
++#define UNICAM_TFC            BIT(4)
++#define UNICAM_LIP_MASK               GENMASK(6, 5)
++#define UNICAM_LCIE_MASK      GENMASK(28, 16)
++
++/* UNICAM_IDI0/1 Register */
++#define UNICAM_ID0_MASK               GENMASK(7, 0)
++#define UNICAM_ID1_MASK               GENMASK(15, 8)
++#define UNICAM_ID2_MASK               GENMASK(23, 16)
++#define UNICAM_ID3_MASK               GENMASK(31, 24)
++
++/* UNICAM_ISTA Register */
++#define UNICAM_FSI            BIT(0)
++#define UNICAM_FEI            BIT(1)
++#define UNICAM_LCI            BIT(2)
++
++#define UNICAM_ISTA_MASK_ALL (UNICAM_FSI + UNICAM_FEI + UNICAM_LCI)
++
++/* UNICAM_IPIPE Register */
++#define UNICAM_PUM_MASK               GENMASK(2, 0)
++              /* Unpacking modes */
++              #define UNICAM_PUM_NONE         0
++              #define UNICAM_PUM_UNPACK6      1
++              #define UNICAM_PUM_UNPACK7      2
++              #define UNICAM_PUM_UNPACK8      3
++              #define UNICAM_PUM_UNPACK10     4
++              #define UNICAM_PUM_UNPACK12     5
++              #define UNICAM_PUM_UNPACK14     6
++              #define UNICAM_PUM_UNPACK16     7
++#define UNICAM_DDM_MASK               GENMASK(6, 3)
++#define UNICAM_PPM_MASK               GENMASK(9, 7)
++              /* Packing modes */
++              #define UNICAM_PPM_NONE         0
++              #define UNICAM_PPM_PACK8        1
++              #define UNICAM_PPM_PACK10       2
++              #define UNICAM_PPM_PACK12       3
++              #define UNICAM_PPM_PACK14       4
++              #define UNICAM_PPM_PACK16       5
++#define UNICAM_DEM_MASK               GENMASK(11, 10)
++#define UNICAM_DEBL_MASK      GENMASK(14, 12)
++#define UNICAM_ICM_MASK               GENMASK(16, 15)
++#define UNICAM_IDM_MASK               GENMASK(17, 17)
++
++/* UNICAM_ICC Register */
++#define UNICAM_ICFL_MASK      GENMASK(4, 0)
++#define UNICAM_ICFH_MASK      GENMASK(9, 5)
++#define UNICAM_ICST_MASK      GENMASK(12, 10)
++#define UNICAM_ICLT_MASK      GENMASK(15, 13)
++#define UNICAM_ICLL_MASK      GENMASK(31, 16)
++
++/* UNICAM_DCS Register */
++#define UNICAM_DIE            BIT(0)
++#define UNICAM_DIM            BIT(1)
++#define UNICAM_DBOB           BIT(3)
++#define UNICAM_FDE            BIT(4)
++#define UNICAM_LDP            BIT(5)
++#define UNICAM_EDL_MASK               GENMASK(15, 8)
++
++/* UNICAM_DBCTL Register */
++#define UNICAM_DBEN           BIT(0)
++#define UNICAM_BUF0_IE                BIT(1)
++#define UNICAM_BUF1_IE                BIT(2)
++
++/* UNICAM_CMP[0,1] register */
++#define UNICAM_PCE            BIT(31)
++#define UNICAM_GI             BIT(9)
++#define UNICAM_CPH            BIT(8)
++#define UNICAM_PCVC_MASK      GENMASK(7, 6)
++#define UNICAM_PCDT_MASK      GENMASK(5, 0)
++
++/* UNICAM_MISC register */
++#define UNICAM_FL0            BIT(6)
++#define UNICAM_FL1            BIT(9)
++
++#endif
diff --git a/target/linux/bcm27xx/patches-5.4/950-0641-drm-vc4-hdmi-Silence-pixel-clock-error-on-EPROBE_DEF.patch b/target/linux/bcm27xx/patches-5.4/950-0641-drm-vc4-hdmi-Silence-pixel-clock-error-on-EPROBE_DEF.patch
deleted file mode 100644 (file)
index 0580fc8..0000000
+++ /dev/null
@@ -1,29 +0,0 @@
-From ba875ce27cd407bc61502517671623df07bb6c1a Mon Sep 17 00:00:00 2001
-From: James Hilliard <james.hilliard1@gmail.com>
-Date: Fri, 10 Apr 2020 19:24:40 -0600
-Subject: [PATCH] drm/vc4: hdmi: Silence pixel clock error on
- -EPROBE_DEFER
-
-If the vc4 hdmi driver loads before the pixel clock is available we
-see a spurious "*ERROR* Failed to get pixel clock" error.
-
-Signed-off-by: James Hilliard <james.hilliard1@gmail.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 6 ++++--
- 1 file changed, 4 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1494,8 +1494,10 @@ static int vc4_hdmi_init_resources(struc
-       vc4_hdmi->pixel_clock = devm_clk_get(dev, "pixel");
-       if (IS_ERR(vc4_hdmi->pixel_clock)) {
--              DRM_ERROR("Failed to get pixel clock\n");
--              return PTR_ERR(vc4_hdmi->pixel_clock);
-+              ret = PTR_ERR(vc4_hdmi->pixel_clock);
-+              if (ret != -EPROBE_DEFER)
-+                      DRM_ERROR("Failed to get pixel clock\n");
-+              return ret;
-       }
-       vc4_hdmi->hsm_clock = devm_clk_get(dev, "hdmi");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0641-media-uapi-v4l2-core-Add-sensor-ancillary-data-V4L2-.patch b/target/linux/bcm27xx/patches-5.4/950-0641-media-uapi-v4l2-core-Add-sensor-ancillary-data-V4L2-.patch
new file mode 100644 (file)
index 0000000..69414e3
--- /dev/null
@@ -0,0 +1,85 @@
+From 09f5e82f292a900d17a5205e54a35e24296bd9f7 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Wed, 1 Apr 2020 08:46:29 +0100
+Subject: [PATCH] media: uapi: v4l2-core: Add sensor ancillary data
+ V4L2 foucc type.
+
+Add V4L2_META_FMT_SENSOR_DATA format 4CC.
+
+This new format will be used by the BCM2835 Unicam device to return
+out camera sensor embedded data.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ Documentation/media/uapi/v4l/meta-formats.rst |  1 +
+ .../uapi/v4l/pixfmt-meta-sensor-data.rst      | 32 +++++++++++++++++++
+ drivers/media/v4l2-core/v4l2-ioctl.c          |  1 +
+ include/uapi/linux/videodev2.h                |  1 +
+ 4 files changed, 35 insertions(+)
+ create mode 100644 Documentation/media/uapi/v4l/pixfmt-meta-sensor-data.rst
+
+--- a/Documentation/media/uapi/v4l/meta-formats.rst
++++ b/Documentation/media/uapi/v4l/meta-formats.rst
+@@ -21,6 +21,7 @@ These formats are used for the :ref:`met
+     pixfmt-meta-d4xx
+     pixfmt-meta-intel-ipu3
++    pixfmt-meta-sensor-data
+     pixfmt-meta-uvc
+     pixfmt-meta-vsp1-hgo
+     pixfmt-meta-vsp1-hgt
+--- /dev/null
++++ b/Documentation/media/uapi/v4l/pixfmt-meta-sensor-data.rst
+@@ -0,0 +1,32 @@
++.. Permission is granted to copy, distribute and/or modify this
++.. document under the terms of the GNU Free Documentation License,
++.. Version 1.1 or any later version published by the Free Software
++.. Foundation, with no Invariant Sections, no Front-Cover Texts
++.. and no Back-Cover Texts. A copy of the license is included at
++.. Documentation/media/uapi/fdl-appendix.rst.
++..
++.. TODO: replace it to GFDL-1.1-or-later WITH no-invariant-sections
++
++.. _v4l2-meta-fmt-sensor-data:
++
++***********************************
++V4L2_META_FMT_SENSOR_DATA  ('SENS')
++***********************************
++
++Sensor Ancillary Metadata
++
++Description
++===========
++
++This format describes ancillary data generated by a camera sensor and
++transmitted over a stream on the camera bus. Sensor vendors generally have their
++own custom format for this ancillary data. Some vendors follow a generic
++CSI-2/SMIA embedded data format as described in the `CSI-2 specification.
++<https://mipi.org/specifications/csi-2>`_
++
++The size of the embedded buffer is defined as a single line with a pixel width
++width specified in bytes. This is obtained by a call to the
++:c:type:`VIDIOC_SUBDEV_G_FMT` ioctl on the sensor subdevice where the ``pad``
++field in :c:type:`v4l2_subdev_format` is set to 1.  Note that this size is fixed
++and cannot be modified with a call to :c:type:`VIDIOC_SUBDEV_S_FMT`.
++
+--- a/drivers/media/v4l2-core/v4l2-ioctl.c
++++ b/drivers/media/v4l2-core/v4l2-ioctl.c
+@@ -1332,6 +1332,7 @@ static void v4l_fill_fmtdesc(struct v4l2
+       case V4L2_META_FMT_VSP1_HGT:    descr = "R-Car VSP1 2-D Histogram"; break;
+       case V4L2_META_FMT_UVC:         descr = "UVC Payload Header Metadata"; break;
+       case V4L2_META_FMT_D4XX:        descr = "Intel D4xx UVC Metadata"; break;
++      case V4L2_META_FMT_SENSOR_DATA: descr = "Sensor Ancillary Metadata"; break;
+       default:
+               /* Compressed formats */
+--- a/include/uapi/linux/videodev2.h
++++ b/include/uapi/linux/videodev2.h
+@@ -768,6 +768,7 @@ struct v4l2_pix_format {
+ #define V4L2_META_FMT_VSP1_HGT    v4l2_fourcc('V', 'S', 'P', 'T') /* R-Car VSP1 2-D Histogram */
+ #define V4L2_META_FMT_UVC         v4l2_fourcc('U', 'V', 'C', 'H') /* UVC Payload Header metadata */
+ #define V4L2_META_FMT_D4XX        v4l2_fourcc('D', '4', 'X', 'X') /* D4XX Payload Header metadata */
++#define V4L2_META_FMT_SENSOR_DATA v4l2_fourcc('S', 'E', 'N', 'S') /* Sensor Ancillary metadata */
+ /* priv field value to indicates that subsequent fields are valid. */
+ #define V4L2_PIX_FMT_PRIV_MAGIC               0xfeedcafe
diff --git a/target/linux/bcm27xx/patches-5.4/950-0642-media-uapi-Add-MEDIA_BUS_FMT_SENSOR_DATA-media-bus-f.patch b/target/linux/bcm27xx/patches-5.4/950-0642-media-uapi-Add-MEDIA_BUS_FMT_SENSOR_DATA-media-bus-f.patch
new file mode 100644 (file)
index 0000000..65162cc
--- /dev/null
@@ -0,0 +1,64 @@
+From 65573c84d5a9115444cc5e365c94cb3ae0fb7e10 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Tue, 21 Jan 2020 14:06:47 +0000
+Subject: [PATCH] media: uapi: Add MEDIA_BUS_FMT_SENSOR_DATA media bus
+ format
+
+This patch adds MEDIA_BUS_FMT_SENSOR_DATA used by the bcm2835-unicam
+driver to support CSI-2 embedded data streams from camera sensors.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ .../media/uapi/v4l/subdev-formats.rst         | 33 +++++++++++++++++++
+ include/uapi/linux/media-bus-format.h         |  3 ++
+ 2 files changed, 36 insertions(+)
+
+--- a/Documentation/media/uapi/v4l/subdev-formats.rst
++++ b/Documentation/media/uapi/v4l/subdev-formats.rst
+@@ -7794,3 +7794,36 @@ formats.
+       - 0x5001
+       - Interleaved raw UYVY and JPEG image format with embedded meta-data
+       used by Samsung S3C73MX camera sensors.
++
++
++
++.. _v4l2-mbus-sensor-data:
++
++Sensor Ancillary Metadata Formats
++^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
++
++This section lists ancillary data generated by a camera sensor and
++transmitted over a stream on the camera bus.
++
++The following table lists the existing sensor ancillary metadata formats:
++
++
++.. _v4l2-mbus-pixelcode-sensor-metadata:
++
++.. tabularcolumns:: |p{8.0cm}|p{1.4cm}|p{7.7cm}|
++
++.. flat-table:: Sensor ancillary metadata formats
++    :header-rows:  1
++    :stub-columns: 0
++
++    * - Identifier
++      - Code
++      - Comments
++    * .. _MEDIA_BUS_FMT_SENSOR_DATA:
++
++      - MEDIA_BUS_FMT_SENSOR_DATA
++      - 0x7001
++      - Sensor vendor specific ancillary metadata. Some vendors follow a generic
++        CSI-2/SMIA embedded data format as described in the `CSI-2 specification.
++      <https://mipi.org/specifications/csi-2>`_
++
+--- a/include/uapi/linux/media-bus-format.h
++++ b/include/uapi/linux/media-bus-format.h
+@@ -155,4 +155,7 @@
+ /* HSV - next is      0x6002 */
+ #define MEDIA_BUS_FMT_AHSV8888_1X32           0x6001
++/* Sensor ancillary metadata formats - next is 0x7002 */
++#define MEDIA_BUS_FMT_SENSOR_DATA             0x7001
++
+ #endif /* __LINUX_MEDIA_BUS_FORMAT_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0643-Fixes-a-problem-with-clock-settings-of-HiFiBerry-DAC.patch b/target/linux/bcm27xx/patches-5.4/950-0643-Fixes-a-problem-with-clock-settings-of-HiFiBerry-DAC.patch
deleted file mode 100644 (file)
index f1427ae..0000000
+++ /dev/null
@@ -1,42 +0,0 @@
-From f303194bc24925d3efd965ccfae40974ea437240 Mon Sep 17 00:00:00 2001
-From: =?UTF-8?q?J=C3=B6rg=20Schambacher?=
- <j-schambacher@users.noreply.github.com>
-Date: Wed, 15 Apr 2020 11:48:29 +0200
-Subject: [PATCH] Fixes a problem with clock settings of HiFiBerry
- DAC+ADC PRO (#3545)
-
-This patch fixes a problem of the re-calculation of
-i2s-clock and -parameter settings when only the ADC is activated.
-
-Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
----
- sound/soc/bcm/hifiberry_dacplusadcpro.c | 9 ++++++++-
- 1 file changed, 8 insertions(+), 1 deletion(-)
-
---- a/sound/soc/bcm/hifiberry_dacplusadcpro.c
-+++ b/sound/soc/bcm/hifiberry_dacplusadcpro.c
-@@ -390,9 +390,11 @@ static int snd_rpi_hifiberry_dacplusadcp
-       int channels = params_channels(params);
-       int width = 32;
-       struct snd_soc_component *dac = rtd->codec_dais[0]->component;
-+      struct snd_soc_dai *dai = rtd->codec_dais[0];
-+      struct snd_soc_dai_driver *drv = dai->driver;
-+      const struct snd_soc_dai_ops *ops = drv->ops;
-       if (snd_rpi_hifiberry_is_dacpro) {
--
-               width = snd_pcm_format_physical_width(params_format(params));
-               snd_rpi_hifiberry_dacplusadcpro_set_sclk(dac,
-@@ -414,6 +416,11 @@ static int snd_rpi_hifiberry_dacplusadcp
-               return ret;
-       ret = snd_soc_dai_set_tdm_slot(rtd->codec_dais[1], 0x03, 0x03,
-               channels, width);
-+      if (ret)
-+              return ret;
-+
-+      if (snd_rpi_hifiberry_is_dacpro && ops->hw_params)
-+                      ret = ops->hw_params(substream, params, dai);
-       return ret;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0643-media-bcm2835-unicam-Add-support-for-mulitple-device.patch b/target/linux/bcm27xx/patches-5.4/950-0643-media-bcm2835-unicam-Add-support-for-mulitple-device.patch
new file mode 100644 (file)
index 0000000..315feff
--- /dev/null
@@ -0,0 +1,1084 @@
+From b466d74b45466b417e364c85c7fce71e9fc3fc7c Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Tue, 7 Apr 2020 10:42:14 +0100
+Subject: [PATCH] media: bcm2835-unicam: Add support for mulitple
+ device nodes.
+
+Move device node specific state out of the device state structure and
+into a new node structure.  This separation will be needed for future
+changes where we will add an embedded data node to the driver to work
+alongside the existing image data node.
+
+Currently only use a single image node, so this commit does not add
+any functional changes.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ .../media/platform/bcm2835/bcm2835-unicam.c   | 484 ++++++++++--------
+ 1 file changed, 283 insertions(+), 201 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -109,7 +109,8 @@ MODULE_PARM_DESC(debug, "Debug level 0-3
+ /* Define a nominal minimum image size */
+ #define MIN_WIDTH     16
+ #define MIN_HEIGHT    16
+-
++/* Maximum number of simulataneous streams Uncaim can handle. */
++#define MAX_NODES     2
+ /*
+  * struct unicam_fmt - Unicam media bus format information
+  * @pixelformat: V4L2 pixel format FCC identifier. 0 if n/a.
+@@ -346,11 +347,37 @@ struct unicam_cfg {
+ #define MAX_POSSIBLE_PIX_FMTS (ARRAY_SIZE(formats))
+-struct unicam_device {
+-      /* V4l2 specific parameters */
++struct unicam_node {
++      bool registered;
++      unsigned int pad_id;
++      /* Pointer pointing to current v4l2_buffer */
++      struct unicam_buffer *cur_frm;
++      /* Pointer pointing to next v4l2_buffer */
++      struct unicam_buffer *next_frm;
++      /* video capture */
++      const struct unicam_fmt *fmt;
++      /* Used to store current pixel format */
++      struct v4l2_format v_fmt;
++      /* Used to store current mbus frame format */
++      struct v4l2_mbus_framefmt m_fmt;
++      /* Buffer queue used in video-buf */
++      struct vb2_queue buffer_queue;
++      /* Queue of filled frames */
++      struct unicam_dmaqueue dma_queue;
++      /* IRQ lock for DMA queue */
++      spinlock_t dma_queue_lock;
++      /* lock used to access this structure */
++      struct mutex lock;
+       /* Identifies video device for this channel */
+       struct video_device video_dev;
++      /* Pointer to the parent handle */
++      struct unicam_device *dev;
++      struct media_pad pad;
+       struct v4l2_ctrl_handler ctrl_handler;
++};
++
++struct unicam_device {
++      /* V4l2 specific parameters */
+       struct v4l2_fwnode_endpoint endpoint;
+@@ -363,7 +390,6 @@ struct unicam_device {
+       /* V4l2 device */
+       struct v4l2_device v4l2_dev;
+       struct media_device mdev;
+-      struct media_pad pad;
+       /* parent device */
+       struct platform_device *pdev;
+@@ -378,18 +404,6 @@ struct unicam_device {
+       /* current input at the sub device */
+       int current_input;
+-      /* Pointer pointing to current v4l2_buffer */
+-      struct unicam_buffer *cur_frm;
+-      /* Pointer pointing to next v4l2_buffer */
+-      struct unicam_buffer *next_frm;
+-
+-      /* video capture */
+-      const struct unicam_fmt *fmt;
+-      /* Used to store current pixel format */
+-      struct v4l2_format v_fmt;
+-      /* Used to store current mbus frame format */
+-      struct v4l2_mbus_framefmt m_fmt;
+-
+       unsigned int virtual_channel;
+       enum v4l2_mbus_type bus_type;
+       /*
+@@ -401,20 +415,10 @@ struct unicam_device {
+       unsigned int active_data_lanes;
+       struct v4l2_rect crop;
+-
+-      /* Currently selected input on subdev */
+-      int input;
+-
+-      /* Buffer queue used in video-buf */
+-      struct vb2_queue buffer_queue;
+-      /* Queue of filled frames */
+-      struct unicam_dmaqueue dma_queue;
+-      /* IRQ lock for DMA queue */
+-      spinlock_t dma_queue_lock;
+-      /* lock used to access this structure */
+-      struct mutex lock;
+       /* Flag to denote that we are processing buffers */
+       int streaming;
++
++      struct unicam_node node[MAX_NODES];
+ };
+ /* Hardware access */
+@@ -526,10 +530,11 @@ static inline unsigned int bytes_per_lin
+ }
+ static int __subdev_get_format(struct unicam_device *dev,
+-                             struct v4l2_mbus_framefmt *fmt)
++                             struct v4l2_mbus_framefmt *fmt, int pad_id)
+ {
+       struct v4l2_subdev_format sd_fmt = {
+               .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++              .pad = pad_id
+       };
+       int ret;
+@@ -598,29 +603,30 @@ static int unicam_calc_format_size_bpl(s
+       return 0;
+ }
+-static int unicam_reset_format(struct unicam_device *dev)
++static int unicam_reset_format(struct unicam_node *node)
+ {
++      struct unicam_device *dev = node->dev;
+       struct v4l2_mbus_framefmt mbus_fmt;
+       int ret;
+-      ret = __subdev_get_format(dev, &mbus_fmt);
++      ret = __subdev_get_format(dev, &mbus_fmt, node->pad_id);
+       if (ret) {
+               unicam_err(dev, "Failed to get_format - ret %d\n", ret);
+               return ret;
+       }
+-      if (mbus_fmt.code != dev->fmt->code) {
++      if (mbus_fmt.code != dev->node[0].fmt->code) {
+               unicam_err(dev, "code mismatch - fmt->code %08x, mbus_fmt.code %08x\n",
+-                         dev->fmt->code, mbus_fmt.code);
++                         dev->node[0].fmt->code, mbus_fmt.code);
+               return ret;
+       }
+-      v4l2_fill_pix_format(&dev->v_fmt.fmt.pix, &mbus_fmt);
+-      dev->v_fmt.type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
++      v4l2_fill_pix_format(&dev->node[0].v_fmt.fmt.pix, &mbus_fmt);
++      dev->node[0].v_fmt.type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
+-      unicam_calc_format_size_bpl(dev, dev->fmt, &dev->v_fmt);
++      unicam_calc_format_size_bpl(dev, dev->node[0].fmt, &dev->node[0].v_fmt);
+-      dev->m_fmt = mbus_fmt;
++      dev->node[0].m_fmt = mbus_fmt;
+       return 0;
+ }
+@@ -635,14 +641,14 @@ static void unicam_wr_dma_addr(struct un
+       reg_write(&dev->cfg, UNICAM_IBSA0, dmaaddr);
+       reg_write(&dev->cfg, UNICAM_IBEA0,
+-                dmaaddr + dev->v_fmt.fmt.pix.sizeimage);
++                dmaaddr + dev->node[0].v_fmt.fmt.pix.sizeimage);
+ }
+ static inline unsigned int unicam_get_lines_done(struct unicam_device *dev)
+ {
+       dma_addr_t start_addr, cur_addr;
+-      unsigned int stride = dev->v_fmt.fmt.pix.bytesperline;
+-      struct unicam_buffer *frm = dev->cur_frm;
++      unsigned int stride = dev->node[0].v_fmt.fmt.pix.bytesperline;
++      struct unicam_buffer *frm = dev->node[0].cur_frm;
+       if (!frm)
+               return 0;
+@@ -654,12 +660,12 @@ static inline unsigned int unicam_get_li
+ static inline void unicam_schedule_next_buffer(struct unicam_device *dev)
+ {
+-      struct unicam_dmaqueue *dma_q = &dev->dma_queue;
++      struct unicam_dmaqueue *dma_q = &dev->node[0].dma_queue;
+       struct unicam_buffer *buf;
+       dma_addr_t addr;
+       buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
+-      dev->next_frm = buf;
++      dev->node[0].next_frm = buf;
+       list_del(&buf->list);
+       addr = vb2_dma_contig_plane_dma_addr(&buf->vb.vb2_buf, 0);
+@@ -668,11 +674,11 @@ static inline void unicam_schedule_next_
+ static inline void unicam_process_buffer_complete(struct unicam_device *dev)
+ {
+-      dev->cur_frm->vb.field = dev->m_fmt.field;
+-      dev->cur_frm->vb.sequence = dev->sequence++;
++      dev->node[0].cur_frm->vb.field = dev->node[0].m_fmt.field;
++      dev->node[0].cur_frm->vb.sequence = dev->sequence++;
+-      vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
+-      dev->cur_frm = dev->next_frm;
++      vb2_buffer_done(&dev->node[0].cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
++      dev->node[0].cur_frm = dev->node[0].next_frm;
+ }
+ /*
+@@ -687,7 +693,7 @@ static irqreturn_t unicam_isr(int irq, v
+ {
+       struct unicam_device *unicam = (struct unicam_device *)dev;
+       struct unicam_cfg *cfg = &unicam->cfg;
+-      struct unicam_dmaqueue *dma_q = &unicam->dma_queue;
++      struct unicam_dmaqueue *dma_q = &unicam->node[0].dma_queue;
+       unsigned int lines_done = unicam_get_lines_done(dev);
+       unsigned int sequence = unicam->sequence;
+       int ista, sta;
+@@ -720,8 +726,9 @@ static irqreturn_t unicam_isr(int irq, v
+                * Timestamp is to be when the first data byte was captured,
+                * aka frame start.
+                */
+-              if (unicam->cur_frm)
+-                      unicam->cur_frm->vb.vb2_buf.timestamp = ktime_get_ns();
++              if (unicam->node[0].cur_frm)
++                      unicam->node[0].cur_frm->vb.vb2_buf.timestamp =
++                              ktime_get_ns();
+       }
+       if (ista & UNICAM_FEI || sta & UNICAM_PI0) {
+               /*
+@@ -729,7 +736,8 @@ static irqreturn_t unicam_isr(int irq, v
+                * stop the peripheral. Overwrite the frame we've just
+                * captured instead.
+                */
+-              if (unicam->cur_frm && unicam->cur_frm != unicam->next_frm)
++              if (unicam->node[0].cur_frm &&
++                  unicam->node[0].cur_frm != unicam->node[0].next_frm)
+                       unicam_process_buffer_complete(unicam);
+       }
+@@ -738,11 +746,11 @@ static irqreturn_t unicam_isr(int irq, v
+        * already started.
+        */
+       if (ista & (UNICAM_FSI | UNICAM_LCI) && !(ista & UNICAM_FEI)) {
+-              spin_lock(&unicam->dma_queue_lock);
++              spin_lock(&unicam->node[0].dma_queue_lock);
+               if (!list_empty(&dma_q->active) &&
+-                  unicam->cur_frm == unicam->next_frm)
++                  unicam->node[0].cur_frm == unicam->node[0].next_frm)
+                       unicam_schedule_next_buffer(unicam);
+-              spin_unlock(&unicam->dma_queue_lock);
++              spin_unlock(&unicam->node[0].dma_queue_lock);
+       }
+       if (reg_read(&unicam->cfg, UNICAM_ICTL) & UNICAM_FCM) {
+@@ -756,7 +764,8 @@ static irqreturn_t unicam_isr(int irq, v
+ static int unicam_querycap(struct file *file, void *priv,
+                          struct v4l2_capability *cap)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       strlcpy(cap->driver, UNICAM_MODULE_NAME, sizeof(cap->driver));
+       strlcpy(cap->card, UNICAM_MODULE_NAME, sizeof(cap->card));
+@@ -770,7 +779,8 @@ static int unicam_querycap(struct file *
+ static int unicam_enum_fmt_vid_cap(struct file *file, void  *priv,
+                                  struct v4l2_fmtdesc *f)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       struct v4l2_subdev_mbus_code_enum mbus_code;
+       const struct unicam_fmt *fmt = NULL;
+       int index = 0;
+@@ -815,9 +825,9 @@ static int unicam_enum_fmt_vid_cap(struc
+ static int unicam_g_fmt_vid_cap(struct file *file, void *priv,
+                               struct v4l2_format *f)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
+-      *f = dev->v_fmt;
++      *f = node->v_fmt;
+       return 0;
+ }
+@@ -859,9 +869,11 @@ const struct unicam_fmt *get_first_suppo
+ static int unicam_try_fmt_vid_cap(struct file *file, void *priv,
+                                 struct v4l2_format *f)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       struct v4l2_subdev_format sd_fmt = {
+               .which = V4L2_SUBDEV_FORMAT_TRY,
++              .pad = 0
+       };
+       struct v4l2_mbus_framefmt *mbus_fmt = &sd_fmt.format;
+       const struct unicam_fmt *fmt;
+@@ -939,8 +951,9 @@ static int unicam_try_fmt_vid_cap(struct
+ static int unicam_s_fmt_vid_cap(struct file *file, void *priv,
+                               struct v4l2_format *f)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
+-      struct vb2_queue *q = &dev->buffer_queue;
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      struct vb2_queue *q = &node->buffer_queue;
+       struct v4l2_mbus_framefmt mbus_fmt = {0};
+       const struct unicam_fmt *fmt;
+       int ret;
+@@ -985,17 +998,18 @@ static int unicam_s_fmt_vid_cap(struct f
+               return -EINVAL;
+       }
+-      dev->fmt = fmt;
+-      dev->v_fmt.fmt.pix.pixelformat = f->fmt.pix.pixelformat;
+-      dev->v_fmt.fmt.pix.bytesperline = f->fmt.pix.bytesperline;
+-      unicam_reset_format(dev);
+-
+-      unicam_dbg(3, dev, "%s %dx%d, mbus_fmt 0x%08X, V4L2 pix 0x%08X.\n",
+-                 __func__, dev->v_fmt.fmt.pix.width,
+-                 dev->v_fmt.fmt.pix.height, mbus_fmt.code,
+-                 dev->v_fmt.fmt.pix.pixelformat);
++      node->fmt = fmt;
++      node->v_fmt.fmt.pix.pixelformat = f->fmt.pix.pixelformat;
++      node->v_fmt.fmt.pix.bytesperline = f->fmt.pix.bytesperline;
++      unicam_reset_format(node);
++
++      unicam_dbg(3, dev,
++                 "%s %dx%d, mbus_fmt 0x%08X, V4L2 pix 0x%08X.\n",
++                 __func__, node->v_fmt.fmt.pix.width,
++                 node->v_fmt.fmt.pix.height, mbus_fmt.code,
++                 node->v_fmt.fmt.pix.pixelformat);
+-      *f = dev->v_fmt;
++      *f = node->v_fmt;
+       return 0;
+ }
+@@ -1006,8 +1020,9 @@ static int unicam_queue_setup(struct vb2
+                             unsigned int sizes[],
+                             struct device *alloc_devs[])
+ {
+-      struct unicam_device *dev = vb2_get_drv_priv(vq);
+-      unsigned int size = dev->v_fmt.fmt.pix.sizeimage;
++      struct unicam_node *node = vb2_get_drv_priv(vq);
++      struct unicam_device *dev = node->dev;
++      unsigned int size = node->v_fmt.fmt.pix.sizeimage;
+       if (vq->num_buffers + *nbuffers < 3)
+               *nbuffers = 3 - vq->num_buffers;
+@@ -1029,15 +1044,16 @@ static int unicam_queue_setup(struct vb2
+ static int unicam_buffer_prepare(struct vb2_buffer *vb)
+ {
+-      struct unicam_device *dev = vb2_get_drv_priv(vb->vb2_queue);
++      struct unicam_node *node = vb2_get_drv_priv(vb->vb2_queue);
++      struct unicam_device *dev = node->dev;
+       struct unicam_buffer *buf = container_of(vb, struct unicam_buffer,
+                                             vb.vb2_buf);
+       unsigned long size;
+-      if (WARN_ON(!dev->fmt))
++      if (WARN_ON(!node->fmt))
+               return -EINVAL;
+-      size = dev->v_fmt.fmt.pix.sizeimage;
++      size = node->v_fmt.fmt.pix.sizeimage;
+       if (vb2_plane_size(vb, 0) < size) {
+               unicam_err(dev, "data will not fit into plane (%lu < %lu)\n",
+                          vb2_plane_size(vb, 0), size);
+@@ -1050,15 +1066,15 @@ static int unicam_buffer_prepare(struct
+ static void unicam_buffer_queue(struct vb2_buffer *vb)
+ {
+-      struct unicam_device *dev = vb2_get_drv_priv(vb->vb2_queue);
++      struct unicam_node *node = vb2_get_drv_priv(vb->vb2_queue);
+       struct unicam_buffer *buf = container_of(vb, struct unicam_buffer,
+                                             vb.vb2_buf);
+-      struct unicam_dmaqueue *dma_queue = &dev->dma_queue;
++      struct unicam_dmaqueue *dma_queue = &node->dma_queue;
+       unsigned long flags = 0;
+-      spin_lock_irqsave(&dev->dma_queue_lock, flags);
++      spin_lock_irqsave(&node->dma_queue_lock, flags);
+       list_add_tail(&buf->list, &dma_queue->active);
+-      spin_unlock_irqrestore(&dev->dma_queue_lock, flags);
++      spin_unlock_irqrestore(&node->dma_queue_lock, flags);
+ }
+ static void unicam_set_packing_config(struct unicam_device *dev)
+@@ -1066,11 +1082,12 @@ static void unicam_set_packing_config(st
+       int pack, unpack;
+       u32 val;
+-      if (dev->v_fmt.fmt.pix.pixelformat == dev->fmt->fourcc) {
++      if (dev->node[0].v_fmt.fmt.pix.pixelformat ==
++          dev->node[0].fmt->fourcc) {
+               unpack = UNICAM_PUM_NONE;
+               pack = UNICAM_PPM_NONE;
+       } else {
+-              switch (dev->fmt->depth) {
++              switch (dev->node[0].fmt->depth) {
+               case 8:
+                       unpack = UNICAM_PUM_UNPACK8;
+                       break;
+@@ -1108,17 +1125,17 @@ static void unicam_cfg_image_id(struct u
+       if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
+               /* CSI2 mode */
+               reg_write(cfg, UNICAM_IDI0,
+-                        (dev->virtual_channel << 6) | dev->fmt->csi_dt);
++                      (dev->virtual_channel << 6) | dev->node[0].fmt->csi_dt);
+       } else {
+               /* CCP2 mode */
+-              reg_write(cfg, UNICAM_IDI0, (0x80 | dev->fmt->csi_dt));
++              reg_write(cfg, UNICAM_IDI0, (0x80 | dev->node[0].fmt->csi_dt));
+       }
+ }
+ static void unicam_start_rx(struct unicam_device *dev, unsigned long addr)
+ {
+       struct unicam_cfg *cfg = &dev->cfg;
+-      int line_int_freq = dev->v_fmt.fmt.pix.height >> 2;
++      int line_int_freq = dev->node[0].v_fmt.fmt.pix.height >> 2;
+       unsigned int i;
+       u32 val;
+@@ -1266,7 +1283,8 @@ static void unicam_start_rx(struct unica
+               reg_write(cfg, UNICAM_DAT3, val);
+       }
+-      reg_write(&dev->cfg, UNICAM_IBLS, dev->v_fmt.fmt.pix.bytesperline);
++      reg_write(&dev->cfg, UNICAM_IBLS,
++                dev->node[0].v_fmt.fmt.pix.bytesperline);
+       unicam_wr_dma_addr(dev, addr);
+       unicam_set_packing_config(dev);
+       unicam_cfg_image_id(dev);
+@@ -1327,21 +1345,22 @@ static void unicam_disable(struct unicam
+ static int unicam_start_streaming(struct vb2_queue *vq, unsigned int count)
+ {
+-      struct unicam_device *dev = vb2_get_drv_priv(vq);
+-      struct unicam_dmaqueue *dma_q = &dev->dma_queue;
++      struct unicam_node *node = vb2_get_drv_priv(vq);
++      struct unicam_device *dev = node->dev;
++      struct unicam_dmaqueue *dma_q = &node->dma_queue;
+       struct unicam_buffer *buf, *tmp;
+       unsigned long addr = 0;
+       unsigned long flags;
+       int ret;
+-      spin_lock_irqsave(&dev->dma_queue_lock, flags);
++      spin_lock_irqsave(&node->dma_queue_lock, flags);
+       buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
+-      dev->cur_frm = buf;
+-      dev->next_frm = buf;
++      node->cur_frm = buf;
++      node->next_frm = buf;
+       list_del(&buf->list);
+-      spin_unlock_irqrestore(&dev->dma_queue_lock, flags);
++      spin_unlock_irqrestore(&node->dma_queue_lock, flags);
+-      addr = vb2_dma_contig_plane_dma_addr(&dev->cur_frm->vb.vb2_buf, 0);
++      addr = vb2_dma_contig_plane_dma_addr(&node->cur_frm->vb.vb2_buf, 0);
+       dev->sequence = 0;
+       ret = unicam_runtime_get(dev);
+@@ -1411,20 +1430,21 @@ err_release_buffers:
+               list_del(&buf->list);
+               vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
+       }
+-      if (dev->cur_frm != dev->next_frm)
+-              vb2_buffer_done(&dev->next_frm->vb.vb2_buf,
++      if (node->cur_frm != node->next_frm)
++              vb2_buffer_done(&node->next_frm->vb.vb2_buf,
+                               VB2_BUF_STATE_QUEUED);
+-      vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
+-      dev->next_frm = NULL;
+-      dev->cur_frm = NULL;
++      vb2_buffer_done(&node->cur_frm->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
++      node->next_frm = NULL;
++      node->cur_frm = NULL;
+       return ret;
+ }
+ static void unicam_stop_streaming(struct vb2_queue *vq)
+ {
+-      struct unicam_device *dev = vb2_get_drv_priv(vq);
+-      struct unicam_dmaqueue *dma_q = &dev->dma_queue;
++      struct unicam_node *node = vb2_get_drv_priv(vq);
++      struct unicam_device *dev = node->dev;
++      struct unicam_dmaqueue *dma_q = &node->dma_queue;
+       struct unicam_buffer *buf, *tmp;
+       unsigned long flags;
+@@ -1434,22 +1454,24 @@ static void unicam_stop_streaming(struct
+       unicam_disable(dev);
+       /* Release all active buffers */
+-      spin_lock_irqsave(&dev->dma_queue_lock, flags);
++      spin_lock_irqsave(&node->dma_queue_lock, flags);
+       list_for_each_entry_safe(buf, tmp, &dma_q->active, list) {
+               list_del(&buf->list);
+               vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_ERROR);
+       }
+-      if (dev->cur_frm == dev->next_frm) {
+-              vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_ERROR);
++      if (node->cur_frm == node->next_frm) {
++              vb2_buffer_done(&node->cur_frm->vb.vb2_buf,
++                              VB2_BUF_STATE_ERROR);
+       } else {
+-              vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_ERROR);
+-              vb2_buffer_done(&dev->next_frm->vb.vb2_buf,
++              vb2_buffer_done(&node->cur_frm->vb.vb2_buf,
++                              VB2_BUF_STATE_ERROR);
++              vb2_buffer_done(&node->next_frm->vb.vb2_buf,
+                               VB2_BUF_STATE_ERROR);
+       }
+-      dev->cur_frm = NULL;
+-      dev->next_frm = NULL;
+-      spin_unlock_irqrestore(&dev->dma_queue_lock, flags);
++      node->cur_frm = NULL;
++      node->next_frm = NULL;
++      spin_unlock_irqrestore(&node->dma_queue_lock, flags);
+       clk_disable_unprepare(dev->clock);
+       unicam_runtime_put(dev);
+@@ -1458,7 +1480,8 @@ static void unicam_stop_streaming(struct
+ static int unicam_enum_input(struct file *file, void *priv,
+                            struct v4l2_input *inp)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       if (inp->index != 0)
+               return -EINVAL;
+@@ -1506,21 +1529,24 @@ static int unicam_s_input(struct file *f
+ static int unicam_querystd(struct file *file, void *priv,
+                          v4l2_std_id *std)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       return v4l2_subdev_call(dev->sensor, video, querystd, std);
+ }
+ static int unicam_g_std(struct file *file, void *priv, v4l2_std_id *std)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       return v4l2_subdev_call(dev->sensor, video, g_std, std);
+ }
+ static int unicam_s_std(struct file *file, void *priv, v4l2_std_id std)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       int ret;
+       v4l2_std_id current_std;
+@@ -1531,29 +1557,31 @@ static int unicam_s_std(struct file *fil
+       if (std == current_std)
+               return 0;
+-      if (vb2_is_busy(&dev->buffer_queue))
++      if (vb2_is_busy(&node->buffer_queue))
+               return -EBUSY;
+       ret = v4l2_subdev_call(dev->sensor, video, s_std, std);
+       /* Force recomputation of bytesperline */
+-      dev->v_fmt.fmt.pix.bytesperline = 0;
++      node->v_fmt.fmt.pix.bytesperline = 0;
+-      unicam_reset_format(dev);
++      unicam_reset_format(node);
+       return ret;
+ }
+ static int unicam_s_edid(struct file *file, void *priv, struct v4l2_edid *edid)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       return v4l2_subdev_call(dev->sensor, pad, set_edid, edid);
+ }
+ static int unicam_g_edid(struct file *file, void *priv, struct v4l2_edid *edid)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       return v4l2_subdev_call(dev->sensor, pad, get_edid, edid);
+ }
+@@ -1561,7 +1589,8 @@ static int unicam_g_edid(struct file *fi
+ static int unicam_enum_framesizes(struct file *file, void *priv,
+                                 struct v4l2_frmsizeenum *fsize)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       const struct unicam_fmt *fmt;
+       struct v4l2_subdev_frame_size_enum fse;
+       int ret;
+@@ -1596,7 +1625,8 @@ static int unicam_enum_framesizes(struct
+ static int unicam_enum_frameintervals(struct file *file, void *priv,
+                                     struct v4l2_frmivalenum *fival)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       const struct unicam_fmt *fmt;
+       struct v4l2_subdev_frame_interval_enum fie = {
+               .index = fival->index,
+@@ -1624,14 +1654,16 @@ static int unicam_enum_frameintervals(st
+ static int unicam_g_parm(struct file *file, void *fh, struct v4l2_streamparm *a)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       return v4l2_g_parm_cap(video_devdata(file), dev->sensor, a);
+ }
+ static int unicam_s_parm(struct file *file, void *fh, struct v4l2_streamparm *a)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       return v4l2_s_parm_cap(video_devdata(file), dev->sensor, a);
+ }
+@@ -1639,7 +1671,8 @@ static int unicam_s_parm(struct file *fi
+ static int unicam_g_dv_timings(struct file *file, void *priv,
+                              struct v4l2_dv_timings *timings)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       return v4l2_subdev_call(dev->sensor, video, g_dv_timings, timings);
+ }
+@@ -1647,7 +1680,8 @@ static int unicam_g_dv_timings(struct fi
+ static int unicam_s_dv_timings(struct file *file, void *priv,
+                              struct v4l2_dv_timings *timings)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       struct v4l2_dv_timings current_timings;
+       int ret;
+@@ -1657,15 +1691,15 @@ static int unicam_s_dv_timings(struct fi
+       if (v4l2_match_dv_timings(timings, &current_timings, 0, false))
+               return 0;
+-      if (vb2_is_busy(&dev->buffer_queue))
++      if (vb2_is_busy(&node->buffer_queue))
+               return -EBUSY;
+       ret = v4l2_subdev_call(dev->sensor, video, s_dv_timings, timings);
+       /* Force recomputation of bytesperline */
+-      dev->v_fmt.fmt.pix.bytesperline = 0;
++      node->v_fmt.fmt.pix.bytesperline = 0;
+-      unicam_reset_format(dev);
++      unicam_reset_format(node);
+       return ret;
+ }
+@@ -1673,7 +1707,8 @@ static int unicam_s_dv_timings(struct fi
+ static int unicam_query_dv_timings(struct file *file, void *priv,
+                                  struct v4l2_dv_timings *timings)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       return v4l2_subdev_call(dev->sensor, video, query_dv_timings, timings);
+ }
+@@ -1681,7 +1716,8 @@ static int unicam_query_dv_timings(struc
+ static int unicam_enum_dv_timings(struct file *file, void *priv,
+                                 struct v4l2_enum_dv_timings *timings)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       return v4l2_subdev_call(dev->sensor, pad, enum_dv_timings, timings);
+ }
+@@ -1689,7 +1725,8 @@ static int unicam_enum_dv_timings(struct
+ static int unicam_dv_timings_cap(struct file *file, void *priv,
+                                struct v4l2_dv_timings_cap *cap)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       return v4l2_subdev_call(dev->sensor, pad, dv_timings_cap, cap);
+ }
+@@ -1707,7 +1744,8 @@ static int unicam_subscribe_event(struct
+ static int unicam_log_status(struct file *file, void *fh)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       struct unicam_cfg *cfg = &dev->cfg;
+       u32 reg;
+@@ -1716,10 +1754,10 @@ static int unicam_log_status(struct file
+       unicam_info(dev, "-----Receiver status-----\n");
+       unicam_info(dev, "V4L2 width/height:   %ux%u\n",
+-                  dev->v_fmt.fmt.pix.width, dev->v_fmt.fmt.pix.height);
+-      unicam_info(dev, "Mediabus format:     %08x\n", dev->fmt->code);
++                  node->v_fmt.fmt.pix.width, node->v_fmt.fmt.pix.height);
++      unicam_info(dev, "Mediabus format:     %08x\n", node->fmt->code);
+       unicam_info(dev, "V4L2 format:         %08x\n",
+-                  dev->v_fmt.fmt.pix.pixelformat);
++                  node->v_fmt.fmt.pix.pixelformat);
+       reg = reg_read(&dev->cfg, UNICAM_IPIPE);
+       unicam_info(dev, "Unpacking/packing:   %u / %u\n",
+                   get_field(reg, UNICAM_PUM_MASK),
+@@ -1744,7 +1782,7 @@ static void unicam_notify(struct v4l2_su
+       switch (notification) {
+       case V4L2_DEVICE_NOTIFY_EVENT:
+-              v4l2_event_queue(&dev->video_dev, arg);
++              v4l2_event_queue(&dev->node[0].video_dev, arg);
+               break;
+       default:
+               break;
+@@ -1767,10 +1805,11 @@ static const struct vb2_ops unicam_video
+  */
+ static int unicam_open(struct file *file)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       int ret;
+-      mutex_lock(&dev->lock);
++      mutex_lock(&node->lock);
+       ret = v4l2_fh_open(file);
+       if (ret) {
+@@ -1790,18 +1829,19 @@ static int unicam_open(struct file *file
+       ret = 0;
+ unlock:
+-      mutex_unlock(&dev->lock);
++      mutex_unlock(&node->lock);
+       return ret;
+ }
+ static int unicam_release(struct file *file)
+ {
+-      struct unicam_device *dev = video_drvdata(file);
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
+       struct v4l2_subdev *sd = dev->sensor;
+       bool fh_singular;
+       int ret;
+-      mutex_lock(&dev->lock);
++      mutex_lock(&node->lock);
+       fh_singular = v4l2_fh_is_singular_file(file);
+@@ -1810,7 +1850,7 @@ static int unicam_release(struct file *f
+       if (fh_singular)
+               v4l2_subdev_call(sd, core, s_power, 0);
+-      mutex_unlock(&dev->lock);
++      mutex_unlock(&node->lock);
+       return ret;
+ }
+@@ -1892,7 +1932,8 @@ unicam_async_bound(struct v4l2_async_not
+       return 0;
+ }
+-static int unicam_probe_complete(struct unicam_device *unicam)
++static int register_node(struct unicam_device *unicam, struct unicam_node *node,
++                       enum v4l2_buf_type type, int pad_id)
+ {
+       struct video_device *vdev;
+       struct vb2_queue *q;
+@@ -1900,15 +1941,7 @@ static int unicam_probe_complete(struct
+       const struct unicam_fmt *fmt;
+       int ret;
+-      v4l2_set_subdev_hostdata(unicam->sensor, unicam);
+-
+-      unicam->v4l2_dev.notify = unicam_notify;
+-
+-      unicam->sensor_config = v4l2_subdev_alloc_pad_config(unicam->sensor);
+-      if (!unicam->sensor_config)
+-              return -ENOMEM;
+-
+-      ret = __subdev_get_format(unicam, &mbus_fmt);
++      ret = __subdev_get_format(unicam, &mbus_fmt, pad_id);
+       if (ret) {
+               unicam_err(unicam, "Failed to get_format - ret %d\n", ret);
+               return ret;
+@@ -1938,14 +1971,15 @@ static int unicam_probe_complete(struct
+                       return -EINVAL;
+       }
+-      unicam->fmt = fmt;
++      node->pad_id = pad_id;
++      node->fmt = fmt;
+       if (fmt->fourcc)
+-              unicam->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
++              node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
+       else
+-              unicam->v_fmt.fmt.pix.pixelformat = fmt->repacked_fourcc;
++              node->v_fmt.fmt.pix.pixelformat = fmt->repacked_fourcc;
+       /* Read current subdev format */
+-      unicam_reset_format(unicam);
++      unicam_reset_format(node);
+       if (v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
+               v4l2_std_id tvnorms;
+@@ -1962,27 +1996,30 @@ static int unicam_probe_complete(struct
+                                      g_tvnorms, &tvnorms);
+               if (WARN_ON(ret))
+                       return -EINVAL;
+-              unicam->video_dev.tvnorms |= tvnorms;
++              node->video_dev.tvnorms |= tvnorms;
+       }
+-      spin_lock_init(&unicam->dma_queue_lock);
+-      mutex_init(&unicam->lock);
++      spin_lock_init(&node->dma_queue_lock);
++      mutex_init(&node->lock);
+-      /* Add controls from the subdevice */
+-      ret = v4l2_ctrl_add_handler(&unicam->ctrl_handler,
+-                                  unicam->sensor->ctrl_handler, NULL, true);
+-      if (ret < 0)
+-              return ret;
++      if (type == V4L2_BUF_TYPE_VIDEO_CAPTURE) {
++              /* Add controls from the subdevice */
++              ret = v4l2_ctrl_add_handler(&node->ctrl_handler,
++                                          unicam->sensor->ctrl_handler, NULL,
++                                          true);
++              if (ret < 0)
++                      return ret;
++      }
+-      q = &unicam->buffer_queue;
+-      q->type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
++      q = &node->buffer_queue;
++      q->type = type;
+       q->io_modes = VB2_MMAP | VB2_DMABUF | VB2_READ;
+-      q->drv_priv = unicam;
++      q->drv_priv = node;
+       q->ops = &unicam_video_qops;
+       q->mem_ops = &vb2_dma_contig_memops;
+       q->buf_struct_size = sizeof(struct unicam_buffer);
+       q->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_MONOTONIC;
+-      q->lock = &unicam->lock;
++      q->lock = &node->lock;
+       q->min_buffers_needed = 2;
+       q->dev = &unicam->pdev->dev;
+@@ -1992,9 +2029,9 @@ static int unicam_probe_complete(struct
+               return ret;
+       }
+-      INIT_LIST_HEAD(&unicam->dma_queue.active);
++      INIT_LIST_HEAD(&node->dma_queue.active);
+-      vdev = &unicam->video_dev;
++      vdev = &node->video_dev;
+       strlcpy(vdev->name, UNICAM_MODULE_NAME, sizeof(vdev->name));
+       vdev->release = video_device_release_empty;
+       vdev->fops = &unicam_fops;
+@@ -2002,69 +2039,113 @@ static int unicam_probe_complete(struct
+       vdev->v4l2_dev = &unicam->v4l2_dev;
+       vdev->vfl_dir = VFL_DIR_RX;
+       vdev->queue = q;
+-      vdev->lock = &unicam->lock;
++      vdev->lock = &node->lock;
+       vdev->device_caps = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING |
+                           V4L2_CAP_READWRITE;
+-
+       /* If the source has no controls then remove our ctrl handler. */
+-      if (list_empty(&unicam->ctrl_handler.ctrls))
++      if (list_empty(&node->ctrl_handler.ctrls))
+               unicam->v4l2_dev.ctrl_handler = NULL;
+-      video_set_drvdata(vdev, unicam);
++      node->dev = unicam;
++      video_set_drvdata(vdev, node);
+       vdev->entity.flags |= MEDIA_ENT_FL_DEFAULT;
+       if (!v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_STD);
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_STD);
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_ENUMSTD);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_STD);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_STD);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUMSTD);
+       }
+       if (!v4l2_subdev_has_op(unicam->sensor, video, querystd))
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_QUERYSTD);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_QUERYSTD);
+       if (!v4l2_subdev_has_op(unicam->sensor, video, s_dv_timings)) {
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_EDID);
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_EDID);
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_DV_TIMINGS_CAP);
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_DV_TIMINGS);
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_DV_TIMINGS);
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_ENUM_DV_TIMINGS);
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_QUERY_DV_TIMINGS);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_EDID);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_EDID);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_DV_TIMINGS_CAP);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_DV_TIMINGS);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_DV_TIMINGS);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_DV_TIMINGS);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_QUERY_DV_TIMINGS);
+       }
+       if (!v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_interval))
+-              v4l2_disable_ioctl(&unicam->video_dev,
++              v4l2_disable_ioctl(&node->video_dev,
+                                  VIDIOC_ENUM_FRAMEINTERVALS);
+       if (!v4l2_subdev_has_op(unicam->sensor, video, g_frame_interval))
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_PARM);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_PARM);
+       if (!v4l2_subdev_has_op(unicam->sensor, video, s_frame_interval))
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_PARM);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_PARM);
+       if (!v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_size))
+-              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_ENUM_FRAMESIZES);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_FRAMESIZES);
+       ret = video_register_device(vdev, VFL_TYPE_GRABBER, -1);
+       if (ret) {
+               unicam_err(unicam, "Unable to register video device.\n");
+               return ret;
+       }
++      node->registered = true;
+-      ret = v4l2_device_register_ro_subdev_nodes(&unicam->v4l2_dev);
++      ret = media_create_pad_link(&unicam->sensor->entity,
++                                  0, &node->video_dev.entity, 0,
++                                  MEDIA_LNK_FL_ENABLED |
++                                  MEDIA_LNK_FL_IMMUTABLE);
++      if (ret)
++              unicam_err(unicam, "Unable to create pad links.\n");
++
++      return ret;
++}
++
++static void unregister_nodes(struct unicam_device *unicam)
++{
++      if (unicam->node[0].registered) {
++              video_unregister_device(&unicam->node[0].video_dev);
++              unicam->node[0].registered = false;
++      }
++      if (unicam->node[1].registered) {
++              video_unregister_device(&unicam->node[1].video_dev);
++              unicam->node[1].registered = false;
++      }
++}
++
++static int unicam_probe_complete(struct unicam_device *unicam)
++{
++      int ret;
++
++      v4l2_set_subdev_hostdata(unicam->sensor, unicam);
++
++      unicam->v4l2_dev.notify = unicam_notify;
++
++      unicam->sensor_config = v4l2_subdev_alloc_pad_config(unicam->sensor);
++      if (!unicam->sensor_config)
++              return -ENOMEM;
++
++      ret = register_node(unicam, &unicam->node[0],
++                          V4L2_BUF_TYPE_VIDEO_CAPTURE, 0);
+       if (ret) {
+-              unicam_err(unicam,
+-                         "Unable to register subdev nodes.\n");
+-              video_unregister_device(&unicam->video_dev);
+-              return ret;
++              unicam_err(unicam, "Unable to register subdev node 0.\n");
++              goto unregister;
++      }
++      if (unicam->sensor->entity.num_pads >= 2) {
++              ret = register_node(unicam, &unicam->node[1],
++                                  V4L2_BUF_TYPE_META_CAPTURE, 1);
++              if (ret) {
++                      unicam_err(unicam,
++                                 "Unable to register subdev node 1.\n");
++                      goto unregister;
++              }
+       }
+-      ret = media_create_pad_link(&unicam->sensor->entity, 0,
+-                                  &unicam->video_dev.entity, 0,
+-                                  MEDIA_LNK_FL_ENABLED |
+-                                  MEDIA_LNK_FL_IMMUTABLE);
++      ret = v4l2_device_register_ro_subdev_nodes(&unicam->v4l2_dev);
+       if (ret) {
+-              unicam_err(unicam, "Unable to create pad links.\n");
+-              video_unregister_device(&unicam->video_dev);
+-              return ret;
++              unicam_err(unicam, "Unable to register subdev nodes.\n");
++              goto unregister;
+       }
+       return 0;
++
++unregister:
++      unregister_nodes(unicam);
++
++      return ret;
+ }
+ static int unicam_async_complete(struct v4l2_async_notifier *notifier)
+@@ -2274,7 +2355,8 @@ static int unicam_probe(struct platform_
+                pdev->dev.driver->name, dev_name(&pdev->dev));
+       unicam->mdev.hw_revision = 1;
+-      media_entity_pads_init(&unicam->video_dev.entity, 1, &unicam->pad);
++      media_entity_pads_init(&unicam->node[0].video_dev.entity, 1,
++                             &unicam->node[0].pad);
+       media_device_init(&unicam->mdev);
+       unicam->v4l2_dev.mdev = &unicam->mdev;
+@@ -2294,7 +2376,7 @@ static int unicam_probe(struct platform_
+       }
+       /* Reserve space for the controls */
+-      hdl = &unicam->ctrl_handler;
++      hdl = &unicam->node[0].ctrl_handler;
+       ret = v4l2_ctrl_handler_init(hdl, 16);
+       if (ret < 0)
+               goto media_unregister;
+@@ -2335,9 +2417,9 @@ static int unicam_remove(struct platform
+       pm_runtime_disable(&pdev->dev);
+       v4l2_async_notifier_unregister(&unicam->notifier);
+-      v4l2_ctrl_handler_free(&unicam->ctrl_handler);
++      v4l2_ctrl_handler_free(&unicam->node[0].ctrl_handler);
+       v4l2_device_unregister(&unicam->v4l2_dev);
+-      video_unregister_device(&unicam->video_dev);
++      unregister_nodes(unicam);
+       if (unicam->sensor_config)
+               v4l2_subdev_free_pad_config(unicam->sensor_config);
+       media_device_unregister(&unicam->mdev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0644-Documentation-media-Update-sub-device-API-intro.patch b/target/linux/bcm27xx/patches-5.4/950-0644-Documentation-media-Update-sub-device-API-intro.patch
deleted file mode 100644 (file)
index f843a83..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From afd6952c4dfb0d4945b496ef08b2f478d6f40097 Mon Sep 17 00:00:00 2001
-From: Jacopo Mondi <jacopo@jmondi.org>
-Date: Tue, 7 Apr 2020 17:21:55 +0200
-Subject: [PATCH] Documentation: media: Update sub-device API intro
-
-Update the V4L2 sub-device userspace API introduction to provide more
-details on why complex devices might want to register devnodes for the
-connected subdevices.
-
-Acked-by: Sakari Ailus <sakari.ailus@linux.intel.com>
-Suggested-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
-Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
----
- Documentation/media/kapi/v4l2-subdev.rst | 9 +++++++--
- 1 file changed, 7 insertions(+), 2 deletions(-)
-
---- a/Documentation/media/kapi/v4l2-subdev.rst
-+++ b/Documentation/media/kapi/v4l2-subdev.rst
-@@ -275,8 +275,13 @@ system the .unbind() method is called. A
- V4L2 sub-device userspace API
- -----------------------------
--Beside exposing a kernel API through the :c:type:`v4l2_subdev_ops` structure,
--V4L2 sub-devices can also be controlled directly by userspace applications.
-+Bridge drivers traditionally expose one or multiple video nodes to userspace,
-+and control subdevices through the :c:type:`v4l2_subdev_ops` operations in
-+response to video node operations. This hides the complexity of the underlying
-+hardware from applications. For complex devices, finer-grained control of the
-+device than what the video nodes offer may be required. In those cases, bridge
-+drivers that implement :ref:`the media controller API <media_controller>` may
-+opt for making the subdevice operations directly accessible from userpace.
- Device nodes named ``v4l-subdev``\ *X* can be created in ``/dev`` to access
- sub-devices directly. If a sub-device supports direct userspace configuration
diff --git a/target/linux/bcm27xx/patches-5.4/950-0644-media-bcm2835-unicam-Add-embedded-data-node.patch b/target/linux/bcm27xx/patches-5.4/950-0644-media-bcm2835-unicam-Add-embedded-data-node.patch
new file mode 100644 (file)
index 0000000..a163a6f
--- /dev/null
@@ -0,0 +1,1170 @@
+From 272ee62d6410319ab4d73997de32776cc3e274cb Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Thu, 16 Apr 2020 11:35:41 +0100
+Subject: [PATCH] media: bcm2835-unicam: Add embedded data node.
+
+This patch adds a new node in the bcm2835-unicam driver to support
+CSI-2 embedded data streams.  The subdevice is queried to see if
+embedded data is available from the sensor.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ .../media/platform/bcm2835/bcm2835-unicam.c   | 667 +++++++++++++-----
+ 1 file changed, 474 insertions(+), 193 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -109,8 +109,15 @@ MODULE_PARM_DESC(debug, "Debug level 0-3
+ /* Define a nominal minimum image size */
+ #define MIN_WIDTH     16
+ #define MIN_HEIGHT    16
+-/* Maximum number of simulataneous streams Uncaim can handle. */
+-#define MAX_NODES     2
++/* Default size of the embedded buffer */
++#define UNICAM_EMBEDDED_SIZE  8192
++
++enum pad_types {
++      IMAGE_PAD,
++      METADATA_PAD,
++      MAX_NODES
++};
++
+ /*
+  * struct unicam_fmt - Unicam media bus format information
+  * @pixelformat: V4L2 pixel format FCC identifier. 0 if n/a.
+@@ -327,6 +334,12 @@ static const struct unicam_fmt formats[]
+               .depth          = 12,
+               .csi_dt         = 0x2c,
+       },
++      /* Embedded data format */
++      {
++              .fourcc         = V4L2_META_FMT_SENSOR_DATA,
++              .code           = MEDIA_BUS_FMT_SENSOR_DATA,
++              .depth          = 8,
++      }
+ };
+ struct unicam_dmaqueue {
+@@ -348,7 +361,9 @@ struct unicam_cfg {
+ #define MAX_POSSIBLE_PIX_FMTS (ARRAY_SIZE(formats))
+ struct unicam_node {
+-      bool registered;
++      int registered;
++      int open;
++      int streaming;
+       unsigned int pad_id;
+       /* Pointer pointing to current v4l2_buffer */
+       struct unicam_buffer *cur_frm;
+@@ -374,6 +389,7 @@ struct unicam_node {
+       struct unicam_device *dev;
+       struct media_pad pad;
+       struct v4l2_ctrl_handler ctrl_handler;
++      unsigned int embedded_lines;
+ };
+ struct unicam_device {
+@@ -401,8 +417,6 @@ struct unicam_device {
+       struct v4l2_subdev *sensor;
+       /* Pad config for the sensor */
+       struct v4l2_subdev_pad_config *sensor_config;
+-      /* current input at the sub device */
+-      int current_input;
+       unsigned int virtual_channel;
+       enum v4l2_mbus_type bus_type;
+@@ -413,10 +427,7 @@ struct unicam_device {
+       unsigned int bus_flags;
+       unsigned int max_data_lanes;
+       unsigned int active_data_lanes;
+-
+-      struct v4l2_rect crop;
+-      /* Flag to denote that we are processing buffers */
+-      int streaming;
++      bool sensor_embedded_data;
+       struct unicam_node node[MAX_NODES];
+ };
+@@ -488,6 +499,7 @@ static int check_mbus_format(struct unic
+       for (i = 0; !ret && i < MAX_ENUM_MBUS_CODE; i++) {
+               memset(&mbus_code, 0, sizeof(mbus_code));
+               mbus_code.index = i;
++              mbus_code.pad = IMAGE_PAD;
+               mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
+               ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code,
+@@ -552,10 +564,11 @@ static int __subdev_get_format(struct un
+ }
+ static int __subdev_set_format(struct unicam_device *dev,
+-                             struct v4l2_mbus_framefmt *fmt)
++                             struct v4l2_mbus_framefmt *fmt, int pad_id)
+ {
+       struct v4l2_subdev_format sd_fmt = {
+               .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++              .pad = pad_id
+       };
+       int ret;
+@@ -566,8 +579,12 @@ static int __subdev_set_format(struct un
+       if (ret < 0)
+               return ret;
+-      unicam_dbg(1, dev, "%s %dx%d code:%04x\n", __func__,
+-                 fmt->width, fmt->height, fmt->code);
++      if (pad_id == IMAGE_PAD)
++              unicam_dbg(1, dev, "%s %dx%d code:%04x\n", __func__, fmt->width,
++                         fmt->height, fmt->code);
++      else
++              unicam_dbg(1, dev, "%s Embedded data code:%04x\n", __func__,
++                         sd_fmt.format.code);
+       return 0;
+ }
+@@ -609,46 +626,70 @@ static int unicam_reset_format(struct un
+       struct v4l2_mbus_framefmt mbus_fmt;
+       int ret;
+-      ret = __subdev_get_format(dev, &mbus_fmt, node->pad_id);
+-      if (ret) {
+-              unicam_err(dev, "Failed to get_format - ret %d\n", ret);
+-              return ret;
+-      }
++      if (dev->sensor_embedded_data || node->pad_id != METADATA_PAD) {
++              ret = __subdev_get_format(dev, &mbus_fmt, node->pad_id);
++              if (ret) {
++                      unicam_err(dev, "Failed to get_format - ret %d\n", ret);
++                      return ret;
++              }
+-      if (mbus_fmt.code != dev->node[0].fmt->code) {
+-              unicam_err(dev, "code mismatch - fmt->code %08x, mbus_fmt.code %08x\n",
+-                         dev->node[0].fmt->code, mbus_fmt.code);
+-              return ret;
++              if (mbus_fmt.code != node->fmt->code) {
++                      unicam_err(dev, "code mismatch - fmt->code %08x, mbus_fmt.code %08x\n",
++                                 node->fmt->code, mbus_fmt.code);
++                      return ret;
++              }
+       }
+-      v4l2_fill_pix_format(&dev->node[0].v_fmt.fmt.pix, &mbus_fmt);
+-      dev->node[0].v_fmt.type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
+-
+-      unicam_calc_format_size_bpl(dev, dev->node[0].fmt, &dev->node[0].v_fmt);
+-
+-      dev->node[0].m_fmt = mbus_fmt;
++      if (node->pad_id == IMAGE_PAD) {
++              v4l2_fill_pix_format(&node->v_fmt.fmt.pix, &mbus_fmt);
++              node->v_fmt.type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
++              unicam_calc_format_size_bpl(dev, node->fmt, &node->v_fmt);
++      } else {
++              node->v_fmt.type = V4L2_BUF_TYPE_META_CAPTURE;
++              node->v_fmt.fmt.meta.dataformat = V4L2_META_FMT_SENSOR_DATA;
++              if (dev->sensor_embedded_data) {
++                      node->v_fmt.fmt.meta.buffersize =
++                                      mbus_fmt.width * mbus_fmt.height;
++                      node->embedded_lines = mbus_fmt.height;
++              } else {
++                      node->v_fmt.fmt.meta.buffersize = UNICAM_EMBEDDED_SIZE;
++                      node->embedded_lines = 1;
++              }
++      }
++      node->m_fmt = mbus_fmt;
+       return 0;
+ }
+-static void unicam_wr_dma_addr(struct unicam_device *dev, dma_addr_t dmaaddr)
++static void unicam_wr_dma_addr(struct unicam_device *dev, dma_addr_t dmaaddr,
++                             int pad_id)
+ {
++      dma_addr_t endaddr;
++
+       /*
+        * dmaaddr should be a 32-bit address with the top two bits set to 0x3
+        * to signify uncached access through the Videocore memory controller.
+        */
+       BUG_ON((dmaaddr >> 30) != 0x3);
+-      reg_write(&dev->cfg, UNICAM_IBSA0, dmaaddr);
+-      reg_write(&dev->cfg, UNICAM_IBEA0,
+-                dmaaddr + dev->node[0].v_fmt.fmt.pix.sizeimage);
++      if (pad_id == IMAGE_PAD) {
++              endaddr = dmaaddr +
++                        dev->node[IMAGE_PAD].v_fmt.fmt.pix.sizeimage;
++              reg_write(&dev->cfg, UNICAM_IBSA0, dmaaddr);
++              reg_write(&dev->cfg, UNICAM_IBEA0, endaddr);
++      } else {
++              endaddr = dmaaddr +
++                        dev->node[METADATA_PAD].v_fmt.fmt.meta.buffersize;
++              reg_write(&dev->cfg, UNICAM_DBSA0, dmaaddr);
++              reg_write(&dev->cfg, UNICAM_DBEA0, endaddr);
++      }
+ }
+ static inline unsigned int unicam_get_lines_done(struct unicam_device *dev)
+ {
+       dma_addr_t start_addr, cur_addr;
+-      unsigned int stride = dev->node[0].v_fmt.fmt.pix.bytesperline;
+-      struct unicam_buffer *frm = dev->node[0].cur_frm;
++      unsigned int stride = dev->node[IMAGE_PAD].v_fmt.fmt.pix.bytesperline;
++      struct unicam_buffer *frm = dev->node[IMAGE_PAD].cur_frm;
+       if (!frm)
+               return 0;
+@@ -658,27 +699,51 @@ static inline unsigned int unicam_get_li
+       return (unsigned int)(cur_addr - start_addr) / stride;
+ }
+-static inline void unicam_schedule_next_buffer(struct unicam_device *dev)
++static inline void unicam_schedule_next_buffer(struct unicam_node *node)
+ {
+-      struct unicam_dmaqueue *dma_q = &dev->node[0].dma_queue;
++      struct unicam_device *dev = node->dev;
++      struct unicam_dmaqueue *dma_q = &node->dma_queue;
+       struct unicam_buffer *buf;
+       dma_addr_t addr;
+       buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
+-      dev->node[0].next_frm = buf;
++      node->next_frm = buf;
+       list_del(&buf->list);
+       addr = vb2_dma_contig_plane_dma_addr(&buf->vb.vb2_buf, 0);
+-      unicam_wr_dma_addr(dev, addr);
++      unicam_wr_dma_addr(dev, addr, node->pad_id);
+ }
+-static inline void unicam_process_buffer_complete(struct unicam_device *dev)
++static inline void unicam_process_buffer_complete(struct unicam_node *node,
++                                                unsigned int sequence)
+ {
+-      dev->node[0].cur_frm->vb.field = dev->node[0].m_fmt.field;
+-      dev->node[0].cur_frm->vb.sequence = dev->sequence++;
++      node->cur_frm->vb.field = node->m_fmt.field;
++      node->cur_frm->vb.sequence = sequence;
++
++      vb2_buffer_done(&node->cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
++      node->cur_frm = node->next_frm;
++}
+-      vb2_buffer_done(&dev->node[0].cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
+-      dev->node[0].cur_frm = dev->node[0].next_frm;
++static int unicam_num_nodes_streaming(struct unicam_device *dev)
++{
++      return dev->node[IMAGE_PAD].streaming +
++             dev->node[METADATA_PAD].streaming;
++}
++
++static int unicam_all_nodes_streaming(struct unicam_device *dev)
++{
++      int ret;
++
++      ret = dev->node[IMAGE_PAD].open && dev->node[IMAGE_PAD].streaming;
++      ret &= !dev->node[METADATA_PAD].open ||
++             dev->node[METADATA_PAD].streaming;
++      return ret;
++}
++
++static int unicam_all_nodes_disabled(struct unicam_device *dev)
++{
++      return !dev->node[IMAGE_PAD].streaming &&
++             !dev->node[METADATA_PAD].streaming;
+ }
+ /*
+@@ -693,10 +758,12 @@ static irqreturn_t unicam_isr(int irq, v
+ {
+       struct unicam_device *unicam = (struct unicam_device *)dev;
+       struct unicam_cfg *cfg = &unicam->cfg;
+-      struct unicam_dmaqueue *dma_q = &unicam->node[0].dma_queue;
+       unsigned int lines_done = unicam_get_lines_done(dev);
+       unsigned int sequence = unicam->sequence;
++      int num_nodes_streaming = unicam_num_nodes_streaming(dev);
+       int ista, sta;
++      u64 ts;
++      int i;
+       /*
+        * Don't service interrupts if not streaming.
+@@ -704,7 +771,7 @@ static irqreturn_t unicam_isr(int irq, v
+        * peripheral without the kernel knowing (that
+        * shouldn't happen, but causes issues if it does).
+        */
+-      if (!unicam->streaming)
++      if (unicam_all_nodes_disabled(unicam))
+               return IRQ_HANDLED;
+       sta = reg_read(cfg, UNICAM_STA);
+@@ -726,9 +793,12 @@ static irqreturn_t unicam_isr(int irq, v
+                * Timestamp is to be when the first data byte was captured,
+                * aka frame start.
+                */
+-              if (unicam->node[0].cur_frm)
+-                      unicam->node[0].cur_frm->vb.vb2_buf.timestamp =
+-                              ktime_get_ns();
++              ts = ktime_get_ns();
++              for (i = 0; i < num_nodes_streaming; i++) {
++                      if (unicam->node[i].cur_frm)
++                              unicam->node[i].cur_frm->vb.vb2_buf.timestamp =
++                                                              ts;
++              }
+       }
+       if (ista & UNICAM_FEI || sta & UNICAM_PI0) {
+               /*
+@@ -736,9 +806,13 @@ static irqreturn_t unicam_isr(int irq, v
+                * stop the peripheral. Overwrite the frame we've just
+                * captured instead.
+                */
+-              if (unicam->node[0].cur_frm &&
+-                  unicam->node[0].cur_frm != unicam->node[0].next_frm)
+-                      unicam_process_buffer_complete(unicam);
++              for (i = 0; i < num_nodes_streaming; i++) {
++                      if (unicam->node[i].cur_frm &&
++                          unicam->node[i].cur_frm != unicam->node[i].next_frm)
++                              unicam_process_buffer_complete(&unicam->node[i],
++                                                             sequence);
++              }
++              unicam->sequence++;
+       }
+       /* Cannot swap buffer at frame end, there may be a race condition
+@@ -746,11 +820,13 @@ static irqreturn_t unicam_isr(int irq, v
+        * already started.
+        */
+       if (ista & (UNICAM_FSI | UNICAM_LCI) && !(ista & UNICAM_FEI)) {
+-              spin_lock(&unicam->node[0].dma_queue_lock);
+-              if (!list_empty(&dma_q->active) &&
+-                  unicam->node[0].cur_frm == unicam->node[0].next_frm)
+-                      unicam_schedule_next_buffer(unicam);
+-              spin_unlock(&unicam->node[0].dma_queue_lock);
++              for (i = 0; i < num_nodes_streaming; i++) {
++                      spin_lock(&unicam->node[i].dma_queue_lock);
++                      if (!list_empty(&unicam->node[i].dma_queue.active) &&
++                          unicam->node[i].cur_frm == unicam->node[i].next_frm)
++                              unicam_schedule_next_buffer(&unicam->node[i]);
++                      spin_unlock(&unicam->node[i].dma_queue_lock);
++              }
+       }
+       if (reg_read(&unicam->cfg, UNICAM_ICTL) & UNICAM_FCM) {
+@@ -773,6 +849,15 @@ static int unicam_querycap(struct file *
+       snprintf(cap->bus_info, sizeof(cap->bus_info),
+                "platform:%s", dev->v4l2_dev.name);
++      cap->capabilities = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING |
++                          V4L2_CAP_READWRITE | V4L2_CAP_DEVICE_CAPS |
++                          V4L2_CAP_META_CAPTURE;
++
++      if (node->pad_id == IMAGE_PAD)
++              cap->device_caps = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING;
++      else
++              cap->device_caps = V4L2_CAP_META_CAPTURE | V4L2_CAP_STREAMING;
++
+       return 0;
+ }
+@@ -787,9 +872,14 @@ static int unicam_enum_fmt_vid_cap(struc
+       int ret = 0;
+       int i;
++      if (node->pad_id == METADATA_PAD)
++              return -EINVAL;
++
+       for (i = 0; !ret && i < MAX_ENUM_MBUS_CODE; i++) {
+               memset(&mbus_code, 0, sizeof(mbus_code));
+               mbus_code.index = i;
++              mbus_code.pad = IMAGE_PAD;
++              mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
+               ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code,
+                                      NULL, &mbus_code);
+@@ -827,6 +917,9 @@ static int unicam_g_fmt_vid_cap(struct f
+ {
+       struct unicam_node *node = video_drvdata(file);
++      if (node->pad_id == METADATA_PAD)
++              return -EINVAL;
++
+       *f = node->v_fmt;
+       return 0;
+@@ -843,6 +936,9 @@ const struct unicam_fmt *get_first_suppo
+       for (j = 0; ret != -EINVAL && ret != -ENOIOCTLCMD; ++j) {
+               memset(&mbus_code, 0, sizeof(mbus_code));
+               mbus_code.index = j;
++              mbus_code.pad = IMAGE_PAD;
++              mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
++
+               ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code, NULL,
+                                      &mbus_code);
+               if (ret < 0) {
+@@ -873,12 +969,15 @@ static int unicam_try_fmt_vid_cap(struct
+       struct unicam_device *dev = node->dev;
+       struct v4l2_subdev_format sd_fmt = {
+               .which = V4L2_SUBDEV_FORMAT_TRY,
+-              .pad = 0
++              .pad = IMAGE_PAD
+       };
+       struct v4l2_mbus_framefmt *mbus_fmt = &sd_fmt.format;
+       const struct unicam_fmt *fmt;
+       int ret;
++      if (node->pad_id == METADATA_PAD)
++              return -EINVAL;
++
+       fmt = find_format_by_pix(dev, f->fmt.pix.pixelformat);
+       if (!fmt) {
+               /* Pixel format not supported by unicam. Choose the first
+@@ -983,7 +1082,7 @@ static int unicam_s_fmt_vid_cap(struct f
+       v4l2_fill_mbus_format(&mbus_fmt, &f->fmt.pix, fmt->code);
+-      ret = __subdev_set_format(dev, &mbus_fmt);
++      ret = __subdev_set_format(dev, &mbus_fmt, node->pad_id);
+       if (ret) {
+               unicam_dbg(3, dev, "%s __subdev_set_format failed %d\n",
+                          __func__, ret);
+@@ -1014,6 +1113,106 @@ static int unicam_s_fmt_vid_cap(struct f
+       return 0;
+ }
++static int unicam_enum_fmt_meta_cap(struct file *file, void *priv,
++                                  struct v4l2_fmtdesc *f)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      struct v4l2_subdev_mbus_code_enum mbus_code;
++      const struct unicam_fmt *fmt = NULL;
++      int ret = 0;
++
++      if (node->pad_id != METADATA_PAD || f->index != 0)
++              return -EINVAL;
++
++      if (dev->sensor_embedded_data) {
++              memset(&mbus_code, 0, sizeof(mbus_code));
++              mbus_code.index = f->index;
++              mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
++              mbus_code.pad = METADATA_PAD;
++
++              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code, NULL,
++                                     &mbus_code);
++              if (ret < 0) {
++                      unicam_dbg(2, dev,
++                                 "subdev->enum_mbus_code idx 0 returned %d - index invalid\n",
++                                 ret);
++                      return -EINVAL;
++              }
++      } else {
++              mbus_code.code = MEDIA_BUS_FMT_SENSOR_DATA;
++      }
++
++      fmt = find_format_by_code(mbus_code.code);
++      if (fmt)
++              f->pixelformat = fmt->fourcc;
++
++      return 0;
++}
++
++static int unicam_g_fmt_meta_cap(struct file *file, void *priv,
++                               struct v4l2_format *f)
++{
++      struct unicam_node *node = video_drvdata(file);
++
++      if (node->pad_id != METADATA_PAD)
++              return -EINVAL;
++
++      *f = node->v_fmt;
++
++      return 0;
++}
++
++static int unicam_try_fmt_meta_cap(struct file *file, void *priv,
++                                 struct v4l2_format *f)
++{
++      struct unicam_node *node = video_drvdata(file);
++
++      if (node->pad_id != METADATA_PAD)
++              return -EINVAL;
++
++      *f = node->v_fmt;
++
++      return 0;
++}
++
++static int unicam_s_fmt_meta_cap(struct file *file, void *priv,
++                               struct v4l2_format *f)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      struct v4l2_mbus_framefmt mbus_fmt = { 0 };
++      const struct unicam_fmt *fmt;
++      int ret;
++
++      if (node->pad_id == IMAGE_PAD)
++              return -EINVAL;
++
++      if (dev->sensor_embedded_data) {
++              fmt = find_format_by_pix(dev, f->fmt.meta.dataformat);
++              if (!fmt) {
++                      unicam_err(dev, "unknown format: V4L2 pix 0x%08x\n",
++                                 f->fmt.meta.dataformat);
++                      return -EINVAL;
++              }
++              mbus_fmt.code = fmt->code;
++              ret = __subdev_set_format(dev, &mbus_fmt, node->pad_id);
++              if (ret) {
++                      unicam_dbg(3, dev, "%s __subdev_set_format failed %d\n",
++                                 __func__, ret);
++                      return ret;
++              }
++      }
++
++      *f = node->v_fmt;
++
++      unicam_dbg(3, dev, "%s size %d, V4L2 pix 0x%08x\n",
++                 __func__, node->v_fmt.fmt.meta.buffersize,
++                 node->v_fmt.fmt.meta.dataformat);
++
++      return 0;
++}
++
+ static int unicam_queue_setup(struct vb2_queue *vq,
+                             unsigned int *nbuffers,
+                             unsigned int *nplanes,
+@@ -1022,7 +1221,9 @@ static int unicam_queue_setup(struct vb2
+ {
+       struct unicam_node *node = vb2_get_drv_priv(vq);
+       struct unicam_device *dev = node->dev;
+-      unsigned int size = node->v_fmt.fmt.pix.sizeimage;
++      unsigned int size = node->pad_id == IMAGE_PAD ?
++                                  node->v_fmt.fmt.pix.sizeimage :
++                                  node->v_fmt.fmt.meta.buffersize;
+       if (vq->num_buffers + *nbuffers < 3)
+               *nbuffers = 3 - vq->num_buffers;
+@@ -1053,7 +1254,8 @@ static int unicam_buffer_prepare(struct
+       if (WARN_ON(!node->fmt))
+               return -EINVAL;
+-      size = node->v_fmt.fmt.pix.sizeimage;
++      size = node->pad_id == IMAGE_PAD ? node->v_fmt.fmt.pix.sizeimage :
++                                         node->v_fmt.fmt.meta.buffersize;
+       if (vb2_plane_size(vb, 0) < size) {
+               unicam_err(dev, "data will not fit into plane (%lu < %lu)\n",
+                          vb2_plane_size(vb, 0), size);
+@@ -1082,12 +1284,12 @@ static void unicam_set_packing_config(st
+       int pack, unpack;
+       u32 val;
+-      if (dev->node[0].v_fmt.fmt.pix.pixelformat ==
+-          dev->node[0].fmt->fourcc) {
++      if (dev->node[IMAGE_PAD].v_fmt.fmt.pix.pixelformat ==
++          dev->node[IMAGE_PAD].fmt->fourcc) {
+               unpack = UNICAM_PUM_NONE;
+               pack = UNICAM_PPM_NONE;
+       } else {
+-              switch (dev->node[0].fmt->depth) {
++              switch (dev->node[IMAGE_PAD].fmt->depth) {
+               case 8:
+                       unpack = UNICAM_PUM_UNPACK8;
+                       break;
+@@ -1125,17 +1327,31 @@ static void unicam_cfg_image_id(struct u
+       if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
+               /* CSI2 mode */
+               reg_write(cfg, UNICAM_IDI0,
+-                      (dev->virtual_channel << 6) | dev->node[0].fmt->csi_dt);
++                        (dev->virtual_channel << 6) |
++                                            dev->node[IMAGE_PAD].fmt->csi_dt);
+       } else {
+               /* CCP2 mode */
+-              reg_write(cfg, UNICAM_IDI0, (0x80 | dev->node[0].fmt->csi_dt));
++              reg_write(cfg, UNICAM_IDI0,
++                        0x80 | dev->node[IMAGE_PAD].fmt->csi_dt);
+       }
+ }
+-static void unicam_start_rx(struct unicam_device *dev, unsigned long addr)
++static void unicam_enable_ed(struct unicam_device *dev)
++{
++      struct unicam_cfg *cfg = &dev->cfg;
++      u32 val = reg_read(cfg, UNICAM_DCS);
++
++      set_field(&val, 2, UNICAM_EDL_MASK);
++      /* Do not wrap at the end of the embedded data buffer */
++      set_field(&val, 0, UNICAM_DBOB);
++
++      reg_write(cfg, UNICAM_DCS, val);
++}
++
++static void unicam_start_rx(struct unicam_device *dev, dma_addr_t *addr)
+ {
+       struct unicam_cfg *cfg = &dev->cfg;
+-      int line_int_freq = dev->node[0].v_fmt.fmt.pix.height >> 2;
++      int line_int_freq = dev->node[IMAGE_PAD].v_fmt.fmt.pix.height >> 2;
+       unsigned int i;
+       u32 val;
+@@ -1284,27 +1500,31 @@ static void unicam_start_rx(struct unica
+       }
+       reg_write(&dev->cfg, UNICAM_IBLS,
+-                dev->node[0].v_fmt.fmt.pix.bytesperline);
+-      unicam_wr_dma_addr(dev, addr);
++                dev->node[IMAGE_PAD].v_fmt.fmt.pix.bytesperline);
++      unicam_wr_dma_addr(dev, addr[IMAGE_PAD], IMAGE_PAD);
+       unicam_set_packing_config(dev);
+       unicam_cfg_image_id(dev);
+-      /* Disabled embedded data */
+-      val = 0;
+-      set_field(&val, 0, UNICAM_EDL_MASK);
+-      reg_write(cfg, UNICAM_DCS, val);
+-
+       val = reg_read(cfg, UNICAM_MISC);
+       set_field(&val, 1, UNICAM_FL0);
+       set_field(&val, 1, UNICAM_FL1);
+       reg_write(cfg, UNICAM_MISC, val);
++      if (dev->node[METADATA_PAD].streaming && dev->sensor_embedded_data) {
++              unicam_enable_ed(dev);
++              unicam_wr_dma_addr(dev, addr[METADATA_PAD], METADATA_PAD);
++      }
++
+       /* Enable peripheral */
+       reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_CPE);
+       /* Load image pointers */
+       reg_write_field(cfg, UNICAM_ICTL, 1, UNICAM_LIP_MASK);
++      /* Load embedded data buffer pointers if needed */
++      if (dev->node[METADATA_PAD].streaming && dev->sensor_embedded_data)
++              reg_write_field(cfg, UNICAM_DCS, 1, UNICAM_LDP);
++
+       /*
+        * Enable trigger only for the first frame to
+        * sync correctly to the FS from the source.
+@@ -1339,6 +1559,9 @@ static void unicam_disable(struct unicam
+       /* Disable peripheral */
+       reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPE);
++      /* Clear ED setup */
++      reg_write(cfg, UNICAM_DCS, 0);
++
+       /* Disable all lane clocks */
+       clk_write(cfg, 0);
+ }
+@@ -1347,26 +1570,23 @@ static int unicam_start_streaming(struct
+ {
+       struct unicam_node *node = vb2_get_drv_priv(vq);
+       struct unicam_device *dev = node->dev;
+-      struct unicam_dmaqueue *dma_q = &node->dma_queue;
+-      struct unicam_buffer *buf, *tmp;
+-      unsigned long addr = 0;
++      struct unicam_buffer *buf;
++      dma_addr_t buffer_addr[MAX_NODES] = { 0 };
++      int num_nodes_streaming;
+       unsigned long flags;
+-      int ret;
++      int ret, i;
+-      spin_lock_irqsave(&node->dma_queue_lock, flags);
+-      buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
+-      node->cur_frm = buf;
+-      node->next_frm = buf;
+-      list_del(&buf->list);
+-      spin_unlock_irqrestore(&node->dma_queue_lock, flags);
++      node->streaming = 1;
++      if (!unicam_all_nodes_streaming(dev)) {
++              unicam_dbg(3, dev, "Not all nodes are streaming yet.");
++              return 0;
++      }
+-      addr = vb2_dma_contig_plane_dma_addr(&node->cur_frm->vb.vb2_buf, 0);
+       dev->sequence = 0;
+-
+       ret = unicam_runtime_get(dev);
+       if (ret < 0) {
+               unicam_dbg(3, dev, "unicam_runtime_get failed\n");
+-              goto err_release_buffers;
++              return ret;
+       }
+       dev->active_data_lanes = dev->max_data_lanes;
+@@ -1388,7 +1608,7 @@ static int unicam_start_streaming(struct
+                       dev->active_data_lanes = dev->max_data_lanes;
+       }
+       if (dev->active_data_lanes > dev->max_data_lanes) {
+-              unicam_err(dev, "Device has requested %u data lanes, which is >%u configured in DT\n",
++              unicam_err(dev, "Device has requested %u data lanes, which is >%u configured in DT\n",
+                          dev->active_data_lanes, dev->max_data_lanes);
+               ret = -EINVAL;
+               goto err_pm_put;
+@@ -1408,9 +1628,22 @@ static int unicam_start_streaming(struct
+               unicam_err(dev, "Failed to enable CSI clock: %d\n", ret);
+               goto err_pm_put;
+       }
+-      dev->streaming = 1;
+-      unicam_start_rx(dev, addr);
++      num_nodes_streaming = unicam_num_nodes_streaming(dev);
++      for (i = 0; i < num_nodes_streaming; i++) {
++              spin_lock_irqsave(&dev->node[i].dma_queue_lock, flags);
++              buf = list_entry(dev->node[i].dma_queue.active.next,
++                               struct unicam_buffer, list);
++              dev->node[i].cur_frm = buf;
++              dev->node[i].next_frm = buf;
++              list_del(&buf->list);
++              spin_unlock_irqrestore(&dev->node[i].dma_queue_lock, flags);
++              buffer_addr[i] =
++              vb2_dma_contig_plane_dma_addr(&dev->node[i].cur_frm->vb.vb2_buf,
++                                            0);
++      }
++
++      unicam_start_rx(dev, buffer_addr);
+       ret = v4l2_subdev_call(dev->sensor, video, s_stream, 1);
+       if (ret < 0) {
+@@ -1421,21 +1654,11 @@ static int unicam_start_streaming(struct
+       return 0;
+ err_disable_unicam:
++      node->streaming = 0;
+       unicam_disable(dev);
+       clk_disable_unprepare(dev->clock);
+ err_pm_put:
+       unicam_runtime_put(dev);
+-err_release_buffers:
+-      list_for_each_entry_safe(buf, tmp, &dma_q->active, list) {
+-              list_del(&buf->list);
+-              vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
+-      }
+-      if (node->cur_frm != node->next_frm)
+-              vb2_buffer_done(&node->next_frm->vb.vb2_buf,
+-                              VB2_BUF_STATE_QUEUED);
+-      vb2_buffer_done(&node->cur_frm->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
+-      node->next_frm = NULL;
+-      node->cur_frm = NULL;
+       return ret;
+ }
+@@ -1448,33 +1671,47 @@ static void unicam_stop_streaming(struct
+       struct unicam_buffer *buf, *tmp;
+       unsigned long flags;
+-      if (v4l2_subdev_call(dev->sensor, video, s_stream, 0) < 0)
+-              unicam_err(dev, "stream off failed in subdev\n");
++      node->streaming = 0;
+-      unicam_disable(dev);
++      if (node->pad_id == IMAGE_PAD) {
++              /* Stop streaming the sensor and disable the peripheral.
++               * We cannot continue streaming embedded data with the
++               * image pad disabled.
++               */
++              if (v4l2_subdev_call(dev->sensor, video, s_stream, 0) < 0)
++                      unicam_err(dev, "stream off failed in subdev\n");
+-      /* Release all active buffers */
++              unicam_disable(dev);
++              clk_disable_unprepare(dev->clock);
++              unicam_runtime_put(dev);
++
++      } else if (node->pad_id == METADATA_PAD) {
++              /* Null out the embedded data buffer address so the HW does
++               * not use it.  This is only really needed if the embedded data
++               * pad is disabled before the image pad.  The 0x3 in the top two
++               * bits signifies uncached accesses through the Videocore
++               * memory controller.
++               */
++              unicam_wr_dma_addr(dev, 0xc0000000, METADATA_PAD);
++      }
++
++      /* Clear all queued buffers for the node */
+       spin_lock_irqsave(&node->dma_queue_lock, flags);
+       list_for_each_entry_safe(buf, tmp, &dma_q->active, list) {
+               list_del(&buf->list);
+               vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_ERROR);
+       }
+-      if (node->cur_frm == node->next_frm) {
+-              vb2_buffer_done(&node->cur_frm->vb.vb2_buf,
+-                              VB2_BUF_STATE_ERROR);
+-      } else {
++      if (node->cur_frm)
+               vb2_buffer_done(&node->cur_frm->vb.vb2_buf,
+                               VB2_BUF_STATE_ERROR);
++      if (node->next_frm && node->cur_frm != node->next_frm)
+               vb2_buffer_done(&node->next_frm->vb.vb2_buf,
+                               VB2_BUF_STATE_ERROR);
+-      }
++
+       node->cur_frm = NULL;
+       node->next_frm = NULL;
+       spin_unlock_irqrestore(&node->dma_queue_lock, flags);
+-
+-      clk_disable_unprepare(dev->clock);
+-      unicam_runtime_put(dev);
+ }
+ static int unicam_enum_input(struct file *file, void *priv,
+@@ -1595,17 +1832,23 @@ static int unicam_enum_framesizes(struct
+       struct v4l2_subdev_frame_size_enum fse;
+       int ret;
+-      /* check for valid format */
+-      fmt = find_format_by_pix(dev, fsize->pixel_format);
+-      if (!fmt) {
+-              unicam_dbg(3, dev, "Invalid pixel code: %x\n",
+-                         fsize->pixel_format);
+-              return -EINVAL;
++      if (node->pad_id == IMAGE_PAD) {
++              /* check for valid format */
++              fmt = find_format_by_pix(dev, fsize->pixel_format);
++              if (!fmt) {
++                      unicam_dbg(3, dev, "Invalid pixel code: %x\n",
++                                 fsize->pixel_format);
++                      return -EINVAL;
++              }
++              fse.code = fmt->code;
++      } else {
++              /* This pad is for embedded data, so just set the format */
++              fse.code = MEDIA_BUS_FMT_SENSOR_DATA;
+       }
++      fse.which = V4L2_SUBDEV_FORMAT_ACTIVE;
+       fse.index = fsize->index;
+-      fse.pad = 0;
+-      fse.code = fmt->code;
++      fse.pad = node->pad_id;
+       ret = v4l2_subdev_call(dev->sensor, pad, enum_frame_size, NULL, &fse);
+       if (ret)
+@@ -1782,7 +2025,7 @@ static void unicam_notify(struct v4l2_su
+       switch (notification) {
+       case V4L2_DEVICE_NOTIFY_EVENT:
+-              v4l2_event_queue(&dev->node[0].video_dev, arg);
++              v4l2_event_queue(&dev->node[IMAGE_PAD].video_dev, arg);
+               break;
+       default:
+               break;
+@@ -1826,6 +2069,7 @@ static int unicam_open(struct file *file
+               goto unlock;
+       }
++      node->open++;
+       ret = 0;
+ unlock:
+@@ -1850,6 +2094,10 @@ static int unicam_release(struct file *f
+       if (fh_singular)
+               v4l2_subdev_call(sd, core, s_power, 0);
++      if (node->streaming)
++              unicam_stop_streaming(&node->buffer_queue);
++
++      node->open--;
+       mutex_unlock(&node->lock);
+       return ret;
+@@ -1874,6 +2122,11 @@ static const struct v4l2_ioctl_ops unica
+       .vidioc_s_fmt_vid_cap           = unicam_s_fmt_vid_cap,
+       .vidioc_try_fmt_vid_cap         = unicam_try_fmt_vid_cap,
++      .vidioc_enum_fmt_meta_cap       = unicam_enum_fmt_meta_cap,
++      .vidioc_g_fmt_meta_cap          = unicam_g_fmt_meta_cap,
++      .vidioc_s_fmt_meta_cap          = unicam_s_fmt_meta_cap,
++      .vidioc_try_fmt_meta_cap        = unicam_try_fmt_meta_cap,
++
+       .vidioc_enum_input              = unicam_enum_input,
+       .vidioc_g_input                 = unicam_g_input,
+       .vidioc_s_input                 = unicam_s_input,
+@@ -1941,42 +2194,53 @@ static int register_node(struct unicam_d
+       const struct unicam_fmt *fmt;
+       int ret;
+-      ret = __subdev_get_format(unicam, &mbus_fmt, pad_id);
+-      if (ret) {
+-              unicam_err(unicam, "Failed to get_format - ret %d\n", ret);
+-              return ret;
+-      }
+-
+-      fmt = find_format_by_code(mbus_fmt.code);
+-      if (!fmt) {
+-              /* Find the first format that the sensor and unicam both
+-               * support
+-               */
+-              fmt = get_first_supported_format(unicam);
++      if (unicam->sensor_embedded_data || pad_id != METADATA_PAD) {
++              ret = __subdev_get_format(unicam, &mbus_fmt, pad_id);
++              if (ret) {
++                      unicam_err(unicam, "Failed to get_format - ret %d\n",
++                                 ret);
++                      return ret;
++              }
+-              if (!fmt)
+-                      /* No compatible formats */
+-                      return -EINVAL;
++              fmt = find_format_by_code(mbus_fmt.code);
++              if (!fmt) {
++                      /* Find the first format that the sensor and unicam both
++                       * support
++                       */
++                      fmt = get_first_supported_format(unicam);
+-              mbus_fmt.code = fmt->code;
+-              ret = __subdev_set_format(unicam, &mbus_fmt);
+-              if (ret)
+-                      return -EINVAL;
+-      }
+-      if (mbus_fmt.field != V4L2_FIELD_NONE) {
+-              /* Interlaced not supported - disable it now. */
+-              mbus_fmt.field = V4L2_FIELD_NONE;
+-              ret = __subdev_set_format(unicam, &mbus_fmt);
+-              if (ret)
+-                      return -EINVAL;
++                      if (!fmt)
++                              /* No compatible formats */
++                              return -EINVAL;
++
++                      mbus_fmt.code = fmt->code;
++                      ret = __subdev_set_format(unicam, &mbus_fmt, pad_id);
++                      if (ret)
++                              return -EINVAL;
++              }
++              if (mbus_fmt.field != V4L2_FIELD_NONE) {
++                      /* Interlaced not supported - disable it now. */
++                      mbus_fmt.field = V4L2_FIELD_NONE;
++                      ret = __subdev_set_format(unicam, &mbus_fmt, pad_id);
++                      if (ret)
++                              return -EINVAL;
++              }
++      } else {
++              /* Fix this node format as embedded data. */
++              fmt = find_format_by_code(MEDIA_BUS_FMT_SENSOR_DATA);
+       }
++      node->dev = unicam;
+       node->pad_id = pad_id;
+       node->fmt = fmt;
+-      if (fmt->fourcc)
+-              node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
+-      else
++      if (fmt->fourcc) {
++              if (fmt->fourcc != V4L2_META_FMT_SENSOR_DATA)
++                      node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
++              else
++                      node->v_fmt.fmt.meta.dataformat = fmt->fourcc;
++      } else {
+               node->v_fmt.fmt.pix.pixelformat = fmt->repacked_fourcc;
++      }
+       /* Read current subdev format */
+       unicam_reset_format(node);
+@@ -2002,13 +2266,21 @@ static int register_node(struct unicam_d
+       spin_lock_init(&node->dma_queue_lock);
+       mutex_init(&node->lock);
+-      if (type == V4L2_BUF_TYPE_VIDEO_CAPTURE) {
++      vdev = &node->video_dev;
++      if (pad_id == IMAGE_PAD) {
+               /* Add controls from the subdevice */
+               ret = v4l2_ctrl_add_handler(&node->ctrl_handler,
+                                           unicam->sensor->ctrl_handler, NULL,
+                                           true);
+               if (ret < 0)
+                       return ret;
++
++              /*
++               * If the sensor subdevice has any controls, associate the node
++               *  with the ctrl handler to allow access from userland.
++               */
++              if (!list_empty(&node->ctrl_handler.ctrls))
++                      vdev->ctrl_handler = &node->ctrl_handler;
+       }
+       q = &node->buffer_queue;
+@@ -2031,8 +2303,6 @@ static int register_node(struct unicam_d
+       INIT_LIST_HEAD(&node->dma_queue.active);
+-      vdev = &node->video_dev;
+-      strlcpy(vdev->name, UNICAM_MODULE_NAME, sizeof(vdev->name));
+       vdev->release = video_device_release_empty;
+       vdev->fops = &unicam_fops;
+       vdev->ioctl_ops = &unicam_ioctl_ops;
+@@ -2040,24 +2310,28 @@ static int register_node(struct unicam_d
+       vdev->vfl_dir = VFL_DIR_RX;
+       vdev->queue = q;
+       vdev->lock = &node->lock;
+-      vdev->device_caps = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING |
+-                          V4L2_CAP_READWRITE;
+-      /* If the source has no controls then remove our ctrl handler. */
+-      if (list_empty(&node->ctrl_handler.ctrls))
+-              unicam->v4l2_dev.ctrl_handler = NULL;
++      vdev->device_caps = (pad_id == IMAGE_PAD) ?
++                          (V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING) :
++                          (V4L2_CAP_META_CAPTURE | V4L2_CAP_STREAMING);
++
++      /* Define the device names */
++      snprintf(vdev->name, sizeof(vdev->name), "%s-%s", UNICAM_MODULE_NAME,
++               node->pad_id == IMAGE_PAD ? "image" : "embedded");
+-      node->dev = unicam;
+       video_set_drvdata(vdev, node);
+       vdev->entity.flags |= MEDIA_ENT_FL_DEFAULT;
+-      if (!v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
++      if (node->pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_STD);
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_STD);
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUMSTD);
+       }
+-      if (!v4l2_subdev_has_op(unicam->sensor, video, querystd))
++      if (node->pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, video, querystd))
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_QUERYSTD);
+-      if (!v4l2_subdev_has_op(unicam->sensor, video, s_dv_timings)) {
++      if (node->pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, video, s_dv_timings)) {
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_EDID);
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_EDID);
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_DV_TIMINGS_CAP);
+@@ -2066,15 +2340,19 @@ static int register_node(struct unicam_d
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_DV_TIMINGS);
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_QUERY_DV_TIMINGS);
+       }
+-      if (!v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_interval))
++      if (node->pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_interval))
+               v4l2_disable_ioctl(&node->video_dev,
+                                  VIDIOC_ENUM_FRAMEINTERVALS);
+-      if (!v4l2_subdev_has_op(unicam->sensor, video, g_frame_interval))
++      if (node->pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, video, g_frame_interval))
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_PARM);
+-      if (!v4l2_subdev_has_op(unicam->sensor, video, s_frame_interval))
++      if (node->pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, video, s_frame_interval))
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_PARM);
+-      if (!v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_size))
++      if (node->pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_size))
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_FRAMESIZES);
+       ret = video_register_device(vdev, VFL_TYPE_GRABBER, -1);
+@@ -2082,27 +2360,29 @@ static int register_node(struct unicam_d
+               unicam_err(unicam, "Unable to register video device.\n");
+               return ret;
+       }
+-      node->registered = true;
++      node->registered = 1;
+-      ret = media_create_pad_link(&unicam->sensor->entity,
+-                                  0, &node->video_dev.entity, 0,
+-                                  MEDIA_LNK_FL_ENABLED |
+-                                  MEDIA_LNK_FL_IMMUTABLE);
+-      if (ret)
+-              unicam_err(unicam, "Unable to create pad links.\n");
++      if (unicam->sensor_embedded_data) {
++              ret = media_create_pad_link(&unicam->sensor->entity, pad_id,
++                                          &node->video_dev.entity, 0,
++                                          MEDIA_LNK_FL_ENABLED |
++                                          MEDIA_LNK_FL_IMMUTABLE);
++              if (ret)
++                      unicam_err(unicam, "Unable to create pad links.\n");
++      }
+       return ret;
+ }
+ static void unregister_nodes(struct unicam_device *unicam)
+ {
+-      if (unicam->node[0].registered) {
+-              video_unregister_device(&unicam->node[0].video_dev);
+-              unicam->node[0].registered = false;
+-      }
+-      if (unicam->node[1].registered) {
+-              video_unregister_device(&unicam->node[1].video_dev);
+-              unicam->node[1].registered = false;
++      if (unicam->node[IMAGE_PAD].registered) {
++              video_unregister_device(&unicam->node[IMAGE_PAD].video_dev);
++              unicam->node[IMAGE_PAD].registered = 0;
++      }
++      if (unicam->node[METADATA_PAD].registered) {
++              video_unregister_device(&unicam->node[METADATA_PAD].video_dev);
++              unicam->node[METADATA_PAD].registered = 0;
+       }
+ }
+@@ -2118,20 +2398,20 @@ static int unicam_probe_complete(struct
+       if (!unicam->sensor_config)
+               return -ENOMEM;
+-      ret = register_node(unicam, &unicam->node[0],
+-                          V4L2_BUF_TYPE_VIDEO_CAPTURE, 0);
++      unicam->sensor_embedded_data = (unicam->sensor->entity.num_pads >= 2);
++
++      ret = register_node(unicam, &unicam->node[IMAGE_PAD],
++                          V4L2_BUF_TYPE_VIDEO_CAPTURE, IMAGE_PAD);
+       if (ret) {
+               unicam_err(unicam, "Unable to register subdev node 0.\n");
+               goto unregister;
+       }
+-      if (unicam->sensor->entity.num_pads >= 2) {
+-              ret = register_node(unicam, &unicam->node[1],
+-                                  V4L2_BUF_TYPE_META_CAPTURE, 1);
+-              if (ret) {
+-                      unicam_err(unicam,
+-                                 "Unable to register subdev node 1.\n");
+-                      goto unregister;
+-              }
++
++      ret = register_node(unicam, &unicam->node[METADATA_PAD],
++                          V4L2_BUF_TYPE_META_CAPTURE, METADATA_PAD);
++      if (ret) {
++              unicam_err(unicam, "Unable to register subdev node 1.\n");
++              goto unregister;
+       }
+       ret = v4l2_device_register_ro_subdev_nodes(&unicam->v4l2_dev);
+@@ -2355,8 +2635,10 @@ static int unicam_probe(struct platform_
+                pdev->dev.driver->name, dev_name(&pdev->dev));
+       unicam->mdev.hw_revision = 1;
+-      media_entity_pads_init(&unicam->node[0].video_dev.entity, 1,
+-                             &unicam->node[0].pad);
++      media_entity_pads_init(&unicam->node[IMAGE_PAD].video_dev.entity, 1,
++                             &unicam->node[IMAGE_PAD].pad);
++      media_entity_pads_init(&unicam->node[METADATA_PAD].video_dev.entity, 1,
++                             &unicam->node[METADATA_PAD].pad);
+       media_device_init(&unicam->mdev);
+       unicam->v4l2_dev.mdev = &unicam->mdev;
+@@ -2376,11 +2658,10 @@ static int unicam_probe(struct platform_
+       }
+       /* Reserve space for the controls */
+-      hdl = &unicam->node[0].ctrl_handler;
++      hdl = &unicam->node[IMAGE_PAD].ctrl_handler;
+       ret = v4l2_ctrl_handler_init(hdl, 16);
+       if (ret < 0)
+               goto media_unregister;
+-      unicam->v4l2_dev.ctrl_handler = hdl;
+       /* set the driver data in platform device */
+       platform_set_drvdata(pdev, unicam);
+@@ -2417,7 +2698,7 @@ static int unicam_remove(struct platform
+       pm_runtime_disable(&pdev->dev);
+       v4l2_async_notifier_unregister(&unicam->notifier);
+-      v4l2_ctrl_handler_free(&unicam->node[0].ctrl_handler);
++      v4l2_ctrl_handler_free(&unicam->node[IMAGE_PAD].ctrl_handler);
+       v4l2_device_unregister(&unicam->v4l2_dev);
+       unregister_nodes(unicam);
+       if (unicam->sensor_config)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0645-Documentation-media-Document-read-only-subdevice.patch b/target/linux/bcm27xx/patches-5.4/950-0645-Documentation-media-Document-read-only-subdevice.patch
deleted file mode 100644 (file)
index 1983334..0000000
+++ /dev/null
@@ -1,217 +0,0 @@
-From c1a630e792140b4791bad84974e31b4a1cf09b1b Mon Sep 17 00:00:00 2001
-From: Jacopo Mondi <jacopo@jmondi.org>
-Date: Tue, 7 Apr 2020 17:21:56 +0200
-Subject: [PATCH] Documentation: media: Document read-only subdevice
-
-Document a new kAPI function to register subdev device nodes in read only
-mode and for each affected ioctl report how access is restricted.
-
-Acked-by: Sakari Ailus <sakari.ailus@linux.intel.com>
-Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
----
- Documentation/media/kapi/v4l2-subdev.rst      | 44 +++++++++++++++++++
- Documentation/media/uapi/v4l/dev-subdev.rst   |  5 +++
- .../media/uapi/v4l/vidioc-g-dv-timings.rst    |  6 +++
- Documentation/media/uapi/v4l/vidioc-g-std.rst |  6 +++
- .../media/uapi/v4l/vidioc-subdev-g-crop.rst   |  9 ++++
- .../media/uapi/v4l/vidioc-subdev-g-fmt.rst    |  8 ++++
- .../v4l/vidioc-subdev-g-frame-interval.rst    |  8 ++++
- .../uapi/v4l/vidioc-subdev-g-selection.rst    |  8 ++++
- 8 files changed, 94 insertions(+)
-
---- a/Documentation/media/kapi/v4l2-subdev.rst
-+++ b/Documentation/media/kapi/v4l2-subdev.rst
-@@ -332,6 +332,50 @@ Private ioctls
-       All ioctls not in the above list are passed directly to the sub-device
-       driver through the core::ioctl operation.
-+Read-only sub-device userspace API
-+----------------------------------
-+
-+Bridge drivers that control their connected subdevices through direct calls to
-+the kernel API realized by :c:type:`v4l2_subdev_ops` structure do not usually
-+want userspace to be able to change the same parameters through the subdevice
-+device node and thus do not usually register any.
-+
-+It is sometimes useful to report to userspace the current subdevice
-+configuration through a read-only API, that does not permit applications to
-+change to the device parameters but allows interfacing to the subdevice device
-+node to inspect them.
-+
-+For instance, to implement cameras based on computational photography, userspace
-+needs to know the detailed camera sensor configuration (in terms of skipping,
-+binning, cropping and scaling) for each supported output resolution. To support
-+such use cases, bridge drivers may expose the subdevice operations to userspace
-+through a read-only API.
-+
-+To create a read-only device node for all the subdevices registered with the
-+``V4L2_SUBDEV_FL_HAS_DEVNODE`` set, the :c:type:`v4l2_device` driver should call
-+:c:func:`v4l2_device_register_ro_subdev_nodes`.
-+
-+Access to the following ioctls for userspace applications is restricted on
-+sub-device device nodes registered with
-+:c:func:`v4l2_device_register_ro_subdev_nodes`.
-+
-+``VIDIOC_SUBDEV_S_FMT``,
-+``VIDIOC_SUBDEV_S_CROP``,
-+``VIDIOC_SUBDEV_S_SELECTION``:
-+
-+      These ioctls are only allowed on a read-only subdevice device node
-+      for the :ref:`V4L2_SUBDEV_FORMAT_TRY <v4l2-subdev-format-whence>`
-+      formats and selection rectangles.
-+
-+``VIDIOC_SUBDEV_S_FRAME_INTERVAL``,
-+``VIDIOC_SUBDEV_S_DV_TIMINGS``,
-+``VIDIOC_SUBDEV_S_STD``:
-+
-+      These ioctls are not allowed on a read-only subdevice node.
-+
-+In case the ioctl is not allowed, or the format to modify is set to
-+``V4L2_SUBDEV_FORMAT_ACTIVE``, the core returns a negative error code and
-+the errno variable is set to ``-EPERM``.
- I2C sub-device drivers
- ----------------------
---- a/Documentation/media/uapi/v4l/dev-subdev.rst
-+++ b/Documentation/media/uapi/v4l/dev-subdev.rst
-@@ -39,6 +39,11 @@ will feature a character device node on
- Sub-device character device nodes, conventionally named
- ``/dev/v4l-subdev*``, use major number 81.
-+Drivers may opt to limit the sub-device character devices to only expose
-+operations that do not modify the device state. In such a case the sub-devices
-+are referred to as ``read-only`` in the rest of this documentation, and the
-+related restrictions are documented in individual ioctls.
-+
- Controls
- ========
---- a/Documentation/media/uapi/v4l/vidioc-g-dv-timings.rst
-+++ b/Documentation/media/uapi/v4l/vidioc-g-dv-timings.rst
-@@ -57,6 +57,10 @@ pointer to the struct :c:type:`v4l2_dv_t
- structure as argument. If the ioctl is not supported or the timing
- values are not correct, the driver returns ``EINVAL`` error code.
-+Calling ``VIDIOC_SUBDEV_S_DV_TIMINGS`` on a subdev device node that has been
-+registered in read-only mode is not allowed. An error is returned and the errno
-+variable is set to ``-EPERM``.
-+
- The ``linux/v4l2-dv-timings.h`` header can be used to get the timings of
- the formats in the :ref:`cea861` and :ref:`vesadmt` standards. If
- the current input or output does not support DV timings (e.g. if
-@@ -81,6 +85,8 @@ ENODATA
- EBUSY
-     The device is busy and therefore can not change the timings.
-+EPERM
-+    ``VIDIOC_SUBDEV_S_DV_TIMINGS`` has been called on a read-only subdevice.
- .. tabularcolumns:: |p{4.4cm}|p{4.4cm}|p{8.7cm}|
---- a/Documentation/media/uapi/v4l/vidioc-g-std.rst
-+++ b/Documentation/media/uapi/v4l/vidioc-g-std.rst
-@@ -66,6 +66,9 @@ video timings (e.g. if :ref:`VIDIOC_ENUM
- does not set the ``V4L2_IN_CAP_STD`` flag), then ``ENODATA`` error code is
- returned.
-+Calling ``VIDIOC_SUBDEV_S_STD`` on a subdev device node that has been registered
-+in read-only mode is not allowed. An error is returned and the errno variable is
-+set to ``-EPERM``.
- Return Value
- ============
-@@ -79,3 +82,6 @@ EINVAL
- ENODATA
-     Standard video timings are not supported for this input or output.
-+
-+EPERM
-+    ``VIDIOC_SUBDEV_S_STD`` has been called on a read-only subdevice.
---- a/Documentation/media/uapi/v4l/vidioc-subdev-g-crop.rst
-+++ b/Documentation/media/uapi/v4l/vidioc-subdev-g-crop.rst
-@@ -73,6 +73,11 @@ crop rectangles and stored in the sub-de
- applications querying the same sub-device would thus not interact with
- each other.
-+If the subdev device node has been registered in read-only mode, calls to
-+``VIDIOC_SUBDEV_S_CROP`` are only valid if the ``which`` field is set to
-+``V4L2_SUBDEV_FORMAT_TRY``, otherwise an error is returned and the errno
-+variable is set to ``-EPERM``.
-+
- Drivers must not return an error solely because the requested crop
- rectangle doesn't match the device capabilities. They must instead
- modify the rectangle to match what the hardware can provide. The
-@@ -123,3 +128,7 @@ EINVAL
-     references a non-existing pad, the ``which`` field references a
-     non-existing format, or cropping is not supported on the given
-     subdev pad.
-+
-+EPERM
-+    The ``VIDIOC_SUBDEV_S_CROP`` ioctl has been called on a read-only subdevice
-+    and the ``which`` field is set to ``V4L2_SUBDEV_FORMAT_ACTIVE``.
---- a/Documentation/media/uapi/v4l/vidioc-subdev-g-fmt.rst
-+++ b/Documentation/media/uapi/v4l/vidioc-subdev-g-fmt.rst
-@@ -78,6 +78,11 @@ current links configuration or sub-devic
- a low-pass noise filter might crop pixels at the frame boundaries,
- modifying its output frame size.
-+If the subdev device node has been registered in read-only mode, calls to
-+``VIDIOC_SUBDEV_S_FMT`` are only valid if the ``which`` field is set to
-+``V4L2_SUBDEV_FORMAT_TRY``, otherwise an error is returned and the errno
-+variable is set to ``-EPERM``.
-+
- Drivers must not return an error solely because the requested format
- doesn't match the device capabilities. They must instead modify the
- format to match what the hardware can provide. The modified format
-@@ -146,6 +151,9 @@ EINVAL
-     ``pad`` references a non-existing pad, or the ``which`` field
-     references a non-existing format.
-+EPERM
-+    The ``VIDIOC_SUBDEV_S_FMT`` ioctl has been called on a read-only subdevice
-+    and the ``which`` field is set to ``V4L2_SUBDEV_FORMAT_ACTIVE``.
- ============
---- a/Documentation/media/uapi/v4l/vidioc-subdev-g-frame-interval.rst
-+++ b/Documentation/media/uapi/v4l/vidioc-subdev-g-frame-interval.rst
-@@ -65,6 +65,10 @@ struct
- contains the current frame interval as would be returned by a
- ``VIDIOC_SUBDEV_G_FRAME_INTERVAL`` call.
-+Calling ``VIDIOC_SUBDEV_S_FRAME_INTERVAL`` on a subdev device node that has been
-+registered in read-only mode is not allowed. An error is returned and the errno
-+variable is set to ``-EPERM``.
-+
- Drivers must not return an error solely because the requested interval
- doesn't match the device capabilities. They must instead modify the
- interval to match what the hardware can provide. The modified interval
-@@ -118,3 +122,7 @@ EINVAL
-     :c:type:`v4l2_subdev_frame_interval`
-     ``pad`` references a non-existing pad, or the pad doesn't support
-     frame intervals.
-+
-+EPERM
-+    The ``VIDIOC_SUBDEV_S_FRAME_INTERVAL`` ioctl has been called on a read-only
-+    subdevice.
---- a/Documentation/media/uapi/v4l/vidioc-subdev-g-selection.rst
-+++ b/Documentation/media/uapi/v4l/vidioc-subdev-g-selection.rst
-@@ -53,6 +53,10 @@ function of the crop API, and more, are
- See :ref:`subdev` for more information on how each selection target
- affects the image processing pipeline inside the subdevice.
-+If the subdev device node has been registered in read-only mode, calls to
-+``VIDIOC_SUBDEV_S_SELECTION`` are only valid if the ``which`` field is set to
-+``V4L2_SUBDEV_FORMAT_TRY``, otherwise an error is returned and the errno
-+variable is set to ``-EPERM``.
- Types of selection targets
- --------------------------
-@@ -123,3 +127,7 @@ EINVAL
-     ``pad`` references a non-existing pad, the ``which`` field
-     references a non-existing format, or the selection target is not
-     supported on the given subdev pad.
-+
-+EPERM
-+    The ``VIDIOC_SUBDEV_S_SELECTION`` ioctl has been called on a read-only
-+    subdevice and the ``which`` field is set to ``V4L2_SUBDEV_FORMAT_ACTIVE``.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0645-media-bcm2835-unicam-Use-dummy-buffer-if-none-have-b.patch b/target/linux/bcm27xx/patches-5.4/950-0645-media-bcm2835-unicam-Use-dummy-buffer-if-none-have-b.patch
new file mode 100644 (file)
index 0000000..836ced8
--- /dev/null
@@ -0,0 +1,308 @@
+From 0eb6753788616ffed17a0484a14fd7d3df2a2a05 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Thu, 2 Apr 2020 16:08:51 +0100
+Subject: [PATCH] media: bcm2835-unicam: Use dummy buffer if none have
+ been queued
+
+If no buffer has been queued by a userland application, we use an
+internal dummy buffer for the hardware to spin in. This will allow
+the driver to release the existing userland buffer back to the
+application for processing.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ .../media/platform/bcm2835/bcm2835-unicam.c   | 160 ++++++++++++------
+ 1 file changed, 110 insertions(+), 50 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -47,6 +47,7 @@
+ #include <linux/clk.h>
+ #include <linux/delay.h>
+ #include <linux/device.h>
++#include <linux/dma-mapping.h>
+ #include <linux/err.h>
+ #include <linux/init.h>
+ #include <linux/interrupt.h>
+@@ -112,6 +113,12 @@ MODULE_PARM_DESC(debug, "Debug level 0-3
+ /* Default size of the embedded buffer */
+ #define UNICAM_EMBEDDED_SIZE  8192
++/*
++ * Size of the dummy buffer. Can be any size really, but the DMA
++ * allocation works in units of page sizes.
++ */
++#define DUMMY_BUF_SIZE        (PAGE_SIZE)
++
+ enum pad_types {
+       IMAGE_PAD,
+       METADATA_PAD,
+@@ -390,6 +397,12 @@ struct unicam_node {
+       struct media_pad pad;
+       struct v4l2_ctrl_handler ctrl_handler;
+       unsigned int embedded_lines;
++      /*
++       * Dummy buffer intended to be used by unicam
++       * if we have no other queued buffers to swap to.
++       */
++      void *dummy_buf_cpu_addr;
++      dma_addr_t dummy_buf_dma_addr;
+ };
+ struct unicam_device {
+@@ -661,27 +674,24 @@ static int unicam_reset_format(struct un
+       return 0;
+ }
+-static void unicam_wr_dma_addr(struct unicam_device *dev, dma_addr_t dmaaddr,
+-                             int pad_id)
++static void unicam_wr_dma_addr(struct unicam_cfg *cfg, dma_addr_t dmaaddr,
++                             unsigned int buffer_size, int pad_id)
+ {
+-      dma_addr_t endaddr;
++      dma_addr_t endaddr = dmaaddr + buffer_size;
+       /*
+-       * dmaaddr should be a 32-bit address with the top two bits set to 0x3
+-       * to signify uncached access through the Videocore memory controller.
++       * dmaaddr and endaddr should be a 32-bit address with the top two bits
++       * set to 0x3 to signify uncached access through the Videocore memory
++       * controller.
+        */
+-      BUG_ON((dmaaddr >> 30) != 0x3);
++      BUG_ON((dmaaddr >> 30) != 0x3 && (endaddr >> 30) != 0x3);
+       if (pad_id == IMAGE_PAD) {
+-              endaddr = dmaaddr +
+-                        dev->node[IMAGE_PAD].v_fmt.fmt.pix.sizeimage;
+-              reg_write(&dev->cfg, UNICAM_IBSA0, dmaaddr);
+-              reg_write(&dev->cfg, UNICAM_IBEA0, endaddr);
++              reg_write(cfg, UNICAM_IBSA0, dmaaddr);
++              reg_write(cfg, UNICAM_IBEA0, endaddr);
+       } else {
+-              endaddr = dmaaddr +
+-                        dev->node[METADATA_PAD].v_fmt.fmt.meta.buffersize;
+-              reg_write(&dev->cfg, UNICAM_DBSA0, dmaaddr);
+-              reg_write(&dev->cfg, UNICAM_DBEA0, endaddr);
++              reg_write(cfg, UNICAM_DBSA0, dmaaddr);
++              reg_write(cfg, UNICAM_DBEA0, endaddr);
+       }
+ }
+@@ -704,6 +714,7 @@ static inline void unicam_schedule_next_
+       struct unicam_device *dev = node->dev;
+       struct unicam_dmaqueue *dma_q = &node->dma_queue;
+       struct unicam_buffer *buf;
++      unsigned int size;
+       dma_addr_t addr;
+       buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
+@@ -711,7 +722,23 @@ static inline void unicam_schedule_next_
+       list_del(&buf->list);
+       addr = vb2_dma_contig_plane_dma_addr(&buf->vb.vb2_buf, 0);
+-      unicam_wr_dma_addr(dev, addr, node->pad_id);
++      size = (node->pad_id == IMAGE_PAD) ?
++                      dev->node[IMAGE_PAD].v_fmt.fmt.pix.sizeimage :
++                      dev->node[METADATA_PAD].v_fmt.fmt.meta.buffersize;
++
++      unicam_wr_dma_addr(&dev->cfg, addr, size, node->pad_id);
++}
++
++static inline void unicam_schedule_dummy_buffer(struct unicam_node *node)
++{
++      struct unicam_device *dev = node->dev;
++      dma_addr_t addr = node->dummy_buf_dma_addr;
++
++      unicam_dbg(3, dev, "Scheduling dummy buffer for node %d\n",
++                 node->pad_id);
++
++      unicam_wr_dma_addr(&dev->cfg, addr, DUMMY_BUF_SIZE, node->pad_id);
++      node->next_frm = NULL;
+ }
+ static inline void unicam_process_buffer_complete(struct unicam_node *node,
+@@ -721,7 +748,6 @@ static inline void unicam_process_buffer
+       node->cur_frm->vb.sequence = sequence;
+       vb2_buffer_done(&node->cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
+-      node->cur_frm = node->next_frm;
+ }
+ static int unicam_num_nodes_streaming(struct unicam_device *dev)
+@@ -788,6 +814,28 @@ static irqreturn_t unicam_isr(int irq, v
+       if (!(sta && (UNICAM_IS | UNICAM_PI0)))
+               return IRQ_HANDLED;
++      /*
++       * We must run the frame end handler first. If we have a valid next_frm
++       * and we get a simultaneout FE + FS interrupt, running the FS handler
++       * first would null out the next_frm ptr and we would have lost the
++       * buffer forever.
++       */
++      if (ista & UNICAM_FEI || sta & UNICAM_PI0) {
++              /*
++               * Ensure we have swapped buffers already as we can't
++               * stop the peripheral. If no buffer is available, use a
++               * dummy buffer to dump out frames until we get a new buffer
++               * to use.
++               */
++              for (i = 0; i < num_nodes_streaming; i++) {
++                      if (unicam->node[i].cur_frm)
++                              unicam_process_buffer_complete(&unicam->node[i],
++                                                             sequence);
++                      unicam->node[i].cur_frm = unicam->node[i].next_frm;
++              }
++              unicam->sequence++;
++      }
++
+       if (ista & UNICAM_FSI) {
+               /*
+                * Timestamp is to be when the first data byte was captured,
+@@ -798,24 +846,16 @@ static irqreturn_t unicam_isr(int irq, v
+                       if (unicam->node[i].cur_frm)
+                               unicam->node[i].cur_frm->vb.vb2_buf.timestamp =
+                                                               ts;
++                      /*
++                       * Set the next frame output to go to a dummy frame
++                       * if we have not managed to obtain another frame
++                       * from the queue.
++                       */
++                      unicam_schedule_dummy_buffer(&unicam->node[i]);
+               }
+       }
+-      if (ista & UNICAM_FEI || sta & UNICAM_PI0) {
+-              /*
+-               * Ensure we have swapped buffers already as we can't
+-               * stop the peripheral. Overwrite the frame we've just
+-               * captured instead.
+-               */
+-              for (i = 0; i < num_nodes_streaming; i++) {
+-                      if (unicam->node[i].cur_frm &&
+-                          unicam->node[i].cur_frm != unicam->node[i].next_frm)
+-                              unicam_process_buffer_complete(&unicam->node[i],
+-                                                             sequence);
+-              }
+-              unicam->sequence++;
+-      }
+-
+-      /* Cannot swap buffer at frame end, there may be a race condition
++      /*
++       * Cannot swap buffer at frame end, there may be a race condition
+        * where the HW does not actually swap it if the new frame has
+        * already started.
+        */
+@@ -823,7 +863,7 @@ static irqreturn_t unicam_isr(int irq, v
+               for (i = 0; i < num_nodes_streaming; i++) {
+                       spin_lock(&unicam->node[i].dma_queue_lock);
+                       if (!list_empty(&unicam->node[i].dma_queue.active) &&
+-                          unicam->node[i].cur_frm == unicam->node[i].next_frm)
++                          !unicam->node[i].next_frm)
+                               unicam_schedule_next_buffer(&unicam->node[i]);
+                       spin_unlock(&unicam->node[i].dma_queue_lock);
+               }
+@@ -1352,7 +1392,7 @@ static void unicam_start_rx(struct unica
+ {
+       struct unicam_cfg *cfg = &dev->cfg;
+       int line_int_freq = dev->node[IMAGE_PAD].v_fmt.fmt.pix.height >> 2;
+-      unsigned int i;
++      unsigned int size, i;
+       u32 val;
+       if (line_int_freq < 128)
+@@ -1413,7 +1453,7 @@ static void unicam_start_rx(struct unica
+       reg_write_field(cfg, UNICAM_ANA, 0, UNICAM_DDL);
+       /* Always start in trigger frame capture mode (UNICAM_FCM set) */
+-      val = UNICAM_FSIE | UNICAM_FEIE | UNICAM_FCM;
++      val = UNICAM_FSIE | UNICAM_FEIE | UNICAM_FCM | UNICAM_IBOB;
+       set_field(&val,  line_int_freq, UNICAM_LCIE_MASK);
+       reg_write(cfg, UNICAM_ICTL, val);
+       reg_write(cfg, UNICAM_STA, UNICAM_STA_MASK_ALL);
+@@ -1501,7 +1541,8 @@ static void unicam_start_rx(struct unica
+       reg_write(&dev->cfg, UNICAM_IBLS,
+                 dev->node[IMAGE_PAD].v_fmt.fmt.pix.bytesperline);
+-      unicam_wr_dma_addr(dev, addr[IMAGE_PAD], IMAGE_PAD);
++      size = dev->node[IMAGE_PAD].v_fmt.fmt.pix.sizeimage;
++      unicam_wr_dma_addr(&dev->cfg, addr[IMAGE_PAD], size, IMAGE_PAD);
+       unicam_set_packing_config(dev);
+       unicam_cfg_image_id(dev);
+@@ -1511,8 +1552,10 @@ static void unicam_start_rx(struct unica
+       reg_write(cfg, UNICAM_MISC, val);
+       if (dev->node[METADATA_PAD].streaming && dev->sensor_embedded_data) {
++              size = dev->node[METADATA_PAD].v_fmt.fmt.meta.buffersize;
+               unicam_enable_ed(dev);
+-              unicam_wr_dma_addr(dev, addr[METADATA_PAD], METADATA_PAD);
++              unicam_wr_dma_addr(&dev->cfg, addr[METADATA_PAD], size,
++                                 METADATA_PAD);
+       }
+       /* Enable peripheral */
+@@ -1686,13 +1729,14 @@ static void unicam_stop_streaming(struct
+               unicam_runtime_put(dev);
+       } else if (node->pad_id == METADATA_PAD) {
+-              /* Null out the embedded data buffer address so the HW does
+-               * not use it.  This is only really needed if the embedded data
+-               * pad is disabled before the image pad.  The 0x3 in the top two
+-               * bits signifies uncached accesses through the Videocore
+-               * memory controller.
++              /* Allow the hardware to spin in the dummy buffer.
++               * This is only really needed if the embedded data pad is
++               * disabled before the image pad.  The 0x3 in the top two bits
++               * signifies uncached accesses through the Videocore memory
++               * controller.
+                */
+-              unicam_wr_dma_addr(dev, 0xc0000000, METADATA_PAD);
++              unicam_wr_dma_addr(&dev->cfg, node->dummy_buf_dma_addr,
++                                 DUMMY_BUF_SIZE, METADATA_PAD);
+       }
+       /* Clear all queued buffers for the node */
+@@ -2321,6 +2365,15 @@ static int register_node(struct unicam_d
+       video_set_drvdata(vdev, node);
+       vdev->entity.flags |= MEDIA_ENT_FL_DEFAULT;
++      node->dummy_buf_cpu_addr = dma_alloc_coherent(&unicam->pdev->dev,
++                                                    DUMMY_BUF_SIZE,
++                                                    &node->dummy_buf_dma_addr,
++                                                    GFP_ATOMIC);
++      if (!node->dummy_buf_cpu_addr) {
++              unicam_err(unicam, "Unable to allocate dummy buffer.\n");
++              return -ENOMEM;
++      }
++
+       if (node->pad_id == METADATA_PAD ||
+           !v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_STD);
+@@ -2376,13 +2429,20 @@ static int register_node(struct unicam_d
+ static void unregister_nodes(struct unicam_device *unicam)
+ {
+-      if (unicam->node[IMAGE_PAD].registered) {
+-              video_unregister_device(&unicam->node[IMAGE_PAD].video_dev);
+-              unicam->node[IMAGE_PAD].registered = 0;
+-      }
+-      if (unicam->node[METADATA_PAD].registered) {
+-              video_unregister_device(&unicam->node[METADATA_PAD].video_dev);
+-              unicam->node[METADATA_PAD].registered = 0;
++      struct unicam_node *node;
++      int i;
++
++      for (i = 0; i < MAX_NODES; i++) {
++              node = &unicam->node[i];
++              if (node->dummy_buf_cpu_addr) {
++                      dma_free_coherent(&unicam->pdev->dev, DUMMY_BUF_SIZE,
++                                        node->dummy_buf_cpu_addr,
++                                        node->dummy_buf_dma_addr);
++              }
++              if (node->registered) {
++                      video_unregister_device(&node->video_dev);
++                      node->registered = 0;
++              }
+       }
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0646-media-v4l2-dev-Add-v4l2_device_register_ro_subdev_no.patch b/target/linux/bcm27xx/patches-5.4/950-0646-media-v4l2-dev-Add-v4l2_device_register_ro_subdev_no.patch
deleted file mode 100644 (file)
index 47f2551..0000000
+++ /dev/null
@@ -1,206 +0,0 @@
-From 90712c1a495c2aa4b10dd8127fdd7f1a0cd9ef00 Mon Sep 17 00:00:00 2001
-From: Jacopo Mondi <jacopo@jmondi.org>
-Date: Tue, 7 Apr 2020 17:21:57 +0200
-Subject: [PATCH] media: v4l2-dev: Add
- v4l2_device_register_ro_subdev_node()
-
-Add to the V4L2 core a function to register device nodes for video
-subdevices in read-only mode.
-
-Registering a device node in read-only mode is useful to expose to
-userspace the current sub-device configuration, without allowing
-application to change it by using the V4L2 subdevice ioctls.
-
-Acked-by: Sakari Ailus <sakari.ailus@linux.intel.com>
-Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
----
- drivers/media/v4l2-core/v4l2-device.c |  7 ++--
- drivers/media/v4l2-core/v4l2-subdev.c | 19 ++++++++++
- include/media/v4l2-dev.h              |  7 ++++
- include/media/v4l2-device.h           | 50 ++++++++++++++++++++++++---
- 4 files changed, 77 insertions(+), 6 deletions(-)
-
---- a/drivers/media/v4l2-core/v4l2-device.c
-+++ b/drivers/media/v4l2-core/v4l2-device.c
-@@ -189,7 +189,8 @@ static void v4l2_device_release_subdev_n
-       kfree(vdev);
- }
--int v4l2_device_register_subdev_nodes(struct v4l2_device *v4l2_dev)
-+int __v4l2_device_register_subdev_nodes(struct v4l2_device *v4l2_dev,
-+                                      bool read_only)
- {
-       struct video_device *vdev;
-       struct v4l2_subdev *sd;
-@@ -218,6 +219,8 @@ int v4l2_device_register_subdev_nodes(st
-               vdev->fops = &v4l2_subdev_fops;
-               vdev->release = v4l2_device_release_subdev_node;
-               vdev->ctrl_handler = sd->ctrl_handler;
-+              if (read_only)
-+                      set_bit(V4L2_FL_SUBDEV_RO_DEVNODE, &vdev->flags);
-               err = __video_register_device(vdev, VFL_TYPE_SUBDEV, -1, 1,
-                                             sd->owner);
-               if (err < 0) {
-@@ -255,7 +258,7 @@ clean_up:
-       return err;
- }
--EXPORT_SYMBOL_GPL(v4l2_device_register_subdev_nodes);
-+EXPORT_SYMBOL_GPL(__v4l2_device_register_subdev_nodes);
- void v4l2_device_unregister_subdev(struct v4l2_subdev *sd)
- {
---- a/drivers/media/v4l2-core/v4l2-subdev.c
-+++ b/drivers/media/v4l2-core/v4l2-subdev.c
-@@ -331,6 +331,7 @@ static long subdev_do_ioctl(struct file
-       struct v4l2_fh *vfh = file->private_data;
- #if defined(CONFIG_VIDEO_V4L2_SUBDEV_API)
-       struct v4l2_subdev_fh *subdev_fh = to_v4l2_subdev_fh(vfh);
-+      bool ro_subdev = test_bit(V4L2_FL_SUBDEV_RO_DEVNODE, &vdev->flags);
-       int rval;
- #endif
-@@ -453,6 +454,9 @@ static long subdev_do_ioctl(struct file
-       case VIDIOC_SUBDEV_S_FMT: {
-               struct v4l2_subdev_format *format = arg;
-+              if (format->which != V4L2_SUBDEV_FORMAT_TRY && ro_subdev)
-+                      return -EPERM;
-+
-               memset(format->reserved, 0, sizeof(format->reserved));
-               memset(format->format.reserved, 0, sizeof(format->format.reserved));
-               return v4l2_subdev_call(sd, pad, set_fmt, subdev_fh->pad, format);
-@@ -480,6 +484,9 @@ static long subdev_do_ioctl(struct file
-               struct v4l2_subdev_crop *crop = arg;
-               struct v4l2_subdev_selection sel;
-+              if (crop->which != V4L2_SUBDEV_FORMAT_TRY && ro_subdev)
-+                      return -EPERM;
-+
-               memset(crop->reserved, 0, sizeof(crop->reserved));
-               memset(&sel, 0, sizeof(sel));
-               sel.which = crop->which;
-@@ -521,6 +528,9 @@ static long subdev_do_ioctl(struct file
-       case VIDIOC_SUBDEV_S_FRAME_INTERVAL: {
-               struct v4l2_subdev_frame_interval *fi = arg;
-+              if (ro_subdev)
-+                      return -EPERM;
-+
-               memset(fi->reserved, 0, sizeof(fi->reserved));
-               return v4l2_subdev_call(sd, video, s_frame_interval, arg);
-       }
-@@ -544,6 +554,9 @@ static long subdev_do_ioctl(struct file
-       case VIDIOC_SUBDEV_S_SELECTION: {
-               struct v4l2_subdev_selection *sel = arg;
-+              if (sel->which != V4L2_SUBDEV_FORMAT_TRY && ro_subdev)
-+                      return -EPERM;
-+
-               memset(sel->reserved, 0, sizeof(sel->reserved));
-               return v4l2_subdev_call(
-                       sd, pad, set_selection, subdev_fh->pad, sel);
-@@ -580,6 +593,9 @@ static long subdev_do_ioctl(struct file
-               return v4l2_subdev_call(sd, video, g_dv_timings, arg);
-       case VIDIOC_SUBDEV_S_DV_TIMINGS:
-+              if (ro_subdev)
-+                      return -EPERM;
-+
-               return v4l2_subdev_call(sd, video, s_dv_timings, arg);
-       case VIDIOC_SUBDEV_G_STD:
-@@ -588,6 +604,9 @@ static long subdev_do_ioctl(struct file
-       case VIDIOC_SUBDEV_S_STD: {
-               v4l2_std_id *std = arg;
-+              if (ro_subdev)
-+                      return -EPERM;
-+
-               return v4l2_subdev_call(sd, video, s_std, *std);
-       }
---- a/include/media/v4l2-dev.h
-+++ b/include/media/v4l2-dev.h
-@@ -82,11 +82,18 @@ struct v4l2_ctrl_handler;
-  *    but the old crop API will still work as expected in order to preserve
-  *    backwards compatibility.
-  *    Never set this flag for new drivers.
-+ * @V4L2_FL_SUBDEV_RO_DEVNODE:
-+ *    indicates that the video device node is registered in read-only mode.
-+ *    The flag only applies to device nodes registered for sub-devices, it is
-+ *    set by the core when the sub-devices device nodes are registered with
-+ *    v4l2_device_register_ro_subdev_nodes() and used by the sub-device ioctl
-+ *    handler to restrict access to some ioctl calls.
-  */
- enum v4l2_video_device_flags {
-       V4L2_FL_REGISTERED              = 0,
-       V4L2_FL_USES_V4L2_FH            = 1,
-       V4L2_FL_QUIRK_INVERTED_CROP     = 2,
-+      V4L2_FL_SUBDEV_RO_DEVNODE       = 3,
- };
- /* Priority helper functions */
---- a/include/media/v4l2-device.h
-+++ b/include/media/v4l2-device.h
-@@ -174,14 +174,56 @@ int __must_check v4l2_device_register_su
- void v4l2_device_unregister_subdev(struct v4l2_subdev *sd);
- /**
-- * v4l2_device_register_subdev_nodes - Registers device nodes for all subdevs
-- *    of the v4l2 device that are marked with
-- *    the %V4L2_SUBDEV_FL_HAS_DEVNODE flag.
-+ * __v4l2_device_register_ro_subdev_nodes - Registers device nodes for
-+ *      all subdevs of the v4l2 device that are marked with the
-+ *      %V4L2_SUBDEV_FL_HAS_DEVNODE flag.
-  *
-  * @v4l2_dev: pointer to struct v4l2_device
-+ * @read_only: subdevices read-only flag. True to register the subdevices
-+ *    device nodes in read-only mode, false to allow full access to the
-+ *    subdevice userspace API.
-  */
- int __must_check
--v4l2_device_register_subdev_nodes(struct v4l2_device *v4l2_dev);
-+__v4l2_device_register_subdev_nodes(struct v4l2_device *v4l2_dev,
-+                                  bool read_only);
-+
-+/**
-+ * v4l2_device_register_subdev_nodes - Registers subdevices device nodes with
-+ *    unrestricted access to the subdevice userspace operations
-+ *
-+ * Internally calls __v4l2_device_register_subdev_nodes(). See its documentation
-+ * for more details.
-+ *
-+ * @v4l2_dev: pointer to struct v4l2_device
-+ */
-+static inline int __must_check
-+v4l2_device_register_subdev_nodes(struct v4l2_device *v4l2_dev)
-+{
-+#if defined(CONFIG_VIDEO_V4L2_SUBDEV_API)
-+      return __v4l2_device_register_subdev_nodes(v4l2_dev, false);
-+#else
-+      return 0;
-+#endif
-+}
-+
-+/**
-+ * v4l2_device_register_ro_subdev_nodes - Registers subdevices device nodes
-+ *    in read-only mode
-+ *
-+ * Internally calls __v4l2_device_register_subdev_nodes(). See its documentation
-+ * for more details.
-+ *
-+ * @v4l2_dev: pointer to struct v4l2_device
-+ */
-+static inline int __must_check
-+v4l2_device_register_ro_subdev_nodes(struct v4l2_device *v4l2_dev)
-+{
-+#if defined(CONFIG_VIDEO_V4L2_SUBDEV_API)
-+      return __v4l2_device_register_subdev_nodes(v4l2_dev, true);
-+#else
-+      return 0;
-+#endif
-+}
- /**
-  * v4l2_subdev_notify - Sends a notification to v4l2_device.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0646-spi-Force-CS_HIGH-if-GPIO-descriptors-are-used.patch b/target/linux/bcm27xx/patches-5.4/950-0646-spi-Force-CS_HIGH-if-GPIO-descriptors-are-used.patch
new file mode 100644 (file)
index 0000000..42d22c3
--- /dev/null
@@ -0,0 +1,48 @@
+From 5f2eface651ba5da9caaa84ccca14b9202ba6202 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 17 Apr 2020 10:46:19 +0100
+Subject: [PATCH] spi: Force CS_HIGH if GPIO descriptors are used
+
+Commit f3186dd87669 ("spi: Optionally use GPIO descriptors for CS GPIOs")
+amended of_spi_parse_dt() to always set SPI_CS_HIGH for SPI slaves whose
+Chip Select is defined by a "cs-gpios" devicetree property.
+
+This change breaks drivers whose probe functions set the mode field of
+the spi_device because in doing so they clear the SPI_CS_HIGH flag.
+
+Fix by setting SPI_CS_HIGH in spi_setup (under the same conditions as
+in of_spi_parse_dt()).
+
+See also: 83b2a8fe43bd ("spi: spidev: Fix CS polarity if GPIO descriptors are used")
+
+Fixes: f3186dd87669 ("spi: Optionally use GPIO descriptors for CS GPIOs")
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/spi/spi.c | 9 +++++++++
+ 1 file changed, 9 insertions(+)
+
+--- a/drivers/spi/spi.c
++++ b/drivers/spi/spi.c
+@@ -3115,6 +3115,7 @@ static int __spi_validate_bits_per_word(
+  */
+ int spi_setup(struct spi_device *spi)
+ {
++      struct spi_controller *ctlr = spi->controller;
+       unsigned        bad_bits, ugly_bits;
+       int             status;
+@@ -3132,6 +3133,14 @@ int spi_setup(struct spi_device *spi)
+               (SPI_TX_DUAL | SPI_TX_QUAD | SPI_TX_OCTAL |
+                SPI_RX_DUAL | SPI_RX_QUAD | SPI_RX_OCTAL)))
+               return -EINVAL;
++
++      if (ctlr->use_gpio_descriptors && ctlr->cs_gpiods &&
++          ctlr->cs_gpiods[spi->chip_select] && !(spi->mode & SPI_CS_HIGH)) {
++              dev_warn(&spi->dev,
++                       "setup: forcing CS_HIGH (use_gpio_descriptors)\n");
++              spi->mode |= SPI_CS_HIGH;
++      }
++
+       /* help drivers fail *cleanly* when they need options
+        * that aren't supported with their current controller
+        * SPI_CS_WORD has a fallback software implementation,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0647-media-bcm2835-unicam-Driver-for-CCP2-CSI2-camera-int.patch b/target/linux/bcm27xx/patches-5.4/950-0647-media-bcm2835-unicam-Driver-for-CCP2-CSI2-camera-int.patch
deleted file mode 100644 (file)
index e142f59..0000000
+++ /dev/null
@@ -1,2709 +0,0 @@
-From 33a897162230dfc35b854aae2bec1ce8c2996642 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Wed, 1 Apr 2020 08:39:49 +0100
-Subject: [PATCH] media: bcm2835-unicam: Driver for CCP2/CSI2 camera
- interface
-
-Add driver for the Unicam camera receiver block on
-BCM283x processors.
-
-This commit is made up of a series of changes cherry-picked from the
-rpi-4.19.y branch.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- MAINTAINERS                                   |    2 +-
- drivers/media/platform/Kconfig                |    1 +
- drivers/media/platform/Makefile               |    2 +
- drivers/media/platform/bcm2835/Kconfig        |   14 +
- drivers/media/platform/bcm2835/Makefile       |    3 +
- .../media/platform/bcm2835/bcm2835-unicam.c   | 2369 +++++++++++++++++
- .../media/platform/bcm2835/vc4-regs-unicam.h  |  253 ++
- 7 files changed, 2643 insertions(+), 1 deletion(-)
- create mode 100644 drivers/media/platform/bcm2835/Kconfig
- create mode 100644 drivers/media/platform/bcm2835/Makefile
- create mode 100644 drivers/media/platform/bcm2835/bcm2835-unicam.c
- create mode 100644 drivers/media/platform/bcm2835/vc4-regs-unicam.h
-
---- a/MAINTAINERS
-+++ b/MAINTAINERS
-@@ -3206,7 +3206,7 @@ F:       Documentation/devicetree/bindings/med
- F:    drivers/staging/media/rpivid
- BROADCOM BCM2835 CAMERA DRIVER
--M:    Dave Stevenson <dave.stevenson@raspberrypi.org>
-+M:    Raspberry Pi Kernel Maintenance <kernel-list@raspberrypi.com>
- L:    linux-media@vger.kernel.org
- S:    Maintained
- F:    drivers/media/platform/bcm2835/
---- a/drivers/media/platform/Kconfig
-+++ b/drivers/media/platform/Kconfig
-@@ -146,6 +146,7 @@ source "drivers/media/platform/am437x/Kc
- source "drivers/media/platform/xilinx/Kconfig"
- source "drivers/media/platform/rcar-vin/Kconfig"
- source "drivers/media/platform/atmel/Kconfig"
-+source "drivers/media/platform/bcm2835/Kconfig"
- source "drivers/media/platform/sunxi/Kconfig"
- config VIDEO_TI_CAL
---- a/drivers/media/platform/Makefile
-+++ b/drivers/media/platform/Makefile
-@@ -100,4 +100,6 @@ obj-y                                      += meson/
- obj-y                                 += cros-ec-cec/
-+obj-y                                 += bcm2835/
-+
- obj-y                                 += sunxi/
---- /dev/null
-+++ b/drivers/media/platform/bcm2835/Kconfig
-@@ -0,0 +1,14 @@
-+# Broadcom VideoCore4 V4L2 camera support
-+
-+config VIDEO_BCM2835_UNICAM
-+      tristate "Broadcom BCM2835 Unicam video capture driver"
-+      depends on VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API && MEDIA_CONTROLLER
-+      depends on ARCH_BCM2835 || COMPILE_TEST
-+      select VIDEOBUF2_DMA_CONTIG
-+      select V4L2_FWNODE
-+      help
-+        Say Y here to enable V4L2 subdevice for CSI2 receiver.
-+        This is a V4L2 subdevice that interfaces directly to the VC4 peripheral.
-+
-+         To compile this driver as a module, choose M here. The module
-+         will be called bcm2835-unicam.
---- /dev/null
-+++ b/drivers/media/platform/bcm2835/Makefile
-@@ -0,0 +1,3 @@
-+# Makefile for BCM2835 Unicam driver
-+
-+obj-$(CONFIG_VIDEO_BCM2835_UNICAM) += bcm2835-unicam.o
---- /dev/null
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -0,0 +1,2369 @@
-+// SPDX-License-Identifier: GPL-2.0-only
-+/*
-+ * BCM2835 Unicam Capture Driver
-+ *
-+ * Copyright (C) 2017-2020 - Raspberry Pi (Trading) Ltd.
-+ *
-+ * Dave Stevenson <dave.stevenson@raspberrypi.com>
-+ *
-+ * Based on TI am437x driver by
-+ *   Benoit Parrot <bparrot@ti.com>
-+ *   Lad, Prabhakar <prabhakar.csengg@gmail.com>
-+ *
-+ * and TI CAL camera interface driver by
-+ *    Benoit Parrot <bparrot@ti.com>
-+ *
-+ *
-+ * There are two camera drivers in the kernel for BCM283x - this one
-+ * and bcm2835-camera (currently in staging).
-+ *
-+ * This driver directly controls the Unicam peripheral - there is no
-+ * involvement with the VideoCore firmware. Unicam receives CSI-2 or
-+ * CCP2 data and writes it into SDRAM.
-+ * The only potential processing options are to repack Bayer data into an
-+ * alternate format, and applying windowing.
-+ * The repacking does not shift the data, so can repack V4L2_PIX_FMT_Sxxxx10P
-+ * to V4L2_PIX_FMT_Sxxxx10, or V4L2_PIX_FMT_Sxxxx12P to V4L2_PIX_FMT_Sxxxx12,
-+ * but not generically up to V4L2_PIX_FMT_Sxxxx16. The driver will add both
-+ * formats where the relevant formats are defined, and will automatically
-+ * configure the repacking as required.
-+ * Support for windowing may be added later.
-+ *
-+ * It should be possible to connect this driver to any sensor with a
-+ * suitable output interface and V4L2 subdevice driver.
-+ *
-+ * bcm2835-camera uses the VideoCore firmware to control the sensor,
-+ * Unicam, ISP, and all tuner control loops. Fully processed frames are
-+ * delivered to the driver by the firmware. It only has sensor drivers
-+ * for Omnivision OV5647, and Sony IMX219 sensors.
-+ *
-+ * The two drivers are mutually exclusive for the same Unicam instance.
-+ * The VideoCore firmware checks the device tree configuration during boot.
-+ * If it finds device tree nodes called csi0 or csi1 it will block the
-+ * firmware from accessing the peripheral, and bcm2835-camera will
-+ * not be able to stream data.
-+ */
-+
-+#include <linux/clk.h>
-+#include <linux/delay.h>
-+#include <linux/device.h>
-+#include <linux/err.h>
-+#include <linux/init.h>
-+#include <linux/interrupt.h>
-+#include <linux/io.h>
-+#include <linux/module.h>
-+#include <linux/of_device.h>
-+#include <linux/of_graph.h>
-+#include <linux/pinctrl/consumer.h>
-+#include <linux/platform_device.h>
-+#include <linux/pm_runtime.h>
-+#include <linux/slab.h>
-+#include <linux/uaccess.h>
-+#include <linux/videodev2.h>
-+
-+#include <media/v4l2-common.h>
-+#include <media/v4l2-ctrls.h>
-+#include <media/v4l2-dev.h>
-+#include <media/v4l2-device.h>
-+#include <media/v4l2-dv-timings.h>
-+#include <media/v4l2-event.h>
-+#include <media/v4l2-ioctl.h>
-+#include <media/v4l2-fwnode.h>
-+#include <media/videobuf2-dma-contig.h>
-+
-+#include "vc4-regs-unicam.h"
-+
-+#define UNICAM_MODULE_NAME    "unicam"
-+#define UNICAM_VERSION                "0.1.0"
-+
-+static int debug;
-+module_param(debug, int, 0644);
-+MODULE_PARM_DESC(debug, "Debug level 0-3");
-+
-+#define unicam_dbg(level, dev, fmt, arg...)   \
-+              v4l2_dbg(level, debug, &(dev)->v4l2_dev, fmt, ##arg)
-+#define unicam_info(dev, fmt, arg...) \
-+              v4l2_info(&(dev)->v4l2_dev, fmt, ##arg)
-+#define unicam_err(dev, fmt, arg...)  \
-+              v4l2_err(&(dev)->v4l2_dev, fmt, ##arg)
-+
-+/* To protect against a dodgy sensor driver never returning an error from
-+ * enum_mbus_code, set a maximum index value to be used.
-+ */
-+#define MAX_ENUM_MBUS_CODE    128
-+
-+/*
-+ * Stride is a 16 bit register, but also has to be a multiple of 32.
-+ */
-+#define BPL_ALIGNMENT         32
-+#define MAX_BYTESPERLINE      ((1 << 16) - BPL_ALIGNMENT)
-+/*
-+ * Max width is therefore determined by the max stride divided by
-+ * the number of bits per pixel. Take 32bpp as a
-+ * worst case.
-+ * No imposed limit on the height, so adopt a square image for want
-+ * of anything better.
-+ */
-+#define MAX_WIDTH     (MAX_BYTESPERLINE / 4)
-+#define MAX_HEIGHT    MAX_WIDTH
-+/* Define a nominal minimum image size */
-+#define MIN_WIDTH     16
-+#define MIN_HEIGHT    16
-+
-+/*
-+ * struct unicam_fmt - Unicam media bus format information
-+ * @pixelformat: V4L2 pixel format FCC identifier. 0 if n/a.
-+ * @repacked_fourcc: V4L2 pixel format FCC identifier if the data is expanded
-+ * out to 16bpp. 0 if n/a.
-+ * @code: V4L2 media bus format code.
-+ * @depth: Bits per pixel as delivered from the source.
-+ * @csi_dt: CSI data type.
-+ * @check_variants: Flag to denote that there are multiple mediabus formats
-+ *            still in the list that could match this V4L2 format.
-+ */
-+struct unicam_fmt {
-+      u32     fourcc;
-+      u32     repacked_fourcc;
-+      u32     code;
-+      u8      depth;
-+      u8      csi_dt;
-+      u8      check_variants;
-+};
-+
-+static const struct unicam_fmt formats[] = {
-+      /* YUV Formats */
-+      {
-+              .fourcc         = V4L2_PIX_FMT_YUYV,
-+              .code           = MEDIA_BUS_FMT_YUYV8_2X8,
-+              .depth          = 16,
-+              .csi_dt         = 0x1e,
-+              .check_variants = 1,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_UYVY,
-+              .code           = MEDIA_BUS_FMT_UYVY8_2X8,
-+              .depth          = 16,
-+              .csi_dt         = 0x1e,
-+              .check_variants = 1,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_YVYU,
-+              .code           = MEDIA_BUS_FMT_YVYU8_2X8,
-+              .depth          = 16,
-+              .csi_dt         = 0x1e,
-+              .check_variants = 1,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_VYUY,
-+              .code           = MEDIA_BUS_FMT_VYUY8_2X8,
-+              .depth          = 16,
-+              .csi_dt         = 0x1e,
-+              .check_variants = 1,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_YUYV,
-+              .code           = MEDIA_BUS_FMT_YUYV8_1X16,
-+              .depth          = 16,
-+              .csi_dt         = 0x1e,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_UYVY,
-+              .code           = MEDIA_BUS_FMT_UYVY8_1X16,
-+              .depth          = 16,
-+              .csi_dt         = 0x1e,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_YVYU,
-+              .code           = MEDIA_BUS_FMT_YVYU8_1X16,
-+              .depth          = 16,
-+              .csi_dt         = 0x1e,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_VYUY,
-+              .code           = MEDIA_BUS_FMT_VYUY8_1X16,
-+              .depth          = 16,
-+              .csi_dt         = 0x1e,
-+      }, {
-+      /* RGB Formats */
-+              .fourcc         = V4L2_PIX_FMT_RGB565, /* gggbbbbb rrrrrggg */
-+              .code           = MEDIA_BUS_FMT_RGB565_2X8_LE,
-+              .depth          = 16,
-+              .csi_dt         = 0x22,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_RGB565X, /* rrrrrggg gggbbbbb */
-+              .code           = MEDIA_BUS_FMT_RGB565_2X8_BE,
-+              .depth          = 16,
-+              .csi_dt         = 0x22
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_RGB555, /* gggbbbbb arrrrrgg */
-+              .code           = MEDIA_BUS_FMT_RGB555_2X8_PADHI_LE,
-+              .depth          = 16,
-+              .csi_dt         = 0x21,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_RGB555X, /* arrrrrgg gggbbbbb */
-+              .code           = MEDIA_BUS_FMT_RGB555_2X8_PADHI_BE,
-+              .depth          = 16,
-+              .csi_dt         = 0x21,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_RGB24, /* rgb */
-+              .code           = MEDIA_BUS_FMT_RGB888_1X24,
-+              .depth          = 24,
-+              .csi_dt         = 0x24,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_BGR24, /* bgr */
-+              .code           = MEDIA_BUS_FMT_BGR888_1X24,
-+              .depth          = 24,
-+              .csi_dt         = 0x24,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_RGB32, /* argb */
-+              .code           = MEDIA_BUS_FMT_ARGB8888_1X32,
-+              .depth          = 32,
-+              .csi_dt         = 0x0,
-+      }, {
-+      /* Bayer Formats */
-+              .fourcc         = V4L2_PIX_FMT_SBGGR8,
-+              .code           = MEDIA_BUS_FMT_SBGGR8_1X8,
-+              .depth          = 8,
-+              .csi_dt         = 0x2a,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SGBRG8,
-+              .code           = MEDIA_BUS_FMT_SGBRG8_1X8,
-+              .depth          = 8,
-+              .csi_dt         = 0x2a,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SGRBG8,
-+              .code           = MEDIA_BUS_FMT_SGRBG8_1X8,
-+              .depth          = 8,
-+              .csi_dt         = 0x2a,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SRGGB8,
-+              .code           = MEDIA_BUS_FMT_SRGGB8_1X8,
-+              .depth          = 8,
-+              .csi_dt         = 0x2a,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SBGGR10P,
-+              .repacked_fourcc = V4L2_PIX_FMT_SBGGR10,
-+              .code           = MEDIA_BUS_FMT_SBGGR10_1X10,
-+              .depth          = 10,
-+              .csi_dt         = 0x2b,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SGBRG10P,
-+              .repacked_fourcc = V4L2_PIX_FMT_SGBRG10,
-+              .code           = MEDIA_BUS_FMT_SGBRG10_1X10,
-+              .depth          = 10,
-+              .csi_dt         = 0x2b,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SGRBG10P,
-+              .repacked_fourcc = V4L2_PIX_FMT_SGRBG10,
-+              .code           = MEDIA_BUS_FMT_SGRBG10_1X10,
-+              .depth          = 10,
-+              .csi_dt         = 0x2b,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SRGGB10P,
-+              .repacked_fourcc = V4L2_PIX_FMT_SRGGB10,
-+              .code           = MEDIA_BUS_FMT_SRGGB10_1X10,
-+              .depth          = 10,
-+              .csi_dt         = 0x2b,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SBGGR12P,
-+              .repacked_fourcc = V4L2_PIX_FMT_SBGGR12,
-+              .code           = MEDIA_BUS_FMT_SBGGR12_1X12,
-+              .depth          = 12,
-+              .csi_dt         = 0x2c,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SGBRG12P,
-+              .repacked_fourcc = V4L2_PIX_FMT_SGBRG12,
-+              .code           = MEDIA_BUS_FMT_SGBRG12_1X12,
-+              .depth          = 12,
-+              .csi_dt         = 0x2c,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SGRBG12P,
-+              .repacked_fourcc = V4L2_PIX_FMT_SGRBG12,
-+              .code           = MEDIA_BUS_FMT_SGRBG12_1X12,
-+              .depth          = 12,
-+              .csi_dt         = 0x2c,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SRGGB12P,
-+              .repacked_fourcc = V4L2_PIX_FMT_SRGGB12,
-+              .code           = MEDIA_BUS_FMT_SRGGB12_1X12,
-+              .depth          = 12,
-+              .csi_dt         = 0x2c,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SBGGR14P,
-+              .code           = MEDIA_BUS_FMT_SBGGR14_1X14,
-+              .depth          = 14,
-+              .csi_dt         = 0x2d,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SGBRG14P,
-+              .code           = MEDIA_BUS_FMT_SGBRG14_1X14,
-+              .depth          = 14,
-+              .csi_dt         = 0x2d,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SGRBG14P,
-+              .code           = MEDIA_BUS_FMT_SGRBG14_1X14,
-+              .depth          = 14,
-+              .csi_dt         = 0x2d,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_SRGGB14P,
-+              .code           = MEDIA_BUS_FMT_SRGGB14_1X14,
-+              .depth          = 14,
-+              .csi_dt         = 0x2d,
-+      }, {
-+      /*
-+       * 16 bit Bayer formats could be supported, but there is no CSI2
-+       * data_type defined for raw 16, and no sensors that produce it at
-+       * present.
-+       */
-+
-+      /* Greyscale formats */
-+              .fourcc         = V4L2_PIX_FMT_GREY,
-+              .code           = MEDIA_BUS_FMT_Y8_1X8,
-+              .depth          = 8,
-+              .csi_dt         = 0x2a,
-+      }, {
-+              .fourcc         = V4L2_PIX_FMT_Y10P,
-+              .repacked_fourcc = V4L2_PIX_FMT_Y10,
-+              .code           = MEDIA_BUS_FMT_Y10_1X10,
-+              .depth          = 10,
-+              .csi_dt         = 0x2b,
-+      }, {
-+              /* NB There is no packed V4L2 fourcc for this format. */
-+              .repacked_fourcc = V4L2_PIX_FMT_Y12,
-+              .code           = MEDIA_BUS_FMT_Y12_1X12,
-+              .depth          = 12,
-+              .csi_dt         = 0x2c,
-+      },
-+};
-+
-+struct unicam_dmaqueue {
-+      struct list_head        active;
-+};
-+
-+struct unicam_buffer {
-+      struct vb2_v4l2_buffer vb;
-+      struct list_head list;
-+};
-+
-+struct unicam_cfg {
-+      /* peripheral base address */
-+      void __iomem *base;
-+      /* clock gating base address */
-+      void __iomem *clk_gate_base;
-+};
-+
-+#define MAX_POSSIBLE_PIX_FMTS (ARRAY_SIZE(formats))
-+
-+struct unicam_device {
-+      /* V4l2 specific parameters */
-+      /* Identifies video device for this channel */
-+      struct video_device video_dev;
-+      struct v4l2_ctrl_handler ctrl_handler;
-+
-+      struct v4l2_fwnode_endpoint endpoint;
-+
-+      struct v4l2_async_subdev asd;
-+
-+      /* unicam cfg */
-+      struct unicam_cfg cfg;
-+      /* clock handle */
-+      struct clk *clock;
-+      /* V4l2 device */
-+      struct v4l2_device v4l2_dev;
-+      struct media_device mdev;
-+      struct media_pad pad;
-+
-+      /* parent device */
-+      struct platform_device *pdev;
-+      /* subdevice async Notifier */
-+      struct v4l2_async_notifier notifier;
-+      unsigned int sequence;
-+
-+      /* ptr to  sub device */
-+      struct v4l2_subdev *sensor;
-+      /* Pad config for the sensor */
-+      struct v4l2_subdev_pad_config *sensor_config;
-+      /* current input at the sub device */
-+      int current_input;
-+
-+      /* Pointer pointing to current v4l2_buffer */
-+      struct unicam_buffer *cur_frm;
-+      /* Pointer pointing to next v4l2_buffer */
-+      struct unicam_buffer *next_frm;
-+
-+      /* video capture */
-+      const struct unicam_fmt *fmt;
-+      /* Used to store current pixel format */
-+      struct v4l2_format v_fmt;
-+      /* Used to store current mbus frame format */
-+      struct v4l2_mbus_framefmt m_fmt;
-+
-+      unsigned int virtual_channel;
-+      enum v4l2_mbus_type bus_type;
-+      /*
-+       * Stores bus.mipi_csi2.flags for CSI2 sensors, or
-+       * bus.mipi_csi1.strobe for CCP2.
-+       */
-+      unsigned int bus_flags;
-+      unsigned int max_data_lanes;
-+      unsigned int active_data_lanes;
-+
-+      struct v4l2_rect crop;
-+
-+      /* Currently selected input on subdev */
-+      int input;
-+
-+      /* Buffer queue used in video-buf */
-+      struct vb2_queue buffer_queue;
-+      /* Queue of filled frames */
-+      struct unicam_dmaqueue dma_queue;
-+      /* IRQ lock for DMA queue */
-+      spinlock_t dma_queue_lock;
-+      /* lock used to access this structure */
-+      struct mutex lock;
-+      /* Flag to denote that we are processing buffers */
-+      int streaming;
-+};
-+
-+/* Hardware access */
-+#define clk_write(dev, val) writel((val) | 0x5a000000, (dev)->clk_gate_base)
-+#define clk_read(dev) readl((dev)->clk_gate_base)
-+
-+#define reg_read(dev, offset) readl((dev)->base + (offset))
-+#define reg_write(dev, offset, val) writel(val, (dev)->base + (offset))
-+
-+#define reg_read_field(dev, offset, mask) get_field(reg_read((dev), (offset), \
-+                                                  mask))
-+
-+static inline int get_field(u32 value, u32 mask)
-+{
-+      return (value & mask) >> __ffs(mask);
-+}
-+
-+static inline void set_field(u32 *valp, u32 field, u32 mask)
-+{
-+      u32 val = *valp;
-+
-+      val &= ~mask;
-+      val |= (field << __ffs(mask)) & mask;
-+      *valp = val;
-+}
-+
-+static inline void reg_write_field(struct unicam_cfg *dev, u32 offset,
-+                                 u32 field, u32 mask)
-+{
-+      u32 val = reg_read((dev), (offset));
-+
-+      set_field(&val, field, mask);
-+      reg_write((dev), (offset), val);
-+}
-+
-+/* Power management functions */
-+static inline int unicam_runtime_get(struct unicam_device *dev)
-+{
-+      return pm_runtime_get_sync(&dev->pdev->dev);
-+}
-+
-+static inline void unicam_runtime_put(struct unicam_device *dev)
-+{
-+      pm_runtime_put_sync(&dev->pdev->dev);
-+}
-+
-+/* Format setup functions */
-+static const struct unicam_fmt *find_format_by_code(u32 code)
-+{
-+      unsigned int i;
-+
-+      for (i = 0; i < ARRAY_SIZE(formats); i++) {
-+              if (formats[i].code == code)
-+                      return &formats[i];
-+      }
-+
-+      return NULL;
-+}
-+
-+static int check_mbus_format(struct unicam_device *dev,
-+                           const struct unicam_fmt *format)
-+{
-+      struct v4l2_subdev_mbus_code_enum mbus_code;
-+      int ret = 0;
-+      int i;
-+
-+      for (i = 0; !ret && i < MAX_ENUM_MBUS_CODE; i++) {
-+              memset(&mbus_code, 0, sizeof(mbus_code));
-+              mbus_code.index = i;
-+              mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
-+
-+              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code,
-+                                     NULL, &mbus_code);
-+
-+              if (!ret && mbus_code.code == format->code)
-+                      return 1;
-+      }
-+
-+      return 0;
-+}
-+
-+static const struct unicam_fmt *find_format_by_pix(struct unicam_device *dev,
-+                                                 u32 pixelformat)
-+{
-+      unsigned int i;
-+
-+      for (i = 0; i < ARRAY_SIZE(formats); i++) {
-+              if (formats[i].fourcc == pixelformat ||
-+                  formats[i].repacked_fourcc == pixelformat) {
-+                      if (formats[i].check_variants &&
-+                          !check_mbus_format(dev, &formats[i]))
-+                              continue;
-+                      return &formats[i];
-+              }
-+      }
-+
-+      return NULL;
-+}
-+
-+static inline unsigned int bytes_per_line(u32 width,
-+                                        const struct unicam_fmt *fmt,
-+                                        u32 v4l2_fourcc)
-+{
-+      if (v4l2_fourcc == fmt->repacked_fourcc)
-+              /* Repacking always goes to 16bpp */
-+              return ALIGN(width << 1, BPL_ALIGNMENT);
-+      else
-+              return ALIGN((width * fmt->depth) >> 3, BPL_ALIGNMENT);
-+}
-+
-+static int __subdev_get_format(struct unicam_device *dev,
-+                             struct v4l2_mbus_framefmt *fmt)
-+{
-+      struct v4l2_subdev_format sd_fmt = {
-+              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
-+      };
-+      int ret;
-+
-+      ret = v4l2_subdev_call(dev->sensor, pad, get_fmt, dev->sensor_config,
-+                             &sd_fmt);
-+      if (ret < 0)
-+              return ret;
-+
-+      *fmt = sd_fmt.format;
-+
-+      unicam_dbg(1, dev, "%s %dx%d code:%04x\n", __func__,
-+                 fmt->width, fmt->height, fmt->code);
-+
-+      return 0;
-+}
-+
-+static int __subdev_set_format(struct unicam_device *dev,
-+                             struct v4l2_mbus_framefmt *fmt)
-+{
-+      struct v4l2_subdev_format sd_fmt = {
-+              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
-+      };
-+      int ret;
-+
-+      sd_fmt.format = *fmt;
-+
-+      ret = v4l2_subdev_call(dev->sensor, pad, set_fmt, dev->sensor_config,
-+                             &sd_fmt);
-+      if (ret < 0)
-+              return ret;
-+
-+      unicam_dbg(1, dev, "%s %dx%d code:%04x\n", __func__,
-+                 fmt->width, fmt->height, fmt->code);
-+
-+      return 0;
-+}
-+
-+static int unicam_calc_format_size_bpl(struct unicam_device *dev,
-+                                     const struct unicam_fmt *fmt,
-+                                     struct v4l2_format *f)
-+{
-+      unsigned int min_bytesperline;
-+
-+      v4l_bound_align_image(&f->fmt.pix.width, MIN_WIDTH, MAX_WIDTH, 2,
-+                            &f->fmt.pix.height, MIN_HEIGHT, MAX_HEIGHT, 0,
-+                            0);
-+
-+      min_bytesperline = bytes_per_line(f->fmt.pix.width, fmt,
-+                                        f->fmt.pix.pixelformat);
-+
-+      if (f->fmt.pix.bytesperline > min_bytesperline &&
-+          f->fmt.pix.bytesperline <= MAX_BYTESPERLINE)
-+              f->fmt.pix.bytesperline = ALIGN(f->fmt.pix.bytesperline,
-+                                              BPL_ALIGNMENT);
-+      else
-+              f->fmt.pix.bytesperline = min_bytesperline;
-+
-+      f->fmt.pix.sizeimage = f->fmt.pix.height * f->fmt.pix.bytesperline;
-+
-+      unicam_dbg(3, dev, "%s: fourcc: %08X size: %dx%d bpl:%d img_size:%d\n",
-+                 __func__,
-+                 f->fmt.pix.pixelformat,
-+                 f->fmt.pix.width, f->fmt.pix.height,
-+                 f->fmt.pix.bytesperline, f->fmt.pix.sizeimage);
-+
-+      return 0;
-+}
-+
-+static int unicam_reset_format(struct unicam_device *dev)
-+{
-+      struct v4l2_mbus_framefmt mbus_fmt;
-+      int ret;
-+
-+      ret = __subdev_get_format(dev, &mbus_fmt);
-+      if (ret) {
-+              unicam_err(dev, "Failed to get_format - ret %d\n", ret);
-+              return ret;
-+      }
-+
-+      if (mbus_fmt.code != dev->fmt->code) {
-+              unicam_err(dev, "code mismatch - fmt->code %08x, mbus_fmt.code %08x\n",
-+                         dev->fmt->code, mbus_fmt.code);
-+              return ret;
-+      }
-+
-+      v4l2_fill_pix_format(&dev->v_fmt.fmt.pix, &mbus_fmt);
-+      dev->v_fmt.type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
-+
-+      unicam_calc_format_size_bpl(dev, dev->fmt, &dev->v_fmt);
-+
-+      dev->m_fmt = mbus_fmt;
-+
-+      return 0;
-+}
-+
-+static void unicam_wr_dma_addr(struct unicam_device *dev, dma_addr_t dmaaddr)
-+{
-+      /*
-+       * dmaaddr should be a 32-bit address with the top two bits set to 0x3
-+       * to signify uncached access through the Videocore memory controller.
-+       */
-+      BUG_ON((dmaaddr >> 30) != 0x3);
-+
-+      reg_write(&dev->cfg, UNICAM_IBSA0, dmaaddr);
-+      reg_write(&dev->cfg, UNICAM_IBEA0,
-+                dmaaddr + dev->v_fmt.fmt.pix.sizeimage);
-+}
-+
-+static inline unsigned int unicam_get_lines_done(struct unicam_device *dev)
-+{
-+      dma_addr_t start_addr, cur_addr;
-+      unsigned int stride = dev->v_fmt.fmt.pix.bytesperline;
-+      struct unicam_buffer *frm = dev->cur_frm;
-+
-+      if (!frm)
-+              return 0;
-+
-+      start_addr = vb2_dma_contig_plane_dma_addr(&frm->vb.vb2_buf, 0);
-+      cur_addr = reg_read(&dev->cfg, UNICAM_IBWP);
-+      return (unsigned int)(cur_addr - start_addr) / stride;
-+}
-+
-+static inline void unicam_schedule_next_buffer(struct unicam_device *dev)
-+{
-+      struct unicam_dmaqueue *dma_q = &dev->dma_queue;
-+      struct unicam_buffer *buf;
-+      dma_addr_t addr;
-+
-+      buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
-+      dev->next_frm = buf;
-+      list_del(&buf->list);
-+
-+      addr = vb2_dma_contig_plane_dma_addr(&buf->vb.vb2_buf, 0);
-+      unicam_wr_dma_addr(dev, addr);
-+}
-+
-+static inline void unicam_process_buffer_complete(struct unicam_device *dev)
-+{
-+      dev->cur_frm->vb.field = dev->m_fmt.field;
-+      dev->cur_frm->vb.sequence = dev->sequence++;
-+
-+      vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
-+      dev->cur_frm = dev->next_frm;
-+}
-+
-+/*
-+ * unicam_isr : ISR handler for unicam capture
-+ * @irq: irq number
-+ * @dev_id: dev_id ptr
-+ *
-+ * It changes status of the captured buffer, takes next buffer from the queue
-+ * and sets its address in unicam registers
-+ */
-+static irqreturn_t unicam_isr(int irq, void *dev)
-+{
-+      struct unicam_device *unicam = (struct unicam_device *)dev;
-+      struct unicam_cfg *cfg = &unicam->cfg;
-+      struct unicam_dmaqueue *dma_q = &unicam->dma_queue;
-+      unsigned int lines_done = unicam_get_lines_done(dev);
-+      unsigned int sequence = unicam->sequence;
-+      int ista, sta;
-+
-+      /*
-+       * Don't service interrupts if not streaming.
-+       * Avoids issues if the VPU should enable the
-+       * peripheral without the kernel knowing (that
-+       * shouldn't happen, but causes issues if it does).
-+       */
-+      if (!unicam->streaming)
-+              return IRQ_HANDLED;
-+
-+      sta = reg_read(cfg, UNICAM_STA);
-+      /* Write value back to clear the interrupts */
-+      reg_write(cfg, UNICAM_STA, sta);
-+
-+      ista = reg_read(cfg, UNICAM_ISTA);
-+      /* Write value back to clear the interrupts */
-+      reg_write(cfg, UNICAM_ISTA, ista);
-+
-+      unicam_dbg(3, unicam, "ISR: ISTA: 0x%X, STA: 0x%X, sequence %d, lines done %d",
-+                 ista, sta, sequence, lines_done);
-+
-+      if (!(sta && (UNICAM_IS | UNICAM_PI0)))
-+              return IRQ_HANDLED;
-+
-+      if (ista & UNICAM_FSI) {
-+              /*
-+               * Timestamp is to be when the first data byte was captured,
-+               * aka frame start.
-+               */
-+              if (unicam->cur_frm)
-+                      unicam->cur_frm->vb.vb2_buf.timestamp = ktime_get_ns();
-+      }
-+      if (ista & UNICAM_FEI || sta & UNICAM_PI0) {
-+              /*
-+               * Ensure we have swapped buffers already as we can't
-+               * stop the peripheral. Overwrite the frame we've just
-+               * captured instead.
-+               */
-+              if (unicam->cur_frm && unicam->cur_frm != unicam->next_frm)
-+                      unicam_process_buffer_complete(unicam);
-+      }
-+
-+      /* Cannot swap buffer at frame end, there may be a race condition
-+       * where the HW does not actually swap it if the new frame has
-+       * already started.
-+       */
-+      if (ista & (UNICAM_FSI | UNICAM_LCI) && !(ista & UNICAM_FEI)) {
-+              spin_lock(&unicam->dma_queue_lock);
-+              if (!list_empty(&dma_q->active) &&
-+                  unicam->cur_frm == unicam->next_frm)
-+                      unicam_schedule_next_buffer(unicam);
-+              spin_unlock(&unicam->dma_queue_lock);
-+      }
-+
-+      if (reg_read(&unicam->cfg, UNICAM_ICTL) & UNICAM_FCM) {
-+              /* Switch out of trigger mode if selected */
-+              reg_write_field(&unicam->cfg, UNICAM_ICTL, 1, UNICAM_TFC);
-+              reg_write_field(&unicam->cfg, UNICAM_ICTL, 0, UNICAM_FCM);
-+      }
-+      return IRQ_HANDLED;
-+}
-+
-+static int unicam_querycap(struct file *file, void *priv,
-+                         struct v4l2_capability *cap)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+
-+      strlcpy(cap->driver, UNICAM_MODULE_NAME, sizeof(cap->driver));
-+      strlcpy(cap->card, UNICAM_MODULE_NAME, sizeof(cap->card));
-+
-+      snprintf(cap->bus_info, sizeof(cap->bus_info),
-+               "platform:%s", dev->v4l2_dev.name);
-+
-+      return 0;
-+}
-+
-+static int unicam_enum_fmt_vid_cap(struct file *file, void  *priv,
-+                                 struct v4l2_fmtdesc *f)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+      struct v4l2_subdev_mbus_code_enum mbus_code;
-+      const struct unicam_fmt *fmt = NULL;
-+      int index = 0;
-+      int ret = 0;
-+      int i;
-+
-+      for (i = 0; !ret && i < MAX_ENUM_MBUS_CODE; i++) {
-+              memset(&mbus_code, 0, sizeof(mbus_code));
-+              mbus_code.index = i;
-+
-+              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code,
-+                                     NULL, &mbus_code);
-+              if (ret < 0) {
-+                      unicam_dbg(2, dev,
-+                                 "subdev->enum_mbus_code idx %d returned %d - index invalid\n",
-+                                 i, ret);
-+                      return -EINVAL;
-+              }
-+
-+              fmt = find_format_by_code(mbus_code.code);
-+              if (fmt) {
-+                      if (fmt->fourcc) {
-+                              if (index == f->index) {
-+                                      f->pixelformat = fmt->fourcc;
-+                                      break;
-+                              }
-+                              index++;
-+                      }
-+                      if (fmt->repacked_fourcc) {
-+                              if (index == f->index) {
-+                                      f->pixelformat = fmt->repacked_fourcc;
-+                                      break;
-+                              }
-+                              index++;
-+                      }
-+              }
-+      }
-+
-+      return 0;
-+}
-+
-+static int unicam_g_fmt_vid_cap(struct file *file, void *priv,
-+                              struct v4l2_format *f)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+
-+      *f = dev->v_fmt;
-+
-+      return 0;
-+}
-+
-+static
-+const struct unicam_fmt *get_first_supported_format(struct unicam_device *dev)
-+{
-+      struct v4l2_subdev_mbus_code_enum mbus_code;
-+      const struct unicam_fmt *fmt = NULL;
-+      int ret;
-+      int j;
-+
-+      for (j = 0; ret != -EINVAL && ret != -ENOIOCTLCMD; ++j) {
-+              memset(&mbus_code, 0, sizeof(mbus_code));
-+              mbus_code.index = j;
-+              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code, NULL,
-+                                     &mbus_code);
-+              if (ret < 0) {
-+                      unicam_dbg(2, dev,
-+                                 "subdev->enum_mbus_code idx %d returned %d - continue\n",
-+                                 j, ret);
-+                      continue;
-+              }
-+
-+              unicam_dbg(2, dev, "subdev %s: code: 0x%08x idx: %d\n",
-+                         dev->sensor->name, mbus_code.code, j);
-+
-+              fmt = find_format_by_code(mbus_code.code);
-+              unicam_dbg(2, dev, "fmt 0x%08x returned as %p, V4L2 FOURCC 0x%08x, csi_dt 0x%02x\n",
-+                         mbus_code.code, fmt, fmt ? fmt->fourcc : 0,
-+                         fmt ? fmt->csi_dt : 0);
-+              if (fmt)
-+                      return fmt;
-+      }
-+
-+      return NULL;
-+}
-+
-+static int unicam_try_fmt_vid_cap(struct file *file, void *priv,
-+                                struct v4l2_format *f)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+      struct v4l2_subdev_format sd_fmt = {
-+              .which = V4L2_SUBDEV_FORMAT_TRY,
-+      };
-+      struct v4l2_mbus_framefmt *mbus_fmt = &sd_fmt.format;
-+      const struct unicam_fmt *fmt;
-+      int ret;
-+
-+      fmt = find_format_by_pix(dev, f->fmt.pix.pixelformat);
-+      if (!fmt) {
-+              /* Pixel format not supported by unicam. Choose the first
-+               * supported format, and let the sensor choose something else.
-+               */
-+              unicam_dbg(3, dev, "Fourcc format (0x%08x) not found. Use first format.\n",
-+                         f->fmt.pix.pixelformat);
-+
-+              fmt = &formats[0];
-+              f->fmt.pix.pixelformat = fmt->fourcc;
-+      }
-+
-+      v4l2_fill_mbus_format(mbus_fmt, &f->fmt.pix, fmt->code);
-+      /*
-+       * No support for receiving interlaced video, so never
-+       * request it from the sensor subdev.
-+       */
-+      mbus_fmt->field = V4L2_FIELD_NONE;
-+
-+      ret = v4l2_subdev_call(dev->sensor, pad, set_fmt, dev->sensor_config,
-+                             &sd_fmt);
-+      if (ret && ret != -ENOIOCTLCMD && ret != -ENODEV)
-+              return ret;
-+
-+      if (mbus_fmt->field != V4L2_FIELD_NONE)
-+              unicam_info(dev, "Sensor trying to send interlaced video - results may be unpredictable\n");
-+
-+      v4l2_fill_pix_format(&f->fmt.pix, &sd_fmt.format);
-+      if (mbus_fmt->code != fmt->code) {
-+              /* Sensor has returned an alternate format */
-+              fmt = find_format_by_code(mbus_fmt->code);
-+              if (!fmt) {
-+                      /* The alternate format is one unicam can't support.
-+                       * Find the first format that is supported by both, and
-+                       * then set that.
-+                       */
-+                      fmt = get_first_supported_format(dev);
-+                      mbus_fmt->code = fmt->code;
-+
-+                      ret = v4l2_subdev_call(dev->sensor, pad, set_fmt,
-+                                             dev->sensor_config, &sd_fmt);
-+                      if (ret && ret != -ENOIOCTLCMD && ret != -ENODEV)
-+                              return ret;
-+
-+                      if (mbus_fmt->field != V4L2_FIELD_NONE)
-+                              unicam_info(dev, "Sensor trying to send interlaced video - results may be unpredictable\n");
-+
-+                      v4l2_fill_pix_format(&f->fmt.pix, &sd_fmt.format);
-+
-+                      if (mbus_fmt->code != fmt->code) {
-+                              /* We've set a format that the sensor reports
-+                               * as being supported, but it refuses to set it.
-+                               * Not much else we can do.
-+                               * Assume that the sensor driver may accept the
-+                               * format when it is set (rather than tried).
-+                               */
-+                              unicam_err(dev, "Sensor won't accept default format, and Unicam can't support sensor default\n");
-+                      }
-+              }
-+
-+              if (fmt->fourcc)
-+                      f->fmt.pix.pixelformat = fmt->fourcc;
-+              else
-+                      f->fmt.pix.pixelformat = fmt->repacked_fourcc;
-+      }
-+
-+      return unicam_calc_format_size_bpl(dev, fmt, f);
-+}
-+
-+static int unicam_s_fmt_vid_cap(struct file *file, void *priv,
-+                              struct v4l2_format *f)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+      struct vb2_queue *q = &dev->buffer_queue;
-+      struct v4l2_mbus_framefmt mbus_fmt = {0};
-+      const struct unicam_fmt *fmt;
-+      int ret;
-+
-+      if (vb2_is_busy(q))
-+              return -EBUSY;
-+
-+      ret = unicam_try_fmt_vid_cap(file, priv, f);
-+      if (ret < 0)
-+              return ret;
-+
-+      fmt = find_format_by_pix(dev, f->fmt.pix.pixelformat);
-+      if (!fmt) {
-+              /* Unknown pixel format - adopt a default.
-+               * This shouldn't happen as try_fmt should have resolved any
-+               * issues first.
-+               */
-+              fmt = get_first_supported_format(dev);
-+              if (!fmt)
-+                      /* It shouldn't be possible to get here with no
-+                       * supported formats
-+                       */
-+                      return -EINVAL;
-+              f->fmt.pix.pixelformat = fmt->fourcc;
-+              return -EINVAL;
-+      }
-+
-+      v4l2_fill_mbus_format(&mbus_fmt, &f->fmt.pix, fmt->code);
-+
-+      ret = __subdev_set_format(dev, &mbus_fmt);
-+      if (ret) {
-+              unicam_dbg(3, dev, "%s __subdev_set_format failed %d\n",
-+                         __func__, ret);
-+              return ret;
-+      }
-+
-+      /* Just double check nothing has gone wrong */
-+      if (mbus_fmt.code != fmt->code) {
-+              unicam_dbg(3, dev,
-+                         "%s subdev changed format on us, this should not happen\n",
-+                         __func__);
-+              return -EINVAL;
-+      }
-+
-+      dev->fmt = fmt;
-+      dev->v_fmt.fmt.pix.pixelformat = f->fmt.pix.pixelformat;
-+      dev->v_fmt.fmt.pix.bytesperline = f->fmt.pix.bytesperline;
-+      unicam_reset_format(dev);
-+
-+      unicam_dbg(3, dev, "%s %dx%d, mbus_fmt 0x%08X, V4L2 pix 0x%08X.\n",
-+                 __func__, dev->v_fmt.fmt.pix.width,
-+                 dev->v_fmt.fmt.pix.height, mbus_fmt.code,
-+                 dev->v_fmt.fmt.pix.pixelformat);
-+
-+      *f = dev->v_fmt;
-+
-+      return 0;
-+}
-+
-+static int unicam_queue_setup(struct vb2_queue *vq,
-+                            unsigned int *nbuffers,
-+                            unsigned int *nplanes,
-+                            unsigned int sizes[],
-+                            struct device *alloc_devs[])
-+{
-+      struct unicam_device *dev = vb2_get_drv_priv(vq);
-+      unsigned int size = dev->v_fmt.fmt.pix.sizeimage;
-+
-+      if (vq->num_buffers + *nbuffers < 3)
-+              *nbuffers = 3 - vq->num_buffers;
-+
-+      if (*nplanes) {
-+              if (sizes[0] < size) {
-+                      unicam_err(dev, "sizes[0] %i < size %u\n", sizes[0],
-+                                 size);
-+                      return -EINVAL;
-+              }
-+              size = sizes[0];
-+      }
-+
-+      *nplanes = 1;
-+      sizes[0] = size;
-+
-+      return 0;
-+}
-+
-+static int unicam_buffer_prepare(struct vb2_buffer *vb)
-+{
-+      struct unicam_device *dev = vb2_get_drv_priv(vb->vb2_queue);
-+      struct unicam_buffer *buf = container_of(vb, struct unicam_buffer,
-+                                            vb.vb2_buf);
-+      unsigned long size;
-+
-+      if (WARN_ON(!dev->fmt))
-+              return -EINVAL;
-+
-+      size = dev->v_fmt.fmt.pix.sizeimage;
-+      if (vb2_plane_size(vb, 0) < size) {
-+              unicam_err(dev, "data will not fit into plane (%lu < %lu)\n",
-+                         vb2_plane_size(vb, 0), size);
-+              return -EINVAL;
-+      }
-+
-+      vb2_set_plane_payload(&buf->vb.vb2_buf, 0, size);
-+      return 0;
-+}
-+
-+static void unicam_buffer_queue(struct vb2_buffer *vb)
-+{
-+      struct unicam_device *dev = vb2_get_drv_priv(vb->vb2_queue);
-+      struct unicam_buffer *buf = container_of(vb, struct unicam_buffer,
-+                                            vb.vb2_buf);
-+      struct unicam_dmaqueue *dma_queue = &dev->dma_queue;
-+      unsigned long flags = 0;
-+
-+      spin_lock_irqsave(&dev->dma_queue_lock, flags);
-+      list_add_tail(&buf->list, &dma_queue->active);
-+      spin_unlock_irqrestore(&dev->dma_queue_lock, flags);
-+}
-+
-+static void unicam_set_packing_config(struct unicam_device *dev)
-+{
-+      int pack, unpack;
-+      u32 val;
-+
-+      if (dev->v_fmt.fmt.pix.pixelformat == dev->fmt->fourcc) {
-+              unpack = UNICAM_PUM_NONE;
-+              pack = UNICAM_PPM_NONE;
-+      } else {
-+              switch (dev->fmt->depth) {
-+              case 8:
-+                      unpack = UNICAM_PUM_UNPACK8;
-+                      break;
-+              case 10:
-+                      unpack = UNICAM_PUM_UNPACK10;
-+                      break;
-+              case 12:
-+                      unpack = UNICAM_PUM_UNPACK12;
-+                      break;
-+              case 14:
-+                      unpack = UNICAM_PUM_UNPACK14;
-+                      break;
-+              case 16:
-+                      unpack = UNICAM_PUM_UNPACK16;
-+                      break;
-+              default:
-+                      unpack = UNICAM_PUM_NONE;
-+                      break;
-+              }
-+
-+              /* Repacking is always to 16bpp */
-+              pack = UNICAM_PPM_PACK16;
-+      }
-+
-+      val = 0;
-+      set_field(&val, unpack, UNICAM_PUM_MASK);
-+      set_field(&val, pack, UNICAM_PPM_MASK);
-+      reg_write(&dev->cfg, UNICAM_IPIPE, val);
-+}
-+
-+static void unicam_cfg_image_id(struct unicam_device *dev)
-+{
-+      struct unicam_cfg *cfg = &dev->cfg;
-+
-+      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
-+              /* CSI2 mode */
-+              reg_write(cfg, UNICAM_IDI0,
-+                        (dev->virtual_channel << 6) | dev->fmt->csi_dt);
-+      } else {
-+              /* CCP2 mode */
-+              reg_write(cfg, UNICAM_IDI0, (0x80 | dev->fmt->csi_dt));
-+      }
-+}
-+
-+static void unicam_start_rx(struct unicam_device *dev, unsigned long addr)
-+{
-+      struct unicam_cfg *cfg = &dev->cfg;
-+      int line_int_freq = dev->v_fmt.fmt.pix.height >> 2;
-+      unsigned int i;
-+      u32 val;
-+
-+      if (line_int_freq < 128)
-+              line_int_freq = 128;
-+
-+      /* Enable lane clocks */
-+      val = 1;
-+      for (i = 0; i < dev->active_data_lanes; i++)
-+              val = val << 2 | 1;
-+      clk_write(cfg, val);
-+
-+      /* Basic init */
-+      reg_write(cfg, UNICAM_CTRL, UNICAM_MEM);
-+
-+      /* Enable analogue control, and leave in reset. */
-+      val = UNICAM_AR;
-+      set_field(&val, 7, UNICAM_CTATADJ_MASK);
-+      set_field(&val, 7, UNICAM_PTATADJ_MASK);
-+      reg_write(cfg, UNICAM_ANA, val);
-+      usleep_range(1000, 2000);
-+
-+      /* Come out of reset */
-+      reg_write_field(cfg, UNICAM_ANA, 0, UNICAM_AR);
-+
-+      /* Peripheral reset */
-+      reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_CPR);
-+      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPR);
-+
-+      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPE);
-+
-+      /* Enable Rx control. */
-+      val = reg_read(cfg, UNICAM_CTRL);
-+      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
-+              set_field(&val, UNICAM_CPM_CSI2, UNICAM_CPM_MASK);
-+              set_field(&val, UNICAM_DCM_STROBE, UNICAM_DCM_MASK);
-+      } else {
-+              set_field(&val, UNICAM_CPM_CCP2, UNICAM_CPM_MASK);
-+              set_field(&val, dev->bus_flags, UNICAM_DCM_MASK);
-+      }
-+      /* Packet framer timeout */
-+      set_field(&val, 0xf, UNICAM_PFT_MASK);
-+      set_field(&val, 128, UNICAM_OET_MASK);
-+      reg_write(cfg, UNICAM_CTRL, val);
-+
-+      reg_write(cfg, UNICAM_IHWIN, 0);
-+      reg_write(cfg, UNICAM_IVWIN, 0);
-+
-+      /* AXI bus access QoS setup */
-+      val = reg_read(&dev->cfg, UNICAM_PRI);
-+      set_field(&val, 0, UNICAM_BL_MASK);
-+      set_field(&val, 0, UNICAM_BS_MASK);
-+      set_field(&val, 0xe, UNICAM_PP_MASK);
-+      set_field(&val, 8, UNICAM_NP_MASK);
-+      set_field(&val, 2, UNICAM_PT_MASK);
-+      set_field(&val, 1, UNICAM_PE);
-+      reg_write(cfg, UNICAM_PRI, val);
-+
-+      reg_write_field(cfg, UNICAM_ANA, 0, UNICAM_DDL);
-+
-+      /* Always start in trigger frame capture mode (UNICAM_FCM set) */
-+      val = UNICAM_FSIE | UNICAM_FEIE | UNICAM_FCM;
-+      set_field(&val,  line_int_freq, UNICAM_LCIE_MASK);
-+      reg_write(cfg, UNICAM_ICTL, val);
-+      reg_write(cfg, UNICAM_STA, UNICAM_STA_MASK_ALL);
-+      reg_write(cfg, UNICAM_ISTA, UNICAM_ISTA_MASK_ALL);
-+
-+      /* tclk_term_en */
-+      reg_write_field(cfg, UNICAM_CLT, 2, UNICAM_CLT1_MASK);
-+      /* tclk_settle */
-+      reg_write_field(cfg, UNICAM_CLT, 6, UNICAM_CLT2_MASK);
-+      /* td_term_en */
-+      reg_write_field(cfg, UNICAM_DLT, 2, UNICAM_DLT1_MASK);
-+      /* ths_settle */
-+      reg_write_field(cfg, UNICAM_DLT, 6, UNICAM_DLT2_MASK);
-+      /* trx_enable */
-+      reg_write_field(cfg, UNICAM_DLT, 0, UNICAM_DLT3_MASK);
-+
-+      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_SOE);
-+
-+      /* Packet compare setup - required to avoid missing frame ends */
-+      val = 0;
-+      set_field(&val, 1, UNICAM_PCE);
-+      set_field(&val, 1, UNICAM_GI);
-+      set_field(&val, 1, UNICAM_CPH);
-+      set_field(&val, 0, UNICAM_PCVC_MASK);
-+      set_field(&val, 1, UNICAM_PCDT_MASK);
-+      reg_write(cfg, UNICAM_CMP0, val);
-+
-+      /* Enable clock lane and set up terminations */
-+      val = 0;
-+      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
-+              /* CSI2 */
-+              set_field(&val, 1, UNICAM_CLE);
-+              set_field(&val, 1, UNICAM_CLLPE);
-+              if (dev->bus_flags & V4L2_MBUS_CSI2_CONTINUOUS_CLOCK) {
-+                      set_field(&val, 1, UNICAM_CLTRE);
-+                      set_field(&val, 1, UNICAM_CLHSE);
-+              }
-+      } else {
-+              /* CCP2 */
-+              set_field(&val, 1, UNICAM_CLE);
-+              set_field(&val, 1, UNICAM_CLHSE);
-+              set_field(&val, 1, UNICAM_CLTRE);
-+      }
-+      reg_write(cfg, UNICAM_CLK, val);
-+
-+      /*
-+       * Enable required data lanes with appropriate terminations.
-+       * The same value needs to be written to UNICAM_DATn registers for
-+       * the active lanes, and 0 for inactive ones.
-+       */
-+      val = 0;
-+      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
-+              /* CSI2 */
-+              set_field(&val, 1, UNICAM_DLE);
-+              set_field(&val, 1, UNICAM_DLLPE);
-+              if (dev->bus_flags & V4L2_MBUS_CSI2_CONTINUOUS_CLOCK) {
-+                      set_field(&val, 1, UNICAM_DLTRE);
-+                      set_field(&val, 1, UNICAM_DLHSE);
-+              }
-+      } else {
-+              /* CCP2 */
-+              set_field(&val, 1, UNICAM_DLE);
-+              set_field(&val, 1, UNICAM_DLHSE);
-+              set_field(&val, 1, UNICAM_DLTRE);
-+      }
-+      reg_write(cfg, UNICAM_DAT0, val);
-+
-+      if (dev->active_data_lanes == 1)
-+              val = 0;
-+      reg_write(cfg, UNICAM_DAT1, val);
-+
-+      if (dev->max_data_lanes > 2) {
-+              /*
-+               * Registers UNICAM_DAT2 and UNICAM_DAT3 only valid if the
-+               * instance supports more than 2 data lanes.
-+               */
-+              if (dev->active_data_lanes == 2)
-+                      val = 0;
-+              reg_write(cfg, UNICAM_DAT2, val);
-+
-+              if (dev->active_data_lanes == 3)
-+                      val = 0;
-+              reg_write(cfg, UNICAM_DAT3, val);
-+      }
-+
-+      reg_write(&dev->cfg, UNICAM_IBLS, dev->v_fmt.fmt.pix.bytesperline);
-+      unicam_wr_dma_addr(dev, addr);
-+      unicam_set_packing_config(dev);
-+      unicam_cfg_image_id(dev);
-+
-+      /* Disabled embedded data */
-+      val = 0;
-+      set_field(&val, 0, UNICAM_EDL_MASK);
-+      reg_write(cfg, UNICAM_DCS, val);
-+
-+      val = reg_read(cfg, UNICAM_MISC);
-+      set_field(&val, 1, UNICAM_FL0);
-+      set_field(&val, 1, UNICAM_FL1);
-+      reg_write(cfg, UNICAM_MISC, val);
-+
-+      /* Enable peripheral */
-+      reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_CPE);
-+
-+      /* Load image pointers */
-+      reg_write_field(cfg, UNICAM_ICTL, 1, UNICAM_LIP_MASK);
-+
-+      /*
-+       * Enable trigger only for the first frame to
-+       * sync correctly to the FS from the source.
-+       */
-+      reg_write_field(cfg, UNICAM_ICTL, 1, UNICAM_TFC);
-+}
-+
-+static void unicam_disable(struct unicam_device *dev)
-+{
-+      struct unicam_cfg *cfg = &dev->cfg;
-+
-+      /* Analogue lane control disable */
-+      reg_write_field(cfg, UNICAM_ANA, 1, UNICAM_DDL);
-+
-+      /* Stop the output engine */
-+      reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_SOE);
-+
-+      /* Disable the data lanes. */
-+      reg_write(cfg, UNICAM_DAT0, 0);
-+      reg_write(cfg, UNICAM_DAT1, 0);
-+
-+      if (dev->max_data_lanes > 2) {
-+              reg_write(cfg, UNICAM_DAT2, 0);
-+              reg_write(cfg, UNICAM_DAT3, 0);
-+      }
-+
-+      /* Peripheral reset */
-+      reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_CPR);
-+      usleep_range(50, 100);
-+      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPR);
-+
-+      /* Disable peripheral */
-+      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPE);
-+
-+      /* Disable all lane clocks */
-+      clk_write(cfg, 0);
-+}
-+
-+static int unicam_start_streaming(struct vb2_queue *vq, unsigned int count)
-+{
-+      struct unicam_device *dev = vb2_get_drv_priv(vq);
-+      struct unicam_dmaqueue *dma_q = &dev->dma_queue;
-+      struct unicam_buffer *buf, *tmp;
-+      unsigned long addr = 0;
-+      unsigned long flags;
-+      int ret;
-+
-+      spin_lock_irqsave(&dev->dma_queue_lock, flags);
-+      buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
-+      dev->cur_frm = buf;
-+      dev->next_frm = buf;
-+      list_del(&buf->list);
-+      spin_unlock_irqrestore(&dev->dma_queue_lock, flags);
-+
-+      addr = vb2_dma_contig_plane_dma_addr(&dev->cur_frm->vb.vb2_buf, 0);
-+      dev->sequence = 0;
-+
-+      ret = unicam_runtime_get(dev);
-+      if (ret < 0) {
-+              unicam_dbg(3, dev, "unicam_runtime_get failed\n");
-+              goto err_release_buffers;
-+      }
-+
-+      dev->active_data_lanes = dev->max_data_lanes;
-+      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY &&
-+          v4l2_subdev_has_op(dev->sensor, video, g_mbus_config)) {
-+              struct v4l2_mbus_config mbus_config;
-+
-+              ret = v4l2_subdev_call(dev->sensor, video, g_mbus_config,
-+                                     &mbus_config);
-+              if (ret < 0) {
-+                      unicam_dbg(3, dev, "g_mbus_config failed\n");
-+                      goto err_pm_put;
-+              }
-+
-+              dev->active_data_lanes =
-+                      (mbus_config.flags & V4L2_MBUS_CSI2_LANE_MASK) >>
-+                                      __ffs(V4L2_MBUS_CSI2_LANE_MASK);
-+              if (!dev->active_data_lanes)
-+                      dev->active_data_lanes = dev->max_data_lanes;
-+      }
-+      if (dev->active_data_lanes > dev->max_data_lanes) {
-+              unicam_err(dev, "Device has requested %u data lanes, which is >%u configured in DT\n",
-+                         dev->active_data_lanes, dev->max_data_lanes);
-+              ret = -EINVAL;
-+              goto err_pm_put;
-+      }
-+
-+      unicam_dbg(1, dev, "Running with %u data lanes\n",
-+                 dev->active_data_lanes);
-+
-+      ret = clk_set_rate(dev->clock, 100 * 1000 * 1000);
-+      if (ret) {
-+              unicam_err(dev, "failed to set up clock\n");
-+              goto err_pm_put;
-+      }
-+
-+      ret = clk_prepare_enable(dev->clock);
-+      if (ret) {
-+              unicam_err(dev, "Failed to enable CSI clock: %d\n", ret);
-+              goto err_pm_put;
-+      }
-+      dev->streaming = 1;
-+
-+      unicam_start_rx(dev, addr);
-+
-+      ret = v4l2_subdev_call(dev->sensor, video, s_stream, 1);
-+      if (ret < 0) {
-+              unicam_err(dev, "stream on failed in subdev\n");
-+              goto err_disable_unicam;
-+      }
-+
-+      return 0;
-+
-+err_disable_unicam:
-+      unicam_disable(dev);
-+      clk_disable_unprepare(dev->clock);
-+err_pm_put:
-+      unicam_runtime_put(dev);
-+err_release_buffers:
-+      list_for_each_entry_safe(buf, tmp, &dma_q->active, list) {
-+              list_del(&buf->list);
-+              vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
-+      }
-+      if (dev->cur_frm != dev->next_frm)
-+              vb2_buffer_done(&dev->next_frm->vb.vb2_buf,
-+                              VB2_BUF_STATE_QUEUED);
-+      vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
-+      dev->next_frm = NULL;
-+      dev->cur_frm = NULL;
-+
-+      return ret;
-+}
-+
-+static void unicam_stop_streaming(struct vb2_queue *vq)
-+{
-+      struct unicam_device *dev = vb2_get_drv_priv(vq);
-+      struct unicam_dmaqueue *dma_q = &dev->dma_queue;
-+      struct unicam_buffer *buf, *tmp;
-+      unsigned long flags;
-+
-+      if (v4l2_subdev_call(dev->sensor, video, s_stream, 0) < 0)
-+              unicam_err(dev, "stream off failed in subdev\n");
-+
-+      unicam_disable(dev);
-+
-+      /* Release all active buffers */
-+      spin_lock_irqsave(&dev->dma_queue_lock, flags);
-+      list_for_each_entry_safe(buf, tmp, &dma_q->active, list) {
-+              list_del(&buf->list);
-+              vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_ERROR);
-+      }
-+
-+      if (dev->cur_frm == dev->next_frm) {
-+              vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_ERROR);
-+      } else {
-+              vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_ERROR);
-+              vb2_buffer_done(&dev->next_frm->vb.vb2_buf,
-+                              VB2_BUF_STATE_ERROR);
-+      }
-+      dev->cur_frm = NULL;
-+      dev->next_frm = NULL;
-+      spin_unlock_irqrestore(&dev->dma_queue_lock, flags);
-+
-+      clk_disable_unprepare(dev->clock);
-+      unicam_runtime_put(dev);
-+}
-+
-+static int unicam_enum_input(struct file *file, void *priv,
-+                           struct v4l2_input *inp)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+
-+      if (inp->index != 0)
-+              return -EINVAL;
-+
-+      inp->type = V4L2_INPUT_TYPE_CAMERA;
-+      if (v4l2_subdev_has_op(dev->sensor, video, s_dv_timings)) {
-+              inp->capabilities = V4L2_IN_CAP_DV_TIMINGS;
-+              inp->std = 0;
-+      } else if (v4l2_subdev_has_op(dev->sensor, video, s_std)) {
-+              inp->capabilities = V4L2_IN_CAP_STD;
-+              if (v4l2_subdev_call(dev->sensor, video, g_tvnorms, &inp->std)
-+                                      < 0)
-+                      inp->std = V4L2_STD_ALL;
-+      } else {
-+              inp->capabilities = 0;
-+              inp->std = 0;
-+      }
-+      sprintf(inp->name, "Camera 0");
-+      return 0;
-+}
-+
-+static int unicam_g_input(struct file *file, void *priv, unsigned int *i)
-+{
-+      *i = 0;
-+
-+      return 0;
-+}
-+
-+static int unicam_s_input(struct file *file, void *priv, unsigned int i)
-+{
-+      /*
-+       * FIXME: Ideally we would like to be able to query the source
-+       * subdevice for information over the input connectors it supports,
-+       * and map that through in to a call to video_ops->s_routing.
-+       * There is no infrastructure support for defining that within
-+       * devicetree at present. Until that is implemented we can't
-+       * map a user physical connector number to s_routing input number.
-+       */
-+      if (i > 0)
-+              return -EINVAL;
-+
-+      return 0;
-+}
-+
-+static int unicam_querystd(struct file *file, void *priv,
-+                         v4l2_std_id *std)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+
-+      return v4l2_subdev_call(dev->sensor, video, querystd, std);
-+}
-+
-+static int unicam_g_std(struct file *file, void *priv, v4l2_std_id *std)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+
-+      return v4l2_subdev_call(dev->sensor, video, g_std, std);
-+}
-+
-+static int unicam_s_std(struct file *file, void *priv, v4l2_std_id std)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+      int ret;
-+      v4l2_std_id current_std;
-+
-+      ret = v4l2_subdev_call(dev->sensor, video, g_std, &current_std);
-+      if (ret)
-+              return ret;
-+
-+      if (std == current_std)
-+              return 0;
-+
-+      if (vb2_is_busy(&dev->buffer_queue))
-+              return -EBUSY;
-+
-+      ret = v4l2_subdev_call(dev->sensor, video, s_std, std);
-+
-+      /* Force recomputation of bytesperline */
-+      dev->v_fmt.fmt.pix.bytesperline = 0;
-+
-+      unicam_reset_format(dev);
-+
-+      return ret;
-+}
-+
-+static int unicam_s_edid(struct file *file, void *priv, struct v4l2_edid *edid)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+
-+      return v4l2_subdev_call(dev->sensor, pad, set_edid, edid);
-+}
-+
-+static int unicam_g_edid(struct file *file, void *priv, struct v4l2_edid *edid)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+
-+      return v4l2_subdev_call(dev->sensor, pad, get_edid, edid);
-+}
-+
-+static int unicam_enum_framesizes(struct file *file, void *priv,
-+                                struct v4l2_frmsizeenum *fsize)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+      const struct unicam_fmt *fmt;
-+      struct v4l2_subdev_frame_size_enum fse;
-+      int ret;
-+
-+      /* check for valid format */
-+      fmt = find_format_by_pix(dev, fsize->pixel_format);
-+      if (!fmt) {
-+              unicam_dbg(3, dev, "Invalid pixel code: %x\n",
-+                         fsize->pixel_format);
-+              return -EINVAL;
-+      }
-+
-+      fse.index = fsize->index;
-+      fse.pad = 0;
-+      fse.code = fmt->code;
-+
-+      ret = v4l2_subdev_call(dev->sensor, pad, enum_frame_size, NULL, &fse);
-+      if (ret)
-+              return ret;
-+
-+      unicam_dbg(1, dev, "%s: index: %d code: %x W:[%d,%d] H:[%d,%d]\n",
-+                 __func__, fse.index, fse.code, fse.min_width, fse.max_width,
-+                 fse.min_height, fse.max_height);
-+
-+      fsize->type = V4L2_FRMSIZE_TYPE_DISCRETE;
-+      fsize->discrete.width = fse.max_width;
-+      fsize->discrete.height = fse.max_height;
-+
-+      return 0;
-+}
-+
-+static int unicam_enum_frameintervals(struct file *file, void *priv,
-+                                    struct v4l2_frmivalenum *fival)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+      const struct unicam_fmt *fmt;
-+      struct v4l2_subdev_frame_interval_enum fie = {
-+              .index = fival->index,
-+              .width = fival->width,
-+              .height = fival->height,
-+              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
-+      };
-+      int ret;
-+
-+      fmt = find_format_by_pix(dev, fival->pixel_format);
-+      if (!fmt)
-+              return -EINVAL;
-+
-+      fie.code = fmt->code;
-+      ret = v4l2_subdev_call(dev->sensor, pad, enum_frame_interval,
-+                             NULL, &fie);
-+      if (ret)
-+              return ret;
-+
-+      fival->type = V4L2_FRMIVAL_TYPE_DISCRETE;
-+      fival->discrete = fie.interval;
-+
-+      return 0;
-+}
-+
-+static int unicam_g_parm(struct file *file, void *fh, struct v4l2_streamparm *a)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+
-+      return v4l2_g_parm_cap(video_devdata(file), dev->sensor, a);
-+}
-+
-+static int unicam_s_parm(struct file *file, void *fh, struct v4l2_streamparm *a)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+
-+      return v4l2_s_parm_cap(video_devdata(file), dev->sensor, a);
-+}
-+
-+static int unicam_g_dv_timings(struct file *file, void *priv,
-+                             struct v4l2_dv_timings *timings)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+
-+      return v4l2_subdev_call(dev->sensor, video, g_dv_timings, timings);
-+}
-+
-+static int unicam_s_dv_timings(struct file *file, void *priv,
-+                             struct v4l2_dv_timings *timings)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+      struct v4l2_dv_timings current_timings;
-+      int ret;
-+
-+      ret = v4l2_subdev_call(dev->sensor, video, g_dv_timings,
-+                             &current_timings);
-+
-+      if (v4l2_match_dv_timings(timings, &current_timings, 0, false))
-+              return 0;
-+
-+      if (vb2_is_busy(&dev->buffer_queue))
-+              return -EBUSY;
-+
-+      ret = v4l2_subdev_call(dev->sensor, video, s_dv_timings, timings);
-+
-+      /* Force recomputation of bytesperline */
-+      dev->v_fmt.fmt.pix.bytesperline = 0;
-+
-+      unicam_reset_format(dev);
-+
-+      return ret;
-+}
-+
-+static int unicam_query_dv_timings(struct file *file, void *priv,
-+                                 struct v4l2_dv_timings *timings)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+
-+      return v4l2_subdev_call(dev->sensor, video, query_dv_timings, timings);
-+}
-+
-+static int unicam_enum_dv_timings(struct file *file, void *priv,
-+                                struct v4l2_enum_dv_timings *timings)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+
-+      return v4l2_subdev_call(dev->sensor, pad, enum_dv_timings, timings);
-+}
-+
-+static int unicam_dv_timings_cap(struct file *file, void *priv,
-+                               struct v4l2_dv_timings_cap *cap)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+
-+      return v4l2_subdev_call(dev->sensor, pad, dv_timings_cap, cap);
-+}
-+
-+static int unicam_subscribe_event(struct v4l2_fh *fh,
-+                                const struct v4l2_event_subscription *sub)
-+{
-+      switch (sub->type) {
-+      case V4L2_EVENT_SOURCE_CHANGE:
-+              return v4l2_event_subscribe(fh, sub, 4, NULL);
-+      }
-+
-+      return v4l2_ctrl_subscribe_event(fh, sub);
-+}
-+
-+static int unicam_log_status(struct file *file, void *fh)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_cfg *cfg = &dev->cfg;
-+      u32 reg;
-+
-+      /* status for sub devices */
-+      v4l2_device_call_all(&dev->v4l2_dev, 0, core, log_status);
-+
-+      unicam_info(dev, "-----Receiver status-----\n");
-+      unicam_info(dev, "V4L2 width/height:   %ux%u\n",
-+                  dev->v_fmt.fmt.pix.width, dev->v_fmt.fmt.pix.height);
-+      unicam_info(dev, "Mediabus format:     %08x\n", dev->fmt->code);
-+      unicam_info(dev, "V4L2 format:         %08x\n",
-+                  dev->v_fmt.fmt.pix.pixelformat);
-+      reg = reg_read(&dev->cfg, UNICAM_IPIPE);
-+      unicam_info(dev, "Unpacking/packing:   %u / %u\n",
-+                  get_field(reg, UNICAM_PUM_MASK),
-+                  get_field(reg, UNICAM_PPM_MASK));
-+      unicam_info(dev, "----Live data----\n");
-+      unicam_info(dev, "Programmed stride:   %4u\n",
-+                  reg_read(cfg, UNICAM_IBLS));
-+      unicam_info(dev, "Detected resolution: %ux%u\n",
-+                  reg_read(cfg, UNICAM_IHSTA),
-+                  reg_read(cfg, UNICAM_IVSTA));
-+      unicam_info(dev, "Write pointer:       %08x\n",
-+                  reg_read(cfg, UNICAM_IBWP));
-+
-+      return 0;
-+}
-+
-+static void unicam_notify(struct v4l2_subdev *sd,
-+                        unsigned int notification, void *arg)
-+{
-+      struct unicam_device *dev =
-+              container_of(sd->v4l2_dev, struct unicam_device, v4l2_dev);
-+
-+      switch (notification) {
-+      case V4L2_DEVICE_NOTIFY_EVENT:
-+              v4l2_event_queue(&dev->video_dev, arg);
-+              break;
-+      default:
-+              break;
-+      }
-+}
-+
-+static const struct vb2_ops unicam_video_qops = {
-+      .wait_prepare           = vb2_ops_wait_prepare,
-+      .wait_finish            = vb2_ops_wait_finish,
-+      .queue_setup            = unicam_queue_setup,
-+      .buf_prepare            = unicam_buffer_prepare,
-+      .buf_queue              = unicam_buffer_queue,
-+      .start_streaming        = unicam_start_streaming,
-+      .stop_streaming         = unicam_stop_streaming,
-+};
-+
-+/*
-+ * unicam_open : This function is based on the v4l2_fh_open helper function.
-+ * It has been augmented to handle sensor subdevice power management,
-+ */
-+static int unicam_open(struct file *file)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+      int ret;
-+
-+      mutex_lock(&dev->lock);
-+
-+      ret = v4l2_fh_open(file);
-+      if (ret) {
-+              unicam_err(dev, "v4l2_fh_open failed\n");
-+              goto unlock;
-+      }
-+
-+      if (!v4l2_fh_is_singular_file(file))
-+              goto unlock;
-+
-+      ret = v4l2_subdev_call(dev->sensor, core, s_power, 1);
-+      if (ret < 0 && ret != -ENOIOCTLCMD) {
-+              v4l2_fh_release(file);
-+              goto unlock;
-+      }
-+
-+      ret = 0;
-+
-+unlock:
-+      mutex_unlock(&dev->lock);
-+      return ret;
-+}
-+
-+static int unicam_release(struct file *file)
-+{
-+      struct unicam_device *dev = video_drvdata(file);
-+      struct v4l2_subdev *sd = dev->sensor;
-+      bool fh_singular;
-+      int ret;
-+
-+      mutex_lock(&dev->lock);
-+
-+      fh_singular = v4l2_fh_is_singular_file(file);
-+
-+      ret = _vb2_fop_release(file, NULL);
-+
-+      if (fh_singular)
-+              v4l2_subdev_call(sd, core, s_power, 0);
-+
-+      mutex_unlock(&dev->lock);
-+
-+      return ret;
-+}
-+
-+/* unicam capture driver file operations */
-+static const struct v4l2_file_operations unicam_fops = {
-+      .owner          = THIS_MODULE,
-+      .open           = unicam_open,
-+      .release        = unicam_release,
-+      .read           = vb2_fop_read,
-+      .poll           = vb2_fop_poll,
-+      .unlocked_ioctl = video_ioctl2,
-+      .mmap           = vb2_fop_mmap,
-+};
-+
-+/* unicam capture ioctl operations */
-+static const struct v4l2_ioctl_ops unicam_ioctl_ops = {
-+      .vidioc_querycap                = unicam_querycap,
-+      .vidioc_enum_fmt_vid_cap        = unicam_enum_fmt_vid_cap,
-+      .vidioc_g_fmt_vid_cap           = unicam_g_fmt_vid_cap,
-+      .vidioc_s_fmt_vid_cap           = unicam_s_fmt_vid_cap,
-+      .vidioc_try_fmt_vid_cap         = unicam_try_fmt_vid_cap,
-+
-+      .vidioc_enum_input              = unicam_enum_input,
-+      .vidioc_g_input                 = unicam_g_input,
-+      .vidioc_s_input                 = unicam_s_input,
-+
-+      .vidioc_querystd                = unicam_querystd,
-+      .vidioc_s_std                   = unicam_s_std,
-+      .vidioc_g_std                   = unicam_g_std,
-+
-+      .vidioc_g_edid                  = unicam_g_edid,
-+      .vidioc_s_edid                  = unicam_s_edid,
-+
-+      .vidioc_enum_framesizes         = unicam_enum_framesizes,
-+      .vidioc_enum_frameintervals     = unicam_enum_frameintervals,
-+
-+      .vidioc_g_parm                  = unicam_g_parm,
-+      .vidioc_s_parm                  = unicam_s_parm,
-+
-+      .vidioc_s_dv_timings            = unicam_s_dv_timings,
-+      .vidioc_g_dv_timings            = unicam_g_dv_timings,
-+      .vidioc_query_dv_timings        = unicam_query_dv_timings,
-+      .vidioc_enum_dv_timings         = unicam_enum_dv_timings,
-+      .vidioc_dv_timings_cap          = unicam_dv_timings_cap,
-+
-+      .vidioc_reqbufs                 = vb2_ioctl_reqbufs,
-+      .vidioc_create_bufs             = vb2_ioctl_create_bufs,
-+      .vidioc_prepare_buf             = vb2_ioctl_prepare_buf,
-+      .vidioc_querybuf                = vb2_ioctl_querybuf,
-+      .vidioc_qbuf                    = vb2_ioctl_qbuf,
-+      .vidioc_dqbuf                   = vb2_ioctl_dqbuf,
-+      .vidioc_expbuf                  = vb2_ioctl_expbuf,
-+      .vidioc_streamon                = vb2_ioctl_streamon,
-+      .vidioc_streamoff               = vb2_ioctl_streamoff,
-+
-+      .vidioc_log_status              = unicam_log_status,
-+      .vidioc_subscribe_event         = unicam_subscribe_event,
-+      .vidioc_unsubscribe_event       = v4l2_event_unsubscribe,
-+};
-+
-+static int
-+unicam_async_bound(struct v4l2_async_notifier *notifier,
-+                 struct v4l2_subdev *subdev,
-+                 struct v4l2_async_subdev *asd)
-+{
-+      struct unicam_device *unicam = container_of(notifier->v4l2_dev,
-+                                             struct unicam_device, v4l2_dev);
-+
-+      if (unicam->sensor) {
-+              unicam_info(unicam, "Rejecting subdev %s (Already set!!)",
-+                          subdev->name);
-+              return 0;
-+      }
-+
-+      unicam->sensor = subdev;
-+      unicam_dbg(1, unicam, "Using sensor %s for capture\n", subdev->name);
-+
-+      return 0;
-+}
-+
-+static int unicam_probe_complete(struct unicam_device *unicam)
-+{
-+      struct video_device *vdev;
-+      struct vb2_queue *q;
-+      struct v4l2_mbus_framefmt mbus_fmt = {0};
-+      const struct unicam_fmt *fmt;
-+      int ret;
-+
-+      v4l2_set_subdev_hostdata(unicam->sensor, unicam);
-+
-+      unicam->v4l2_dev.notify = unicam_notify;
-+
-+      unicam->sensor_config = v4l2_subdev_alloc_pad_config(unicam->sensor);
-+      if (!unicam->sensor_config)
-+              return -ENOMEM;
-+
-+      ret = __subdev_get_format(unicam, &mbus_fmt);
-+      if (ret) {
-+              unicam_err(unicam, "Failed to get_format - ret %d\n", ret);
-+              return ret;
-+      }
-+
-+      fmt = find_format_by_code(mbus_fmt.code);
-+      if (!fmt) {
-+              /* Find the first format that the sensor and unicam both
-+               * support
-+               */
-+              fmt = get_first_supported_format(unicam);
-+
-+              if (!fmt)
-+                      /* No compatible formats */
-+                      return -EINVAL;
-+
-+              mbus_fmt.code = fmt->code;
-+              ret = __subdev_set_format(unicam, &mbus_fmt);
-+              if (ret)
-+                      return -EINVAL;
-+      }
-+      if (mbus_fmt.field != V4L2_FIELD_NONE) {
-+              /* Interlaced not supported - disable it now. */
-+              mbus_fmt.field = V4L2_FIELD_NONE;
-+              ret = __subdev_set_format(unicam, &mbus_fmt);
-+              if (ret)
-+                      return -EINVAL;
-+      }
-+
-+      unicam->fmt = fmt;
-+      if (fmt->fourcc)
-+              unicam->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
-+      else
-+              unicam->v_fmt.fmt.pix.pixelformat = fmt->repacked_fourcc;
-+
-+      /* Read current subdev format */
-+      unicam_reset_format(unicam);
-+
-+      if (v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
-+              v4l2_std_id tvnorms;
-+
-+              if (WARN_ON(!v4l2_subdev_has_op(unicam->sensor, video,
-+                                              g_tvnorms)))
-+                      /*
-+                       * Subdevice should not advertise s_std but not
-+                       * g_tvnorms
-+                       */
-+                      return -EINVAL;
-+
-+              ret = v4l2_subdev_call(unicam->sensor, video,
-+                                     g_tvnorms, &tvnorms);
-+              if (WARN_ON(ret))
-+                      return -EINVAL;
-+              unicam->video_dev.tvnorms |= tvnorms;
-+      }
-+
-+      spin_lock_init(&unicam->dma_queue_lock);
-+      mutex_init(&unicam->lock);
-+
-+      /* Add controls from the subdevice */
-+      ret = v4l2_ctrl_add_handler(&unicam->ctrl_handler,
-+                                  unicam->sensor->ctrl_handler, NULL, true);
-+      if (ret < 0)
-+              return ret;
-+
-+      q = &unicam->buffer_queue;
-+      q->type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
-+      q->io_modes = VB2_MMAP | VB2_DMABUF | VB2_READ;
-+      q->drv_priv = unicam;
-+      q->ops = &unicam_video_qops;
-+      q->mem_ops = &vb2_dma_contig_memops;
-+      q->buf_struct_size = sizeof(struct unicam_buffer);
-+      q->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_MONOTONIC;
-+      q->lock = &unicam->lock;
-+      q->min_buffers_needed = 2;
-+      q->dev = &unicam->pdev->dev;
-+
-+      ret = vb2_queue_init(q);
-+      if (ret) {
-+              unicam_err(unicam, "vb2_queue_init() failed\n");
-+              return ret;
-+      }
-+
-+      INIT_LIST_HEAD(&unicam->dma_queue.active);
-+
-+      vdev = &unicam->video_dev;
-+      strlcpy(vdev->name, UNICAM_MODULE_NAME, sizeof(vdev->name));
-+      vdev->release = video_device_release_empty;
-+      vdev->fops = &unicam_fops;
-+      vdev->ioctl_ops = &unicam_ioctl_ops;
-+      vdev->v4l2_dev = &unicam->v4l2_dev;
-+      vdev->vfl_dir = VFL_DIR_RX;
-+      vdev->queue = q;
-+      vdev->lock = &unicam->lock;
-+      vdev->device_caps = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING |
-+                          V4L2_CAP_READWRITE;
-+
-+      /* If the source has no controls then remove our ctrl handler. */
-+      if (list_empty(&unicam->ctrl_handler.ctrls))
-+              unicam->v4l2_dev.ctrl_handler = NULL;
-+
-+      video_set_drvdata(vdev, unicam);
-+      vdev->entity.flags |= MEDIA_ENT_FL_DEFAULT;
-+
-+      if (!v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_STD);
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_STD);
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_ENUMSTD);
-+      }
-+      if (!v4l2_subdev_has_op(unicam->sensor, video, querystd))
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_QUERYSTD);
-+      if (!v4l2_subdev_has_op(unicam->sensor, video, s_dv_timings)) {
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_EDID);
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_EDID);
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_DV_TIMINGS_CAP);
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_DV_TIMINGS);
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_DV_TIMINGS);
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_ENUM_DV_TIMINGS);
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_QUERY_DV_TIMINGS);
-+      }
-+      if (!v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_interval))
-+              v4l2_disable_ioctl(&unicam->video_dev,
-+                                 VIDIOC_ENUM_FRAMEINTERVALS);
-+      if (!v4l2_subdev_has_op(unicam->sensor, video, g_frame_interval))
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_PARM);
-+      if (!v4l2_subdev_has_op(unicam->sensor, video, s_frame_interval))
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_PARM);
-+
-+      if (!v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_size))
-+              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_ENUM_FRAMESIZES);
-+
-+      ret = video_register_device(vdev, VFL_TYPE_GRABBER, -1);
-+      if (ret) {
-+              unicam_err(unicam, "Unable to register video device.\n");
-+              return ret;
-+      }
-+
-+      ret = v4l2_device_register_ro_subdev_nodes(&unicam->v4l2_dev);
-+      if (ret) {
-+              unicam_err(unicam,
-+                         "Unable to register subdev nodes.\n");
-+              video_unregister_device(&unicam->video_dev);
-+              return ret;
-+      }
-+
-+      ret = media_create_pad_link(&unicam->sensor->entity, 0,
-+                                  &unicam->video_dev.entity, 0,
-+                                  MEDIA_LNK_FL_ENABLED |
-+                                  MEDIA_LNK_FL_IMMUTABLE);
-+      if (ret) {
-+              unicam_err(unicam, "Unable to create pad links.\n");
-+              video_unregister_device(&unicam->video_dev);
-+              return ret;
-+      }
-+
-+      return 0;
-+}
-+
-+static int unicam_async_complete(struct v4l2_async_notifier *notifier)
-+{
-+      struct unicam_device *unicam = container_of(notifier->v4l2_dev,
-+                                      struct unicam_device, v4l2_dev);
-+
-+      return unicam_probe_complete(unicam);
-+}
-+
-+static const struct v4l2_async_notifier_operations unicam_async_ops = {
-+      .bound = unicam_async_bound,
-+      .complete = unicam_async_complete,
-+};
-+
-+static int of_unicam_connect_subdevs(struct unicam_device *dev)
-+{
-+      struct platform_device *pdev = dev->pdev;
-+      struct device_node *parent, *ep_node = NULL, *remote_ep = NULL,
-+                      *sensor_node = NULL;
-+      struct v4l2_fwnode_endpoint *ep;
-+      struct v4l2_async_subdev *asd;
-+      unsigned int peripheral_data_lanes;
-+      int ret = -EINVAL;
-+      unsigned int lane;
-+
-+      parent = pdev->dev.of_node;
-+
-+      asd = &dev->asd;
-+      ep = &dev->endpoint;
-+
-+      ep_node = of_graph_get_next_endpoint(parent, NULL);
-+      if (!ep_node) {
-+              unicam_dbg(3, dev, "can't get next endpoint\n");
-+              goto cleanup_exit;
-+      }
-+
-+      unicam_dbg(3, dev, "ep_node is %s\n", ep_node->name);
-+
-+      v4l2_fwnode_endpoint_parse(of_fwnode_handle(ep_node), ep);
-+
-+      for (lane = 0; lane < ep->bus.mipi_csi2.num_data_lanes; lane++) {
-+              if (ep->bus.mipi_csi2.data_lanes[lane] != lane + 1) {
-+                      unicam_err(dev, "Local endpoint - data lane reordering not supported\n");
-+                      goto cleanup_exit;
-+              }
-+      }
-+
-+      peripheral_data_lanes = ep->bus.mipi_csi2.num_data_lanes;
-+
-+      sensor_node = of_graph_get_remote_port_parent(ep_node);
-+      if (!sensor_node) {
-+              unicam_dbg(3, dev, "can't get remote parent\n");
-+              goto cleanup_exit;
-+      }
-+      unicam_dbg(3, dev, "sensor_node is %s\n", sensor_node->name);
-+      asd->match_type = V4L2_ASYNC_MATCH_FWNODE;
-+      asd->match.fwnode = of_fwnode_handle(sensor_node);
-+
-+      remote_ep = of_graph_get_remote_endpoint(ep_node);
-+      if (!remote_ep) {
-+              unicam_dbg(3, dev, "can't get remote-endpoint\n");
-+              goto cleanup_exit;
-+      }
-+      unicam_dbg(3, dev, "remote_ep is %s\n", remote_ep->name);
-+      v4l2_fwnode_endpoint_parse(of_fwnode_handle(remote_ep), ep);
-+      unicam_dbg(3, dev, "parsed remote_ep to endpoint. nr_of_link_frequencies %u, bus_type %u\n",
-+                 ep->nr_of_link_frequencies, ep->bus_type);
-+
-+      switch (ep->bus_type) {
-+      case V4L2_MBUS_CSI2_DPHY:
-+              if (ep->bus.mipi_csi2.num_data_lanes >
-+                              peripheral_data_lanes) {
-+                      unicam_err(dev, "Subdevice %s wants too many data lanes (%u > %u)\n",
-+                                 sensor_node->name,
-+                                 ep->bus.mipi_csi2.num_data_lanes,
-+                                 peripheral_data_lanes);
-+                      goto cleanup_exit;
-+              }
-+              for (lane = 0;
-+                   lane < ep->bus.mipi_csi2.num_data_lanes;
-+                   lane++) {
-+                      if (ep->bus.mipi_csi2.data_lanes[lane] != lane + 1) {
-+                              unicam_err(dev, "Subdevice %s - incompatible data lane config\n",
-+                                         sensor_node->name);
-+                              goto cleanup_exit;
-+                      }
-+              }
-+              dev->max_data_lanes = ep->bus.mipi_csi2.num_data_lanes;
-+              dev->bus_flags = ep->bus.mipi_csi2.flags;
-+              break;
-+      case V4L2_MBUS_CCP2:
-+              if (ep->bus.mipi_csi1.clock_lane != 0 ||
-+                  ep->bus.mipi_csi1.data_lane != 1) {
-+                      unicam_err(dev, "Subdevice %s incompatible lane config\n",
-+                                 sensor_node->name);
-+                      goto cleanup_exit;
-+              }
-+              dev->max_data_lanes = 1;
-+              dev->bus_flags = ep->bus.mipi_csi1.strobe;
-+              break;
-+      default:
-+              /* Unsupported bus type */
-+              unicam_err(dev, "sub-device %s is not a CSI2 or CCP2 device %d\n",
-+                         sensor_node->name, ep->bus_type);
-+              goto cleanup_exit;
-+      }
-+
-+      /* Store bus type - CSI2 or CCP2 */
-+      dev->bus_type = ep->bus_type;
-+      unicam_dbg(3, dev, "bus_type is %d\n", dev->bus_type);
-+
-+      /* Store Virtual Channel number */
-+      dev->virtual_channel = ep->base.id;
-+
-+      unicam_dbg(3, dev, "v4l2-endpoint: %s\n",
-+                 dev->bus_type == V4L2_MBUS_CSI2_DPHY ? "CSI2" : "CCP2");
-+      unicam_dbg(3, dev, "Virtual Channel=%d\n", dev->virtual_channel);
-+      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY)
-+              unicam_dbg(3, dev, "flags=0x%08x\n", ep->bus.mipi_csi2.flags);
-+      unicam_dbg(3, dev, "num_data_lanes=%d\n", dev->max_data_lanes);
-+
-+      unicam_dbg(1, dev, "found sub-device %s\n", sensor_node->name);
-+
-+      v4l2_async_notifier_init(&dev->notifier);
-+
-+      ret = v4l2_async_notifier_add_subdev(&dev->notifier, asd);
-+      if (ret) {
-+              unicam_err(dev, "Error adding subdevice - ret %d\n", ret);
-+              goto cleanup_exit;
-+      }
-+
-+      dev->notifier.ops = &unicam_async_ops;
-+      ret = v4l2_async_notifier_register(&dev->v4l2_dev,
-+                                         &dev->notifier);
-+      if (ret) {
-+              unicam_err(dev, "Error registering async notifier - ret %d\n",
-+                         ret);
-+              ret = -EINVAL;
-+      }
-+
-+cleanup_exit:
-+      if (remote_ep)
-+              of_node_put(remote_ep);
-+      if (sensor_node)
-+              of_node_put(sensor_node);
-+      if (ep_node)
-+              of_node_put(ep_node);
-+
-+      return ret;
-+}
-+
-+static int unicam_probe(struct platform_device *pdev)
-+{
-+      struct unicam_cfg *unicam_cfg;
-+      struct unicam_device *unicam;
-+      struct v4l2_ctrl_handler *hdl;
-+      struct resource *res;
-+      int ret;
-+
-+      unicam = devm_kzalloc(&pdev->dev, sizeof(*unicam), GFP_KERNEL);
-+      if (!unicam)
-+              return -ENOMEM;
-+
-+      unicam->pdev = pdev;
-+      unicam_cfg = &unicam->cfg;
-+
-+      res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
-+      unicam_cfg->base = devm_ioremap_resource(&pdev->dev, res);
-+      if (IS_ERR(unicam_cfg->base)) {
-+              unicam_err(unicam, "Failed to get main io block\n");
-+              return PTR_ERR(unicam_cfg->base);
-+      }
-+
-+      res = platform_get_resource(pdev, IORESOURCE_MEM, 1);
-+      unicam_cfg->clk_gate_base = devm_ioremap_resource(&pdev->dev, res);
-+      if (IS_ERR(unicam_cfg->clk_gate_base)) {
-+              unicam_err(unicam, "Failed to get 2nd io block\n");
-+              return PTR_ERR(unicam_cfg->clk_gate_base);
-+      }
-+
-+      unicam->clock = devm_clk_get(&pdev->dev, "lp");
-+      if (IS_ERR(unicam->clock)) {
-+              unicam_err(unicam, "Failed to get clock\n");
-+              return PTR_ERR(unicam->clock);
-+      }
-+
-+      ret = platform_get_irq(pdev, 0);
-+      if (ret <= 0) {
-+              dev_err(&pdev->dev, "No IRQ resource\n");
-+              return -ENODEV;
-+      }
-+
-+      ret = devm_request_irq(&pdev->dev, ret, unicam_isr, 0,
-+                             "unicam_capture0", unicam);
-+      if (ret) {
-+              dev_err(&pdev->dev, "Unable to request interrupt\n");
-+              return -EINVAL;
-+      }
-+
-+      unicam->mdev.dev = &pdev->dev;
-+      strscpy(unicam->mdev.model, UNICAM_MODULE_NAME,
-+              sizeof(unicam->mdev.model));
-+      strscpy(unicam->mdev.serial, "", sizeof(unicam->mdev.serial));
-+      snprintf(unicam->mdev.bus_info, sizeof(unicam->mdev.bus_info),
-+               "platform:%s %s",
-+               pdev->dev.driver->name, dev_name(&pdev->dev));
-+      unicam->mdev.hw_revision = 1;
-+
-+      media_entity_pads_init(&unicam->video_dev.entity, 1, &unicam->pad);
-+      media_device_init(&unicam->mdev);
-+
-+      unicam->v4l2_dev.mdev = &unicam->mdev;
-+
-+      ret = v4l2_device_register(&pdev->dev, &unicam->v4l2_dev);
-+      if (ret) {
-+              unicam_err(unicam,
-+                         "Unable to register v4l2 device.\n");
-+              goto media_cleanup;
-+      }
-+
-+      ret = media_device_register(&unicam->mdev);
-+      if (ret < 0) {
-+              unicam_err(unicam,
-+                         "Unable to register media-controller device.\n");
-+              goto probe_out_v4l2_unregister;
-+      }
-+
-+      /* Reserve space for the controls */
-+      hdl = &unicam->ctrl_handler;
-+      ret = v4l2_ctrl_handler_init(hdl, 16);
-+      if (ret < 0)
-+              goto media_unregister;
-+      unicam->v4l2_dev.ctrl_handler = hdl;
-+
-+      /* set the driver data in platform device */
-+      platform_set_drvdata(pdev, unicam);
-+
-+      ret = of_unicam_connect_subdevs(unicam);
-+      if (ret) {
-+              dev_err(&pdev->dev, "Failed to connect subdevs\n");
-+              goto free_hdl;
-+      }
-+
-+      /* Enable the block power domain */
-+      pm_runtime_enable(&pdev->dev);
-+
-+      return 0;
-+
-+free_hdl:
-+      v4l2_ctrl_handler_free(hdl);
-+media_unregister:
-+      media_device_unregister(&unicam->mdev);
-+probe_out_v4l2_unregister:
-+      v4l2_device_unregister(&unicam->v4l2_dev);
-+media_cleanup:
-+      media_device_cleanup(&unicam->mdev);
-+
-+      return ret;
-+}
-+
-+static int unicam_remove(struct platform_device *pdev)
-+{
-+      struct unicam_device *unicam = platform_get_drvdata(pdev);
-+
-+      unicam_dbg(2, unicam, "%s\n", __func__);
-+
-+      pm_runtime_disable(&pdev->dev);
-+
-+      v4l2_async_notifier_unregister(&unicam->notifier);
-+      v4l2_ctrl_handler_free(&unicam->ctrl_handler);
-+      v4l2_device_unregister(&unicam->v4l2_dev);
-+      video_unregister_device(&unicam->video_dev);
-+      if (unicam->sensor_config)
-+              v4l2_subdev_free_pad_config(unicam->sensor_config);
-+      media_device_unregister(&unicam->mdev);
-+      media_device_cleanup(&unicam->mdev);
-+
-+      return 0;
-+}
-+
-+static const struct of_device_id unicam_of_match[] = {
-+      { .compatible = "brcm,bcm2835-unicam", },
-+      { /* sentinel */ },
-+};
-+MODULE_DEVICE_TABLE(of, unicam_of_match);
-+
-+static struct platform_driver unicam_driver = {
-+      .probe          = unicam_probe,
-+      .remove         = unicam_remove,
-+      .driver = {
-+              .name   = UNICAM_MODULE_NAME,
-+              .of_match_table = of_match_ptr(unicam_of_match),
-+      },
-+};
-+
-+module_platform_driver(unicam_driver);
-+
-+MODULE_AUTHOR("Dave Stevenson <dave.stevenson@raspberrypi.com>");
-+MODULE_DESCRIPTION("BCM2835 Unicam driver");
-+MODULE_LICENSE("GPL");
-+MODULE_VERSION(UNICAM_VERSION);
---- /dev/null
-+++ b/drivers/media/platform/bcm2835/vc4-regs-unicam.h
-@@ -0,0 +1,253 @@
-+/* SPDX-License-Identifier: GPL-2.0-only */
-+
-+/*
-+ * Copyright (C) 2017-2020 Raspberry Pi Trading.
-+ * Dave Stevenson <dave.stevenson@raspberrypi.com>
-+ */
-+
-+#ifndef VC4_REGS_UNICAM_H
-+#define VC4_REGS_UNICAM_H
-+
-+/*
-+ * The following values are taken from files found within the code drop
-+ * made by Broadcom for the BCM21553 Graphics Driver, predominantly in
-+ * brcm_usrlib/dag/vmcsx/vcinclude/hardware_vc4.h.
-+ * They have been modified to be only the register offset.
-+ */
-+#define UNICAM_CTRL   0x000
-+#define UNICAM_STA    0x004
-+#define UNICAM_ANA    0x008
-+#define UNICAM_PRI    0x00c
-+#define UNICAM_CLK    0x010
-+#define UNICAM_CLT    0x014
-+#define UNICAM_DAT0   0x018
-+#define UNICAM_DAT1   0x01c
-+#define UNICAM_DAT2   0x020
-+#define UNICAM_DAT3   0x024
-+#define UNICAM_DLT    0x028
-+#define UNICAM_CMP0   0x02c
-+#define UNICAM_CMP1   0x030
-+#define UNICAM_CAP0   0x034
-+#define UNICAM_CAP1   0x038
-+#define UNICAM_ICTL   0x100
-+#define UNICAM_ISTA   0x104
-+#define UNICAM_IDI0   0x108
-+#define UNICAM_IPIPE  0x10c
-+#define UNICAM_IBSA0  0x110
-+#define UNICAM_IBEA0  0x114
-+#define UNICAM_IBLS   0x118
-+#define UNICAM_IBWP   0x11c
-+#define UNICAM_IHWIN  0x120
-+#define UNICAM_IHSTA  0x124
-+#define UNICAM_IVWIN  0x128
-+#define UNICAM_IVSTA  0x12c
-+#define UNICAM_ICC    0x130
-+#define UNICAM_ICS    0x134
-+#define UNICAM_IDC    0x138
-+#define UNICAM_IDPO   0x13c
-+#define UNICAM_IDCA   0x140
-+#define UNICAM_IDCD   0x144
-+#define UNICAM_IDS    0x148
-+#define UNICAM_DCS    0x200
-+#define UNICAM_DBSA0  0x204
-+#define UNICAM_DBEA0  0x208
-+#define UNICAM_DBWP   0x20c
-+#define UNICAM_DBCTL  0x300
-+#define UNICAM_IBSA1  0x304
-+#define UNICAM_IBEA1  0x308
-+#define UNICAM_IDI1   0x30c
-+#define UNICAM_DBSA1  0x310
-+#define UNICAM_DBEA1  0x314
-+#define UNICAM_MISC   0x400
-+
-+/*
-+ * The following bitmasks are from the kernel released by Broadcom
-+ * for Android - https://android.googlesource.com/kernel/bcm/
-+ * The Rhea, Hawaii, and Java chips all contain the same VideoCore4
-+ * Unicam block as BCM2835, as defined in eg
-+ * arch/arm/mach-rhea/include/mach/rdb_A0/brcm_rdb_cam.h and similar.
-+ * Values reworked to use the kernel BIT and GENMASK macros.
-+ *
-+ * Some of the bit mnenomics have been amended to match the datasheet.
-+ */
-+/* UNICAM_CTRL Register */
-+#define UNICAM_CPE            BIT(0)
-+#define UNICAM_MEM            BIT(1)
-+#define UNICAM_CPR            BIT(2)
-+#define UNICAM_CPM_MASK               GENMASK(3, 3)
-+#define UNICAM_CPM_CSI2               0
-+#define UNICAM_CPM_CCP2               1
-+#define UNICAM_SOE            BIT(4)
-+#define UNICAM_DCM_MASK               GENMASK(5, 5)
-+#define UNICAM_DCM_STROBE     0
-+#define UNICAM_DCM_DATA               1
-+#define UNICAM_SLS            BIT(6)
-+#define UNICAM_PFT_MASK               GENMASK(11, 8)
-+#define UNICAM_OET_MASK               GENMASK(20, 12)
-+
-+/* UNICAM_STA Register */
-+#define UNICAM_SYN            BIT(0)
-+#define UNICAM_CS             BIT(1)
-+#define UNICAM_SBE            BIT(2)
-+#define UNICAM_PBE            BIT(3)
-+#define UNICAM_HOE            BIT(4)
-+#define UNICAM_PLE            BIT(5)
-+#define UNICAM_SSC            BIT(6)
-+#define UNICAM_CRCE           BIT(7)
-+#define UNICAM_OES            BIT(8)
-+#define UNICAM_IFO            BIT(9)
-+#define UNICAM_OFO            BIT(10)
-+#define UNICAM_BFO            BIT(11)
-+#define UNICAM_DL             BIT(12)
-+#define UNICAM_PS             BIT(13)
-+#define UNICAM_IS             BIT(14)
-+#define UNICAM_PI0            BIT(15)
-+#define UNICAM_PI1            BIT(16)
-+#define UNICAM_FSI_S          BIT(17)
-+#define UNICAM_FEI_S          BIT(18)
-+#define UNICAM_LCI_S          BIT(19)
-+#define UNICAM_BUF0_RDY               BIT(20)
-+#define UNICAM_BUF0_NO                BIT(21)
-+#define UNICAM_BUF1_RDY               BIT(22)
-+#define UNICAM_BUF1_NO                BIT(23)
-+#define UNICAM_DI             BIT(24)
-+
-+#define UNICAM_STA_MASK_ALL \
-+              (UNICAM_DL + \
-+              UNICAM_SBE + \
-+              UNICAM_PBE + \
-+              UNICAM_HOE + \
-+              UNICAM_PLE + \
-+              UNICAM_SSC + \
-+              UNICAM_CRCE + \
-+              UNICAM_IFO + \
-+              UNICAM_OFO + \
-+              UNICAM_PS + \
-+              UNICAM_PI0 + \
-+              UNICAM_PI1)
-+
-+/* UNICAM_ANA Register */
-+#define UNICAM_APD            BIT(0)
-+#define UNICAM_BPD            BIT(1)
-+#define UNICAM_AR             BIT(2)
-+#define UNICAM_DDL            BIT(3)
-+#define UNICAM_CTATADJ_MASK   GENMASK(7, 4)
-+#define UNICAM_PTATADJ_MASK   GENMASK(11, 8)
-+
-+/* UNICAM_PRI Register */
-+#define UNICAM_PE             BIT(0)
-+#define UNICAM_PT_MASK                GENMASK(2, 1)
-+#define UNICAM_NP_MASK                GENMASK(7, 4)
-+#define UNICAM_PP_MASK                GENMASK(11, 8)
-+#define UNICAM_BS_MASK                GENMASK(15, 12)
-+#define UNICAM_BL_MASK                GENMASK(17, 16)
-+
-+/* UNICAM_CLK Register */
-+#define UNICAM_CLE            BIT(0)
-+#define UNICAM_CLPD           BIT(1)
-+#define UNICAM_CLLPE          BIT(2)
-+#define UNICAM_CLHSE          BIT(3)
-+#define UNICAM_CLTRE          BIT(4)
-+#define UNICAM_CLAC_MASK      GENMASK(8, 5)
-+#define UNICAM_CLSTE          BIT(29)
-+
-+/* UNICAM_CLT Register */
-+#define UNICAM_CLT1_MASK      GENMASK(7, 0)
-+#define UNICAM_CLT2_MASK      GENMASK(15, 8)
-+
-+/* UNICAM_DATn Registers */
-+#define UNICAM_DLE            BIT(0)
-+#define UNICAM_DLPD           BIT(1)
-+#define UNICAM_DLLPE          BIT(2)
-+#define UNICAM_DLHSE          BIT(3)
-+#define UNICAM_DLTRE          BIT(4)
-+#define UNICAM_DLSM           BIT(5)
-+#define UNICAM_DLFO           BIT(28)
-+#define UNICAM_DLSTE          BIT(29)
-+
-+#define UNICAM_DAT_MASK_ALL (UNICAM_DLSTE + UNICAM_DLFO)
-+
-+/* UNICAM_DLT Register */
-+#define UNICAM_DLT1_MASK      GENMASK(7, 0)
-+#define UNICAM_DLT2_MASK      GENMASK(15, 8)
-+#define UNICAM_DLT3_MASK      GENMASK(23, 16)
-+
-+/* UNICAM_ICTL Register */
-+#define UNICAM_FSIE           BIT(0)
-+#define UNICAM_FEIE           BIT(1)
-+#define UNICAM_IBOB           BIT(2)
-+#define UNICAM_FCM            BIT(3)
-+#define UNICAM_TFC            BIT(4)
-+#define UNICAM_LIP_MASK               GENMASK(6, 5)
-+#define UNICAM_LCIE_MASK      GENMASK(28, 16)
-+
-+/* UNICAM_IDI0/1 Register */
-+#define UNICAM_ID0_MASK               GENMASK(7, 0)
-+#define UNICAM_ID1_MASK               GENMASK(15, 8)
-+#define UNICAM_ID2_MASK               GENMASK(23, 16)
-+#define UNICAM_ID3_MASK               GENMASK(31, 24)
-+
-+/* UNICAM_ISTA Register */
-+#define UNICAM_FSI            BIT(0)
-+#define UNICAM_FEI            BIT(1)
-+#define UNICAM_LCI            BIT(2)
-+
-+#define UNICAM_ISTA_MASK_ALL (UNICAM_FSI + UNICAM_FEI + UNICAM_LCI)
-+
-+/* UNICAM_IPIPE Register */
-+#define UNICAM_PUM_MASK               GENMASK(2, 0)
-+              /* Unpacking modes */
-+              #define UNICAM_PUM_NONE         0
-+              #define UNICAM_PUM_UNPACK6      1
-+              #define UNICAM_PUM_UNPACK7      2
-+              #define UNICAM_PUM_UNPACK8      3
-+              #define UNICAM_PUM_UNPACK10     4
-+              #define UNICAM_PUM_UNPACK12     5
-+              #define UNICAM_PUM_UNPACK14     6
-+              #define UNICAM_PUM_UNPACK16     7
-+#define UNICAM_DDM_MASK               GENMASK(6, 3)
-+#define UNICAM_PPM_MASK               GENMASK(9, 7)
-+              /* Packing modes */
-+              #define UNICAM_PPM_NONE         0
-+              #define UNICAM_PPM_PACK8        1
-+              #define UNICAM_PPM_PACK10       2
-+              #define UNICAM_PPM_PACK12       3
-+              #define UNICAM_PPM_PACK14       4
-+              #define UNICAM_PPM_PACK16       5
-+#define UNICAM_DEM_MASK               GENMASK(11, 10)
-+#define UNICAM_DEBL_MASK      GENMASK(14, 12)
-+#define UNICAM_ICM_MASK               GENMASK(16, 15)
-+#define UNICAM_IDM_MASK               GENMASK(17, 17)
-+
-+/* UNICAM_ICC Register */
-+#define UNICAM_ICFL_MASK      GENMASK(4, 0)
-+#define UNICAM_ICFH_MASK      GENMASK(9, 5)
-+#define UNICAM_ICST_MASK      GENMASK(12, 10)
-+#define UNICAM_ICLT_MASK      GENMASK(15, 13)
-+#define UNICAM_ICLL_MASK      GENMASK(31, 16)
-+
-+/* UNICAM_DCS Register */
-+#define UNICAM_DIE            BIT(0)
-+#define UNICAM_DIM            BIT(1)
-+#define UNICAM_DBOB           BIT(3)
-+#define UNICAM_FDE            BIT(4)
-+#define UNICAM_LDP            BIT(5)
-+#define UNICAM_EDL_MASK               GENMASK(15, 8)
-+
-+/* UNICAM_DBCTL Register */
-+#define UNICAM_DBEN           BIT(0)
-+#define UNICAM_BUF0_IE                BIT(1)
-+#define UNICAM_BUF1_IE                BIT(2)
-+
-+/* UNICAM_CMP[0,1] register */
-+#define UNICAM_PCE            BIT(31)
-+#define UNICAM_GI             BIT(9)
-+#define UNICAM_CPH            BIT(8)
-+#define UNICAM_PCVC_MASK      GENMASK(7, 6)
-+#define UNICAM_PCDT_MASK      GENMASK(5, 0)
-+
-+/* UNICAM_MISC register */
-+#define UNICAM_FL0            BIT(6)
-+#define UNICAM_FL1            BIT(9)
-+
-+#endif
diff --git a/target/linux/bcm27xx/patches-5.4/950-0647-media-i2c-imx219-Fix-power-sequence.patch b/target/linux/bcm27xx/patches-5.4/950-0647-media-i2c-imx219-Fix-power-sequence.patch
new file mode 100644 (file)
index 0000000..b85d917
--- /dev/null
@@ -0,0 +1,55 @@
+From 0fec1c81707f5335d0b04b5e97d2ddd0b902377b Mon Sep 17 00:00:00 2001
+From: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
+Date: Tue, 10 Mar 2020 14:17:07 +0100
+Subject: [PATCH] media: i2c: imx219: Fix power sequence
+
+Commit ca45448a56659c6df6e0436188e97f6cc65dea8a upstream.
+
+When supporting Rpi Camera v2 Module on the RZ/G2E, found the driver had
+some issues with rcar mipi-csi driver. The sensor never entered into LP-11
+state.
+
+The powerup sequence in the datasheet[1] shows the sensor entering into
+LP-11 in streaming mode, so to fix this issue transitions are performed
+from "streaming -> standby" in the probe() after power up.
+
+With this commit the sensor is able to enter LP-11 mode during power up,
+as expected by some CSI-2 controllers.
+
+[1] https://publiclab.org/system/images/photos/000/023/294/original/
+RASPBERRY_PI_CAMERA_V2_DATASHEET_IMX219PQH5_7.0.0_Datasheet_XXX.PDF
+
+Signed-off-by: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
+Acked-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ drivers/media/i2c/imx219.c | 17 +++++++++++++++++
+ 1 file changed, 17 insertions(+)
+
+--- a/drivers/media/i2c/imx219.c
++++ b/drivers/media/i2c/imx219.c
+@@ -1224,6 +1224,23 @@ static int imx219_probe(struct i2c_clien
+       /* Set default mode to max resolution */
+       imx219->mode = &supported_modes[0];
++      /* sensor doesn't enter LP-11 state upon power up until and unless
++       * streaming is started, so upon power up switch the modes to:
++       * streaming -> standby
++       */
++      ret = imx219_write_reg(imx219, IMX219_REG_MODE_SELECT,
++                             IMX219_REG_VALUE_08BIT, IMX219_MODE_STREAMING);
++      if (ret < 0)
++              goto error_power_off;
++      usleep_range(100, 110);
++
++      /* put sensor back to standby mode */
++      ret = imx219_write_reg(imx219, IMX219_REG_MODE_SELECT,
++                             IMX219_REG_VALUE_08BIT, IMX219_MODE_STANDBY);
++      if (ret < 0)
++              goto error_power_off;
++      usleep_range(100, 110);
++
+       ret = imx219_init_controls(imx219);
+       if (ret)
+               goto error_power_off;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0648-media-i2c-imx219-Add-support-for-RAW8-bit-bayer-form.patch b/target/linux/bcm27xx/patches-5.4/950-0648-media-i2c-imx219-Add-support-for-RAW8-bit-bayer-form.patch
new file mode 100644 (file)
index 0000000..552516b
--- /dev/null
@@ -0,0 +1,319 @@
+From 1f00b84d993e1f8de17ef936e00f4264266cb5d1 Mon Sep 17 00:00:00 2001
+From: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
+Date: Tue, 10 Mar 2020 14:17:08 +0100
+Subject: [PATCH] media: i2c: imx219: Add support for RAW8 bit bayer
+ format
+
+Commit 22da1d56e982151e0bdfafe9de6fe94098a51356 upstream.
+
+IMX219 sensor is capable for RAW8/RAW10 modes. This commit adds support
+for RAW8 bayer format.
+
+Signed-off-by: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ drivers/media/i2c/imx219.c | 148 +++++++++++++++++++++++++++++--------
+ 1 file changed, 116 insertions(+), 32 deletions(-)
+
+--- a/drivers/media/i2c/imx219.c
++++ b/drivers/media/i2c/imx219.c
+@@ -168,15 +168,12 @@ static const struct imx219_reg mode_3280
+       {0x0171, 0x01},
+       {0x0174, 0x00},
+       {0x0175, 0x00},
+-      {0x018c, 0x0a},
+-      {0x018d, 0x0a},
+       {0x0301, 0x05},
+       {0x0303, 0x01},
+       {0x0304, 0x03},
+       {0x0305, 0x03},
+       {0x0306, 0x00},
+       {0x0307, 0x39},
+-      {0x0309, 0x0a},
+       {0x030b, 0x01},
+       {0x030c, 0x00},
+       {0x030d, 0x72},
+@@ -230,15 +227,12 @@ static const struct imx219_reg mode_1920
+       {0x0171, 0x01},
+       {0x0174, 0x00},
+       {0x0175, 0x00},
+-      {0x018c, 0x0a},
+-      {0x018d, 0x0a},
+       {0x0301, 0x05},
+       {0x0303, 0x01},
+       {0x0304, 0x03},
+       {0x0305, 0x03},
+       {0x0306, 0x00},
+       {0x0307, 0x39},
+-      {0x0309, 0x0a},
+       {0x030b, 0x01},
+       {0x030c, 0x00},
+       {0x030d, 0x72},
+@@ -290,15 +284,12 @@ static const struct imx219_reg mode_1640
+       {0x0171, 0x01},
+       {0x0174, 0x01},
+       {0x0175, 0x01},
+-      {0x018c, 0x0a},
+-      {0x018d, 0x0a},
+       {0x0301, 0x05},
+       {0x0303, 0x01},
+       {0x0304, 0x03},
+       {0x0305, 0x03},
+       {0x0306, 0x00},
+       {0x0307, 0x39},
+-      {0x0309, 0x0a},
+       {0x030b, 0x01},
+       {0x030c, 0x00},
+       {0x030d, 0x72},
+@@ -322,6 +313,18 @@ static const struct imx219_reg mode_1640
+       {0x0163, 0x78},
+ };
++static const struct imx219_reg raw8_framefmt_regs[] = {
++      {0x018c, 0x08},
++      {0x018d, 0x08},
++      {0x0309, 0x08},
++};
++
++static const struct imx219_reg raw10_framefmt_regs[] = {
++      {0x018c, 0x0a},
++      {0x018d, 0x0a},
++      {0x0309, 0x0a},
++};
++
+ static const char * const imx219_test_pattern_menu[] = {
+       "Disabled",
+       "Color Bars",
+@@ -349,6 +352,27 @@ static const char * const imx219_supply_
+ #define IMX219_NUM_SUPPLIES ARRAY_SIZE(imx219_supply_name)
+ /*
++ * The supported formats.
++ * This table MUST contain 4 entries per format, to cover the various flip
++ * combinations in the order
++ * - no flip
++ * - h flip
++ * - v flip
++ * - h&v flips
++ */
++static const u32 codes[] = {
++      MEDIA_BUS_FMT_SRGGB10_1X10,
++      MEDIA_BUS_FMT_SGRBG10_1X10,
++      MEDIA_BUS_FMT_SGBRG10_1X10,
++      MEDIA_BUS_FMT_SBGGR10_1X10,
++
++      MEDIA_BUS_FMT_SRGGB8_1X8,
++      MEDIA_BUS_FMT_SGRBG8_1X8,
++      MEDIA_BUS_FMT_SGBRG8_1X8,
++      MEDIA_BUS_FMT_SBGGR8_1X8,
++};
++
++/*
+  * Initialisation delay between XCLR low->high and the moment when the sensor
+  * can start capture (i.e. can leave software stanby) must be not less than:
+  *   t4 + max(t5, t6 + <time to initialize the sensor register over I2C>)
+@@ -413,6 +437,8 @@ struct imx219 {
+       struct v4l2_subdev sd;
+       struct media_pad pad;
++      struct v4l2_mbus_framefmt fmt;
++
+       struct clk *xclk; /* system clock to IMX219 */
+       u32 xclk_freq;
+@@ -519,19 +545,40 @@ static int imx219_write_regs(struct imx2
+ }
+ /* Get bayer order based on flip setting. */
+-static u32 imx219_get_format_code(struct imx219 *imx219)
++static u32 imx219_get_format_code(struct imx219 *imx219, u32 code)
+ {
+-      /*
+-       * Only one bayer order is supported.
+-       * It depends on the flip settings.
+-       */
+-      static const u32 codes[2][2] = {
+-              { MEDIA_BUS_FMT_SRGGB10_1X10, MEDIA_BUS_FMT_SGRBG10_1X10, },
+-              { MEDIA_BUS_FMT_SGBRG10_1X10, MEDIA_BUS_FMT_SBGGR10_1X10, },
+-      };
++      unsigned int i;
+       lockdep_assert_held(&imx219->mutex);
+-      return codes[imx219->vflip->val][imx219->hflip->val];
++
++      for (i = 0; i < ARRAY_SIZE(codes); i++)
++              if (codes[i] == code)
++                      break;
++
++      if (i >= ARRAY_SIZE(codes))
++              i = 0;
++
++      i = (i & ~3) | (imx219->vflip->val ? 2 : 0) |
++          (imx219->hflip->val ? 1 : 0);
++
++      return codes[i];
++}
++
++static void imx219_set_default_format(struct imx219 *imx219)
++{
++      struct v4l2_mbus_framefmt *fmt;
++
++      fmt = &imx219->fmt;
++      fmt->code = MEDIA_BUS_FMT_SRGGB10_1X10;
++      fmt->colorspace = V4L2_COLORSPACE_SRGB;
++      fmt->ycbcr_enc = V4L2_MAP_YCBCR_ENC_DEFAULT(fmt->colorspace);
++      fmt->quantization = V4L2_MAP_QUANTIZATION_DEFAULT(true,
++                                                        fmt->colorspace,
++                                                        fmt->ycbcr_enc);
++      fmt->xfer_func = V4L2_MAP_XFER_FUNC_DEFAULT(fmt->colorspace);
++      fmt->width = supported_modes[0].width;
++      fmt->height = supported_modes[0].height;
++      fmt->field = V4L2_FIELD_NONE;
+ }
+ static int imx219_open(struct v4l2_subdev *sd, struct v4l2_subdev_fh *fh)
+@@ -545,7 +592,8 @@ static int imx219_open(struct v4l2_subde
+       /* Initialize try_fmt */
+       try_fmt->width = supported_modes[0].width;
+       try_fmt->height = supported_modes[0].height;
+-      try_fmt->code = imx219_get_format_code(imx219);
++      try_fmt->code = imx219_get_format_code(imx219,
++                                             MEDIA_BUS_FMT_SRGGB10_1X10);
+       try_fmt->field = V4L2_FIELD_NONE;
+       mutex_unlock(&imx219->mutex);
+@@ -648,14 +696,10 @@ static int imx219_enum_mbus_code(struct
+ {
+       struct imx219 *imx219 = to_imx219(sd);
+-      /*
+-       * Only one bayer order is supported (though it depends on the flip
+-       * settings)
+-       */
+-      if (code->index > 0)
++      if (code->index >= (ARRAY_SIZE(codes) / 4))
+               return -EINVAL;
+-      code->code = imx219_get_format_code(imx219);
++      code->code = imx219_get_format_code(imx219, codes[code->index * 4]);
+       return 0;
+ }
+@@ -669,7 +713,7 @@ static int imx219_enum_frame_size(struct
+       if (fse->index >= ARRAY_SIZE(supported_modes))
+               return -EINVAL;
+-      if (fse->code != imx219_get_format_code(imx219))
++      if (fse->code != imx219_get_format_code(imx219, imx219->fmt.code))
+               return -EINVAL;
+       fse->min_width = supported_modes[fse->index].width;
+@@ -696,9 +740,7 @@ static void imx219_update_pad_format(str
+ {
+       fmt->format.width = mode->width;
+       fmt->format.height = mode->height;
+-      fmt->format.code = imx219_get_format_code(imx219);
+       fmt->format.field = V4L2_FIELD_NONE;
+-
+       imx219_reset_colorspace(&fmt->format);
+ }
+@@ -710,10 +752,12 @@ static int __imx219_get_pad_format(struc
+               struct v4l2_mbus_framefmt *try_fmt =
+                       v4l2_subdev_get_try_format(&imx219->sd, cfg, fmt->pad);
+               /* update the code which could change due to vflip or hflip: */
+-              try_fmt->code = imx219_get_format_code(imx219);
++              try_fmt->code = imx219_get_format_code(imx219, try_fmt->code);
+               fmt->format = *try_fmt;
+       } else {
+               imx219_update_pad_format(imx219, imx219->mode, fmt);
++              fmt->format.code = imx219_get_format_code(imx219,
++                                                        imx219->fmt.code);
+       }
+       return 0;
+@@ -741,11 +785,18 @@ static int imx219_set_pad_format(struct
+       const struct imx219_mode *mode;
+       struct v4l2_mbus_framefmt *framefmt;
+       int exposure_max, exposure_def, hblank;
++      unsigned int i;
+       mutex_lock(&imx219->mutex);
++      for (i = 0; i < ARRAY_SIZE(codes); i++)
++              if (codes[i] == fmt->format.code)
++                      break;
++      if (i >= ARRAY_SIZE(codes))
++              i = 0;
++
+       /* Bayer order varies with flips */
+-      fmt->format.code = imx219_get_format_code(imx219);
++      fmt->format.code = imx219_get_format_code(imx219, codes[i]);
+       mode = v4l2_find_nearest_size(supported_modes,
+                                     ARRAY_SIZE(supported_modes),
+@@ -755,7 +806,9 @@ static int imx219_set_pad_format(struct
+       if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
+               framefmt = v4l2_subdev_get_try_format(sd, cfg, fmt->pad);
+               *framefmt = fmt->format;
+-      } else if (imx219->mode != mode) {
++      } else if (imx219->mode != mode ||
++                 imx219->fmt.code != fmt->format.code) {
++              imx219->fmt = fmt->format;
+               imx219->mode = mode;
+               /* Update limits and set FPS to default */
+               __v4l2_ctrl_modify_range(imx219->vblank, IMX219_VBLANK_MIN,
+@@ -786,6 +839,27 @@ static int imx219_set_pad_format(struct
+       return 0;
+ }
++static int imx219_set_framefmt(struct imx219 *imx219)
++{
++      switch (imx219->fmt.code) {
++      case MEDIA_BUS_FMT_SRGGB8_1X8:
++      case MEDIA_BUS_FMT_SGRBG8_1X8:
++      case MEDIA_BUS_FMT_SGBRG8_1X8:
++      case MEDIA_BUS_FMT_SBGGR8_1X8:
++              return imx219_write_regs(imx219, raw8_framefmt_regs,
++                                      ARRAY_SIZE(raw8_framefmt_regs));
++
++      case MEDIA_BUS_FMT_SRGGB10_1X10:
++      case MEDIA_BUS_FMT_SGRBG10_1X10:
++      case MEDIA_BUS_FMT_SGBRG10_1X10:
++      case MEDIA_BUS_FMT_SBGGR10_1X10:
++              return imx219_write_regs(imx219, raw10_framefmt_regs,
++                                      ARRAY_SIZE(raw10_framefmt_regs));
++      }
++
++      return -EINVAL;
++}
++
+ static int imx219_start_streaming(struct imx219 *imx219)
+ {
+       struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
+@@ -800,6 +874,13 @@ static int imx219_start_streaming(struct
+               return ret;
+       }
++      ret = imx219_set_framefmt(imx219);
++      if (ret) {
++              dev_err(&client->dev, "%s failed to set frame format: %d\n",
++                      __func__, ret);
++              return ret;
++      }
++
+       /* Apply customized values from user */
+       ret =  __v4l2_ctrl_handler_setup(imx219->sd.ctrl_handler);
+       if (ret)
+@@ -1253,6 +1334,9 @@ static int imx219_probe(struct i2c_clien
+       /* Initialize source pad */
+       imx219->pad.flags = MEDIA_PAD_FL_SOURCE;
++      /* Initialize default format */
++      imx219_set_default_format(imx219);
++
+       ret = media_entity_pads_init(&imx219->sd.entity, 1, &imx219->pad);
+       if (ret) {
+               dev_err(dev, "failed to init entity pads: %d\n", ret);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0648-media-uapi-v4l2-core-Add-sensor-ancillary-data-V4L2-.patch b/target/linux/bcm27xx/patches-5.4/950-0648-media-uapi-v4l2-core-Add-sensor-ancillary-data-V4L2-.patch
deleted file mode 100644 (file)
index 69414e3..0000000
+++ /dev/null
@@ -1,85 +0,0 @@
-From 09f5e82f292a900d17a5205e54a35e24296bd9f7 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Wed, 1 Apr 2020 08:46:29 +0100
-Subject: [PATCH] media: uapi: v4l2-core: Add sensor ancillary data
- V4L2 foucc type.
-
-Add V4L2_META_FMT_SENSOR_DATA format 4CC.
-
-This new format will be used by the BCM2835 Unicam device to return
-out camera sensor embedded data.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- Documentation/media/uapi/v4l/meta-formats.rst |  1 +
- .../uapi/v4l/pixfmt-meta-sensor-data.rst      | 32 +++++++++++++++++++
- drivers/media/v4l2-core/v4l2-ioctl.c          |  1 +
- include/uapi/linux/videodev2.h                |  1 +
- 4 files changed, 35 insertions(+)
- create mode 100644 Documentation/media/uapi/v4l/pixfmt-meta-sensor-data.rst
-
---- a/Documentation/media/uapi/v4l/meta-formats.rst
-+++ b/Documentation/media/uapi/v4l/meta-formats.rst
-@@ -21,6 +21,7 @@ These formats are used for the :ref:`met
-     pixfmt-meta-d4xx
-     pixfmt-meta-intel-ipu3
-+    pixfmt-meta-sensor-data
-     pixfmt-meta-uvc
-     pixfmt-meta-vsp1-hgo
-     pixfmt-meta-vsp1-hgt
---- /dev/null
-+++ b/Documentation/media/uapi/v4l/pixfmt-meta-sensor-data.rst
-@@ -0,0 +1,32 @@
-+.. Permission is granted to copy, distribute and/or modify this
-+.. document under the terms of the GNU Free Documentation License,
-+.. Version 1.1 or any later version published by the Free Software
-+.. Foundation, with no Invariant Sections, no Front-Cover Texts
-+.. and no Back-Cover Texts. A copy of the license is included at
-+.. Documentation/media/uapi/fdl-appendix.rst.
-+..
-+.. TODO: replace it to GFDL-1.1-or-later WITH no-invariant-sections
-+
-+.. _v4l2-meta-fmt-sensor-data:
-+
-+***********************************
-+V4L2_META_FMT_SENSOR_DATA  ('SENS')
-+***********************************
-+
-+Sensor Ancillary Metadata
-+
-+Description
-+===========
-+
-+This format describes ancillary data generated by a camera sensor and
-+transmitted over a stream on the camera bus. Sensor vendors generally have their
-+own custom format for this ancillary data. Some vendors follow a generic
-+CSI-2/SMIA embedded data format as described in the `CSI-2 specification.
-+<https://mipi.org/specifications/csi-2>`_
-+
-+The size of the embedded buffer is defined as a single line with a pixel width
-+width specified in bytes. This is obtained by a call to the
-+:c:type:`VIDIOC_SUBDEV_G_FMT` ioctl on the sensor subdevice where the ``pad``
-+field in :c:type:`v4l2_subdev_format` is set to 1.  Note that this size is fixed
-+and cannot be modified with a call to :c:type:`VIDIOC_SUBDEV_S_FMT`.
-+
---- a/drivers/media/v4l2-core/v4l2-ioctl.c
-+++ b/drivers/media/v4l2-core/v4l2-ioctl.c
-@@ -1332,6 +1332,7 @@ static void v4l_fill_fmtdesc(struct v4l2
-       case V4L2_META_FMT_VSP1_HGT:    descr = "R-Car VSP1 2-D Histogram"; break;
-       case V4L2_META_FMT_UVC:         descr = "UVC Payload Header Metadata"; break;
-       case V4L2_META_FMT_D4XX:        descr = "Intel D4xx UVC Metadata"; break;
-+      case V4L2_META_FMT_SENSOR_DATA: descr = "Sensor Ancillary Metadata"; break;
-       default:
-               /* Compressed formats */
---- a/include/uapi/linux/videodev2.h
-+++ b/include/uapi/linux/videodev2.h
-@@ -768,6 +768,7 @@ struct v4l2_pix_format {
- #define V4L2_META_FMT_VSP1_HGT    v4l2_fourcc('V', 'S', 'P', 'T') /* R-Car VSP1 2-D Histogram */
- #define V4L2_META_FMT_UVC         v4l2_fourcc('U', 'V', 'C', 'H') /* UVC Payload Header metadata */
- #define V4L2_META_FMT_D4XX        v4l2_fourcc('D', '4', 'X', 'X') /* D4XX Payload Header metadata */
-+#define V4L2_META_FMT_SENSOR_DATA v4l2_fourcc('S', 'E', 'N', 'S') /* Sensor Ancillary metadata */
- /* priv field value to indicates that subsequent fields are valid. */
- #define V4L2_PIX_FMT_PRIV_MAGIC               0xfeedcafe
diff --git a/target/linux/bcm27xx/patches-5.4/950-0649-media-i2c-imx219-Add-support-for-cropped-640x480-res.patch b/target/linux/bcm27xx/patches-5.4/950-0649-media-i2c-imx219-Add-support-for-cropped-640x480-res.patch
new file mode 100644 (file)
index 0000000..3ad377d
--- /dev/null
@@ -0,0 +1,118 @@
+From d8b1f6de10d7bd64d73b1c3099ad5e69e6fd7d9b Mon Sep 17 00:00:00 2001
+From: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
+Date: Tue, 10 Mar 2020 14:17:09 +0100
+Subject: [PATCH] media: i2c: imx219: Add support for cropped 640x480
+ resolution
+
+Commit 25130b8ad409d5532f3763bcf891af74f550a70d upstream.
+
+This patch adds mode table entry for capturing cropped 640x480 resolution
+
+Signed-off-by: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
+Acked-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ drivers/media/i2c/imx219.c | 70 +++++++++++++++++++++++++++++++++++++-
+ 1 file changed, 69 insertions(+), 1 deletion(-)
+
+--- a/drivers/media/i2c/imx219.c
++++ b/drivers/media/i2c/imx219.c
+@@ -54,6 +54,7 @@
+ #define IMX219_VTS_15FPS              0x0dc6
+ #define IMX219_VTS_30FPS_1080P                0x06e3
+ #define IMX219_VTS_30FPS_BINNED               0x06e3
++#define IMX219_VTS_30FPS_640x480      0x06e3
+ #define IMX219_VTS_MAX                        0xffff
+ #define IMX219_VBLANK_MIN             4
+@@ -138,7 +139,7 @@ struct imx219_mode {
+ /*
+  * Register sets lifted off the i2C interface from the Raspberry Pi firmware
+  * driver.
+- * 3280x2464 = mode 2, 1920x1080 = mode 1, and 1640x1232 = mode 4.
++ * 3280x2464 = mode 2, 1920x1080 = mode 1, 1640x1232 = mode 4, 640x480 = mode 7.
+  */
+ static const struct imx219_reg mode_3280x2464_regs[] = {
+       {0x0100, 0x00},
+@@ -313,6 +314,63 @@ static const struct imx219_reg mode_1640
+       {0x0163, 0x78},
+ };
++static const struct imx219_reg mode_640_480_regs[] = {
++      {0x0100, 0x00},
++      {0x30eb, 0x05},
++      {0x30eb, 0x0c},
++      {0x300a, 0xff},
++      {0x300b, 0xff},
++      {0x30eb, 0x05},
++      {0x30eb, 0x09},
++      {0x0114, 0x01},
++      {0x0128, 0x00},
++      {0x012a, 0x18},
++      {0x012b, 0x00},
++      {0x0162, 0x0d},
++      {0x0163, 0x78},
++      {0x0164, 0x03},
++      {0x0165, 0xe8},
++      {0x0166, 0x08},
++      {0x0167, 0xe7},
++      {0x0168, 0x02},
++      {0x0169, 0xf0},
++      {0x016a, 0x06},
++      {0x016b, 0xaf},
++      {0x016c, 0x02},
++      {0x016d, 0x80},
++      {0x016e, 0x01},
++      {0x016f, 0xe0},
++      {0x0170, 0x01},
++      {0x0171, 0x01},
++      {0x0174, 0x03},
++      {0x0175, 0x03},
++      {0x0301, 0x05},
++      {0x0303, 0x01},
++      {0x0304, 0x03},
++      {0x0305, 0x03},
++      {0x0306, 0x00},
++      {0x0307, 0x39},
++      {0x030b, 0x01},
++      {0x030c, 0x00},
++      {0x030d, 0x72},
++      {0x0624, 0x06},
++      {0x0625, 0x68},
++      {0x0626, 0x04},
++      {0x0627, 0xd0},
++      {0x455e, 0x00},
++      {0x471e, 0x4b},
++      {0x4767, 0x0f},
++      {0x4750, 0x14},
++      {0x4540, 0x00},
++      {0x47b4, 0x14},
++      {0x4713, 0x30},
++      {0x478b, 0x10},
++      {0x478f, 0x10},
++      {0x4793, 0x10},
++      {0x4797, 0x0e},
++      {0x479b, 0x0e},
++};
++
+ static const struct imx219_reg raw8_framefmt_regs[] = {
+       {0x018c, 0x08},
+       {0x018d, 0x08},
+@@ -431,6 +489,16 @@ static const struct imx219_mode supporte
+                       .regs = mode_1640_1232_regs,
+               },
+       },
++      {
++              /* 640x480 30fps mode */
++              .width = 640,
++              .height = 480,
++              .vts_def = IMX219_VTS_30FPS_640x480,
++              .reg_list = {
++                      .num_of_regs = ARRAY_SIZE(mode_640_480_regs),
++                      .regs = mode_640_480_regs,
++              },
++      },
+ };
+ struct imx219 {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0649-media-uapi-Add-MEDIA_BUS_FMT_SENSOR_DATA-media-bus-f.patch b/target/linux/bcm27xx/patches-5.4/950-0649-media-uapi-Add-MEDIA_BUS_FMT_SENSOR_DATA-media-bus-f.patch
deleted file mode 100644 (file)
index 65162cc..0000000
+++ /dev/null
@@ -1,64 +0,0 @@
-From 65573c84d5a9115444cc5e365c94cb3ae0fb7e10 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Tue, 21 Jan 2020 14:06:47 +0000
-Subject: [PATCH] media: uapi: Add MEDIA_BUS_FMT_SENSOR_DATA media bus
- format
-
-This patch adds MEDIA_BUS_FMT_SENSOR_DATA used by the bcm2835-unicam
-driver to support CSI-2 embedded data streams from camera sensors.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- .../media/uapi/v4l/subdev-formats.rst         | 33 +++++++++++++++++++
- include/uapi/linux/media-bus-format.h         |  3 ++
- 2 files changed, 36 insertions(+)
-
---- a/Documentation/media/uapi/v4l/subdev-formats.rst
-+++ b/Documentation/media/uapi/v4l/subdev-formats.rst
-@@ -7794,3 +7794,36 @@ formats.
-       - 0x5001
-       - Interleaved raw UYVY and JPEG image format with embedded meta-data
-       used by Samsung S3C73MX camera sensors.
-+
-+
-+
-+.. _v4l2-mbus-sensor-data:
-+
-+Sensor Ancillary Metadata Formats
-+^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
-+
-+This section lists ancillary data generated by a camera sensor and
-+transmitted over a stream on the camera bus.
-+
-+The following table lists the existing sensor ancillary metadata formats:
-+
-+
-+.. _v4l2-mbus-pixelcode-sensor-metadata:
-+
-+.. tabularcolumns:: |p{8.0cm}|p{1.4cm}|p{7.7cm}|
-+
-+.. flat-table:: Sensor ancillary metadata formats
-+    :header-rows:  1
-+    :stub-columns: 0
-+
-+    * - Identifier
-+      - Code
-+      - Comments
-+    * .. _MEDIA_BUS_FMT_SENSOR_DATA:
-+
-+      - MEDIA_BUS_FMT_SENSOR_DATA
-+      - 0x7001
-+      - Sensor vendor specific ancillary metadata. Some vendors follow a generic
-+        CSI-2/SMIA embedded data format as described in the `CSI-2 specification.
-+      <https://mipi.org/specifications/csi-2>`_
-+
---- a/include/uapi/linux/media-bus-format.h
-+++ b/include/uapi/linux/media-bus-format.h
-@@ -155,4 +155,7 @@
- /* HSV - next is      0x6002 */
- #define MEDIA_BUS_FMT_AHSV8888_1X32           0x6001
-+/* Sensor ancillary metadata formats - next is 0x7002 */
-+#define MEDIA_BUS_FMT_SENSOR_DATA             0x7001
-+
- #endif /* __LINUX_MEDIA_BUS_FORMAT_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0650-media-bcm2835-unicam-Add-support-for-mulitple-device.patch b/target/linux/bcm27xx/patches-5.4/950-0650-media-bcm2835-unicam-Add-support-for-mulitple-device.patch
deleted file mode 100644 (file)
index 315feff..0000000
+++ /dev/null
@@ -1,1084 +0,0 @@
-From b466d74b45466b417e364c85c7fce71e9fc3fc7c Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Tue, 7 Apr 2020 10:42:14 +0100
-Subject: [PATCH] media: bcm2835-unicam: Add support for mulitple
- device nodes.
-
-Move device node specific state out of the device state structure and
-into a new node structure.  This separation will be needed for future
-changes where we will add an embedded data node to the driver to work
-alongside the existing image data node.
-
-Currently only use a single image node, so this commit does not add
-any functional changes.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- .../media/platform/bcm2835/bcm2835-unicam.c   | 484 ++++++++++--------
- 1 file changed, 283 insertions(+), 201 deletions(-)
-
---- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -109,7 +109,8 @@ MODULE_PARM_DESC(debug, "Debug level 0-3
- /* Define a nominal minimum image size */
- #define MIN_WIDTH     16
- #define MIN_HEIGHT    16
--
-+/* Maximum number of simulataneous streams Uncaim can handle. */
-+#define MAX_NODES     2
- /*
-  * struct unicam_fmt - Unicam media bus format information
-  * @pixelformat: V4L2 pixel format FCC identifier. 0 if n/a.
-@@ -346,11 +347,37 @@ struct unicam_cfg {
- #define MAX_POSSIBLE_PIX_FMTS (ARRAY_SIZE(formats))
--struct unicam_device {
--      /* V4l2 specific parameters */
-+struct unicam_node {
-+      bool registered;
-+      unsigned int pad_id;
-+      /* Pointer pointing to current v4l2_buffer */
-+      struct unicam_buffer *cur_frm;
-+      /* Pointer pointing to next v4l2_buffer */
-+      struct unicam_buffer *next_frm;
-+      /* video capture */
-+      const struct unicam_fmt *fmt;
-+      /* Used to store current pixel format */
-+      struct v4l2_format v_fmt;
-+      /* Used to store current mbus frame format */
-+      struct v4l2_mbus_framefmt m_fmt;
-+      /* Buffer queue used in video-buf */
-+      struct vb2_queue buffer_queue;
-+      /* Queue of filled frames */
-+      struct unicam_dmaqueue dma_queue;
-+      /* IRQ lock for DMA queue */
-+      spinlock_t dma_queue_lock;
-+      /* lock used to access this structure */
-+      struct mutex lock;
-       /* Identifies video device for this channel */
-       struct video_device video_dev;
-+      /* Pointer to the parent handle */
-+      struct unicam_device *dev;
-+      struct media_pad pad;
-       struct v4l2_ctrl_handler ctrl_handler;
-+};
-+
-+struct unicam_device {
-+      /* V4l2 specific parameters */
-       struct v4l2_fwnode_endpoint endpoint;
-@@ -363,7 +390,6 @@ struct unicam_device {
-       /* V4l2 device */
-       struct v4l2_device v4l2_dev;
-       struct media_device mdev;
--      struct media_pad pad;
-       /* parent device */
-       struct platform_device *pdev;
-@@ -378,18 +404,6 @@ struct unicam_device {
-       /* current input at the sub device */
-       int current_input;
--      /* Pointer pointing to current v4l2_buffer */
--      struct unicam_buffer *cur_frm;
--      /* Pointer pointing to next v4l2_buffer */
--      struct unicam_buffer *next_frm;
--
--      /* video capture */
--      const struct unicam_fmt *fmt;
--      /* Used to store current pixel format */
--      struct v4l2_format v_fmt;
--      /* Used to store current mbus frame format */
--      struct v4l2_mbus_framefmt m_fmt;
--
-       unsigned int virtual_channel;
-       enum v4l2_mbus_type bus_type;
-       /*
-@@ -401,20 +415,10 @@ struct unicam_device {
-       unsigned int active_data_lanes;
-       struct v4l2_rect crop;
--
--      /* Currently selected input on subdev */
--      int input;
--
--      /* Buffer queue used in video-buf */
--      struct vb2_queue buffer_queue;
--      /* Queue of filled frames */
--      struct unicam_dmaqueue dma_queue;
--      /* IRQ lock for DMA queue */
--      spinlock_t dma_queue_lock;
--      /* lock used to access this structure */
--      struct mutex lock;
-       /* Flag to denote that we are processing buffers */
-       int streaming;
-+
-+      struct unicam_node node[MAX_NODES];
- };
- /* Hardware access */
-@@ -526,10 +530,11 @@ static inline unsigned int bytes_per_lin
- }
- static int __subdev_get_format(struct unicam_device *dev,
--                             struct v4l2_mbus_framefmt *fmt)
-+                             struct v4l2_mbus_framefmt *fmt, int pad_id)
- {
-       struct v4l2_subdev_format sd_fmt = {
-               .which = V4L2_SUBDEV_FORMAT_ACTIVE,
-+              .pad = pad_id
-       };
-       int ret;
-@@ -598,29 +603,30 @@ static int unicam_calc_format_size_bpl(s
-       return 0;
- }
--static int unicam_reset_format(struct unicam_device *dev)
-+static int unicam_reset_format(struct unicam_node *node)
- {
-+      struct unicam_device *dev = node->dev;
-       struct v4l2_mbus_framefmt mbus_fmt;
-       int ret;
--      ret = __subdev_get_format(dev, &mbus_fmt);
-+      ret = __subdev_get_format(dev, &mbus_fmt, node->pad_id);
-       if (ret) {
-               unicam_err(dev, "Failed to get_format - ret %d\n", ret);
-               return ret;
-       }
--      if (mbus_fmt.code != dev->fmt->code) {
-+      if (mbus_fmt.code != dev->node[0].fmt->code) {
-               unicam_err(dev, "code mismatch - fmt->code %08x, mbus_fmt.code %08x\n",
--                         dev->fmt->code, mbus_fmt.code);
-+                         dev->node[0].fmt->code, mbus_fmt.code);
-               return ret;
-       }
--      v4l2_fill_pix_format(&dev->v_fmt.fmt.pix, &mbus_fmt);
--      dev->v_fmt.type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
-+      v4l2_fill_pix_format(&dev->node[0].v_fmt.fmt.pix, &mbus_fmt);
-+      dev->node[0].v_fmt.type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
--      unicam_calc_format_size_bpl(dev, dev->fmt, &dev->v_fmt);
-+      unicam_calc_format_size_bpl(dev, dev->node[0].fmt, &dev->node[0].v_fmt);
--      dev->m_fmt = mbus_fmt;
-+      dev->node[0].m_fmt = mbus_fmt;
-       return 0;
- }
-@@ -635,14 +641,14 @@ static void unicam_wr_dma_addr(struct un
-       reg_write(&dev->cfg, UNICAM_IBSA0, dmaaddr);
-       reg_write(&dev->cfg, UNICAM_IBEA0,
--                dmaaddr + dev->v_fmt.fmt.pix.sizeimage);
-+                dmaaddr + dev->node[0].v_fmt.fmt.pix.sizeimage);
- }
- static inline unsigned int unicam_get_lines_done(struct unicam_device *dev)
- {
-       dma_addr_t start_addr, cur_addr;
--      unsigned int stride = dev->v_fmt.fmt.pix.bytesperline;
--      struct unicam_buffer *frm = dev->cur_frm;
-+      unsigned int stride = dev->node[0].v_fmt.fmt.pix.bytesperline;
-+      struct unicam_buffer *frm = dev->node[0].cur_frm;
-       if (!frm)
-               return 0;
-@@ -654,12 +660,12 @@ static inline unsigned int unicam_get_li
- static inline void unicam_schedule_next_buffer(struct unicam_device *dev)
- {
--      struct unicam_dmaqueue *dma_q = &dev->dma_queue;
-+      struct unicam_dmaqueue *dma_q = &dev->node[0].dma_queue;
-       struct unicam_buffer *buf;
-       dma_addr_t addr;
-       buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
--      dev->next_frm = buf;
-+      dev->node[0].next_frm = buf;
-       list_del(&buf->list);
-       addr = vb2_dma_contig_plane_dma_addr(&buf->vb.vb2_buf, 0);
-@@ -668,11 +674,11 @@ static inline void unicam_schedule_next_
- static inline void unicam_process_buffer_complete(struct unicam_device *dev)
- {
--      dev->cur_frm->vb.field = dev->m_fmt.field;
--      dev->cur_frm->vb.sequence = dev->sequence++;
-+      dev->node[0].cur_frm->vb.field = dev->node[0].m_fmt.field;
-+      dev->node[0].cur_frm->vb.sequence = dev->sequence++;
--      vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
--      dev->cur_frm = dev->next_frm;
-+      vb2_buffer_done(&dev->node[0].cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
-+      dev->node[0].cur_frm = dev->node[0].next_frm;
- }
- /*
-@@ -687,7 +693,7 @@ static irqreturn_t unicam_isr(int irq, v
- {
-       struct unicam_device *unicam = (struct unicam_device *)dev;
-       struct unicam_cfg *cfg = &unicam->cfg;
--      struct unicam_dmaqueue *dma_q = &unicam->dma_queue;
-+      struct unicam_dmaqueue *dma_q = &unicam->node[0].dma_queue;
-       unsigned int lines_done = unicam_get_lines_done(dev);
-       unsigned int sequence = unicam->sequence;
-       int ista, sta;
-@@ -720,8 +726,9 @@ static irqreturn_t unicam_isr(int irq, v
-                * Timestamp is to be when the first data byte was captured,
-                * aka frame start.
-                */
--              if (unicam->cur_frm)
--                      unicam->cur_frm->vb.vb2_buf.timestamp = ktime_get_ns();
-+              if (unicam->node[0].cur_frm)
-+                      unicam->node[0].cur_frm->vb.vb2_buf.timestamp =
-+                              ktime_get_ns();
-       }
-       if (ista & UNICAM_FEI || sta & UNICAM_PI0) {
-               /*
-@@ -729,7 +736,8 @@ static irqreturn_t unicam_isr(int irq, v
-                * stop the peripheral. Overwrite the frame we've just
-                * captured instead.
-                */
--              if (unicam->cur_frm && unicam->cur_frm != unicam->next_frm)
-+              if (unicam->node[0].cur_frm &&
-+                  unicam->node[0].cur_frm != unicam->node[0].next_frm)
-                       unicam_process_buffer_complete(unicam);
-       }
-@@ -738,11 +746,11 @@ static irqreturn_t unicam_isr(int irq, v
-        * already started.
-        */
-       if (ista & (UNICAM_FSI | UNICAM_LCI) && !(ista & UNICAM_FEI)) {
--              spin_lock(&unicam->dma_queue_lock);
-+              spin_lock(&unicam->node[0].dma_queue_lock);
-               if (!list_empty(&dma_q->active) &&
--                  unicam->cur_frm == unicam->next_frm)
-+                  unicam->node[0].cur_frm == unicam->node[0].next_frm)
-                       unicam_schedule_next_buffer(unicam);
--              spin_unlock(&unicam->dma_queue_lock);
-+              spin_unlock(&unicam->node[0].dma_queue_lock);
-       }
-       if (reg_read(&unicam->cfg, UNICAM_ICTL) & UNICAM_FCM) {
-@@ -756,7 +764,8 @@ static irqreturn_t unicam_isr(int irq, v
- static int unicam_querycap(struct file *file, void *priv,
-                          struct v4l2_capability *cap)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       strlcpy(cap->driver, UNICAM_MODULE_NAME, sizeof(cap->driver));
-       strlcpy(cap->card, UNICAM_MODULE_NAME, sizeof(cap->card));
-@@ -770,7 +779,8 @@ static int unicam_querycap(struct file *
- static int unicam_enum_fmt_vid_cap(struct file *file, void  *priv,
-                                  struct v4l2_fmtdesc *f)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       struct v4l2_subdev_mbus_code_enum mbus_code;
-       const struct unicam_fmt *fmt = NULL;
-       int index = 0;
-@@ -815,9 +825,9 @@ static int unicam_enum_fmt_vid_cap(struc
- static int unicam_g_fmt_vid_cap(struct file *file, void *priv,
-                               struct v4l2_format *f)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
--      *f = dev->v_fmt;
-+      *f = node->v_fmt;
-       return 0;
- }
-@@ -859,9 +869,11 @@ const struct unicam_fmt *get_first_suppo
- static int unicam_try_fmt_vid_cap(struct file *file, void *priv,
-                                 struct v4l2_format *f)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       struct v4l2_subdev_format sd_fmt = {
-               .which = V4L2_SUBDEV_FORMAT_TRY,
-+              .pad = 0
-       };
-       struct v4l2_mbus_framefmt *mbus_fmt = &sd_fmt.format;
-       const struct unicam_fmt *fmt;
-@@ -939,8 +951,9 @@ static int unicam_try_fmt_vid_cap(struct
- static int unicam_s_fmt_vid_cap(struct file *file, void *priv,
-                               struct v4l2_format *f)
- {
--      struct unicam_device *dev = video_drvdata(file);
--      struct vb2_queue *q = &dev->buffer_queue;
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-+      struct vb2_queue *q = &node->buffer_queue;
-       struct v4l2_mbus_framefmt mbus_fmt = {0};
-       const struct unicam_fmt *fmt;
-       int ret;
-@@ -985,17 +998,18 @@ static int unicam_s_fmt_vid_cap(struct f
-               return -EINVAL;
-       }
--      dev->fmt = fmt;
--      dev->v_fmt.fmt.pix.pixelformat = f->fmt.pix.pixelformat;
--      dev->v_fmt.fmt.pix.bytesperline = f->fmt.pix.bytesperline;
--      unicam_reset_format(dev);
--
--      unicam_dbg(3, dev, "%s %dx%d, mbus_fmt 0x%08X, V4L2 pix 0x%08X.\n",
--                 __func__, dev->v_fmt.fmt.pix.width,
--                 dev->v_fmt.fmt.pix.height, mbus_fmt.code,
--                 dev->v_fmt.fmt.pix.pixelformat);
-+      node->fmt = fmt;
-+      node->v_fmt.fmt.pix.pixelformat = f->fmt.pix.pixelformat;
-+      node->v_fmt.fmt.pix.bytesperline = f->fmt.pix.bytesperline;
-+      unicam_reset_format(node);
-+
-+      unicam_dbg(3, dev,
-+                 "%s %dx%d, mbus_fmt 0x%08X, V4L2 pix 0x%08X.\n",
-+                 __func__, node->v_fmt.fmt.pix.width,
-+                 node->v_fmt.fmt.pix.height, mbus_fmt.code,
-+                 node->v_fmt.fmt.pix.pixelformat);
--      *f = dev->v_fmt;
-+      *f = node->v_fmt;
-       return 0;
- }
-@@ -1006,8 +1020,9 @@ static int unicam_queue_setup(struct vb2
-                             unsigned int sizes[],
-                             struct device *alloc_devs[])
- {
--      struct unicam_device *dev = vb2_get_drv_priv(vq);
--      unsigned int size = dev->v_fmt.fmt.pix.sizeimage;
-+      struct unicam_node *node = vb2_get_drv_priv(vq);
-+      struct unicam_device *dev = node->dev;
-+      unsigned int size = node->v_fmt.fmt.pix.sizeimage;
-       if (vq->num_buffers + *nbuffers < 3)
-               *nbuffers = 3 - vq->num_buffers;
-@@ -1029,15 +1044,16 @@ static int unicam_queue_setup(struct vb2
- static int unicam_buffer_prepare(struct vb2_buffer *vb)
- {
--      struct unicam_device *dev = vb2_get_drv_priv(vb->vb2_queue);
-+      struct unicam_node *node = vb2_get_drv_priv(vb->vb2_queue);
-+      struct unicam_device *dev = node->dev;
-       struct unicam_buffer *buf = container_of(vb, struct unicam_buffer,
-                                             vb.vb2_buf);
-       unsigned long size;
--      if (WARN_ON(!dev->fmt))
-+      if (WARN_ON(!node->fmt))
-               return -EINVAL;
--      size = dev->v_fmt.fmt.pix.sizeimage;
-+      size = node->v_fmt.fmt.pix.sizeimage;
-       if (vb2_plane_size(vb, 0) < size) {
-               unicam_err(dev, "data will not fit into plane (%lu < %lu)\n",
-                          vb2_plane_size(vb, 0), size);
-@@ -1050,15 +1066,15 @@ static int unicam_buffer_prepare(struct
- static void unicam_buffer_queue(struct vb2_buffer *vb)
- {
--      struct unicam_device *dev = vb2_get_drv_priv(vb->vb2_queue);
-+      struct unicam_node *node = vb2_get_drv_priv(vb->vb2_queue);
-       struct unicam_buffer *buf = container_of(vb, struct unicam_buffer,
-                                             vb.vb2_buf);
--      struct unicam_dmaqueue *dma_queue = &dev->dma_queue;
-+      struct unicam_dmaqueue *dma_queue = &node->dma_queue;
-       unsigned long flags = 0;
--      spin_lock_irqsave(&dev->dma_queue_lock, flags);
-+      spin_lock_irqsave(&node->dma_queue_lock, flags);
-       list_add_tail(&buf->list, &dma_queue->active);
--      spin_unlock_irqrestore(&dev->dma_queue_lock, flags);
-+      spin_unlock_irqrestore(&node->dma_queue_lock, flags);
- }
- static void unicam_set_packing_config(struct unicam_device *dev)
-@@ -1066,11 +1082,12 @@ static void unicam_set_packing_config(st
-       int pack, unpack;
-       u32 val;
--      if (dev->v_fmt.fmt.pix.pixelformat == dev->fmt->fourcc) {
-+      if (dev->node[0].v_fmt.fmt.pix.pixelformat ==
-+          dev->node[0].fmt->fourcc) {
-               unpack = UNICAM_PUM_NONE;
-               pack = UNICAM_PPM_NONE;
-       } else {
--              switch (dev->fmt->depth) {
-+              switch (dev->node[0].fmt->depth) {
-               case 8:
-                       unpack = UNICAM_PUM_UNPACK8;
-                       break;
-@@ -1108,17 +1125,17 @@ static void unicam_cfg_image_id(struct u
-       if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
-               /* CSI2 mode */
-               reg_write(cfg, UNICAM_IDI0,
--                        (dev->virtual_channel << 6) | dev->fmt->csi_dt);
-+                      (dev->virtual_channel << 6) | dev->node[0].fmt->csi_dt);
-       } else {
-               /* CCP2 mode */
--              reg_write(cfg, UNICAM_IDI0, (0x80 | dev->fmt->csi_dt));
-+              reg_write(cfg, UNICAM_IDI0, (0x80 | dev->node[0].fmt->csi_dt));
-       }
- }
- static void unicam_start_rx(struct unicam_device *dev, unsigned long addr)
- {
-       struct unicam_cfg *cfg = &dev->cfg;
--      int line_int_freq = dev->v_fmt.fmt.pix.height >> 2;
-+      int line_int_freq = dev->node[0].v_fmt.fmt.pix.height >> 2;
-       unsigned int i;
-       u32 val;
-@@ -1266,7 +1283,8 @@ static void unicam_start_rx(struct unica
-               reg_write(cfg, UNICAM_DAT3, val);
-       }
--      reg_write(&dev->cfg, UNICAM_IBLS, dev->v_fmt.fmt.pix.bytesperline);
-+      reg_write(&dev->cfg, UNICAM_IBLS,
-+                dev->node[0].v_fmt.fmt.pix.bytesperline);
-       unicam_wr_dma_addr(dev, addr);
-       unicam_set_packing_config(dev);
-       unicam_cfg_image_id(dev);
-@@ -1327,21 +1345,22 @@ static void unicam_disable(struct unicam
- static int unicam_start_streaming(struct vb2_queue *vq, unsigned int count)
- {
--      struct unicam_device *dev = vb2_get_drv_priv(vq);
--      struct unicam_dmaqueue *dma_q = &dev->dma_queue;
-+      struct unicam_node *node = vb2_get_drv_priv(vq);
-+      struct unicam_device *dev = node->dev;
-+      struct unicam_dmaqueue *dma_q = &node->dma_queue;
-       struct unicam_buffer *buf, *tmp;
-       unsigned long addr = 0;
-       unsigned long flags;
-       int ret;
--      spin_lock_irqsave(&dev->dma_queue_lock, flags);
-+      spin_lock_irqsave(&node->dma_queue_lock, flags);
-       buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
--      dev->cur_frm = buf;
--      dev->next_frm = buf;
-+      node->cur_frm = buf;
-+      node->next_frm = buf;
-       list_del(&buf->list);
--      spin_unlock_irqrestore(&dev->dma_queue_lock, flags);
-+      spin_unlock_irqrestore(&node->dma_queue_lock, flags);
--      addr = vb2_dma_contig_plane_dma_addr(&dev->cur_frm->vb.vb2_buf, 0);
-+      addr = vb2_dma_contig_plane_dma_addr(&node->cur_frm->vb.vb2_buf, 0);
-       dev->sequence = 0;
-       ret = unicam_runtime_get(dev);
-@@ -1411,20 +1430,21 @@ err_release_buffers:
-               list_del(&buf->list);
-               vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
-       }
--      if (dev->cur_frm != dev->next_frm)
--              vb2_buffer_done(&dev->next_frm->vb.vb2_buf,
-+      if (node->cur_frm != node->next_frm)
-+              vb2_buffer_done(&node->next_frm->vb.vb2_buf,
-                               VB2_BUF_STATE_QUEUED);
--      vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
--      dev->next_frm = NULL;
--      dev->cur_frm = NULL;
-+      vb2_buffer_done(&node->cur_frm->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
-+      node->next_frm = NULL;
-+      node->cur_frm = NULL;
-       return ret;
- }
- static void unicam_stop_streaming(struct vb2_queue *vq)
- {
--      struct unicam_device *dev = vb2_get_drv_priv(vq);
--      struct unicam_dmaqueue *dma_q = &dev->dma_queue;
-+      struct unicam_node *node = vb2_get_drv_priv(vq);
-+      struct unicam_device *dev = node->dev;
-+      struct unicam_dmaqueue *dma_q = &node->dma_queue;
-       struct unicam_buffer *buf, *tmp;
-       unsigned long flags;
-@@ -1434,22 +1454,24 @@ static void unicam_stop_streaming(struct
-       unicam_disable(dev);
-       /* Release all active buffers */
--      spin_lock_irqsave(&dev->dma_queue_lock, flags);
-+      spin_lock_irqsave(&node->dma_queue_lock, flags);
-       list_for_each_entry_safe(buf, tmp, &dma_q->active, list) {
-               list_del(&buf->list);
-               vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_ERROR);
-       }
--      if (dev->cur_frm == dev->next_frm) {
--              vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_ERROR);
-+      if (node->cur_frm == node->next_frm) {
-+              vb2_buffer_done(&node->cur_frm->vb.vb2_buf,
-+                              VB2_BUF_STATE_ERROR);
-       } else {
--              vb2_buffer_done(&dev->cur_frm->vb.vb2_buf, VB2_BUF_STATE_ERROR);
--              vb2_buffer_done(&dev->next_frm->vb.vb2_buf,
-+              vb2_buffer_done(&node->cur_frm->vb.vb2_buf,
-+                              VB2_BUF_STATE_ERROR);
-+              vb2_buffer_done(&node->next_frm->vb.vb2_buf,
-                               VB2_BUF_STATE_ERROR);
-       }
--      dev->cur_frm = NULL;
--      dev->next_frm = NULL;
--      spin_unlock_irqrestore(&dev->dma_queue_lock, flags);
-+      node->cur_frm = NULL;
-+      node->next_frm = NULL;
-+      spin_unlock_irqrestore(&node->dma_queue_lock, flags);
-       clk_disable_unprepare(dev->clock);
-       unicam_runtime_put(dev);
-@@ -1458,7 +1480,8 @@ static void unicam_stop_streaming(struct
- static int unicam_enum_input(struct file *file, void *priv,
-                            struct v4l2_input *inp)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       if (inp->index != 0)
-               return -EINVAL;
-@@ -1506,21 +1529,24 @@ static int unicam_s_input(struct file *f
- static int unicam_querystd(struct file *file, void *priv,
-                          v4l2_std_id *std)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       return v4l2_subdev_call(dev->sensor, video, querystd, std);
- }
- static int unicam_g_std(struct file *file, void *priv, v4l2_std_id *std)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       return v4l2_subdev_call(dev->sensor, video, g_std, std);
- }
- static int unicam_s_std(struct file *file, void *priv, v4l2_std_id std)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       int ret;
-       v4l2_std_id current_std;
-@@ -1531,29 +1557,31 @@ static int unicam_s_std(struct file *fil
-       if (std == current_std)
-               return 0;
--      if (vb2_is_busy(&dev->buffer_queue))
-+      if (vb2_is_busy(&node->buffer_queue))
-               return -EBUSY;
-       ret = v4l2_subdev_call(dev->sensor, video, s_std, std);
-       /* Force recomputation of bytesperline */
--      dev->v_fmt.fmt.pix.bytesperline = 0;
-+      node->v_fmt.fmt.pix.bytesperline = 0;
--      unicam_reset_format(dev);
-+      unicam_reset_format(node);
-       return ret;
- }
- static int unicam_s_edid(struct file *file, void *priv, struct v4l2_edid *edid)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       return v4l2_subdev_call(dev->sensor, pad, set_edid, edid);
- }
- static int unicam_g_edid(struct file *file, void *priv, struct v4l2_edid *edid)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       return v4l2_subdev_call(dev->sensor, pad, get_edid, edid);
- }
-@@ -1561,7 +1589,8 @@ static int unicam_g_edid(struct file *fi
- static int unicam_enum_framesizes(struct file *file, void *priv,
-                                 struct v4l2_frmsizeenum *fsize)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       const struct unicam_fmt *fmt;
-       struct v4l2_subdev_frame_size_enum fse;
-       int ret;
-@@ -1596,7 +1625,8 @@ static int unicam_enum_framesizes(struct
- static int unicam_enum_frameintervals(struct file *file, void *priv,
-                                     struct v4l2_frmivalenum *fival)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       const struct unicam_fmt *fmt;
-       struct v4l2_subdev_frame_interval_enum fie = {
-               .index = fival->index,
-@@ -1624,14 +1654,16 @@ static int unicam_enum_frameintervals(st
- static int unicam_g_parm(struct file *file, void *fh, struct v4l2_streamparm *a)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       return v4l2_g_parm_cap(video_devdata(file), dev->sensor, a);
- }
- static int unicam_s_parm(struct file *file, void *fh, struct v4l2_streamparm *a)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       return v4l2_s_parm_cap(video_devdata(file), dev->sensor, a);
- }
-@@ -1639,7 +1671,8 @@ static int unicam_s_parm(struct file *fi
- static int unicam_g_dv_timings(struct file *file, void *priv,
-                              struct v4l2_dv_timings *timings)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       return v4l2_subdev_call(dev->sensor, video, g_dv_timings, timings);
- }
-@@ -1647,7 +1680,8 @@ static int unicam_g_dv_timings(struct fi
- static int unicam_s_dv_timings(struct file *file, void *priv,
-                              struct v4l2_dv_timings *timings)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       struct v4l2_dv_timings current_timings;
-       int ret;
-@@ -1657,15 +1691,15 @@ static int unicam_s_dv_timings(struct fi
-       if (v4l2_match_dv_timings(timings, &current_timings, 0, false))
-               return 0;
--      if (vb2_is_busy(&dev->buffer_queue))
-+      if (vb2_is_busy(&node->buffer_queue))
-               return -EBUSY;
-       ret = v4l2_subdev_call(dev->sensor, video, s_dv_timings, timings);
-       /* Force recomputation of bytesperline */
--      dev->v_fmt.fmt.pix.bytesperline = 0;
-+      node->v_fmt.fmt.pix.bytesperline = 0;
--      unicam_reset_format(dev);
-+      unicam_reset_format(node);
-       return ret;
- }
-@@ -1673,7 +1707,8 @@ static int unicam_s_dv_timings(struct fi
- static int unicam_query_dv_timings(struct file *file, void *priv,
-                                  struct v4l2_dv_timings *timings)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       return v4l2_subdev_call(dev->sensor, video, query_dv_timings, timings);
- }
-@@ -1681,7 +1716,8 @@ static int unicam_query_dv_timings(struc
- static int unicam_enum_dv_timings(struct file *file, void *priv,
-                                 struct v4l2_enum_dv_timings *timings)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       return v4l2_subdev_call(dev->sensor, pad, enum_dv_timings, timings);
- }
-@@ -1689,7 +1725,8 @@ static int unicam_enum_dv_timings(struct
- static int unicam_dv_timings_cap(struct file *file, void *priv,
-                                struct v4l2_dv_timings_cap *cap)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       return v4l2_subdev_call(dev->sensor, pad, dv_timings_cap, cap);
- }
-@@ -1707,7 +1744,8 @@ static int unicam_subscribe_event(struct
- static int unicam_log_status(struct file *file, void *fh)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       struct unicam_cfg *cfg = &dev->cfg;
-       u32 reg;
-@@ -1716,10 +1754,10 @@ static int unicam_log_status(struct file
-       unicam_info(dev, "-----Receiver status-----\n");
-       unicam_info(dev, "V4L2 width/height:   %ux%u\n",
--                  dev->v_fmt.fmt.pix.width, dev->v_fmt.fmt.pix.height);
--      unicam_info(dev, "Mediabus format:     %08x\n", dev->fmt->code);
-+                  node->v_fmt.fmt.pix.width, node->v_fmt.fmt.pix.height);
-+      unicam_info(dev, "Mediabus format:     %08x\n", node->fmt->code);
-       unicam_info(dev, "V4L2 format:         %08x\n",
--                  dev->v_fmt.fmt.pix.pixelformat);
-+                  node->v_fmt.fmt.pix.pixelformat);
-       reg = reg_read(&dev->cfg, UNICAM_IPIPE);
-       unicam_info(dev, "Unpacking/packing:   %u / %u\n",
-                   get_field(reg, UNICAM_PUM_MASK),
-@@ -1744,7 +1782,7 @@ static void unicam_notify(struct v4l2_su
-       switch (notification) {
-       case V4L2_DEVICE_NOTIFY_EVENT:
--              v4l2_event_queue(&dev->video_dev, arg);
-+              v4l2_event_queue(&dev->node[0].video_dev, arg);
-               break;
-       default:
-               break;
-@@ -1767,10 +1805,11 @@ static const struct vb2_ops unicam_video
-  */
- static int unicam_open(struct file *file)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       int ret;
--      mutex_lock(&dev->lock);
-+      mutex_lock(&node->lock);
-       ret = v4l2_fh_open(file);
-       if (ret) {
-@@ -1790,18 +1829,19 @@ static int unicam_open(struct file *file
-       ret = 0;
- unlock:
--      mutex_unlock(&dev->lock);
-+      mutex_unlock(&node->lock);
-       return ret;
- }
- static int unicam_release(struct file *file)
- {
--      struct unicam_device *dev = video_drvdata(file);
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-       struct v4l2_subdev *sd = dev->sensor;
-       bool fh_singular;
-       int ret;
--      mutex_lock(&dev->lock);
-+      mutex_lock(&node->lock);
-       fh_singular = v4l2_fh_is_singular_file(file);
-@@ -1810,7 +1850,7 @@ static int unicam_release(struct file *f
-       if (fh_singular)
-               v4l2_subdev_call(sd, core, s_power, 0);
--      mutex_unlock(&dev->lock);
-+      mutex_unlock(&node->lock);
-       return ret;
- }
-@@ -1892,7 +1932,8 @@ unicam_async_bound(struct v4l2_async_not
-       return 0;
- }
--static int unicam_probe_complete(struct unicam_device *unicam)
-+static int register_node(struct unicam_device *unicam, struct unicam_node *node,
-+                       enum v4l2_buf_type type, int pad_id)
- {
-       struct video_device *vdev;
-       struct vb2_queue *q;
-@@ -1900,15 +1941,7 @@ static int unicam_probe_complete(struct
-       const struct unicam_fmt *fmt;
-       int ret;
--      v4l2_set_subdev_hostdata(unicam->sensor, unicam);
--
--      unicam->v4l2_dev.notify = unicam_notify;
--
--      unicam->sensor_config = v4l2_subdev_alloc_pad_config(unicam->sensor);
--      if (!unicam->sensor_config)
--              return -ENOMEM;
--
--      ret = __subdev_get_format(unicam, &mbus_fmt);
-+      ret = __subdev_get_format(unicam, &mbus_fmt, pad_id);
-       if (ret) {
-               unicam_err(unicam, "Failed to get_format - ret %d\n", ret);
-               return ret;
-@@ -1938,14 +1971,15 @@ static int unicam_probe_complete(struct
-                       return -EINVAL;
-       }
--      unicam->fmt = fmt;
-+      node->pad_id = pad_id;
-+      node->fmt = fmt;
-       if (fmt->fourcc)
--              unicam->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
-+              node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
-       else
--              unicam->v_fmt.fmt.pix.pixelformat = fmt->repacked_fourcc;
-+              node->v_fmt.fmt.pix.pixelformat = fmt->repacked_fourcc;
-       /* Read current subdev format */
--      unicam_reset_format(unicam);
-+      unicam_reset_format(node);
-       if (v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
-               v4l2_std_id tvnorms;
-@@ -1962,27 +1996,30 @@ static int unicam_probe_complete(struct
-                                      g_tvnorms, &tvnorms);
-               if (WARN_ON(ret))
-                       return -EINVAL;
--              unicam->video_dev.tvnorms |= tvnorms;
-+              node->video_dev.tvnorms |= tvnorms;
-       }
--      spin_lock_init(&unicam->dma_queue_lock);
--      mutex_init(&unicam->lock);
-+      spin_lock_init(&node->dma_queue_lock);
-+      mutex_init(&node->lock);
--      /* Add controls from the subdevice */
--      ret = v4l2_ctrl_add_handler(&unicam->ctrl_handler,
--                                  unicam->sensor->ctrl_handler, NULL, true);
--      if (ret < 0)
--              return ret;
-+      if (type == V4L2_BUF_TYPE_VIDEO_CAPTURE) {
-+              /* Add controls from the subdevice */
-+              ret = v4l2_ctrl_add_handler(&node->ctrl_handler,
-+                                          unicam->sensor->ctrl_handler, NULL,
-+                                          true);
-+              if (ret < 0)
-+                      return ret;
-+      }
--      q = &unicam->buffer_queue;
--      q->type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
-+      q = &node->buffer_queue;
-+      q->type = type;
-       q->io_modes = VB2_MMAP | VB2_DMABUF | VB2_READ;
--      q->drv_priv = unicam;
-+      q->drv_priv = node;
-       q->ops = &unicam_video_qops;
-       q->mem_ops = &vb2_dma_contig_memops;
-       q->buf_struct_size = sizeof(struct unicam_buffer);
-       q->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_MONOTONIC;
--      q->lock = &unicam->lock;
-+      q->lock = &node->lock;
-       q->min_buffers_needed = 2;
-       q->dev = &unicam->pdev->dev;
-@@ -1992,9 +2029,9 @@ static int unicam_probe_complete(struct
-               return ret;
-       }
--      INIT_LIST_HEAD(&unicam->dma_queue.active);
-+      INIT_LIST_HEAD(&node->dma_queue.active);
--      vdev = &unicam->video_dev;
-+      vdev = &node->video_dev;
-       strlcpy(vdev->name, UNICAM_MODULE_NAME, sizeof(vdev->name));
-       vdev->release = video_device_release_empty;
-       vdev->fops = &unicam_fops;
-@@ -2002,69 +2039,113 @@ static int unicam_probe_complete(struct
-       vdev->v4l2_dev = &unicam->v4l2_dev;
-       vdev->vfl_dir = VFL_DIR_RX;
-       vdev->queue = q;
--      vdev->lock = &unicam->lock;
-+      vdev->lock = &node->lock;
-       vdev->device_caps = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING |
-                           V4L2_CAP_READWRITE;
--
-       /* If the source has no controls then remove our ctrl handler. */
--      if (list_empty(&unicam->ctrl_handler.ctrls))
-+      if (list_empty(&node->ctrl_handler.ctrls))
-               unicam->v4l2_dev.ctrl_handler = NULL;
--      video_set_drvdata(vdev, unicam);
-+      node->dev = unicam;
-+      video_set_drvdata(vdev, node);
-       vdev->entity.flags |= MEDIA_ENT_FL_DEFAULT;
-       if (!v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_STD);
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_STD);
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_ENUMSTD);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_STD);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_STD);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUMSTD);
-       }
-       if (!v4l2_subdev_has_op(unicam->sensor, video, querystd))
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_QUERYSTD);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_QUERYSTD);
-       if (!v4l2_subdev_has_op(unicam->sensor, video, s_dv_timings)) {
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_EDID);
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_EDID);
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_DV_TIMINGS_CAP);
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_DV_TIMINGS);
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_DV_TIMINGS);
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_ENUM_DV_TIMINGS);
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_QUERY_DV_TIMINGS);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_EDID);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_EDID);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_DV_TIMINGS_CAP);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_DV_TIMINGS);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_DV_TIMINGS);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_DV_TIMINGS);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_QUERY_DV_TIMINGS);
-       }
-       if (!v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_interval))
--              v4l2_disable_ioctl(&unicam->video_dev,
-+              v4l2_disable_ioctl(&node->video_dev,
-                                  VIDIOC_ENUM_FRAMEINTERVALS);
-       if (!v4l2_subdev_has_op(unicam->sensor, video, g_frame_interval))
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_G_PARM);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_PARM);
-       if (!v4l2_subdev_has_op(unicam->sensor, video, s_frame_interval))
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_S_PARM);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_PARM);
-       if (!v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_size))
--              v4l2_disable_ioctl(&unicam->video_dev, VIDIOC_ENUM_FRAMESIZES);
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_FRAMESIZES);
-       ret = video_register_device(vdev, VFL_TYPE_GRABBER, -1);
-       if (ret) {
-               unicam_err(unicam, "Unable to register video device.\n");
-               return ret;
-       }
-+      node->registered = true;
--      ret = v4l2_device_register_ro_subdev_nodes(&unicam->v4l2_dev);
-+      ret = media_create_pad_link(&unicam->sensor->entity,
-+                                  0, &node->video_dev.entity, 0,
-+                                  MEDIA_LNK_FL_ENABLED |
-+                                  MEDIA_LNK_FL_IMMUTABLE);
-+      if (ret)
-+              unicam_err(unicam, "Unable to create pad links.\n");
-+
-+      return ret;
-+}
-+
-+static void unregister_nodes(struct unicam_device *unicam)
-+{
-+      if (unicam->node[0].registered) {
-+              video_unregister_device(&unicam->node[0].video_dev);
-+              unicam->node[0].registered = false;
-+      }
-+      if (unicam->node[1].registered) {
-+              video_unregister_device(&unicam->node[1].video_dev);
-+              unicam->node[1].registered = false;
-+      }
-+}
-+
-+static int unicam_probe_complete(struct unicam_device *unicam)
-+{
-+      int ret;
-+
-+      v4l2_set_subdev_hostdata(unicam->sensor, unicam);
-+
-+      unicam->v4l2_dev.notify = unicam_notify;
-+
-+      unicam->sensor_config = v4l2_subdev_alloc_pad_config(unicam->sensor);
-+      if (!unicam->sensor_config)
-+              return -ENOMEM;
-+
-+      ret = register_node(unicam, &unicam->node[0],
-+                          V4L2_BUF_TYPE_VIDEO_CAPTURE, 0);
-       if (ret) {
--              unicam_err(unicam,
--                         "Unable to register subdev nodes.\n");
--              video_unregister_device(&unicam->video_dev);
--              return ret;
-+              unicam_err(unicam, "Unable to register subdev node 0.\n");
-+              goto unregister;
-+      }
-+      if (unicam->sensor->entity.num_pads >= 2) {
-+              ret = register_node(unicam, &unicam->node[1],
-+                                  V4L2_BUF_TYPE_META_CAPTURE, 1);
-+              if (ret) {
-+                      unicam_err(unicam,
-+                                 "Unable to register subdev node 1.\n");
-+                      goto unregister;
-+              }
-       }
--      ret = media_create_pad_link(&unicam->sensor->entity, 0,
--                                  &unicam->video_dev.entity, 0,
--                                  MEDIA_LNK_FL_ENABLED |
--                                  MEDIA_LNK_FL_IMMUTABLE);
-+      ret = v4l2_device_register_ro_subdev_nodes(&unicam->v4l2_dev);
-       if (ret) {
--              unicam_err(unicam, "Unable to create pad links.\n");
--              video_unregister_device(&unicam->video_dev);
--              return ret;
-+              unicam_err(unicam, "Unable to register subdev nodes.\n");
-+              goto unregister;
-       }
-       return 0;
-+
-+unregister:
-+      unregister_nodes(unicam);
-+
-+      return ret;
- }
- static int unicam_async_complete(struct v4l2_async_notifier *notifier)
-@@ -2274,7 +2355,8 @@ static int unicam_probe(struct platform_
-                pdev->dev.driver->name, dev_name(&pdev->dev));
-       unicam->mdev.hw_revision = 1;
--      media_entity_pads_init(&unicam->video_dev.entity, 1, &unicam->pad);
-+      media_entity_pads_init(&unicam->node[0].video_dev.entity, 1,
-+                             &unicam->node[0].pad);
-       media_device_init(&unicam->mdev);
-       unicam->v4l2_dev.mdev = &unicam->mdev;
-@@ -2294,7 +2376,7 @@ static int unicam_probe(struct platform_
-       }
-       /* Reserve space for the controls */
--      hdl = &unicam->ctrl_handler;
-+      hdl = &unicam->node[0].ctrl_handler;
-       ret = v4l2_ctrl_handler_init(hdl, 16);
-       if (ret < 0)
-               goto media_unregister;
-@@ -2335,9 +2417,9 @@ static int unicam_remove(struct platform
-       pm_runtime_disable(&pdev->dev);
-       v4l2_async_notifier_unregister(&unicam->notifier);
--      v4l2_ctrl_handler_free(&unicam->ctrl_handler);
-+      v4l2_ctrl_handler_free(&unicam->node[0].ctrl_handler);
-       v4l2_device_unregister(&unicam->v4l2_dev);
--      video_unregister_device(&unicam->video_dev);
-+      unregister_nodes(unicam);
-       if (unicam->sensor_config)
-               v4l2_subdev_free_pad_config(unicam->sensor_config);
-       media_device_unregister(&unicam->mdev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0650-media-i2c-imx219-Fix-a-bug-in-imx219_enum_frame_size.patch b/target/linux/bcm27xx/patches-5.4/950-0650-media-i2c-imx219-Fix-a-bug-in-imx219_enum_frame_size.patch
new file mode 100644 (file)
index 0000000..ef8eff6
--- /dev/null
@@ -0,0 +1,34 @@
+From 942a240a1999aaf1b8d18a88c63f418991bf7d22 Mon Sep 17 00:00:00 2001
+From: Dafna Hirschfeld <dafna.hirschfeld@collabora.com>
+Date: Tue, 31 Mar 2020 20:06:30 +0200
+Subject: [PATCH] media: i2c: imx219: Fix a bug in
+ imx219_enum_frame_size
+
+https://patchwork.linuxtv.org/patch/62740/
+
+When enumerating the frame sizes, the value sent to
+imx219_get_format_code should be fse->code
+(the code from the ioctl) and not imx219->fmt.code
+which is the code set currently in the driver.
+
+Fixes: 22da1d56e ("media: i2c: imx219: Add support for RAW8 bit bayer format")
+
+Signed-off-by: Dafna Hirschfeld <dafna.hirschfeld@collabora.com>
+Reviewed-by: Helen Koike <helen.koike@collabora.com>
+Reviewed-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Reviewed-by: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
+---
+ drivers/media/i2c/imx219.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/media/i2c/imx219.c
++++ b/drivers/media/i2c/imx219.c
+@@ -781,7 +781,7 @@ static int imx219_enum_frame_size(struct
+       if (fse->index >= ARRAY_SIZE(supported_modes))
+               return -EINVAL;
+-      if (fse->code != imx219_get_format_code(imx219, imx219->fmt.code))
++      if (fse->code != imx219_get_format_code(imx219, fse->code))
+               return -EINVAL;
+       fse->min_width = supported_modes[fse->index].width;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0651-media-bcm2835-unicam-Add-embedded-data-node.patch b/target/linux/bcm27xx/patches-5.4/950-0651-media-bcm2835-unicam-Add-embedded-data-node.patch
deleted file mode 100644 (file)
index a163a6f..0000000
+++ /dev/null
@@ -1,1170 +0,0 @@
-From 272ee62d6410319ab4d73997de32776cc3e274cb Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Thu, 16 Apr 2020 11:35:41 +0100
-Subject: [PATCH] media: bcm2835-unicam: Add embedded data node.
-
-This patch adds a new node in the bcm2835-unicam driver to support
-CSI-2 embedded data streams.  The subdevice is queried to see if
-embedded data is available from the sensor.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- .../media/platform/bcm2835/bcm2835-unicam.c   | 667 +++++++++++++-----
- 1 file changed, 474 insertions(+), 193 deletions(-)
-
---- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -109,8 +109,15 @@ MODULE_PARM_DESC(debug, "Debug level 0-3
- /* Define a nominal minimum image size */
- #define MIN_WIDTH     16
- #define MIN_HEIGHT    16
--/* Maximum number of simulataneous streams Uncaim can handle. */
--#define MAX_NODES     2
-+/* Default size of the embedded buffer */
-+#define UNICAM_EMBEDDED_SIZE  8192
-+
-+enum pad_types {
-+      IMAGE_PAD,
-+      METADATA_PAD,
-+      MAX_NODES
-+};
-+
- /*
-  * struct unicam_fmt - Unicam media bus format information
-  * @pixelformat: V4L2 pixel format FCC identifier. 0 if n/a.
-@@ -327,6 +334,12 @@ static const struct unicam_fmt formats[]
-               .depth          = 12,
-               .csi_dt         = 0x2c,
-       },
-+      /* Embedded data format */
-+      {
-+              .fourcc         = V4L2_META_FMT_SENSOR_DATA,
-+              .code           = MEDIA_BUS_FMT_SENSOR_DATA,
-+              .depth          = 8,
-+      }
- };
- struct unicam_dmaqueue {
-@@ -348,7 +361,9 @@ struct unicam_cfg {
- #define MAX_POSSIBLE_PIX_FMTS (ARRAY_SIZE(formats))
- struct unicam_node {
--      bool registered;
-+      int registered;
-+      int open;
-+      int streaming;
-       unsigned int pad_id;
-       /* Pointer pointing to current v4l2_buffer */
-       struct unicam_buffer *cur_frm;
-@@ -374,6 +389,7 @@ struct unicam_node {
-       struct unicam_device *dev;
-       struct media_pad pad;
-       struct v4l2_ctrl_handler ctrl_handler;
-+      unsigned int embedded_lines;
- };
- struct unicam_device {
-@@ -401,8 +417,6 @@ struct unicam_device {
-       struct v4l2_subdev *sensor;
-       /* Pad config for the sensor */
-       struct v4l2_subdev_pad_config *sensor_config;
--      /* current input at the sub device */
--      int current_input;
-       unsigned int virtual_channel;
-       enum v4l2_mbus_type bus_type;
-@@ -413,10 +427,7 @@ struct unicam_device {
-       unsigned int bus_flags;
-       unsigned int max_data_lanes;
-       unsigned int active_data_lanes;
--
--      struct v4l2_rect crop;
--      /* Flag to denote that we are processing buffers */
--      int streaming;
-+      bool sensor_embedded_data;
-       struct unicam_node node[MAX_NODES];
- };
-@@ -488,6 +499,7 @@ static int check_mbus_format(struct unic
-       for (i = 0; !ret && i < MAX_ENUM_MBUS_CODE; i++) {
-               memset(&mbus_code, 0, sizeof(mbus_code));
-               mbus_code.index = i;
-+              mbus_code.pad = IMAGE_PAD;
-               mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
-               ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code,
-@@ -552,10 +564,11 @@ static int __subdev_get_format(struct un
- }
- static int __subdev_set_format(struct unicam_device *dev,
--                             struct v4l2_mbus_framefmt *fmt)
-+                             struct v4l2_mbus_framefmt *fmt, int pad_id)
- {
-       struct v4l2_subdev_format sd_fmt = {
-               .which = V4L2_SUBDEV_FORMAT_ACTIVE,
-+              .pad = pad_id
-       };
-       int ret;
-@@ -566,8 +579,12 @@ static int __subdev_set_format(struct un
-       if (ret < 0)
-               return ret;
--      unicam_dbg(1, dev, "%s %dx%d code:%04x\n", __func__,
--                 fmt->width, fmt->height, fmt->code);
-+      if (pad_id == IMAGE_PAD)
-+              unicam_dbg(1, dev, "%s %dx%d code:%04x\n", __func__, fmt->width,
-+                         fmt->height, fmt->code);
-+      else
-+              unicam_dbg(1, dev, "%s Embedded data code:%04x\n", __func__,
-+                         sd_fmt.format.code);
-       return 0;
- }
-@@ -609,46 +626,70 @@ static int unicam_reset_format(struct un
-       struct v4l2_mbus_framefmt mbus_fmt;
-       int ret;
--      ret = __subdev_get_format(dev, &mbus_fmt, node->pad_id);
--      if (ret) {
--              unicam_err(dev, "Failed to get_format - ret %d\n", ret);
--              return ret;
--      }
-+      if (dev->sensor_embedded_data || node->pad_id != METADATA_PAD) {
-+              ret = __subdev_get_format(dev, &mbus_fmt, node->pad_id);
-+              if (ret) {
-+                      unicam_err(dev, "Failed to get_format - ret %d\n", ret);
-+                      return ret;
-+              }
--      if (mbus_fmt.code != dev->node[0].fmt->code) {
--              unicam_err(dev, "code mismatch - fmt->code %08x, mbus_fmt.code %08x\n",
--                         dev->node[0].fmt->code, mbus_fmt.code);
--              return ret;
-+              if (mbus_fmt.code != node->fmt->code) {
-+                      unicam_err(dev, "code mismatch - fmt->code %08x, mbus_fmt.code %08x\n",
-+                                 node->fmt->code, mbus_fmt.code);
-+                      return ret;
-+              }
-       }
--      v4l2_fill_pix_format(&dev->node[0].v_fmt.fmt.pix, &mbus_fmt);
--      dev->node[0].v_fmt.type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
--
--      unicam_calc_format_size_bpl(dev, dev->node[0].fmt, &dev->node[0].v_fmt);
--
--      dev->node[0].m_fmt = mbus_fmt;
-+      if (node->pad_id == IMAGE_PAD) {
-+              v4l2_fill_pix_format(&node->v_fmt.fmt.pix, &mbus_fmt);
-+              node->v_fmt.type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
-+              unicam_calc_format_size_bpl(dev, node->fmt, &node->v_fmt);
-+      } else {
-+              node->v_fmt.type = V4L2_BUF_TYPE_META_CAPTURE;
-+              node->v_fmt.fmt.meta.dataformat = V4L2_META_FMT_SENSOR_DATA;
-+              if (dev->sensor_embedded_data) {
-+                      node->v_fmt.fmt.meta.buffersize =
-+                                      mbus_fmt.width * mbus_fmt.height;
-+                      node->embedded_lines = mbus_fmt.height;
-+              } else {
-+                      node->v_fmt.fmt.meta.buffersize = UNICAM_EMBEDDED_SIZE;
-+                      node->embedded_lines = 1;
-+              }
-+      }
-+      node->m_fmt = mbus_fmt;
-       return 0;
- }
--static void unicam_wr_dma_addr(struct unicam_device *dev, dma_addr_t dmaaddr)
-+static void unicam_wr_dma_addr(struct unicam_device *dev, dma_addr_t dmaaddr,
-+                             int pad_id)
- {
-+      dma_addr_t endaddr;
-+
-       /*
-        * dmaaddr should be a 32-bit address with the top two bits set to 0x3
-        * to signify uncached access through the Videocore memory controller.
-        */
-       BUG_ON((dmaaddr >> 30) != 0x3);
--      reg_write(&dev->cfg, UNICAM_IBSA0, dmaaddr);
--      reg_write(&dev->cfg, UNICAM_IBEA0,
--                dmaaddr + dev->node[0].v_fmt.fmt.pix.sizeimage);
-+      if (pad_id == IMAGE_PAD) {
-+              endaddr = dmaaddr +
-+                        dev->node[IMAGE_PAD].v_fmt.fmt.pix.sizeimage;
-+              reg_write(&dev->cfg, UNICAM_IBSA0, dmaaddr);
-+              reg_write(&dev->cfg, UNICAM_IBEA0, endaddr);
-+      } else {
-+              endaddr = dmaaddr +
-+                        dev->node[METADATA_PAD].v_fmt.fmt.meta.buffersize;
-+              reg_write(&dev->cfg, UNICAM_DBSA0, dmaaddr);
-+              reg_write(&dev->cfg, UNICAM_DBEA0, endaddr);
-+      }
- }
- static inline unsigned int unicam_get_lines_done(struct unicam_device *dev)
- {
-       dma_addr_t start_addr, cur_addr;
--      unsigned int stride = dev->node[0].v_fmt.fmt.pix.bytesperline;
--      struct unicam_buffer *frm = dev->node[0].cur_frm;
-+      unsigned int stride = dev->node[IMAGE_PAD].v_fmt.fmt.pix.bytesperline;
-+      struct unicam_buffer *frm = dev->node[IMAGE_PAD].cur_frm;
-       if (!frm)
-               return 0;
-@@ -658,27 +699,51 @@ static inline unsigned int unicam_get_li
-       return (unsigned int)(cur_addr - start_addr) / stride;
- }
--static inline void unicam_schedule_next_buffer(struct unicam_device *dev)
-+static inline void unicam_schedule_next_buffer(struct unicam_node *node)
- {
--      struct unicam_dmaqueue *dma_q = &dev->node[0].dma_queue;
-+      struct unicam_device *dev = node->dev;
-+      struct unicam_dmaqueue *dma_q = &node->dma_queue;
-       struct unicam_buffer *buf;
-       dma_addr_t addr;
-       buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
--      dev->node[0].next_frm = buf;
-+      node->next_frm = buf;
-       list_del(&buf->list);
-       addr = vb2_dma_contig_plane_dma_addr(&buf->vb.vb2_buf, 0);
--      unicam_wr_dma_addr(dev, addr);
-+      unicam_wr_dma_addr(dev, addr, node->pad_id);
- }
--static inline void unicam_process_buffer_complete(struct unicam_device *dev)
-+static inline void unicam_process_buffer_complete(struct unicam_node *node,
-+                                                unsigned int sequence)
- {
--      dev->node[0].cur_frm->vb.field = dev->node[0].m_fmt.field;
--      dev->node[0].cur_frm->vb.sequence = dev->sequence++;
-+      node->cur_frm->vb.field = node->m_fmt.field;
-+      node->cur_frm->vb.sequence = sequence;
-+
-+      vb2_buffer_done(&node->cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
-+      node->cur_frm = node->next_frm;
-+}
--      vb2_buffer_done(&dev->node[0].cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
--      dev->node[0].cur_frm = dev->node[0].next_frm;
-+static int unicam_num_nodes_streaming(struct unicam_device *dev)
-+{
-+      return dev->node[IMAGE_PAD].streaming +
-+             dev->node[METADATA_PAD].streaming;
-+}
-+
-+static int unicam_all_nodes_streaming(struct unicam_device *dev)
-+{
-+      int ret;
-+
-+      ret = dev->node[IMAGE_PAD].open && dev->node[IMAGE_PAD].streaming;
-+      ret &= !dev->node[METADATA_PAD].open ||
-+             dev->node[METADATA_PAD].streaming;
-+      return ret;
-+}
-+
-+static int unicam_all_nodes_disabled(struct unicam_device *dev)
-+{
-+      return !dev->node[IMAGE_PAD].streaming &&
-+             !dev->node[METADATA_PAD].streaming;
- }
- /*
-@@ -693,10 +758,12 @@ static irqreturn_t unicam_isr(int irq, v
- {
-       struct unicam_device *unicam = (struct unicam_device *)dev;
-       struct unicam_cfg *cfg = &unicam->cfg;
--      struct unicam_dmaqueue *dma_q = &unicam->node[0].dma_queue;
-       unsigned int lines_done = unicam_get_lines_done(dev);
-       unsigned int sequence = unicam->sequence;
-+      int num_nodes_streaming = unicam_num_nodes_streaming(dev);
-       int ista, sta;
-+      u64 ts;
-+      int i;
-       /*
-        * Don't service interrupts if not streaming.
-@@ -704,7 +771,7 @@ static irqreturn_t unicam_isr(int irq, v
-        * peripheral without the kernel knowing (that
-        * shouldn't happen, but causes issues if it does).
-        */
--      if (!unicam->streaming)
-+      if (unicam_all_nodes_disabled(unicam))
-               return IRQ_HANDLED;
-       sta = reg_read(cfg, UNICAM_STA);
-@@ -726,9 +793,12 @@ static irqreturn_t unicam_isr(int irq, v
-                * Timestamp is to be when the first data byte was captured,
-                * aka frame start.
-                */
--              if (unicam->node[0].cur_frm)
--                      unicam->node[0].cur_frm->vb.vb2_buf.timestamp =
--                              ktime_get_ns();
-+              ts = ktime_get_ns();
-+              for (i = 0; i < num_nodes_streaming; i++) {
-+                      if (unicam->node[i].cur_frm)
-+                              unicam->node[i].cur_frm->vb.vb2_buf.timestamp =
-+                                                              ts;
-+              }
-       }
-       if (ista & UNICAM_FEI || sta & UNICAM_PI0) {
-               /*
-@@ -736,9 +806,13 @@ static irqreturn_t unicam_isr(int irq, v
-                * stop the peripheral. Overwrite the frame we've just
-                * captured instead.
-                */
--              if (unicam->node[0].cur_frm &&
--                  unicam->node[0].cur_frm != unicam->node[0].next_frm)
--                      unicam_process_buffer_complete(unicam);
-+              for (i = 0; i < num_nodes_streaming; i++) {
-+                      if (unicam->node[i].cur_frm &&
-+                          unicam->node[i].cur_frm != unicam->node[i].next_frm)
-+                              unicam_process_buffer_complete(&unicam->node[i],
-+                                                             sequence);
-+              }
-+              unicam->sequence++;
-       }
-       /* Cannot swap buffer at frame end, there may be a race condition
-@@ -746,11 +820,13 @@ static irqreturn_t unicam_isr(int irq, v
-        * already started.
-        */
-       if (ista & (UNICAM_FSI | UNICAM_LCI) && !(ista & UNICAM_FEI)) {
--              spin_lock(&unicam->node[0].dma_queue_lock);
--              if (!list_empty(&dma_q->active) &&
--                  unicam->node[0].cur_frm == unicam->node[0].next_frm)
--                      unicam_schedule_next_buffer(unicam);
--              spin_unlock(&unicam->node[0].dma_queue_lock);
-+              for (i = 0; i < num_nodes_streaming; i++) {
-+                      spin_lock(&unicam->node[i].dma_queue_lock);
-+                      if (!list_empty(&unicam->node[i].dma_queue.active) &&
-+                          unicam->node[i].cur_frm == unicam->node[i].next_frm)
-+                              unicam_schedule_next_buffer(&unicam->node[i]);
-+                      spin_unlock(&unicam->node[i].dma_queue_lock);
-+              }
-       }
-       if (reg_read(&unicam->cfg, UNICAM_ICTL) & UNICAM_FCM) {
-@@ -773,6 +849,15 @@ static int unicam_querycap(struct file *
-       snprintf(cap->bus_info, sizeof(cap->bus_info),
-                "platform:%s", dev->v4l2_dev.name);
-+      cap->capabilities = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING |
-+                          V4L2_CAP_READWRITE | V4L2_CAP_DEVICE_CAPS |
-+                          V4L2_CAP_META_CAPTURE;
-+
-+      if (node->pad_id == IMAGE_PAD)
-+              cap->device_caps = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING;
-+      else
-+              cap->device_caps = V4L2_CAP_META_CAPTURE | V4L2_CAP_STREAMING;
-+
-       return 0;
- }
-@@ -787,9 +872,14 @@ static int unicam_enum_fmt_vid_cap(struc
-       int ret = 0;
-       int i;
-+      if (node->pad_id == METADATA_PAD)
-+              return -EINVAL;
-+
-       for (i = 0; !ret && i < MAX_ENUM_MBUS_CODE; i++) {
-               memset(&mbus_code, 0, sizeof(mbus_code));
-               mbus_code.index = i;
-+              mbus_code.pad = IMAGE_PAD;
-+              mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
-               ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code,
-                                      NULL, &mbus_code);
-@@ -827,6 +917,9 @@ static int unicam_g_fmt_vid_cap(struct f
- {
-       struct unicam_node *node = video_drvdata(file);
-+      if (node->pad_id == METADATA_PAD)
-+              return -EINVAL;
-+
-       *f = node->v_fmt;
-       return 0;
-@@ -843,6 +936,9 @@ const struct unicam_fmt *get_first_suppo
-       for (j = 0; ret != -EINVAL && ret != -ENOIOCTLCMD; ++j) {
-               memset(&mbus_code, 0, sizeof(mbus_code));
-               mbus_code.index = j;
-+              mbus_code.pad = IMAGE_PAD;
-+              mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
-+
-               ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code, NULL,
-                                      &mbus_code);
-               if (ret < 0) {
-@@ -873,12 +969,15 @@ static int unicam_try_fmt_vid_cap(struct
-       struct unicam_device *dev = node->dev;
-       struct v4l2_subdev_format sd_fmt = {
-               .which = V4L2_SUBDEV_FORMAT_TRY,
--              .pad = 0
-+              .pad = IMAGE_PAD
-       };
-       struct v4l2_mbus_framefmt *mbus_fmt = &sd_fmt.format;
-       const struct unicam_fmt *fmt;
-       int ret;
-+      if (node->pad_id == METADATA_PAD)
-+              return -EINVAL;
-+
-       fmt = find_format_by_pix(dev, f->fmt.pix.pixelformat);
-       if (!fmt) {
-               /* Pixel format not supported by unicam. Choose the first
-@@ -983,7 +1082,7 @@ static int unicam_s_fmt_vid_cap(struct f
-       v4l2_fill_mbus_format(&mbus_fmt, &f->fmt.pix, fmt->code);
--      ret = __subdev_set_format(dev, &mbus_fmt);
-+      ret = __subdev_set_format(dev, &mbus_fmt, node->pad_id);
-       if (ret) {
-               unicam_dbg(3, dev, "%s __subdev_set_format failed %d\n",
-                          __func__, ret);
-@@ -1014,6 +1113,106 @@ static int unicam_s_fmt_vid_cap(struct f
-       return 0;
- }
-+static int unicam_enum_fmt_meta_cap(struct file *file, void *priv,
-+                                  struct v4l2_fmtdesc *f)
-+{
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-+      struct v4l2_subdev_mbus_code_enum mbus_code;
-+      const struct unicam_fmt *fmt = NULL;
-+      int ret = 0;
-+
-+      if (node->pad_id != METADATA_PAD || f->index != 0)
-+              return -EINVAL;
-+
-+      if (dev->sensor_embedded_data) {
-+              memset(&mbus_code, 0, sizeof(mbus_code));
-+              mbus_code.index = f->index;
-+              mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
-+              mbus_code.pad = METADATA_PAD;
-+
-+              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code, NULL,
-+                                     &mbus_code);
-+              if (ret < 0) {
-+                      unicam_dbg(2, dev,
-+                                 "subdev->enum_mbus_code idx 0 returned %d - index invalid\n",
-+                                 ret);
-+                      return -EINVAL;
-+              }
-+      } else {
-+              mbus_code.code = MEDIA_BUS_FMT_SENSOR_DATA;
-+      }
-+
-+      fmt = find_format_by_code(mbus_code.code);
-+      if (fmt)
-+              f->pixelformat = fmt->fourcc;
-+
-+      return 0;
-+}
-+
-+static int unicam_g_fmt_meta_cap(struct file *file, void *priv,
-+                               struct v4l2_format *f)
-+{
-+      struct unicam_node *node = video_drvdata(file);
-+
-+      if (node->pad_id != METADATA_PAD)
-+              return -EINVAL;
-+
-+      *f = node->v_fmt;
-+
-+      return 0;
-+}
-+
-+static int unicam_try_fmt_meta_cap(struct file *file, void *priv,
-+                                 struct v4l2_format *f)
-+{
-+      struct unicam_node *node = video_drvdata(file);
-+
-+      if (node->pad_id != METADATA_PAD)
-+              return -EINVAL;
-+
-+      *f = node->v_fmt;
-+
-+      return 0;
-+}
-+
-+static int unicam_s_fmt_meta_cap(struct file *file, void *priv,
-+                               struct v4l2_format *f)
-+{
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-+      struct v4l2_mbus_framefmt mbus_fmt = { 0 };
-+      const struct unicam_fmt *fmt;
-+      int ret;
-+
-+      if (node->pad_id == IMAGE_PAD)
-+              return -EINVAL;
-+
-+      if (dev->sensor_embedded_data) {
-+              fmt = find_format_by_pix(dev, f->fmt.meta.dataformat);
-+              if (!fmt) {
-+                      unicam_err(dev, "unknown format: V4L2 pix 0x%08x\n",
-+                                 f->fmt.meta.dataformat);
-+                      return -EINVAL;
-+              }
-+              mbus_fmt.code = fmt->code;
-+              ret = __subdev_set_format(dev, &mbus_fmt, node->pad_id);
-+              if (ret) {
-+                      unicam_dbg(3, dev, "%s __subdev_set_format failed %d\n",
-+                                 __func__, ret);
-+                      return ret;
-+              }
-+      }
-+
-+      *f = node->v_fmt;
-+
-+      unicam_dbg(3, dev, "%s size %d, V4L2 pix 0x%08x\n",
-+                 __func__, node->v_fmt.fmt.meta.buffersize,
-+                 node->v_fmt.fmt.meta.dataformat);
-+
-+      return 0;
-+}
-+
- static int unicam_queue_setup(struct vb2_queue *vq,
-                             unsigned int *nbuffers,
-                             unsigned int *nplanes,
-@@ -1022,7 +1221,9 @@ static int unicam_queue_setup(struct vb2
- {
-       struct unicam_node *node = vb2_get_drv_priv(vq);
-       struct unicam_device *dev = node->dev;
--      unsigned int size = node->v_fmt.fmt.pix.sizeimage;
-+      unsigned int size = node->pad_id == IMAGE_PAD ?
-+                                  node->v_fmt.fmt.pix.sizeimage :
-+                                  node->v_fmt.fmt.meta.buffersize;
-       if (vq->num_buffers + *nbuffers < 3)
-               *nbuffers = 3 - vq->num_buffers;
-@@ -1053,7 +1254,8 @@ static int unicam_buffer_prepare(struct
-       if (WARN_ON(!node->fmt))
-               return -EINVAL;
--      size = node->v_fmt.fmt.pix.sizeimage;
-+      size = node->pad_id == IMAGE_PAD ? node->v_fmt.fmt.pix.sizeimage :
-+                                         node->v_fmt.fmt.meta.buffersize;
-       if (vb2_plane_size(vb, 0) < size) {
-               unicam_err(dev, "data will not fit into plane (%lu < %lu)\n",
-                          vb2_plane_size(vb, 0), size);
-@@ -1082,12 +1284,12 @@ static void unicam_set_packing_config(st
-       int pack, unpack;
-       u32 val;
--      if (dev->node[0].v_fmt.fmt.pix.pixelformat ==
--          dev->node[0].fmt->fourcc) {
-+      if (dev->node[IMAGE_PAD].v_fmt.fmt.pix.pixelformat ==
-+          dev->node[IMAGE_PAD].fmt->fourcc) {
-               unpack = UNICAM_PUM_NONE;
-               pack = UNICAM_PPM_NONE;
-       } else {
--              switch (dev->node[0].fmt->depth) {
-+              switch (dev->node[IMAGE_PAD].fmt->depth) {
-               case 8:
-                       unpack = UNICAM_PUM_UNPACK8;
-                       break;
-@@ -1125,17 +1327,31 @@ static void unicam_cfg_image_id(struct u
-       if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
-               /* CSI2 mode */
-               reg_write(cfg, UNICAM_IDI0,
--                      (dev->virtual_channel << 6) | dev->node[0].fmt->csi_dt);
-+                        (dev->virtual_channel << 6) |
-+                                            dev->node[IMAGE_PAD].fmt->csi_dt);
-       } else {
-               /* CCP2 mode */
--              reg_write(cfg, UNICAM_IDI0, (0x80 | dev->node[0].fmt->csi_dt));
-+              reg_write(cfg, UNICAM_IDI0,
-+                        0x80 | dev->node[IMAGE_PAD].fmt->csi_dt);
-       }
- }
--static void unicam_start_rx(struct unicam_device *dev, unsigned long addr)
-+static void unicam_enable_ed(struct unicam_device *dev)
-+{
-+      struct unicam_cfg *cfg = &dev->cfg;
-+      u32 val = reg_read(cfg, UNICAM_DCS);
-+
-+      set_field(&val, 2, UNICAM_EDL_MASK);
-+      /* Do not wrap at the end of the embedded data buffer */
-+      set_field(&val, 0, UNICAM_DBOB);
-+
-+      reg_write(cfg, UNICAM_DCS, val);
-+}
-+
-+static void unicam_start_rx(struct unicam_device *dev, dma_addr_t *addr)
- {
-       struct unicam_cfg *cfg = &dev->cfg;
--      int line_int_freq = dev->node[0].v_fmt.fmt.pix.height >> 2;
-+      int line_int_freq = dev->node[IMAGE_PAD].v_fmt.fmt.pix.height >> 2;
-       unsigned int i;
-       u32 val;
-@@ -1284,27 +1500,31 @@ static void unicam_start_rx(struct unica
-       }
-       reg_write(&dev->cfg, UNICAM_IBLS,
--                dev->node[0].v_fmt.fmt.pix.bytesperline);
--      unicam_wr_dma_addr(dev, addr);
-+                dev->node[IMAGE_PAD].v_fmt.fmt.pix.bytesperline);
-+      unicam_wr_dma_addr(dev, addr[IMAGE_PAD], IMAGE_PAD);
-       unicam_set_packing_config(dev);
-       unicam_cfg_image_id(dev);
--      /* Disabled embedded data */
--      val = 0;
--      set_field(&val, 0, UNICAM_EDL_MASK);
--      reg_write(cfg, UNICAM_DCS, val);
--
-       val = reg_read(cfg, UNICAM_MISC);
-       set_field(&val, 1, UNICAM_FL0);
-       set_field(&val, 1, UNICAM_FL1);
-       reg_write(cfg, UNICAM_MISC, val);
-+      if (dev->node[METADATA_PAD].streaming && dev->sensor_embedded_data) {
-+              unicam_enable_ed(dev);
-+              unicam_wr_dma_addr(dev, addr[METADATA_PAD], METADATA_PAD);
-+      }
-+
-       /* Enable peripheral */
-       reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_CPE);
-       /* Load image pointers */
-       reg_write_field(cfg, UNICAM_ICTL, 1, UNICAM_LIP_MASK);
-+      /* Load embedded data buffer pointers if needed */
-+      if (dev->node[METADATA_PAD].streaming && dev->sensor_embedded_data)
-+              reg_write_field(cfg, UNICAM_DCS, 1, UNICAM_LDP);
-+
-       /*
-        * Enable trigger only for the first frame to
-        * sync correctly to the FS from the source.
-@@ -1339,6 +1559,9 @@ static void unicam_disable(struct unicam
-       /* Disable peripheral */
-       reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPE);
-+      /* Clear ED setup */
-+      reg_write(cfg, UNICAM_DCS, 0);
-+
-       /* Disable all lane clocks */
-       clk_write(cfg, 0);
- }
-@@ -1347,26 +1570,23 @@ static int unicam_start_streaming(struct
- {
-       struct unicam_node *node = vb2_get_drv_priv(vq);
-       struct unicam_device *dev = node->dev;
--      struct unicam_dmaqueue *dma_q = &node->dma_queue;
--      struct unicam_buffer *buf, *tmp;
--      unsigned long addr = 0;
-+      struct unicam_buffer *buf;
-+      dma_addr_t buffer_addr[MAX_NODES] = { 0 };
-+      int num_nodes_streaming;
-       unsigned long flags;
--      int ret;
-+      int ret, i;
--      spin_lock_irqsave(&node->dma_queue_lock, flags);
--      buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
--      node->cur_frm = buf;
--      node->next_frm = buf;
--      list_del(&buf->list);
--      spin_unlock_irqrestore(&node->dma_queue_lock, flags);
-+      node->streaming = 1;
-+      if (!unicam_all_nodes_streaming(dev)) {
-+              unicam_dbg(3, dev, "Not all nodes are streaming yet.");
-+              return 0;
-+      }
--      addr = vb2_dma_contig_plane_dma_addr(&node->cur_frm->vb.vb2_buf, 0);
-       dev->sequence = 0;
--
-       ret = unicam_runtime_get(dev);
-       if (ret < 0) {
-               unicam_dbg(3, dev, "unicam_runtime_get failed\n");
--              goto err_release_buffers;
-+              return ret;
-       }
-       dev->active_data_lanes = dev->max_data_lanes;
-@@ -1388,7 +1608,7 @@ static int unicam_start_streaming(struct
-                       dev->active_data_lanes = dev->max_data_lanes;
-       }
-       if (dev->active_data_lanes > dev->max_data_lanes) {
--              unicam_err(dev, "Device has requested %u data lanes, which is >%u configured in DT\n",
-+              unicam_err(dev, "Device has requested %u data lanes, which is >%u configured in DT\n",
-                          dev->active_data_lanes, dev->max_data_lanes);
-               ret = -EINVAL;
-               goto err_pm_put;
-@@ -1408,9 +1628,22 @@ static int unicam_start_streaming(struct
-               unicam_err(dev, "Failed to enable CSI clock: %d\n", ret);
-               goto err_pm_put;
-       }
--      dev->streaming = 1;
--      unicam_start_rx(dev, addr);
-+      num_nodes_streaming = unicam_num_nodes_streaming(dev);
-+      for (i = 0; i < num_nodes_streaming; i++) {
-+              spin_lock_irqsave(&dev->node[i].dma_queue_lock, flags);
-+              buf = list_entry(dev->node[i].dma_queue.active.next,
-+                               struct unicam_buffer, list);
-+              dev->node[i].cur_frm = buf;
-+              dev->node[i].next_frm = buf;
-+              list_del(&buf->list);
-+              spin_unlock_irqrestore(&dev->node[i].dma_queue_lock, flags);
-+              buffer_addr[i] =
-+              vb2_dma_contig_plane_dma_addr(&dev->node[i].cur_frm->vb.vb2_buf,
-+                                            0);
-+      }
-+
-+      unicam_start_rx(dev, buffer_addr);
-       ret = v4l2_subdev_call(dev->sensor, video, s_stream, 1);
-       if (ret < 0) {
-@@ -1421,21 +1654,11 @@ static int unicam_start_streaming(struct
-       return 0;
- err_disable_unicam:
-+      node->streaming = 0;
-       unicam_disable(dev);
-       clk_disable_unprepare(dev->clock);
- err_pm_put:
-       unicam_runtime_put(dev);
--err_release_buffers:
--      list_for_each_entry_safe(buf, tmp, &dma_q->active, list) {
--              list_del(&buf->list);
--              vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
--      }
--      if (node->cur_frm != node->next_frm)
--              vb2_buffer_done(&node->next_frm->vb.vb2_buf,
--                              VB2_BUF_STATE_QUEUED);
--      vb2_buffer_done(&node->cur_frm->vb.vb2_buf, VB2_BUF_STATE_QUEUED);
--      node->next_frm = NULL;
--      node->cur_frm = NULL;
-       return ret;
- }
-@@ -1448,33 +1671,47 @@ static void unicam_stop_streaming(struct
-       struct unicam_buffer *buf, *tmp;
-       unsigned long flags;
--      if (v4l2_subdev_call(dev->sensor, video, s_stream, 0) < 0)
--              unicam_err(dev, "stream off failed in subdev\n");
-+      node->streaming = 0;
--      unicam_disable(dev);
-+      if (node->pad_id == IMAGE_PAD) {
-+              /* Stop streaming the sensor and disable the peripheral.
-+               * We cannot continue streaming embedded data with the
-+               * image pad disabled.
-+               */
-+              if (v4l2_subdev_call(dev->sensor, video, s_stream, 0) < 0)
-+                      unicam_err(dev, "stream off failed in subdev\n");
--      /* Release all active buffers */
-+              unicam_disable(dev);
-+              clk_disable_unprepare(dev->clock);
-+              unicam_runtime_put(dev);
-+
-+      } else if (node->pad_id == METADATA_PAD) {
-+              /* Null out the embedded data buffer address so the HW does
-+               * not use it.  This is only really needed if the embedded data
-+               * pad is disabled before the image pad.  The 0x3 in the top two
-+               * bits signifies uncached accesses through the Videocore
-+               * memory controller.
-+               */
-+              unicam_wr_dma_addr(dev, 0xc0000000, METADATA_PAD);
-+      }
-+
-+      /* Clear all queued buffers for the node */
-       spin_lock_irqsave(&node->dma_queue_lock, flags);
-       list_for_each_entry_safe(buf, tmp, &dma_q->active, list) {
-               list_del(&buf->list);
-               vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_ERROR);
-       }
--      if (node->cur_frm == node->next_frm) {
--              vb2_buffer_done(&node->cur_frm->vb.vb2_buf,
--                              VB2_BUF_STATE_ERROR);
--      } else {
-+      if (node->cur_frm)
-               vb2_buffer_done(&node->cur_frm->vb.vb2_buf,
-                               VB2_BUF_STATE_ERROR);
-+      if (node->next_frm && node->cur_frm != node->next_frm)
-               vb2_buffer_done(&node->next_frm->vb.vb2_buf,
-                               VB2_BUF_STATE_ERROR);
--      }
-+
-       node->cur_frm = NULL;
-       node->next_frm = NULL;
-       spin_unlock_irqrestore(&node->dma_queue_lock, flags);
--
--      clk_disable_unprepare(dev->clock);
--      unicam_runtime_put(dev);
- }
- static int unicam_enum_input(struct file *file, void *priv,
-@@ -1595,17 +1832,23 @@ static int unicam_enum_framesizes(struct
-       struct v4l2_subdev_frame_size_enum fse;
-       int ret;
--      /* check for valid format */
--      fmt = find_format_by_pix(dev, fsize->pixel_format);
--      if (!fmt) {
--              unicam_dbg(3, dev, "Invalid pixel code: %x\n",
--                         fsize->pixel_format);
--              return -EINVAL;
-+      if (node->pad_id == IMAGE_PAD) {
-+              /* check for valid format */
-+              fmt = find_format_by_pix(dev, fsize->pixel_format);
-+              if (!fmt) {
-+                      unicam_dbg(3, dev, "Invalid pixel code: %x\n",
-+                                 fsize->pixel_format);
-+                      return -EINVAL;
-+              }
-+              fse.code = fmt->code;
-+      } else {
-+              /* This pad is for embedded data, so just set the format */
-+              fse.code = MEDIA_BUS_FMT_SENSOR_DATA;
-       }
-+      fse.which = V4L2_SUBDEV_FORMAT_ACTIVE;
-       fse.index = fsize->index;
--      fse.pad = 0;
--      fse.code = fmt->code;
-+      fse.pad = node->pad_id;
-       ret = v4l2_subdev_call(dev->sensor, pad, enum_frame_size, NULL, &fse);
-       if (ret)
-@@ -1782,7 +2025,7 @@ static void unicam_notify(struct v4l2_su
-       switch (notification) {
-       case V4L2_DEVICE_NOTIFY_EVENT:
--              v4l2_event_queue(&dev->node[0].video_dev, arg);
-+              v4l2_event_queue(&dev->node[IMAGE_PAD].video_dev, arg);
-               break;
-       default:
-               break;
-@@ -1826,6 +2069,7 @@ static int unicam_open(struct file *file
-               goto unlock;
-       }
-+      node->open++;
-       ret = 0;
- unlock:
-@@ -1850,6 +2094,10 @@ static int unicam_release(struct file *f
-       if (fh_singular)
-               v4l2_subdev_call(sd, core, s_power, 0);
-+      if (node->streaming)
-+              unicam_stop_streaming(&node->buffer_queue);
-+
-+      node->open--;
-       mutex_unlock(&node->lock);
-       return ret;
-@@ -1874,6 +2122,11 @@ static const struct v4l2_ioctl_ops unica
-       .vidioc_s_fmt_vid_cap           = unicam_s_fmt_vid_cap,
-       .vidioc_try_fmt_vid_cap         = unicam_try_fmt_vid_cap,
-+      .vidioc_enum_fmt_meta_cap       = unicam_enum_fmt_meta_cap,
-+      .vidioc_g_fmt_meta_cap          = unicam_g_fmt_meta_cap,
-+      .vidioc_s_fmt_meta_cap          = unicam_s_fmt_meta_cap,
-+      .vidioc_try_fmt_meta_cap        = unicam_try_fmt_meta_cap,
-+
-       .vidioc_enum_input              = unicam_enum_input,
-       .vidioc_g_input                 = unicam_g_input,
-       .vidioc_s_input                 = unicam_s_input,
-@@ -1941,42 +2194,53 @@ static int register_node(struct unicam_d
-       const struct unicam_fmt *fmt;
-       int ret;
--      ret = __subdev_get_format(unicam, &mbus_fmt, pad_id);
--      if (ret) {
--              unicam_err(unicam, "Failed to get_format - ret %d\n", ret);
--              return ret;
--      }
--
--      fmt = find_format_by_code(mbus_fmt.code);
--      if (!fmt) {
--              /* Find the first format that the sensor and unicam both
--               * support
--               */
--              fmt = get_first_supported_format(unicam);
-+      if (unicam->sensor_embedded_data || pad_id != METADATA_PAD) {
-+              ret = __subdev_get_format(unicam, &mbus_fmt, pad_id);
-+              if (ret) {
-+                      unicam_err(unicam, "Failed to get_format - ret %d\n",
-+                                 ret);
-+                      return ret;
-+              }
--              if (!fmt)
--                      /* No compatible formats */
--                      return -EINVAL;
-+              fmt = find_format_by_code(mbus_fmt.code);
-+              if (!fmt) {
-+                      /* Find the first format that the sensor and unicam both
-+                       * support
-+                       */
-+                      fmt = get_first_supported_format(unicam);
--              mbus_fmt.code = fmt->code;
--              ret = __subdev_set_format(unicam, &mbus_fmt);
--              if (ret)
--                      return -EINVAL;
--      }
--      if (mbus_fmt.field != V4L2_FIELD_NONE) {
--              /* Interlaced not supported - disable it now. */
--              mbus_fmt.field = V4L2_FIELD_NONE;
--              ret = __subdev_set_format(unicam, &mbus_fmt);
--              if (ret)
--                      return -EINVAL;
-+                      if (!fmt)
-+                              /* No compatible formats */
-+                              return -EINVAL;
-+
-+                      mbus_fmt.code = fmt->code;
-+                      ret = __subdev_set_format(unicam, &mbus_fmt, pad_id);
-+                      if (ret)
-+                              return -EINVAL;
-+              }
-+              if (mbus_fmt.field != V4L2_FIELD_NONE) {
-+                      /* Interlaced not supported - disable it now. */
-+                      mbus_fmt.field = V4L2_FIELD_NONE;
-+                      ret = __subdev_set_format(unicam, &mbus_fmt, pad_id);
-+                      if (ret)
-+                              return -EINVAL;
-+              }
-+      } else {
-+              /* Fix this node format as embedded data. */
-+              fmt = find_format_by_code(MEDIA_BUS_FMT_SENSOR_DATA);
-       }
-+      node->dev = unicam;
-       node->pad_id = pad_id;
-       node->fmt = fmt;
--      if (fmt->fourcc)
--              node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
--      else
-+      if (fmt->fourcc) {
-+              if (fmt->fourcc != V4L2_META_FMT_SENSOR_DATA)
-+                      node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
-+              else
-+                      node->v_fmt.fmt.meta.dataformat = fmt->fourcc;
-+      } else {
-               node->v_fmt.fmt.pix.pixelformat = fmt->repacked_fourcc;
-+      }
-       /* Read current subdev format */
-       unicam_reset_format(node);
-@@ -2002,13 +2266,21 @@ static int register_node(struct unicam_d
-       spin_lock_init(&node->dma_queue_lock);
-       mutex_init(&node->lock);
--      if (type == V4L2_BUF_TYPE_VIDEO_CAPTURE) {
-+      vdev = &node->video_dev;
-+      if (pad_id == IMAGE_PAD) {
-               /* Add controls from the subdevice */
-               ret = v4l2_ctrl_add_handler(&node->ctrl_handler,
-                                           unicam->sensor->ctrl_handler, NULL,
-                                           true);
-               if (ret < 0)
-                       return ret;
-+
-+              /*
-+               * If the sensor subdevice has any controls, associate the node
-+               *  with the ctrl handler to allow access from userland.
-+               */
-+              if (!list_empty(&node->ctrl_handler.ctrls))
-+                      vdev->ctrl_handler = &node->ctrl_handler;
-       }
-       q = &node->buffer_queue;
-@@ -2031,8 +2303,6 @@ static int register_node(struct unicam_d
-       INIT_LIST_HEAD(&node->dma_queue.active);
--      vdev = &node->video_dev;
--      strlcpy(vdev->name, UNICAM_MODULE_NAME, sizeof(vdev->name));
-       vdev->release = video_device_release_empty;
-       vdev->fops = &unicam_fops;
-       vdev->ioctl_ops = &unicam_ioctl_ops;
-@@ -2040,24 +2310,28 @@ static int register_node(struct unicam_d
-       vdev->vfl_dir = VFL_DIR_RX;
-       vdev->queue = q;
-       vdev->lock = &node->lock;
--      vdev->device_caps = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING |
--                          V4L2_CAP_READWRITE;
--      /* If the source has no controls then remove our ctrl handler. */
--      if (list_empty(&node->ctrl_handler.ctrls))
--              unicam->v4l2_dev.ctrl_handler = NULL;
-+      vdev->device_caps = (pad_id == IMAGE_PAD) ?
-+                          (V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING) :
-+                          (V4L2_CAP_META_CAPTURE | V4L2_CAP_STREAMING);
-+
-+      /* Define the device names */
-+      snprintf(vdev->name, sizeof(vdev->name), "%s-%s", UNICAM_MODULE_NAME,
-+               node->pad_id == IMAGE_PAD ? "image" : "embedded");
--      node->dev = unicam;
-       video_set_drvdata(vdev, node);
-       vdev->entity.flags |= MEDIA_ENT_FL_DEFAULT;
--      if (!v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
-+      if (node->pad_id == METADATA_PAD ||
-+          !v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_STD);
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_STD);
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUMSTD);
-       }
--      if (!v4l2_subdev_has_op(unicam->sensor, video, querystd))
-+      if (node->pad_id == METADATA_PAD ||
-+          !v4l2_subdev_has_op(unicam->sensor, video, querystd))
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_QUERYSTD);
--      if (!v4l2_subdev_has_op(unicam->sensor, video, s_dv_timings)) {
-+      if (node->pad_id == METADATA_PAD ||
-+          !v4l2_subdev_has_op(unicam->sensor, video, s_dv_timings)) {
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_EDID);
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_EDID);
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_DV_TIMINGS_CAP);
-@@ -2066,15 +2340,19 @@ static int register_node(struct unicam_d
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_DV_TIMINGS);
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_QUERY_DV_TIMINGS);
-       }
--      if (!v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_interval))
-+      if (node->pad_id == METADATA_PAD ||
-+          !v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_interval))
-               v4l2_disable_ioctl(&node->video_dev,
-                                  VIDIOC_ENUM_FRAMEINTERVALS);
--      if (!v4l2_subdev_has_op(unicam->sensor, video, g_frame_interval))
-+      if (node->pad_id == METADATA_PAD ||
-+          !v4l2_subdev_has_op(unicam->sensor, video, g_frame_interval))
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_PARM);
--      if (!v4l2_subdev_has_op(unicam->sensor, video, s_frame_interval))
-+      if (node->pad_id == METADATA_PAD ||
-+          !v4l2_subdev_has_op(unicam->sensor, video, s_frame_interval))
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_PARM);
--      if (!v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_size))
-+      if (node->pad_id == METADATA_PAD ||
-+          !v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_size))
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_FRAMESIZES);
-       ret = video_register_device(vdev, VFL_TYPE_GRABBER, -1);
-@@ -2082,27 +2360,29 @@ static int register_node(struct unicam_d
-               unicam_err(unicam, "Unable to register video device.\n");
-               return ret;
-       }
--      node->registered = true;
-+      node->registered = 1;
--      ret = media_create_pad_link(&unicam->sensor->entity,
--                                  0, &node->video_dev.entity, 0,
--                                  MEDIA_LNK_FL_ENABLED |
--                                  MEDIA_LNK_FL_IMMUTABLE);
--      if (ret)
--              unicam_err(unicam, "Unable to create pad links.\n");
-+      if (unicam->sensor_embedded_data) {
-+              ret = media_create_pad_link(&unicam->sensor->entity, pad_id,
-+                                          &node->video_dev.entity, 0,
-+                                          MEDIA_LNK_FL_ENABLED |
-+                                          MEDIA_LNK_FL_IMMUTABLE);
-+              if (ret)
-+                      unicam_err(unicam, "Unable to create pad links.\n");
-+      }
-       return ret;
- }
- static void unregister_nodes(struct unicam_device *unicam)
- {
--      if (unicam->node[0].registered) {
--              video_unregister_device(&unicam->node[0].video_dev);
--              unicam->node[0].registered = false;
--      }
--      if (unicam->node[1].registered) {
--              video_unregister_device(&unicam->node[1].video_dev);
--              unicam->node[1].registered = false;
-+      if (unicam->node[IMAGE_PAD].registered) {
-+              video_unregister_device(&unicam->node[IMAGE_PAD].video_dev);
-+              unicam->node[IMAGE_PAD].registered = 0;
-+      }
-+      if (unicam->node[METADATA_PAD].registered) {
-+              video_unregister_device(&unicam->node[METADATA_PAD].video_dev);
-+              unicam->node[METADATA_PAD].registered = 0;
-       }
- }
-@@ -2118,20 +2398,20 @@ static int unicam_probe_complete(struct
-       if (!unicam->sensor_config)
-               return -ENOMEM;
--      ret = register_node(unicam, &unicam->node[0],
--                          V4L2_BUF_TYPE_VIDEO_CAPTURE, 0);
-+      unicam->sensor_embedded_data = (unicam->sensor->entity.num_pads >= 2);
-+
-+      ret = register_node(unicam, &unicam->node[IMAGE_PAD],
-+                          V4L2_BUF_TYPE_VIDEO_CAPTURE, IMAGE_PAD);
-       if (ret) {
-               unicam_err(unicam, "Unable to register subdev node 0.\n");
-               goto unregister;
-       }
--      if (unicam->sensor->entity.num_pads >= 2) {
--              ret = register_node(unicam, &unicam->node[1],
--                                  V4L2_BUF_TYPE_META_CAPTURE, 1);
--              if (ret) {
--                      unicam_err(unicam,
--                                 "Unable to register subdev node 1.\n");
--                      goto unregister;
--              }
-+
-+      ret = register_node(unicam, &unicam->node[METADATA_PAD],
-+                          V4L2_BUF_TYPE_META_CAPTURE, METADATA_PAD);
-+      if (ret) {
-+              unicam_err(unicam, "Unable to register subdev node 1.\n");
-+              goto unregister;
-       }
-       ret = v4l2_device_register_ro_subdev_nodes(&unicam->v4l2_dev);
-@@ -2355,8 +2635,10 @@ static int unicam_probe(struct platform_
-                pdev->dev.driver->name, dev_name(&pdev->dev));
-       unicam->mdev.hw_revision = 1;
--      media_entity_pads_init(&unicam->node[0].video_dev.entity, 1,
--                             &unicam->node[0].pad);
-+      media_entity_pads_init(&unicam->node[IMAGE_PAD].video_dev.entity, 1,
-+                             &unicam->node[IMAGE_PAD].pad);
-+      media_entity_pads_init(&unicam->node[METADATA_PAD].video_dev.entity, 1,
-+                             &unicam->node[METADATA_PAD].pad);
-       media_device_init(&unicam->mdev);
-       unicam->v4l2_dev.mdev = &unicam->mdev;
-@@ -2376,11 +2658,10 @@ static int unicam_probe(struct platform_
-       }
-       /* Reserve space for the controls */
--      hdl = &unicam->node[0].ctrl_handler;
-+      hdl = &unicam->node[IMAGE_PAD].ctrl_handler;
-       ret = v4l2_ctrl_handler_init(hdl, 16);
-       if (ret < 0)
-               goto media_unregister;
--      unicam->v4l2_dev.ctrl_handler = hdl;
-       /* set the driver data in platform device */
-       platform_set_drvdata(pdev, unicam);
-@@ -2417,7 +2698,7 @@ static int unicam_remove(struct platform
-       pm_runtime_disable(&pdev->dev);
-       v4l2_async_notifier_unregister(&unicam->notifier);
--      v4l2_ctrl_handler_free(&unicam->node[0].ctrl_handler);
-+      v4l2_ctrl_handler_free(&unicam->node[IMAGE_PAD].ctrl_handler);
-       v4l2_device_unregister(&unicam->v4l2_dev);
-       unregister_nodes(unicam);
-       if (unicam->sensor_config)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0651-media-bcm2835-unicam-Disable-event-related-ioctls-on.patch b/target/linux/bcm27xx/patches-5.4/950-0651-media-bcm2835-unicam-Disable-event-related-ioctls-on.patch
new file mode 100644 (file)
index 0000000..cb36ce2
--- /dev/null
@@ -0,0 +1,31 @@
+From e8f2c38720e391ce44154f17f3602484c1827a59 Mon Sep 17 00:00:00 2001
+From: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Date: Tue, 24 Mar 2020 23:13:02 +0200
+Subject: [PATCH] media: bcm2835-unicam: Disable event-related ioctls
+ on metadata node
+
+The unicam driver supports both the SOURCE_CHANGE and CTRL events. Both
+events are only generated on the image video node, so the event-related
+ioctls are useless on the medatada node. Disable them.
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Reviewed-by: Jacopo Mondi <jacopo@jmondi.org>
+Reviewed-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 5 +++++
+ 1 file changed, 5 insertions(+)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -2374,6 +2374,11 @@ static int register_node(struct unicam_d
+               return -ENOMEM;
+       }
++      if (node->pad_id == METADATA_PAD) {
++              v4l2_disable_ioctl(vdev, VIDIOC_DQEVENT);
++              v4l2_disable_ioctl(vdev, VIDIOC_SUBSCRIBE_EVENT);
++              v4l2_disable_ioctl(vdev, VIDIOC_UNSUBSCRIBE_EVENT);
++      }
+       if (node->pad_id == METADATA_PAD ||
+           !v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_STD);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0652-media-bcm2835-unicam-Add-support-for-the-FRAME_SYNC-.patch b/target/linux/bcm27xx/patches-5.4/950-0652-media-bcm2835-unicam-Add-support-for-the-FRAME_SYNC-.patch
new file mode 100644 (file)
index 0000000..544d597
--- /dev/null
@@ -0,0 +1,55 @@
+From 20caa3607c972f5c28b3e9aceb0b8a1d2094c0a7 Mon Sep 17 00:00:00 2001
+From: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Date: Tue, 24 Mar 2020 23:13:02 +0200
+Subject: [PATCH] media: bcm2835-unicam: Add support for the FRAME_SYNC
+ event
+
+The FRAME_SYNC event is useful for userspace image processing algorithms
+to program the camera sensor as early as possible after frame start.
+Support it.
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Reviewed-by: Kieran Bingham <kieran.bingham@ideasonboard.com>
+Reviewed-by: Jacopo Mondi <jacopo@jmondi.org>
+Reviewed-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 14 ++++++++++++++
+ 1 file changed, 14 insertions(+)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -772,6 +772,16 @@ static int unicam_all_nodes_disabled(str
+              !dev->node[METADATA_PAD].streaming;
+ }
++static void unicam_queue_event_sof(struct unicam_device *unicam)
++{
++      struct v4l2_event event = {
++              .type = V4L2_EVENT_FRAME_SYNC,
++              .u.frame_sync.frame_sequence = unicam->sequence,
++      };
++
++      v4l2_event_queue(&unicam->node[IMAGE_PAD].video_dev, &event);
++}
++
+ /*
+  * unicam_isr : ISR handler for unicam capture
+  * @irq: irq number
+@@ -853,6 +863,8 @@ static irqreturn_t unicam_isr(int irq, v
+                        */
+                       unicam_schedule_dummy_buffer(&unicam->node[i]);
+               }
++
++              unicam_queue_event_sof(unicam);
+       }
+       /*
+        * Cannot swap buffer at frame end, there may be a race condition
+@@ -2022,6 +2034,8 @@ static int unicam_subscribe_event(struct
+                                 const struct v4l2_event_subscription *sub)
+ {
+       switch (sub->type) {
++      case V4L2_EVENT_FRAME_SYNC:
++              return v4l2_event_subscribe(fh, sub, 2, NULL);
+       case V4L2_EVENT_SOURCE_CHANGE:
+               return v4l2_event_subscribe(fh, sub, 4, NULL);
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0652-media-bcm2835-unicam-Use-dummy-buffer-if-none-have-b.patch b/target/linux/bcm27xx/patches-5.4/950-0652-media-bcm2835-unicam-Use-dummy-buffer-if-none-have-b.patch
deleted file mode 100644 (file)
index 836ced8..0000000
+++ /dev/null
@@ -1,308 +0,0 @@
-From 0eb6753788616ffed17a0484a14fd7d3df2a2a05 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Thu, 2 Apr 2020 16:08:51 +0100
-Subject: [PATCH] media: bcm2835-unicam: Use dummy buffer if none have
- been queued
-
-If no buffer has been queued by a userland application, we use an
-internal dummy buffer for the hardware to spin in. This will allow
-the driver to release the existing userland buffer back to the
-application for processing.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- .../media/platform/bcm2835/bcm2835-unicam.c   | 160 ++++++++++++------
- 1 file changed, 110 insertions(+), 50 deletions(-)
-
---- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -47,6 +47,7 @@
- #include <linux/clk.h>
- #include <linux/delay.h>
- #include <linux/device.h>
-+#include <linux/dma-mapping.h>
- #include <linux/err.h>
- #include <linux/init.h>
- #include <linux/interrupt.h>
-@@ -112,6 +113,12 @@ MODULE_PARM_DESC(debug, "Debug level 0-3
- /* Default size of the embedded buffer */
- #define UNICAM_EMBEDDED_SIZE  8192
-+/*
-+ * Size of the dummy buffer. Can be any size really, but the DMA
-+ * allocation works in units of page sizes.
-+ */
-+#define DUMMY_BUF_SIZE        (PAGE_SIZE)
-+
- enum pad_types {
-       IMAGE_PAD,
-       METADATA_PAD,
-@@ -390,6 +397,12 @@ struct unicam_node {
-       struct media_pad pad;
-       struct v4l2_ctrl_handler ctrl_handler;
-       unsigned int embedded_lines;
-+      /*
-+       * Dummy buffer intended to be used by unicam
-+       * if we have no other queued buffers to swap to.
-+       */
-+      void *dummy_buf_cpu_addr;
-+      dma_addr_t dummy_buf_dma_addr;
- };
- struct unicam_device {
-@@ -661,27 +674,24 @@ static int unicam_reset_format(struct un
-       return 0;
- }
--static void unicam_wr_dma_addr(struct unicam_device *dev, dma_addr_t dmaaddr,
--                             int pad_id)
-+static void unicam_wr_dma_addr(struct unicam_cfg *cfg, dma_addr_t dmaaddr,
-+                             unsigned int buffer_size, int pad_id)
- {
--      dma_addr_t endaddr;
-+      dma_addr_t endaddr = dmaaddr + buffer_size;
-       /*
--       * dmaaddr should be a 32-bit address with the top two bits set to 0x3
--       * to signify uncached access through the Videocore memory controller.
-+       * dmaaddr and endaddr should be a 32-bit address with the top two bits
-+       * set to 0x3 to signify uncached access through the Videocore memory
-+       * controller.
-        */
--      BUG_ON((dmaaddr >> 30) != 0x3);
-+      BUG_ON((dmaaddr >> 30) != 0x3 && (endaddr >> 30) != 0x3);
-       if (pad_id == IMAGE_PAD) {
--              endaddr = dmaaddr +
--                        dev->node[IMAGE_PAD].v_fmt.fmt.pix.sizeimage;
--              reg_write(&dev->cfg, UNICAM_IBSA0, dmaaddr);
--              reg_write(&dev->cfg, UNICAM_IBEA0, endaddr);
-+              reg_write(cfg, UNICAM_IBSA0, dmaaddr);
-+              reg_write(cfg, UNICAM_IBEA0, endaddr);
-       } else {
--              endaddr = dmaaddr +
--                        dev->node[METADATA_PAD].v_fmt.fmt.meta.buffersize;
--              reg_write(&dev->cfg, UNICAM_DBSA0, dmaaddr);
--              reg_write(&dev->cfg, UNICAM_DBEA0, endaddr);
-+              reg_write(cfg, UNICAM_DBSA0, dmaaddr);
-+              reg_write(cfg, UNICAM_DBEA0, endaddr);
-       }
- }
-@@ -704,6 +714,7 @@ static inline void unicam_schedule_next_
-       struct unicam_device *dev = node->dev;
-       struct unicam_dmaqueue *dma_q = &node->dma_queue;
-       struct unicam_buffer *buf;
-+      unsigned int size;
-       dma_addr_t addr;
-       buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
-@@ -711,7 +722,23 @@ static inline void unicam_schedule_next_
-       list_del(&buf->list);
-       addr = vb2_dma_contig_plane_dma_addr(&buf->vb.vb2_buf, 0);
--      unicam_wr_dma_addr(dev, addr, node->pad_id);
-+      size = (node->pad_id == IMAGE_PAD) ?
-+                      dev->node[IMAGE_PAD].v_fmt.fmt.pix.sizeimage :
-+                      dev->node[METADATA_PAD].v_fmt.fmt.meta.buffersize;
-+
-+      unicam_wr_dma_addr(&dev->cfg, addr, size, node->pad_id);
-+}
-+
-+static inline void unicam_schedule_dummy_buffer(struct unicam_node *node)
-+{
-+      struct unicam_device *dev = node->dev;
-+      dma_addr_t addr = node->dummy_buf_dma_addr;
-+
-+      unicam_dbg(3, dev, "Scheduling dummy buffer for node %d\n",
-+                 node->pad_id);
-+
-+      unicam_wr_dma_addr(&dev->cfg, addr, DUMMY_BUF_SIZE, node->pad_id);
-+      node->next_frm = NULL;
- }
- static inline void unicam_process_buffer_complete(struct unicam_node *node,
-@@ -721,7 +748,6 @@ static inline void unicam_process_buffer
-       node->cur_frm->vb.sequence = sequence;
-       vb2_buffer_done(&node->cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
--      node->cur_frm = node->next_frm;
- }
- static int unicam_num_nodes_streaming(struct unicam_device *dev)
-@@ -788,6 +814,28 @@ static irqreturn_t unicam_isr(int irq, v
-       if (!(sta && (UNICAM_IS | UNICAM_PI0)))
-               return IRQ_HANDLED;
-+      /*
-+       * We must run the frame end handler first. If we have a valid next_frm
-+       * and we get a simultaneout FE + FS interrupt, running the FS handler
-+       * first would null out the next_frm ptr and we would have lost the
-+       * buffer forever.
-+       */
-+      if (ista & UNICAM_FEI || sta & UNICAM_PI0) {
-+              /*
-+               * Ensure we have swapped buffers already as we can't
-+               * stop the peripheral. If no buffer is available, use a
-+               * dummy buffer to dump out frames until we get a new buffer
-+               * to use.
-+               */
-+              for (i = 0; i < num_nodes_streaming; i++) {
-+                      if (unicam->node[i].cur_frm)
-+                              unicam_process_buffer_complete(&unicam->node[i],
-+                                                             sequence);
-+                      unicam->node[i].cur_frm = unicam->node[i].next_frm;
-+              }
-+              unicam->sequence++;
-+      }
-+
-       if (ista & UNICAM_FSI) {
-               /*
-                * Timestamp is to be when the first data byte was captured,
-@@ -798,24 +846,16 @@ static irqreturn_t unicam_isr(int irq, v
-                       if (unicam->node[i].cur_frm)
-                               unicam->node[i].cur_frm->vb.vb2_buf.timestamp =
-                                                               ts;
-+                      /*
-+                       * Set the next frame output to go to a dummy frame
-+                       * if we have not managed to obtain another frame
-+                       * from the queue.
-+                       */
-+                      unicam_schedule_dummy_buffer(&unicam->node[i]);
-               }
-       }
--      if (ista & UNICAM_FEI || sta & UNICAM_PI0) {
--              /*
--               * Ensure we have swapped buffers already as we can't
--               * stop the peripheral. Overwrite the frame we've just
--               * captured instead.
--               */
--              for (i = 0; i < num_nodes_streaming; i++) {
--                      if (unicam->node[i].cur_frm &&
--                          unicam->node[i].cur_frm != unicam->node[i].next_frm)
--                              unicam_process_buffer_complete(&unicam->node[i],
--                                                             sequence);
--              }
--              unicam->sequence++;
--      }
--
--      /* Cannot swap buffer at frame end, there may be a race condition
-+      /*
-+       * Cannot swap buffer at frame end, there may be a race condition
-        * where the HW does not actually swap it if the new frame has
-        * already started.
-        */
-@@ -823,7 +863,7 @@ static irqreturn_t unicam_isr(int irq, v
-               for (i = 0; i < num_nodes_streaming; i++) {
-                       spin_lock(&unicam->node[i].dma_queue_lock);
-                       if (!list_empty(&unicam->node[i].dma_queue.active) &&
--                          unicam->node[i].cur_frm == unicam->node[i].next_frm)
-+                          !unicam->node[i].next_frm)
-                               unicam_schedule_next_buffer(&unicam->node[i]);
-                       spin_unlock(&unicam->node[i].dma_queue_lock);
-               }
-@@ -1352,7 +1392,7 @@ static void unicam_start_rx(struct unica
- {
-       struct unicam_cfg *cfg = &dev->cfg;
-       int line_int_freq = dev->node[IMAGE_PAD].v_fmt.fmt.pix.height >> 2;
--      unsigned int i;
-+      unsigned int size, i;
-       u32 val;
-       if (line_int_freq < 128)
-@@ -1413,7 +1453,7 @@ static void unicam_start_rx(struct unica
-       reg_write_field(cfg, UNICAM_ANA, 0, UNICAM_DDL);
-       /* Always start in trigger frame capture mode (UNICAM_FCM set) */
--      val = UNICAM_FSIE | UNICAM_FEIE | UNICAM_FCM;
-+      val = UNICAM_FSIE | UNICAM_FEIE | UNICAM_FCM | UNICAM_IBOB;
-       set_field(&val,  line_int_freq, UNICAM_LCIE_MASK);
-       reg_write(cfg, UNICAM_ICTL, val);
-       reg_write(cfg, UNICAM_STA, UNICAM_STA_MASK_ALL);
-@@ -1501,7 +1541,8 @@ static void unicam_start_rx(struct unica
-       reg_write(&dev->cfg, UNICAM_IBLS,
-                 dev->node[IMAGE_PAD].v_fmt.fmt.pix.bytesperline);
--      unicam_wr_dma_addr(dev, addr[IMAGE_PAD], IMAGE_PAD);
-+      size = dev->node[IMAGE_PAD].v_fmt.fmt.pix.sizeimage;
-+      unicam_wr_dma_addr(&dev->cfg, addr[IMAGE_PAD], size, IMAGE_PAD);
-       unicam_set_packing_config(dev);
-       unicam_cfg_image_id(dev);
-@@ -1511,8 +1552,10 @@ static void unicam_start_rx(struct unica
-       reg_write(cfg, UNICAM_MISC, val);
-       if (dev->node[METADATA_PAD].streaming && dev->sensor_embedded_data) {
-+              size = dev->node[METADATA_PAD].v_fmt.fmt.meta.buffersize;
-               unicam_enable_ed(dev);
--              unicam_wr_dma_addr(dev, addr[METADATA_PAD], METADATA_PAD);
-+              unicam_wr_dma_addr(&dev->cfg, addr[METADATA_PAD], size,
-+                                 METADATA_PAD);
-       }
-       /* Enable peripheral */
-@@ -1686,13 +1729,14 @@ static void unicam_stop_streaming(struct
-               unicam_runtime_put(dev);
-       } else if (node->pad_id == METADATA_PAD) {
--              /* Null out the embedded data buffer address so the HW does
--               * not use it.  This is only really needed if the embedded data
--               * pad is disabled before the image pad.  The 0x3 in the top two
--               * bits signifies uncached accesses through the Videocore
--               * memory controller.
-+              /* Allow the hardware to spin in the dummy buffer.
-+               * This is only really needed if the embedded data pad is
-+               * disabled before the image pad.  The 0x3 in the top two bits
-+               * signifies uncached accesses through the Videocore memory
-+               * controller.
-                */
--              unicam_wr_dma_addr(dev, 0xc0000000, METADATA_PAD);
-+              unicam_wr_dma_addr(&dev->cfg, node->dummy_buf_dma_addr,
-+                                 DUMMY_BUF_SIZE, METADATA_PAD);
-       }
-       /* Clear all queued buffers for the node */
-@@ -2321,6 +2365,15 @@ static int register_node(struct unicam_d
-       video_set_drvdata(vdev, node);
-       vdev->entity.flags |= MEDIA_ENT_FL_DEFAULT;
-+      node->dummy_buf_cpu_addr = dma_alloc_coherent(&unicam->pdev->dev,
-+                                                    DUMMY_BUF_SIZE,
-+                                                    &node->dummy_buf_dma_addr,
-+                                                    GFP_ATOMIC);
-+      if (!node->dummy_buf_cpu_addr) {
-+              unicam_err(unicam, "Unable to allocate dummy buffer.\n");
-+              return -ENOMEM;
-+      }
-+
-       if (node->pad_id == METADATA_PAD ||
-           !v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_STD);
-@@ -2376,13 +2429,20 @@ static int register_node(struct unicam_d
- static void unregister_nodes(struct unicam_device *unicam)
- {
--      if (unicam->node[IMAGE_PAD].registered) {
--              video_unregister_device(&unicam->node[IMAGE_PAD].video_dev);
--              unicam->node[IMAGE_PAD].registered = 0;
--      }
--      if (unicam->node[METADATA_PAD].registered) {
--              video_unregister_device(&unicam->node[METADATA_PAD].video_dev);
--              unicam->node[METADATA_PAD].registered = 0;
-+      struct unicam_node *node;
-+      int i;
-+
-+      for (i = 0; i < MAX_NODES; i++) {
-+              node = &unicam->node[i];
-+              if (node->dummy_buf_cpu_addr) {
-+                      dma_free_coherent(&unicam->pdev->dev, DUMMY_BUF_SIZE,
-+                                        node->dummy_buf_cpu_addr,
-+                                        node->dummy_buf_dma_addr);
-+              }
-+              if (node->registered) {
-+                      video_unregister_device(&node->video_dev);
-+                      node->registered = 0;
-+              }
-       }
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0653-Revert-firmware-raspberrypi-register-clk-device.patch b/target/linux/bcm27xx/patches-5.4/950-0653-Revert-firmware-raspberrypi-register-clk-device.patch
new file mode 100644 (file)
index 0000000..01533d1
--- /dev/null
@@ -0,0 +1,58 @@
+From b2691aee386b18425a7b96cca05e3bcceb8678ae Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 17 Apr 2020 16:20:55 +0100
+Subject: [PATCH] Revert "firmware: raspberrypi: register clk device"
+
+This reverts commit 91f2cf4a6b2131016b1ae9c9500245f0572112c7.
+
+Revert this because the clock driver won't probe without a DT node, and
+creating it as a platform device won't give it one. Doing so avoids the
+following error message:
+
+    raspberrypi-clk raspberrypi-clk: Missing firmware node
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/firmware/raspberrypi.c | 10 ----------
+ 1 file changed, 10 deletions(-)
+
+--- a/drivers/firmware/raspberrypi.c
++++ b/drivers/firmware/raspberrypi.c
+@@ -21,7 +21,6 @@
+ #define MBOX_CHAN_PROPERTY            8
+ static struct platform_device *rpi_hwmon;
+-static struct platform_device *rpi_clk;
+ struct rpi_firmware {
+       struct mbox_client cl;
+@@ -299,12 +298,6 @@ rpi_register_hwmon_driver(struct device
+       }
+ }
+-static void rpi_register_clk_driver(struct device *dev)
+-{
+-      rpi_clk = platform_device_register_data(dev, "raspberrypi-clk",
+-                                              -1, NULL, 0);
+-}
+-
+ static int rpi_firmware_probe(struct platform_device *pdev)
+ {
+       struct device *dev = &pdev->dev;
+@@ -334,7 +327,6 @@ static int rpi_firmware_probe(struct pla
+       rpi_firmware_print_firmware_revision(fw);
+       rpi_firmware_print_firmware_hash(fw);
+       rpi_register_hwmon_driver(dev, fw);
+-      rpi_register_clk_driver(dev);
+       return 0;
+ }
+@@ -355,8 +347,6 @@ static int rpi_firmware_remove(struct pl
+       platform_device_unregister(rpi_hwmon);
+       rpi_hwmon = NULL;
+-      platform_device_unregister(rpi_clk);
+-      rpi_clk = NULL;
+       mbox_free_channel(fw->chan);
+       g_pdev = NULL;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0653-spi-Force-CS_HIGH-if-GPIO-descriptors-are-used.patch b/target/linux/bcm27xx/patches-5.4/950-0653-spi-Force-CS_HIGH-if-GPIO-descriptors-are-used.patch
deleted file mode 100644 (file)
index 42d22c3..0000000
+++ /dev/null
@@ -1,48 +0,0 @@
-From 5f2eface651ba5da9caaa84ccca14b9202ba6202 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Fri, 17 Apr 2020 10:46:19 +0100
-Subject: [PATCH] spi: Force CS_HIGH if GPIO descriptors are used
-
-Commit f3186dd87669 ("spi: Optionally use GPIO descriptors for CS GPIOs")
-amended of_spi_parse_dt() to always set SPI_CS_HIGH for SPI slaves whose
-Chip Select is defined by a "cs-gpios" devicetree property.
-
-This change breaks drivers whose probe functions set the mode field of
-the spi_device because in doing so they clear the SPI_CS_HIGH flag.
-
-Fix by setting SPI_CS_HIGH in spi_setup (under the same conditions as
-in of_spi_parse_dt()).
-
-See also: 83b2a8fe43bd ("spi: spidev: Fix CS polarity if GPIO descriptors are used")
-
-Fixes: f3186dd87669 ("spi: Optionally use GPIO descriptors for CS GPIOs")
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- drivers/spi/spi.c | 9 +++++++++
- 1 file changed, 9 insertions(+)
-
---- a/drivers/spi/spi.c
-+++ b/drivers/spi/spi.c
-@@ -3115,6 +3115,7 @@ static int __spi_validate_bits_per_word(
-  */
- int spi_setup(struct spi_device *spi)
- {
-+      struct spi_controller *ctlr = spi->controller;
-       unsigned        bad_bits, ugly_bits;
-       int             status;
-@@ -3132,6 +3133,14 @@ int spi_setup(struct spi_device *spi)
-               (SPI_TX_DUAL | SPI_TX_QUAD | SPI_TX_OCTAL |
-                SPI_RX_DUAL | SPI_RX_QUAD | SPI_RX_OCTAL)))
-               return -EINVAL;
-+
-+      if (ctlr->use_gpio_descriptors && ctlr->cs_gpiods &&
-+          ctlr->cs_gpiods[spi->chip_select] && !(spi->mode & SPI_CS_HIGH)) {
-+              dev_warn(&spi->dev,
-+                       "setup: forcing CS_HIGH (use_gpio_descriptors)\n");
-+              spi->mode |= SPI_CS_HIGH;
-+      }
-+
-       /* help drivers fail *cleanly* when they need options
-        * that aren't supported with their current controller
-        * SPI_CS_WORD has a fallback software implementation,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0654-media-i2c-imx219-Fix-power-sequence.patch b/target/linux/bcm27xx/patches-5.4/950-0654-media-i2c-imx219-Fix-power-sequence.patch
deleted file mode 100644 (file)
index b85d917..0000000
+++ /dev/null
@@ -1,55 +0,0 @@
-From 0fec1c81707f5335d0b04b5e97d2ddd0b902377b Mon Sep 17 00:00:00 2001
-From: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
-Date: Tue, 10 Mar 2020 14:17:07 +0100
-Subject: [PATCH] media: i2c: imx219: Fix power sequence
-
-Commit ca45448a56659c6df6e0436188e97f6cc65dea8a upstream.
-
-When supporting Rpi Camera v2 Module on the RZ/G2E, found the driver had
-some issues with rcar mipi-csi driver. The sensor never entered into LP-11
-state.
-
-The powerup sequence in the datasheet[1] shows the sensor entering into
-LP-11 in streaming mode, so to fix this issue transitions are performed
-from "streaming -> standby" in the probe() after power up.
-
-With this commit the sensor is able to enter LP-11 mode during power up,
-as expected by some CSI-2 controllers.
-
-[1] https://publiclab.org/system/images/photos/000/023/294/original/
-RASPBERRY_PI_CAMERA_V2_DATASHEET_IMX219PQH5_7.0.0_Datasheet_XXX.PDF
-
-Signed-off-by: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
-Acked-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
----
- drivers/media/i2c/imx219.c | 17 +++++++++++++++++
- 1 file changed, 17 insertions(+)
-
---- a/drivers/media/i2c/imx219.c
-+++ b/drivers/media/i2c/imx219.c
-@@ -1224,6 +1224,23 @@ static int imx219_probe(struct i2c_clien
-       /* Set default mode to max resolution */
-       imx219->mode = &supported_modes[0];
-+      /* sensor doesn't enter LP-11 state upon power up until and unless
-+       * streaming is started, so upon power up switch the modes to:
-+       * streaming -> standby
-+       */
-+      ret = imx219_write_reg(imx219, IMX219_REG_MODE_SELECT,
-+                             IMX219_REG_VALUE_08BIT, IMX219_MODE_STREAMING);
-+      if (ret < 0)
-+              goto error_power_off;
-+      usleep_range(100, 110);
-+
-+      /* put sensor back to standby mode */
-+      ret = imx219_write_reg(imx219, IMX219_REG_MODE_SELECT,
-+                             IMX219_REG_VALUE_08BIT, IMX219_MODE_STANDBY);
-+      if (ret < 0)
-+              goto error_power_off;
-+      usleep_range(100, 110);
-+
-       ret = imx219_init_controls(imx219);
-       if (ret)
-               goto error_power_off;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0654-media-imx219-Advertise-embedded-data-node-on-media-p.patch b/target/linux/bcm27xx/patches-5.4/950-0654-media-imx219-Advertise-embedded-data-node-on-media-p.patch
new file mode 100644 (file)
index 0000000..c4c25d1
--- /dev/null
@@ -0,0 +1,335 @@
+From 372b138a6bc43b0fdff4e46ae4c799fd1b1f2785 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Thu, 12 Mar 2020 14:09:38 +0000
+Subject: [PATCH] media: imx219: Advertise embedded data node on media
+ pad 1
+
+This commit updates the imx219 driver to adverise support for embedded
+data streams.  This can then be used by the bcm2835-unicam driver, which
+has recently been updated to expose the embedded data stream to
+userland.
+
+The imx219 sensor subdevice overloads the media pad to differentiate
+between image stream (pad 0) and embedded data stream (pad 1) when
+performing the v4l2_subdev_pad_ops functions.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/i2c/imx219.c | 226 +++++++++++++++++++++++++------------
+ 1 file changed, 155 insertions(+), 71 deletions(-)
+
+--- a/drivers/media/i2c/imx219.c
++++ b/drivers/media/i2c/imx219.c
+@@ -112,6 +112,16 @@
+ #define IMX219_TESTP_BLUE_DEFAULT     0
+ #define IMX219_TESTP_GREENB_DEFAULT   0
++/* Embedded metadata stream structure */
++#define IMX219_EMBEDDED_LINE_WIDTH 16384
++#define IMX219_NUM_EMBEDDED_LINES 1
++
++enum pad_types {
++      IMAGE_PAD,
++      METADATA_PAD,
++      NUM_PADS
++};
++
+ struct imx219_reg {
+       u16 address;
+       u8 val;
+@@ -503,7 +513,7 @@ static const struct imx219_mode supporte
+ struct imx219 {
+       struct v4l2_subdev sd;
+-      struct media_pad pad;
++      struct media_pad pad[NUM_PADS];
+       struct v4l2_mbus_framefmt fmt;
+@@ -652,17 +662,25 @@ static void imx219_set_default_format(st
+ static int imx219_open(struct v4l2_subdev *sd, struct v4l2_subdev_fh *fh)
+ {
+       struct imx219 *imx219 = to_imx219(sd);
+-      struct v4l2_mbus_framefmt *try_fmt =
+-              v4l2_subdev_get_try_format(sd, fh->pad, 0);
++      struct v4l2_mbus_framefmt *try_fmt_img =
++              v4l2_subdev_get_try_format(sd, fh->pad, IMAGE_PAD);
++      struct v4l2_mbus_framefmt *try_fmt_meta =
++              v4l2_subdev_get_try_format(sd, fh->pad, METADATA_PAD);
+       mutex_lock(&imx219->mutex);
+-      /* Initialize try_fmt */
+-      try_fmt->width = supported_modes[0].width;
+-      try_fmt->height = supported_modes[0].height;
+-      try_fmt->code = imx219_get_format_code(imx219,
+-                                             MEDIA_BUS_FMT_SRGGB10_1X10);
+-      try_fmt->field = V4L2_FIELD_NONE;
++      /* Initialize try_fmt for the image pad */
++      try_fmt_img->width = supported_modes[0].width;
++      try_fmt_img->height = supported_modes[0].height;
++      try_fmt_img->code = imx219_get_format_code(imx219,
++                                                 MEDIA_BUS_FMT_SRGGB10_1X10);
++      try_fmt_img->field = V4L2_FIELD_NONE;
++
++      /* Initialize try_fmt for the embedded metadata pad */
++      try_fmt_meta->width = IMX219_EMBEDDED_LINE_WIDTH;
++      try_fmt_meta->height = IMX219_NUM_EMBEDDED_LINES;
++      try_fmt_meta->code = MEDIA_BUS_FMT_SENSOR_DATA;
++      try_fmt_meta->field = V4L2_FIELD_NONE;
+       mutex_unlock(&imx219->mutex);
+@@ -764,10 +782,21 @@ static int imx219_enum_mbus_code(struct
+ {
+       struct imx219 *imx219 = to_imx219(sd);
+-      if (code->index >= (ARRAY_SIZE(codes) / 4))
++      if (code->pad >= NUM_PADS)
+               return -EINVAL;
+-      code->code = imx219_get_format_code(imx219, codes[code->index * 4]);
++      if (code->pad == IMAGE_PAD) {
++              if (code->index >= (ARRAY_SIZE(codes) / 4))
++                      return -EINVAL;
++
++              code->code = imx219_get_format_code(imx219,
++                                                  codes[code->index * 4]);
++      } else {
++              if (code->index > 0)
++                      return -EINVAL;
++
++              code->code = MEDIA_BUS_FMT_SENSOR_DATA;
++      }
+       return 0;
+ }
+@@ -778,16 +807,29 @@ static int imx219_enum_frame_size(struct
+ {
+       struct imx219 *imx219 = to_imx219(sd);
+-      if (fse->index >= ARRAY_SIZE(supported_modes))
++      if (fse->pad >= NUM_PADS)
+               return -EINVAL;
+-      if (fse->code != imx219_get_format_code(imx219, fse->code))
+-              return -EINVAL;
++      if (fse->pad == IMAGE_PAD) {
++              if (fse->index >= ARRAY_SIZE(supported_modes))
++                      return -EINVAL;
++
++              if (fse->code != imx219_get_format_code(imx219, fse->code))
++                      return -EINVAL;
++
++              fse->min_width = supported_modes[fse->index].width;
++              fse->max_width = fse->min_width;
++              fse->min_height = supported_modes[fse->index].height;
++              fse->max_height = fse->min_height;
++      } else {
++              if (fse->code != MEDIA_BUS_FMT_SENSOR_DATA || fse->index > 0)
++                      return -EINVAL;
+-      fse->min_width = supported_modes[fse->index].width;
+-      fse->max_width = fse->min_width;
+-      fse->min_height = supported_modes[fse->index].height;
+-      fse->max_height = fse->min_height;
++              fse->min_width = IMX219_EMBEDDED_LINE_WIDTH;
++              fse->max_width = fse->min_width;
++              fse->min_height = IMX219_NUM_EMBEDDED_LINES;
++              fse->max_height = fse->min_height;
++      }
+       return 0;
+ }
+@@ -802,9 +844,9 @@ static void imx219_reset_colorspace(stru
+       fmt->xfer_func = V4L2_MAP_XFER_FUNC_DEFAULT(fmt->colorspace);
+ }
+-static void imx219_update_pad_format(struct imx219 *imx219,
+-                                   const struct imx219_mode *mode,
+-                                   struct v4l2_subdev_format *fmt)
++static void imx219_update_image_pad_format(struct imx219 *imx219,
++                                         const struct imx219_mode *mode,
++                                         struct v4l2_subdev_format *fmt)
+ {
+       fmt->format.width = mode->width;
+       fmt->format.height = mode->height;
+@@ -812,20 +854,38 @@ static void imx219_update_pad_format(str
+       imx219_reset_colorspace(&fmt->format);
+ }
++static void imx219_update_metadata_pad_format(struct v4l2_subdev_format *fmt)
++{
++      fmt->format.width = IMX219_EMBEDDED_LINE_WIDTH;
++      fmt->format.height = IMX219_NUM_EMBEDDED_LINES;
++      fmt->format.code = MEDIA_BUS_FMT_SENSOR_DATA;
++      fmt->format.field = V4L2_FIELD_NONE;
++}
++
+ static int __imx219_get_pad_format(struct imx219 *imx219,
+                                  struct v4l2_subdev_pad_config *cfg,
+                                  struct v4l2_subdev_format *fmt)
+ {
++      if (fmt->pad >= NUM_PADS)
++              return -EINVAL;
++
+       if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
+               struct v4l2_mbus_framefmt *try_fmt =
+                       v4l2_subdev_get_try_format(&imx219->sd, cfg, fmt->pad);
+               /* update the code which could change due to vflip or hflip: */
+-              try_fmt->code = imx219_get_format_code(imx219, try_fmt->code);
++              try_fmt->code = fmt->pad == IMAGE_PAD ?
++                              imx219_get_format_code(imx219, try_fmt->code) :
++                              MEDIA_BUS_FMT_SENSOR_DATA;
+               fmt->format = *try_fmt;
+       } else {
+-              imx219_update_pad_format(imx219, imx219->mode, fmt);
+-              fmt->format.code = imx219_get_format_code(imx219,
+-                                                        imx219->fmt.code);
++              if (fmt->pad == IMAGE_PAD) {
++                      imx219_update_image_pad_format(imx219, imx219->mode,
++                                                     fmt);
++                      fmt->format.code = imx219_get_format_code(imx219,
++                                                            imx219->fmt.code);
++              } else {
++                      imx219_update_metadata_pad_format(fmt);
++              }
+       }
+       return 0;
+@@ -855,51 +915,74 @@ static int imx219_set_pad_format(struct
+       int exposure_max, exposure_def, hblank;
+       unsigned int i;
+-      mutex_lock(&imx219->mutex);
+-
+-      for (i = 0; i < ARRAY_SIZE(codes); i++)
+-              if (codes[i] == fmt->format.code)
+-                      break;
+-      if (i >= ARRAY_SIZE(codes))
+-              i = 0;
++      if (fmt->pad >= NUM_PADS)
++              return -EINVAL;
+-      /* Bayer order varies with flips */
+-      fmt->format.code = imx219_get_format_code(imx219, codes[i]);
++      mutex_lock(&imx219->mutex);
+-      mode = v4l2_find_nearest_size(supported_modes,
+-                                    ARRAY_SIZE(supported_modes),
+-                                    width, height,
+-                                    fmt->format.width, fmt->format.height);
+-      imx219_update_pad_format(imx219, mode, fmt);
+-      if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
+-              framefmt = v4l2_subdev_get_try_format(sd, cfg, fmt->pad);
+-              *framefmt = fmt->format;
+-      } else if (imx219->mode != mode ||
+-                 imx219->fmt.code != fmt->format.code) {
+-              imx219->fmt = fmt->format;
+-              imx219->mode = mode;
+-              /* Update limits and set FPS to default */
+-              __v4l2_ctrl_modify_range(imx219->vblank, IMX219_VBLANK_MIN,
+-                                       IMX219_VTS_MAX - mode->height, 1,
+-                                       mode->vts_def - mode->height);
+-              __v4l2_ctrl_s_ctrl(imx219->vblank,
+-                                 mode->vts_def - mode->height);
+-              /* Update max exposure while meeting expected vblanking */
+-              exposure_max = mode->vts_def - 4;
+-              exposure_def = (exposure_max < IMX219_EXPOSURE_DEFAULT) ?
+-                      exposure_max : IMX219_EXPOSURE_DEFAULT;
+-              __v4l2_ctrl_modify_range(imx219->exposure,
+-                                       imx219->exposure->minimum,
+-                                       exposure_max, imx219->exposure->step,
+-                                       exposure_def);
+-              /*
+-               * Currently PPL is fixed to IMX219_PPL_DEFAULT, so hblank
+-               * depends on mode->width only, and is not changeble in any
+-               * way other than changing the mode.
+-               */
+-              hblank = IMX219_PPL_DEFAULT - mode->width;
+-              __v4l2_ctrl_modify_range(imx219->hblank, hblank, hblank, 1,
+-                                       hblank);
++      if (fmt->pad == IMAGE_PAD) {
++              for (i = 0; i < ARRAY_SIZE(codes); i++)
++                      if (codes[i] == fmt->format.code)
++                              break;
++              if (i >= ARRAY_SIZE(codes))
++                      i = 0;
++
++              /* Bayer order varies with flips */
++              fmt->format.code = imx219_get_format_code(imx219, codes[i]);
++
++              mode = v4l2_find_nearest_size(supported_modes,
++                                            ARRAY_SIZE(supported_modes),
++                                            width, height,
++                                            fmt->format.width,
++                                            fmt->format.height);
++              imx219_update_image_pad_format(imx219, mode, fmt);
++              if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
++                      framefmt = v4l2_subdev_get_try_format(sd, cfg,
++                                                            fmt->pad);
++                      *framefmt = fmt->format;
++              } else if (imx219->mode != mode ||
++                      imx219->fmt.code != fmt->format.code) {
++                      imx219->fmt = fmt->format;
++                      imx219->mode = mode;
++                      /* Update limits and set FPS to default */
++                      __v4l2_ctrl_modify_range(imx219->vblank,
++                                               IMX219_VBLANK_MIN,
++                                               IMX219_VTS_MAX - mode->height,
++                                               1,
++                                               mode->vts_def - mode->height);
++                      __v4l2_ctrl_s_ctrl(imx219->vblank,
++                                         mode->vts_def - mode->height);
++                      /*
++                       * Update max exposure while meeting
++                       * expected vblanking
++                       */
++                      exposure_max = mode->vts_def - 4;
++                      exposure_def =
++                              (exposure_max < IMX219_EXPOSURE_DEFAULT) ?
++                                      exposure_max : IMX219_EXPOSURE_DEFAULT;
++                      __v4l2_ctrl_modify_range(imx219->exposure,
++                                               imx219->exposure->minimum,
++                                               exposure_max,
++                                               imx219->exposure->step,
++                                               exposure_def);
++                      /*
++                       * Currently PPL is fixed to IMX219_PPL_DEFAULT, so
++                       * hblank depends on mode->width only, and is not
++                       * changeble in any way other than changing the mode.
++                       */
++                      hblank = IMX219_PPL_DEFAULT - mode->width;
++                      __v4l2_ctrl_modify_range(imx219->hblank, hblank, hblank,
++                                               1, hblank);
++              }
++      } else {
++              if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
++                      framefmt = v4l2_subdev_get_try_format(sd, cfg,
++                                                            fmt->pad);
++                      *framefmt = fmt->format;
++              } else {
++                      /* Only one embedded data mode is supported */
++                      imx219_update_metadata_pad_format(fmt);
++              }
+       }
+       mutex_unlock(&imx219->mutex);
+@@ -1399,13 +1482,14 @@ static int imx219_probe(struct i2c_clien
+       imx219->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
+       imx219->sd.entity.function = MEDIA_ENT_F_CAM_SENSOR;
+-      /* Initialize source pad */
+-      imx219->pad.flags = MEDIA_PAD_FL_SOURCE;
++      /* Initialize source pads */
++      imx219->pad[IMAGE_PAD].flags = MEDIA_PAD_FL_SOURCE;
++      imx219->pad[METADATA_PAD].flags = MEDIA_PAD_FL_SOURCE;
+       /* Initialize default format */
+       imx219_set_default_format(imx219);
+-      ret = media_entity_pads_init(&imx219->sd.entity, 1, &imx219->pad);
++      ret = media_entity_pads_init(&imx219->sd.entity, NUM_PADS, imx219->pad);
+       if (ret) {
+               dev_err(dev, "failed to init entity pads: %d\n", ret);
+               goto error_handler_free;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0655-dts-bcm2711-EMMC2-can-address-the-whole-first-GB.patch b/target/linux/bcm27xx/patches-5.4/950-0655-dts-bcm2711-EMMC2-can-address-the-whole-first-GB.patch
new file mode 100644 (file)
index 0000000..4c5e506
--- /dev/null
@@ -0,0 +1,31 @@
+From 4f2da50bb75ec7b74a23e119062d945626398e30 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 20 Apr 2020 11:25:18 +0100
+Subject: [PATCH] dts: bcm2711: EMMC2 can address the whole first GB
+
+Although 0xfc000000 looks like an inaccessible RAM address (due to the
+peripheral mappings), with RAM mapped at 0xc0000000 (as it is on the
+30/32-bit VPU bus) this is actually 0x3c000000 in the ARM memory space,
+which is fine.
+
+This difference is potentially the cause of some warnings seen in
+sdhci_send_command.
+
+Fixes: "dts: bcm2711: Move emmc2 to its own 'bus'"
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -223,7 +223,7 @@
+               #size-cells = <1>;
+               ranges = <0x0 0x7e000000  0x0 0xfe000000  0x01800000>;
+-              dma-ranges = <0x0 0xc0000000  0x0 0x00000000  0x3c000000>;
++              dma-ranges = <0x0 0xc0000000  0x0 0x00000000  0x40000000>;
+               emmc2: emmc2@7e340000 {
+                       compatible = "brcm,bcm2711-emmc2";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0655-media-i2c-imx219-Add-support-for-RAW8-bit-bayer-form.patch b/target/linux/bcm27xx/patches-5.4/950-0655-media-i2c-imx219-Add-support-for-RAW8-bit-bayer-form.patch
deleted file mode 100644 (file)
index 552516b..0000000
+++ /dev/null
@@ -1,319 +0,0 @@
-From 1f00b84d993e1f8de17ef936e00f4264266cb5d1 Mon Sep 17 00:00:00 2001
-From: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
-Date: Tue, 10 Mar 2020 14:17:08 +0100
-Subject: [PATCH] media: i2c: imx219: Add support for RAW8 bit bayer
- format
-
-Commit 22da1d56e982151e0bdfafe9de6fe94098a51356 upstream.
-
-IMX219 sensor is capable for RAW8/RAW10 modes. This commit adds support
-for RAW8 bayer format.
-
-Signed-off-by: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
----
- drivers/media/i2c/imx219.c | 148 +++++++++++++++++++++++++++++--------
- 1 file changed, 116 insertions(+), 32 deletions(-)
-
---- a/drivers/media/i2c/imx219.c
-+++ b/drivers/media/i2c/imx219.c
-@@ -168,15 +168,12 @@ static const struct imx219_reg mode_3280
-       {0x0171, 0x01},
-       {0x0174, 0x00},
-       {0x0175, 0x00},
--      {0x018c, 0x0a},
--      {0x018d, 0x0a},
-       {0x0301, 0x05},
-       {0x0303, 0x01},
-       {0x0304, 0x03},
-       {0x0305, 0x03},
-       {0x0306, 0x00},
-       {0x0307, 0x39},
--      {0x0309, 0x0a},
-       {0x030b, 0x01},
-       {0x030c, 0x00},
-       {0x030d, 0x72},
-@@ -230,15 +227,12 @@ static const struct imx219_reg mode_1920
-       {0x0171, 0x01},
-       {0x0174, 0x00},
-       {0x0175, 0x00},
--      {0x018c, 0x0a},
--      {0x018d, 0x0a},
-       {0x0301, 0x05},
-       {0x0303, 0x01},
-       {0x0304, 0x03},
-       {0x0305, 0x03},
-       {0x0306, 0x00},
-       {0x0307, 0x39},
--      {0x0309, 0x0a},
-       {0x030b, 0x01},
-       {0x030c, 0x00},
-       {0x030d, 0x72},
-@@ -290,15 +284,12 @@ static const struct imx219_reg mode_1640
-       {0x0171, 0x01},
-       {0x0174, 0x01},
-       {0x0175, 0x01},
--      {0x018c, 0x0a},
--      {0x018d, 0x0a},
-       {0x0301, 0x05},
-       {0x0303, 0x01},
-       {0x0304, 0x03},
-       {0x0305, 0x03},
-       {0x0306, 0x00},
-       {0x0307, 0x39},
--      {0x0309, 0x0a},
-       {0x030b, 0x01},
-       {0x030c, 0x00},
-       {0x030d, 0x72},
-@@ -322,6 +313,18 @@ static const struct imx219_reg mode_1640
-       {0x0163, 0x78},
- };
-+static const struct imx219_reg raw8_framefmt_regs[] = {
-+      {0x018c, 0x08},
-+      {0x018d, 0x08},
-+      {0x0309, 0x08},
-+};
-+
-+static const struct imx219_reg raw10_framefmt_regs[] = {
-+      {0x018c, 0x0a},
-+      {0x018d, 0x0a},
-+      {0x0309, 0x0a},
-+};
-+
- static const char * const imx219_test_pattern_menu[] = {
-       "Disabled",
-       "Color Bars",
-@@ -349,6 +352,27 @@ static const char * const imx219_supply_
- #define IMX219_NUM_SUPPLIES ARRAY_SIZE(imx219_supply_name)
- /*
-+ * The supported formats.
-+ * This table MUST contain 4 entries per format, to cover the various flip
-+ * combinations in the order
-+ * - no flip
-+ * - h flip
-+ * - v flip
-+ * - h&v flips
-+ */
-+static const u32 codes[] = {
-+      MEDIA_BUS_FMT_SRGGB10_1X10,
-+      MEDIA_BUS_FMT_SGRBG10_1X10,
-+      MEDIA_BUS_FMT_SGBRG10_1X10,
-+      MEDIA_BUS_FMT_SBGGR10_1X10,
-+
-+      MEDIA_BUS_FMT_SRGGB8_1X8,
-+      MEDIA_BUS_FMT_SGRBG8_1X8,
-+      MEDIA_BUS_FMT_SGBRG8_1X8,
-+      MEDIA_BUS_FMT_SBGGR8_1X8,
-+};
-+
-+/*
-  * Initialisation delay between XCLR low->high and the moment when the sensor
-  * can start capture (i.e. can leave software stanby) must be not less than:
-  *   t4 + max(t5, t6 + <time to initialize the sensor register over I2C>)
-@@ -413,6 +437,8 @@ struct imx219 {
-       struct v4l2_subdev sd;
-       struct media_pad pad;
-+      struct v4l2_mbus_framefmt fmt;
-+
-       struct clk *xclk; /* system clock to IMX219 */
-       u32 xclk_freq;
-@@ -519,19 +545,40 @@ static int imx219_write_regs(struct imx2
- }
- /* Get bayer order based on flip setting. */
--static u32 imx219_get_format_code(struct imx219 *imx219)
-+static u32 imx219_get_format_code(struct imx219 *imx219, u32 code)
- {
--      /*
--       * Only one bayer order is supported.
--       * It depends on the flip settings.
--       */
--      static const u32 codes[2][2] = {
--              { MEDIA_BUS_FMT_SRGGB10_1X10, MEDIA_BUS_FMT_SGRBG10_1X10, },
--              { MEDIA_BUS_FMT_SGBRG10_1X10, MEDIA_BUS_FMT_SBGGR10_1X10, },
--      };
-+      unsigned int i;
-       lockdep_assert_held(&imx219->mutex);
--      return codes[imx219->vflip->val][imx219->hflip->val];
-+
-+      for (i = 0; i < ARRAY_SIZE(codes); i++)
-+              if (codes[i] == code)
-+                      break;
-+
-+      if (i >= ARRAY_SIZE(codes))
-+              i = 0;
-+
-+      i = (i & ~3) | (imx219->vflip->val ? 2 : 0) |
-+          (imx219->hflip->val ? 1 : 0);
-+
-+      return codes[i];
-+}
-+
-+static void imx219_set_default_format(struct imx219 *imx219)
-+{
-+      struct v4l2_mbus_framefmt *fmt;
-+
-+      fmt = &imx219->fmt;
-+      fmt->code = MEDIA_BUS_FMT_SRGGB10_1X10;
-+      fmt->colorspace = V4L2_COLORSPACE_SRGB;
-+      fmt->ycbcr_enc = V4L2_MAP_YCBCR_ENC_DEFAULT(fmt->colorspace);
-+      fmt->quantization = V4L2_MAP_QUANTIZATION_DEFAULT(true,
-+                                                        fmt->colorspace,
-+                                                        fmt->ycbcr_enc);
-+      fmt->xfer_func = V4L2_MAP_XFER_FUNC_DEFAULT(fmt->colorspace);
-+      fmt->width = supported_modes[0].width;
-+      fmt->height = supported_modes[0].height;
-+      fmt->field = V4L2_FIELD_NONE;
- }
- static int imx219_open(struct v4l2_subdev *sd, struct v4l2_subdev_fh *fh)
-@@ -545,7 +592,8 @@ static int imx219_open(struct v4l2_subde
-       /* Initialize try_fmt */
-       try_fmt->width = supported_modes[0].width;
-       try_fmt->height = supported_modes[0].height;
--      try_fmt->code = imx219_get_format_code(imx219);
-+      try_fmt->code = imx219_get_format_code(imx219,
-+                                             MEDIA_BUS_FMT_SRGGB10_1X10);
-       try_fmt->field = V4L2_FIELD_NONE;
-       mutex_unlock(&imx219->mutex);
-@@ -648,14 +696,10 @@ static int imx219_enum_mbus_code(struct
- {
-       struct imx219 *imx219 = to_imx219(sd);
--      /*
--       * Only one bayer order is supported (though it depends on the flip
--       * settings)
--       */
--      if (code->index > 0)
-+      if (code->index >= (ARRAY_SIZE(codes) / 4))
-               return -EINVAL;
--      code->code = imx219_get_format_code(imx219);
-+      code->code = imx219_get_format_code(imx219, codes[code->index * 4]);
-       return 0;
- }
-@@ -669,7 +713,7 @@ static int imx219_enum_frame_size(struct
-       if (fse->index >= ARRAY_SIZE(supported_modes))
-               return -EINVAL;
--      if (fse->code != imx219_get_format_code(imx219))
-+      if (fse->code != imx219_get_format_code(imx219, imx219->fmt.code))
-               return -EINVAL;
-       fse->min_width = supported_modes[fse->index].width;
-@@ -696,9 +740,7 @@ static void imx219_update_pad_format(str
- {
-       fmt->format.width = mode->width;
-       fmt->format.height = mode->height;
--      fmt->format.code = imx219_get_format_code(imx219);
-       fmt->format.field = V4L2_FIELD_NONE;
--
-       imx219_reset_colorspace(&fmt->format);
- }
-@@ -710,10 +752,12 @@ static int __imx219_get_pad_format(struc
-               struct v4l2_mbus_framefmt *try_fmt =
-                       v4l2_subdev_get_try_format(&imx219->sd, cfg, fmt->pad);
-               /* update the code which could change due to vflip or hflip: */
--              try_fmt->code = imx219_get_format_code(imx219);
-+              try_fmt->code = imx219_get_format_code(imx219, try_fmt->code);
-               fmt->format = *try_fmt;
-       } else {
-               imx219_update_pad_format(imx219, imx219->mode, fmt);
-+              fmt->format.code = imx219_get_format_code(imx219,
-+                                                        imx219->fmt.code);
-       }
-       return 0;
-@@ -741,11 +785,18 @@ static int imx219_set_pad_format(struct
-       const struct imx219_mode *mode;
-       struct v4l2_mbus_framefmt *framefmt;
-       int exposure_max, exposure_def, hblank;
-+      unsigned int i;
-       mutex_lock(&imx219->mutex);
-+      for (i = 0; i < ARRAY_SIZE(codes); i++)
-+              if (codes[i] == fmt->format.code)
-+                      break;
-+      if (i >= ARRAY_SIZE(codes))
-+              i = 0;
-+
-       /* Bayer order varies with flips */
--      fmt->format.code = imx219_get_format_code(imx219);
-+      fmt->format.code = imx219_get_format_code(imx219, codes[i]);
-       mode = v4l2_find_nearest_size(supported_modes,
-                                     ARRAY_SIZE(supported_modes),
-@@ -755,7 +806,9 @@ static int imx219_set_pad_format(struct
-       if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
-               framefmt = v4l2_subdev_get_try_format(sd, cfg, fmt->pad);
-               *framefmt = fmt->format;
--      } else if (imx219->mode != mode) {
-+      } else if (imx219->mode != mode ||
-+                 imx219->fmt.code != fmt->format.code) {
-+              imx219->fmt = fmt->format;
-               imx219->mode = mode;
-               /* Update limits and set FPS to default */
-               __v4l2_ctrl_modify_range(imx219->vblank, IMX219_VBLANK_MIN,
-@@ -786,6 +839,27 @@ static int imx219_set_pad_format(struct
-       return 0;
- }
-+static int imx219_set_framefmt(struct imx219 *imx219)
-+{
-+      switch (imx219->fmt.code) {
-+      case MEDIA_BUS_FMT_SRGGB8_1X8:
-+      case MEDIA_BUS_FMT_SGRBG8_1X8:
-+      case MEDIA_BUS_FMT_SGBRG8_1X8:
-+      case MEDIA_BUS_FMT_SBGGR8_1X8:
-+              return imx219_write_regs(imx219, raw8_framefmt_regs,
-+                                      ARRAY_SIZE(raw8_framefmt_regs));
-+
-+      case MEDIA_BUS_FMT_SRGGB10_1X10:
-+      case MEDIA_BUS_FMT_SGRBG10_1X10:
-+      case MEDIA_BUS_FMT_SGBRG10_1X10:
-+      case MEDIA_BUS_FMT_SBGGR10_1X10:
-+              return imx219_write_regs(imx219, raw10_framefmt_regs,
-+                                      ARRAY_SIZE(raw10_framefmt_regs));
-+      }
-+
-+      return -EINVAL;
-+}
-+
- static int imx219_start_streaming(struct imx219 *imx219)
- {
-       struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
-@@ -800,6 +874,13 @@ static int imx219_start_streaming(struct
-               return ret;
-       }
-+      ret = imx219_set_framefmt(imx219);
-+      if (ret) {
-+              dev_err(&client->dev, "%s failed to set frame format: %d\n",
-+                      __func__, ret);
-+              return ret;
-+      }
-+
-       /* Apply customized values from user */
-       ret =  __v4l2_ctrl_handler_setup(imx219->sd.ctrl_handler);
-       if (ret)
-@@ -1253,6 +1334,9 @@ static int imx219_probe(struct i2c_clien
-       /* Initialize source pad */
-       imx219->pad.flags = MEDIA_PAD_FL_SOURCE;
-+      /* Initialize default format */
-+      imx219_set_default_format(imx219);
-+
-       ret = media_entity_pads_init(&imx219->sd.entity, 1, &imx219->pad);
-       if (ret) {
-               dev_err(dev, "failed to init entity pads: %d\n", ret);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0656-driver-char-rpivid-Remove-legacy-name-support.patch b/target/linux/bcm27xx/patches-5.4/950-0656-driver-char-rpivid-Remove-legacy-name-support.patch
new file mode 100644 (file)
index 0000000..a3896be
--- /dev/null
@@ -0,0 +1,53 @@
+From 77beb3055b14910fa3ef9af606476520e956bf93 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 20 Apr 2020 22:18:52 +0100
+Subject: [PATCH] driver: char: rpivid: Remove legacy name support
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/char/broadcom/rpivid-mem.c | 22 ----------------------
+ 1 file changed, 22 deletions(-)
+
+--- a/drivers/char/broadcom/rpivid-mem.c
++++ b/drivers/char/broadcom/rpivid-mem.c
+@@ -193,32 +193,11 @@ static int rpivid_mem_probe(struct platf
+               goto failed_device_create;
+       }
+-      /* Legacy alias */
+-      {
+-              char *oldname = kstrdup(priv->name, GFP_KERNEL);
+-
+-              oldname[1] = 'a';
+-              oldname[2] = 'r';
+-              oldname[3] = 'g';
+-              oldname[4] = 'o';
+-              oldname[5] = 'n';
+-              dev = device_create(priv->class, NULL, priv->devid + 1, NULL,
+-                                  oldname + 1);
+-              kfree(oldname);
+-
+-              if (IS_ERR(dev)) {
+-                      err = PTR_ERR(dev);
+-                      goto failed_legacy_device_create;
+-              }
+-      }
+-
+       dev_info(priv->dev, "%s initialised: Registers at 0x%08lx length 0x%08lx",
+               priv->name, priv->regs_phys, priv->mem_window_len);
+       return 0;
+-failed_legacy_device_create:
+-      device_destroy(priv->class, priv->devid);
+ failed_device_create:
+       class_destroy(priv->class);
+ failed_class_create:
+@@ -238,7 +217,6 @@ static int rpivid_mem_remove(struct plat
+       struct device *dev = &pdev->dev;
+       struct rpivid_mem_priv *priv = platform_get_drvdata(pdev);
+-      device_destroy(priv->class, priv->devid + 1);
+       device_destroy(priv->class, priv->devid);
+       class_destroy(priv->class);
+       cdev_del(&priv->rpivid_mem_cdev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0656-media-i2c-imx219-Add-support-for-cropped-640x480-res.patch b/target/linux/bcm27xx/patches-5.4/950-0656-media-i2c-imx219-Add-support-for-cropped-640x480-res.patch
deleted file mode 100644 (file)
index 3ad377d..0000000
+++ /dev/null
@@ -1,118 +0,0 @@
-From d8b1f6de10d7bd64d73b1c3099ad5e69e6fd7d9b Mon Sep 17 00:00:00 2001
-From: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
-Date: Tue, 10 Mar 2020 14:17:09 +0100
-Subject: [PATCH] media: i2c: imx219: Add support for cropped 640x480
- resolution
-
-Commit 25130b8ad409d5532f3763bcf891af74f550a70d upstream.
-
-This patch adds mode table entry for capturing cropped 640x480 resolution
-
-Signed-off-by: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
-Acked-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
-Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
----
- drivers/media/i2c/imx219.c | 70 +++++++++++++++++++++++++++++++++++++-
- 1 file changed, 69 insertions(+), 1 deletion(-)
-
---- a/drivers/media/i2c/imx219.c
-+++ b/drivers/media/i2c/imx219.c
-@@ -54,6 +54,7 @@
- #define IMX219_VTS_15FPS              0x0dc6
- #define IMX219_VTS_30FPS_1080P                0x06e3
- #define IMX219_VTS_30FPS_BINNED               0x06e3
-+#define IMX219_VTS_30FPS_640x480      0x06e3
- #define IMX219_VTS_MAX                        0xffff
- #define IMX219_VBLANK_MIN             4
-@@ -138,7 +139,7 @@ struct imx219_mode {
- /*
-  * Register sets lifted off the i2C interface from the Raspberry Pi firmware
-  * driver.
-- * 3280x2464 = mode 2, 1920x1080 = mode 1, and 1640x1232 = mode 4.
-+ * 3280x2464 = mode 2, 1920x1080 = mode 1, 1640x1232 = mode 4, 640x480 = mode 7.
-  */
- static const struct imx219_reg mode_3280x2464_regs[] = {
-       {0x0100, 0x00},
-@@ -313,6 +314,63 @@ static const struct imx219_reg mode_1640
-       {0x0163, 0x78},
- };
-+static const struct imx219_reg mode_640_480_regs[] = {
-+      {0x0100, 0x00},
-+      {0x30eb, 0x05},
-+      {0x30eb, 0x0c},
-+      {0x300a, 0xff},
-+      {0x300b, 0xff},
-+      {0x30eb, 0x05},
-+      {0x30eb, 0x09},
-+      {0x0114, 0x01},
-+      {0x0128, 0x00},
-+      {0x012a, 0x18},
-+      {0x012b, 0x00},
-+      {0x0162, 0x0d},
-+      {0x0163, 0x78},
-+      {0x0164, 0x03},
-+      {0x0165, 0xe8},
-+      {0x0166, 0x08},
-+      {0x0167, 0xe7},
-+      {0x0168, 0x02},
-+      {0x0169, 0xf0},
-+      {0x016a, 0x06},
-+      {0x016b, 0xaf},
-+      {0x016c, 0x02},
-+      {0x016d, 0x80},
-+      {0x016e, 0x01},
-+      {0x016f, 0xe0},
-+      {0x0170, 0x01},
-+      {0x0171, 0x01},
-+      {0x0174, 0x03},
-+      {0x0175, 0x03},
-+      {0x0301, 0x05},
-+      {0x0303, 0x01},
-+      {0x0304, 0x03},
-+      {0x0305, 0x03},
-+      {0x0306, 0x00},
-+      {0x0307, 0x39},
-+      {0x030b, 0x01},
-+      {0x030c, 0x00},
-+      {0x030d, 0x72},
-+      {0x0624, 0x06},
-+      {0x0625, 0x68},
-+      {0x0626, 0x04},
-+      {0x0627, 0xd0},
-+      {0x455e, 0x00},
-+      {0x471e, 0x4b},
-+      {0x4767, 0x0f},
-+      {0x4750, 0x14},
-+      {0x4540, 0x00},
-+      {0x47b4, 0x14},
-+      {0x4713, 0x30},
-+      {0x478b, 0x10},
-+      {0x478f, 0x10},
-+      {0x4793, 0x10},
-+      {0x4797, 0x0e},
-+      {0x479b, 0x0e},
-+};
-+
- static const struct imx219_reg raw8_framefmt_regs[] = {
-       {0x018c, 0x08},
-       {0x018d, 0x08},
-@@ -431,6 +489,16 @@ static const struct imx219_mode supporte
-                       .regs = mode_1640_1232_regs,
-               },
-       },
-+      {
-+              /* 640x480 30fps mode */
-+              .width = 640,
-+              .height = 480,
-+              .vts_def = IMX219_VTS_30FPS_640x480,
-+              .reg_list = {
-+                      .num_of_regs = ARRAY_SIZE(mode_640_480_regs),
-+                      .regs = mode_640_480_regs,
-+              },
-+      },
- };
- struct imx219 {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0657-driver-char-rpivid-Don-t-map-more-than-wanted.patch b/target/linux/bcm27xx/patches-5.4/950-0657-driver-char-rpivid-Don-t-map-more-than-wanted.patch
new file mode 100644 (file)
index 0000000..afc1a5a
--- /dev/null
@@ -0,0 +1,51 @@
+From 8c2369b39b1dafe7a26907173bb47d37ec53bfa2 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 21 Apr 2020 11:30:23 +0100
+Subject: [PATCH] driver: char: rpivid: Don't map more than wanted
+
+Limit mappings to the permitted range, but don't map more than asked
+for otherwise we walk off the end of the allocated VMA.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/char/broadcom/rpivid-mem.c | 12 ++++++------
+ 1 file changed, 6 insertions(+), 6 deletions(-)
+
+--- a/drivers/char/broadcom/rpivid-mem.c
++++ b/drivers/char/broadcom/rpivid-mem.c
+@@ -100,6 +100,7 @@ static int rpivid_mem_mmap(struct file *
+ {
+       struct rpivid_mem_priv *priv;
+       unsigned long pages;
++      unsigned long len;
+       priv = file->private_data;
+       pages = priv->regs_phys >> PAGE_SHIFT;
+@@ -107,14 +108,13 @@ static int rpivid_mem_mmap(struct file *
+        * The address decode is far larger than the actual number of registers.
+        * Just map the whole lot in.
+        */
+-      vma->vm_page_prot = phys_mem_access_prot(file, pages,
+-                                               priv->mem_window_len,
++      len = min(vma->vm_end - vma->vm_start, priv->mem_window_len);
++      vma->vm_page_prot = phys_mem_access_prot(file, pages, len,
+                                                vma->vm_page_prot);
+       vma->vm_ops = &rpivid_mem_vm_ops;
+       if (remap_pfn_range(vma, vma->vm_start,
+-                      pages,
+-                      priv->mem_window_len,
+-                      vma->vm_page_prot)) {
++                          pages, len,
++                          vma->vm_page_prot)) {
+               return -EAGAIN;
+       }
+       return 0;
+@@ -156,7 +156,7 @@ static int rpivid_mem_probe(struct platf
+       ioresource = platform_get_resource(pdev, IORESOURCE_MEM, 0);
+       if (ioresource) {
+               priv->regs_phys = ioresource->start;
+-              priv->mem_window_len = ioresource->end - ioresource->start;
++              priv->mem_window_len = (ioresource->end + 1) - ioresource->start;
+       } else {
+               dev_err(priv->dev, "failed to get IO resource");
+               err = -ENOENT;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0657-media-i2c-imx219-Fix-a-bug-in-imx219_enum_frame_size.patch b/target/linux/bcm27xx/patches-5.4/950-0657-media-i2c-imx219-Fix-a-bug-in-imx219_enum_frame_size.patch
deleted file mode 100644 (file)
index ef8eff6..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From 942a240a1999aaf1b8d18a88c63f418991bf7d22 Mon Sep 17 00:00:00 2001
-From: Dafna Hirschfeld <dafna.hirschfeld@collabora.com>
-Date: Tue, 31 Mar 2020 20:06:30 +0200
-Subject: [PATCH] media: i2c: imx219: Fix a bug in
- imx219_enum_frame_size
-
-https://patchwork.linuxtv.org/patch/62740/
-
-When enumerating the frame sizes, the value sent to
-imx219_get_format_code should be fse->code
-(the code from the ioctl) and not imx219->fmt.code
-which is the code set currently in the driver.
-
-Fixes: 22da1d56e ("media: i2c: imx219: Add support for RAW8 bit bayer format")
-
-Signed-off-by: Dafna Hirschfeld <dafna.hirschfeld@collabora.com>
-Reviewed-by: Helen Koike <helen.koike@collabora.com>
-Reviewed-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Reviewed-by: Lad Prabhakar <prabhakar.mahadev-lad.rj@bp.renesas.com>
----
- drivers/media/i2c/imx219.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/media/i2c/imx219.c
-+++ b/drivers/media/i2c/imx219.c
-@@ -781,7 +781,7 @@ static int imx219_enum_frame_size(struct
-       if (fse->index >= ARRAY_SIZE(supported_modes))
-               return -EINVAL;
--      if (fse->code != imx219_get_format_code(imx219, imx219->fmt.code))
-+      if (fse->code != imx219_get_format_code(imx219, fse->code))
-               return -EINVAL;
-       fse->min_width = supported_modes[fse->index].width;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0658-dt-Implement-an-I2C-pinctrl-mux-for-BSC0.patch b/target/linux/bcm27xx/patches-5.4/950-0658-dt-Implement-an-I2C-pinctrl-mux-for-BSC0.patch
new file mode 100644 (file)
index 0000000..002ad86
--- /dev/null
@@ -0,0 +1,434 @@
+From 77d7427bed21c92d1c10e0cc9beabb5ce9bb6c0b Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 9 Apr 2020 12:46:13 +0100
+Subject: [PATCH] dt: Implement an I2C pinctrl mux for BSC0.
+
+BSC0 serves either the HAT EEPROM pins on the 40pin connector,
+or the display and camera on a board specific pairing of either
+GPIO 28&29, or 44&45.
+
+Use I2C_MUX_PINCTRL to allow exposing both pairs of pins as I2C
+busses.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2708-rpi-b-plus.dts      |  9 ++++---
+ arch/arm/boot/dts/bcm2708-rpi-b.dts           |  9 ++++---
+ arch/arm/boot/dts/bcm2708-rpi-cm.dts          |  9 ++++---
+ arch/arm/boot/dts/bcm2708-rpi-zero-w.dts      |  9 ++++---
+ arch/arm/boot/dts/bcm2708-rpi-zero.dts        |  9 ++++---
+ arch/arm/boot/dts/bcm2709-rpi-2-b.dts         |  9 ++++---
+ arch/arm/boot/dts/bcm270x-rpi.dtsi            |  7 ++---
+ arch/arm/boot/dts/bcm2710-rpi-2-b.dts         |  9 ++++---
+ arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts    |  9 ++++---
+ arch/arm/boot/dts/bcm2710-rpi-3-b.dts         |  9 ++++---
+ arch/arm/boot/dts/bcm2710-rpi-cm3.dts         | 10 ++++---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts         |  5 ++--
+ arch/arm/boot/dts/bcm2711.dtsi                |  2 +-
+ .../boot/dts/bcm283x-rpi-i2c0mux_0_28.dtsi    |  4 +++
+ .../boot/dts/bcm283x-rpi-i2c0mux_0_44.dtsi    |  4 +++
+ arch/arm/boot/dts/bcm283x.dtsi                | 26 ++++++++++++++++++-
+ 16 files changed, 100 insertions(+), 39 deletions(-)
+ create mode 100644 arch/arm/boot/dts/bcm283x-rpi-i2c0mux_0_28.dtsi
+ create mode 100644 arch/arm/boot/dts/bcm283x-rpi-i2c0mux_0_44.dtsi
+
+--- a/arch/arm/boot/dts/bcm2708-rpi-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-b-plus.dts
+@@ -4,6 +4,7 @@
+ #include "bcm2708-rpi.dtsi"
+ #include "bcm283x-rpi-smsc9514.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
++#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
+ / {
+       compatible = "raspberrypi,model-b-plus", "brcm,bcm2835";
+@@ -68,12 +69,14 @@
+       };
+ };
+-&i2c0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2c0_pins>;
++&i2c0if {
+       clock-frequency = <100000>;
+ };
++&i2c0mux {
++      pinctrl-0 = <&i2c0_pins>;
++};
++
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2708-rpi-b.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-b.dts
+@@ -4,6 +4,7 @@
+ #include "bcm2708-rpi.dtsi"
+ #include "bcm283x-rpi-smsc9512.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
++#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
+ / {
+       compatible = "raspberrypi,model-b", "brcm,bcm2835";
+@@ -68,12 +69,14 @@
+       };
+ };
+-&i2c0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2c0_pins>;
++&i2c0if {
+       clock-frequency = <100000>;
+ };
++&i2c0mux {
++      pinctrl-0 = <&i2c0_pins>;
++};
++
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2708-rpi-cm.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-cm.dts
+@@ -3,6 +3,7 @@
+ #include "bcm2708-rpi-cm.dtsi"
+ #include "bcm283x-rpi-csi0-2lane.dtsi"
+ #include "bcm283x-rpi-csi1-4lane.dtsi"
++#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
+ / {
+       compatible = "raspberrypi,compute-module", "brcm,bcm2835";
+@@ -67,12 +68,14 @@
+       };
+ };
+-&i2c0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2c0_pins>;
++&i2c0if {
+       clock-frequency = <100000>;
+ };
++&i2c0mux {
++      pinctrl-0 = <&i2c0_pins>;
++};
++
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
+@@ -3,6 +3,7 @@
+ #include "bcm2708.dtsi"
+ #include "bcm2708-rpi.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
++#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
+ / {
+       compatible = "raspberrypi,model-zero-w", "brcm,bcm2835";
+@@ -116,12 +117,14 @@
+       };
+ };
+-&i2c0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2c0_pins>;
++&i2c0if {
+       clock-frequency = <100000>;
+ };
++&i2c0mux {
++      pinctrl-0 = <&i2c0_pins>;
++};
++
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2708-rpi-zero.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-zero.dts
+@@ -3,6 +3,7 @@
+ #include "bcm2708.dtsi"
+ #include "bcm2708-rpi.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
++#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
+ / {
+       compatible = "raspberrypi,model-zero", "brcm,bcm2835";
+@@ -71,12 +72,14 @@
+       };
+ };
+-&i2c0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2c0_pins>;
++&i2c0if {
+       clock-frequency = <100000>;
+ };
++&i2c0mux {
++      pinctrl-0 = <&i2c0_pins>;
++};
++
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2709-rpi-2-b.dts
++++ b/arch/arm/boot/dts/bcm2709-rpi-2-b.dts
+@@ -4,6 +4,7 @@
+ #include "bcm2709-rpi.dtsi"
+ #include "bcm283x-rpi-smsc9514.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
++#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
+ / {
+       compatible = "raspberrypi,2-model-b", "brcm,bcm2836";
+@@ -68,12 +69,14 @@
+       };
+ };
+-&i2c0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2c0_pins>;
++&i2c0if {
+       clock-frequency = <100000>;
+ };
++&i2c0mux {
++      pinctrl-0 = <&i2c0_pins>;
++};
++
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm270x-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm270x-rpi.dtsi
+@@ -21,6 +21,7 @@
+               i2s = &i2s;
+               i2c0 = &i2c0;
+               i2c1 = &i2c1;
++              i2c10 = &i2c_csi_dsi;
+               spi0 = &spi0;
+               spi1 = &spi1;
+               spi2 = &spi2;
+@@ -83,9 +84,9 @@
+               uart1 = <&uart1>,"status";
+               i2s = <&i2s>,"status";
+               spi = <&spi0>,"status";
+-              i2c0 = <&i2c0>,"status";
++              i2c0 = <&i2c0if>,"status",<&i2c0mux>,"status";
+               i2c1 = <&i2c1>,"status";
+-              i2c0_baudrate = <&i2c0>,"clock-frequency:0";
++              i2c0_baudrate = <&i2c0if>,"clock-frequency:0";
+               i2c1_baudrate = <&i2c1>,"clock-frequency:0";
+               audio = <&audio>,"status";
+@@ -105,7 +106,7 @@
+       status = "disabled";
+ };
+-&i2c0 {
++&i2c0if {
+       status = "disabled";
+ };
+--- a/arch/arm/boot/dts/bcm2710-rpi-2-b.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-2-b.dts
+@@ -4,6 +4,7 @@
+ #include "bcm2709-rpi.dtsi"
+ #include "bcm283x-rpi-smsc9514.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
++#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
+ / {
+       compatible = "raspberrypi,2-model-b-rev2", "brcm,bcm2837";
+@@ -68,12 +69,14 @@
+       };
+ };
+-&i2c0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2c0_pins>;
++&i2c0if {
+       clock-frequency = <100000>;
+ };
++&i2c0mux {
++      pinctrl-0 = <&i2c0_pins>;
++};
++
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
+@@ -4,6 +4,7 @@
+ #include "bcm2709-rpi.dtsi"
+ #include "bcm283x-rpi-lan7515.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
++#include "bcm283x-rpi-i2c0mux_0_44.dtsi"
+ / {
+       compatible = "raspberrypi,3-model-b-plus", "brcm,bcm2837";
+@@ -126,12 +127,14 @@
+       };
+ };
+-&i2c0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2c0_pins>;
++&i2c0if {
+       clock-frequency = <100000>;
+ };
++&i2c0mux {
++      pinctrl-0 = <&i2c0_pins>;
++};
++
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
+@@ -4,6 +4,7 @@
+ #include "bcm2709-rpi.dtsi"
+ #include "bcm283x-rpi-smsc9514.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
++#include "bcm283x-rpi-i2c0mux_0_44.dtsi"
+ / {
+       compatible = "raspberrypi,3-model-b", "brcm,bcm2837";
+@@ -137,12 +138,14 @@
+       };
+ };
+-&i2c0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2c0_pins>;
++&i2c0if {
+       clock-frequency = <100000>;
+ };
++&i2c0mux {
++      pinctrl-0 = <&i2c0_pins>;
++};
++
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2710-rpi-cm3.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-cm3.dts
+@@ -4,7 +4,7 @@
+ #include "bcm2709-rpi.dtsi"
+ #include "bcm283x-rpi-csi0-2lane.dtsi"
+ #include "bcm283x-rpi-csi1-4lane.dtsi"
+-
++#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
+ / {
+       compatible = "raspberrypi,3-compute-module", "brcm,bcm2837";
+       model = "Raspberry Pi Compute Module 3";
+@@ -88,12 +88,14 @@
+       };
+ };
+-&i2c0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2c0_pins>;
++&i2c0if {
+       clock-frequency = <100000>;
+ };
++&i2c0mux {
++      pinctrl-0 = <&i2c0_pins>;
++};
++
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -192,6 +192,7 @@
+ #include "bcm2711-rpi.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
++#include "bcm283x-rpi-i2c0mux_0_44.dtsi"
+ /delete-node/ &emmc2;
+@@ -421,9 +422,7 @@
+       };
+ };
+-&i2c0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2c0_pins>;
++&i2c0if {
+       clock-frequency = <100000>;
+ };
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -986,7 +986,7 @@
+       alloc-ranges = <0x0 0x00000000 0x40000000>;
+ };
+-&i2c0 {
++&i2c0if {
+       compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
+       interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
+ };
+--- /dev/null
++++ b/arch/arm/boot/dts/bcm283x-rpi-i2c0mux_0_28.dtsi
+@@ -0,0 +1,4 @@
++&i2c0mux {
++      pinctrl-0 = <&i2c0_gpio0>;
++      pinctrl-1 = <&i2c0_gpio28>;
++};
+--- /dev/null
++++ b/arch/arm/boot/dts/bcm283x-rpi-i2c0mux_0_44.dtsi
+@@ -0,0 +1,4 @@
++&i2c0mux {
++      pinctrl-0 = <&i2c0_gpio0>;
++      pinctrl-1 = <&i2c0_gpio44>;
++};
+--- a/arch/arm/boot/dts/bcm283x.dtsi
++++ b/arch/arm/boot/dts/bcm283x.dtsi
+@@ -340,7 +340,7 @@
+                       status = "disabled";
+               };
+-              i2c0: i2c@7e205000 {
++              i2c0if: i2c@7e205000 {
+                       compatible = "brcm,bcm2835-i2c";
+                       reg = <0x7e205000 0x200>;
+                       interrupts = <2 21>;
+@@ -350,6 +350,30 @@
+                       status = "disabled";
+               };
++              i2c0mux: i2c0mux {
++                      compatible = "i2c-mux-pinctrl";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      i2c-parent = <&i2c0if>;
++
++                      pinctrl-names = "i2c0", "i2c_csi_dsi";
++
++                      status = "disabled";
++
++                      i2c0: i2c@0 {
++                              reg = <0>;
++                              #address-cells = <1>;
++                              #size-cells = <0>;
++                      };
++
++                      i2c_csi_dsi: i2c@1 {
++                              reg = <1>;
++                              #address-cells = <1>;
++                              #size-cells = <0>;
++                      };
++              };
++
+               dpi: dpi@7e208000 {
+                       compatible = "brcm,bcm2835-dpi";
+                       reg = <0x7e208000 0x8c>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0658-media-bcm2835-unicam-Disable-event-related-ioctls-on.patch b/target/linux/bcm27xx/patches-5.4/950-0658-media-bcm2835-unicam-Disable-event-related-ioctls-on.patch
deleted file mode 100644 (file)
index cb36ce2..0000000
+++ /dev/null
@@ -1,31 +0,0 @@
-From e8f2c38720e391ce44154f17f3602484c1827a59 Mon Sep 17 00:00:00 2001
-From: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
-Date: Tue, 24 Mar 2020 23:13:02 +0200
-Subject: [PATCH] media: bcm2835-unicam: Disable event-related ioctls
- on metadata node
-
-The unicam driver supports both the SOURCE_CHANGE and CTRL events. Both
-events are only generated on the image video node, so the event-related
-ioctls are useless on the medatada node. Disable them.
-
-Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
-Reviewed-by: Jacopo Mondi <jacopo@jmondi.org>
-Reviewed-by: Naushir Patuck <naush@raspberrypi.com>
----
- drivers/media/platform/bcm2835/bcm2835-unicam.c | 5 +++++
- 1 file changed, 5 insertions(+)
-
---- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -2374,6 +2374,11 @@ static int register_node(struct unicam_d
-               return -ENOMEM;
-       }
-+      if (node->pad_id == METADATA_PAD) {
-+              v4l2_disable_ioctl(vdev, VIDIOC_DQEVENT);
-+              v4l2_disable_ioctl(vdev, VIDIOC_SUBSCRIBE_EVENT);
-+              v4l2_disable_ioctl(vdev, VIDIOC_UNSUBSCRIBE_EVENT);
-+      }
-       if (node->pad_id == METADATA_PAD ||
-           !v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_STD);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0659-dtoverlays-Update-CSI-overlays-to-use-i2c_csi_dsi.patch b/target/linux/bcm27xx/patches-5.4/950-0659-dtoverlays-Update-CSI-overlays-to-use-i2c_csi_dsi.patch
new file mode 100644 (file)
index 0000000..f6b6340
--- /dev/null
@@ -0,0 +1,425 @@
+From bd24924fea541c114c7761f4698f3fe29d7257e1 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 9 Apr 2020 15:04:14 +0100
+Subject: [PATCH] dtoverlays: Update CSI overlays to use i2c_csi_dsi
+
+Update all overlays that were using i2c_vc for talking to CSI
+source devices to use the new i2c_csi_dsi node via i2c_mux_pinctrl.
+Remove the pins overrides as well.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README             | 42 ++++---------------
+ .../boot/dts/overlays/adv7282m-overlay.dts    | 29 +++----------
+ arch/arm/boot/dts/overlays/imx219-overlay.dts | 41 +++++-------------
+ .../arm/boot/dts/overlays/irs1125-overlay.dts | 33 ++++-----------
+ arch/arm/boot/dts/overlays/ov5647-overlay.dts | 33 ++++-----------
+ .../boot/dts/overlays/tc358743-overlay.dts    | 32 ++++----------
+ 6 files changed, 47 insertions(+), 163 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -331,22 +331,14 @@ Info:   Analog Devices ADV7282M analogue
+         Uses Unicam1, which is the standard camera connector on most Pi
+         variants.
+ Load:   dtoverlay=adv7282m,<param>=<val>
+-Params: i2c_pins_0_1            Use pins 0&1 for the I2C instead of 44&45.
+-                                Useful on Compute Modules.
+-        i2c_pins_28_29          Use pins 28&29 for the I2C instead of 44&45.
+-                                This is required for Pi B+, 2, 0, and 0W.
+-        addr                    Overrides the I2C address (default 0x21)
++Params: addr                    Overrides the I2C address (default 0x21)
+ Name:   adv728x-m
+ Info:   Analog Devices ADV728[0|1|2]-M analogue video to CSI2 bridges.
+         This is a wrapper for adv7282m, and defaults to ADV7282M.
+ Load:   dtoverlay=adv728x-m,<param>=<val>
+-Params: i2c_pins_0_1            Use pins 0&1 for the I2C instead of 44&45.
+-                                Useful on Compute Modules.
+-        i2c_pins_28_29          Use pins 28&29 for the I2C instead of 44&45.
+-                                This is required for Pi B+, 2, 0, and 0W.
+-        addr                    Overrides the I2C address (default 0x21)
++Params: addr                    Overrides the I2C address (default 0x21)
+         adv7280m                Select ADV7280-M.
+         adv7281m                Select ADV7281-M.
+         adv7281ma               Select ADV7281-MA.
+@@ -1384,12 +1376,8 @@ Name:   imx219
+ Info:   Sony IMX219 camera module.
+         Uses Unicam 1, which is the standard camera connector on most Pi
+         variants.
+-Load:   dtoverlay=imx219,<param>=<val>
+-Params: i2c_pins_0_1            Use pins 0&1 for the I2C instead of 44&45.
+-                                Useful on Compute Modules.
+-
+-        i2c_pins_28_29          Use pins 28&29 for the I2C instead of 44&45.
+-                                This is required for Pi B+, 2, 0, and 0W.
++Load:   dtoverlay=imx219
++Params: <None>
+ Name:   iqaudio-codec
+@@ -1453,12 +1441,8 @@ Name:   irs1125
+ Info:   Infineon irs1125 TOF camera module.
+         Uses Unicam 1, which is the standard camera connector on most Pi
+         variants.
+-Load:   dtoverlay=irs1125,<param>=<val>
+-Params: i2c_pins_0_1            Use pins 0&1 for the I2C instead of 44&45.
+-                                Useful on Compute Modules.
+-
+-        i2c_pins_28_29          Use pins 28&29 for the I2C instead of 44&45.
+-                                This is required for Pi B+, 2, 0, and 0W.
++Load:   dtoverlay=irs1125
++Params: <None>
+ Name:   jedec-spi-nor
+@@ -1743,12 +1727,8 @@ Name:   ov5647
+ Info:   Omnivision OV5647 camera module.
+         Uses Unicam 1, which is the standard camera connector on most Pi
+         variants.
+-Load:   dtoverlay=ov5647,<param>=<val>
+-Params: i2c_pins_0_1            Use pins 0&1 for the I2C instead of 44&45.
+-                                Useful on Compute Modules.
+-
+-        i2c_pins_28_29          Use pins 28&29 for the I2C instead of 44&45.
+-                                This is required for Pi B+, 2, 0, and 0W.
++Load:   dtoverlay=ov5647
++Params: <None>
+ Name:   papirus
+@@ -2555,12 +2535,6 @@ Params: 4lane                   Use 4 la
+                                 (574Mbit/s) and 486000000 (972Mbit/s - default)
+                                 are supported by the driver.
+-        i2c_pins_0_1            Use pins 0&1 for the I2C instead of 44&45.
+-                                Useful on Compute Modules.
+-
+-        i2c_pins_28_29          Use pins 28&29 for the I2C instead of 44&45.
+-                                This is required for Pi B+, 2, 0, and 0W.
+-
+ Name:   tc358743-audio
+ Info:   Used in combination with the tc358743-fast overlay to route the audio
+--- a/arch/arm/boot/dts/overlays/adv7282m-overlay.dts
++++ b/arch/arm/boot/dts/overlays/adv7282m-overlay.dts
+@@ -7,7 +7,7 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target = <&i2c_vc>;
++              target = <&i2c_csi_dsi>;
+               __overlay__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+@@ -45,37 +45,20 @@
+               };
+       };
+       fragment@2 {
+-              target = <&i2c0_pins>;
+-              __dormant__ {
+-                      brcm,pins = <28 29>;
+-                      brcm,function = <4>; /* alt0 */
+-              };
+-
+-      };
+-      fragment@3 {
+-              target = <&i2c0_pins>;
++              target = <&i2c0if>;
+               __overlay__ {
+-                      brcm,pins = <44 45>;
+-                      brcm,function = <5>; /* alt1 */
+-              };
+-      };
+-      fragment@4 {
+-              target = <&i2c0_pins>;
+-              __dormant__ {
+-                      brcm,pins = <0 1>;
+-                      brcm,function = <4>; /* alt0 */
++                      status = "okay";
+               };
+       };
+-      fragment@5 {
+-              target = <&i2c_vc>;
++
++      fragment@3 {
++              target = <&i2c0mux>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+       __overrides__ {
+-              i2c_pins_0_1 =          <0>,"-2-3+4";
+-              i2c_pins_28_29 =        <0>,"+2-3-4";
+               addr =                  <&adv728x>,"reg:0";
+       };
+ };
+--- a/arch/arm/boot/dts/overlays/imx219-overlay.dts
++++ b/arch/arm/boot/dts/overlays/imx219-overlay.dts
+@@ -9,7 +9,7 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target = <&i2c_vc>;
++              target = <&i2c_csi_dsi>;
+               __overlay__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+@@ -61,34 +61,13 @@
+       };
+       fragment@2 {
+-              target = <&i2c0_pins>;
+-              __dormant__ {
+-                      brcm,pins = <28 29>;
+-                      brcm,function = <4>; /* alt0 */
+-              };
+-      };
+-      fragment@3 {
+-              target = <&i2c0_pins>;
+-              __overlay__ {
+-                      brcm,pins = <44 45>;
+-                      brcm,function = <5>; /* alt1 */
+-              };
+-      };
+-      fragment@4 {
+-              target = <&i2c0_pins>;
+-              __dormant__ {
+-                      brcm,pins = <0 1>;
+-                      brcm,function = <4>; /* alt0 */
+-              };
+-      };
+-      fragment@5 {
+-              target = <&i2c_vc>;
++              target = <&i2c0if>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@6 {
++      fragment@3 {
+               target-path="/";
+               __overlay__ {
+                       imx219_vana: fixedregulator@0 {
+@@ -114,16 +93,18 @@
+               };
+       };
+-      fragment@7 {
++      fragment@4 {
++              target = <&i2c0mux>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@5 {
+               target-path="/__overrides__";
+               __overlay__ {
+                       cam0-pwdn-ctrl = <&imx219_vana>,"gpio:0";
+                       cam0-pwdn      = <&imx219_vana>,"gpio:4";
+               };
+       };
+-
+-      __overrides__ {
+-              i2c_pins_0_1 = <0>,"-2-3+4";
+-              i2c_pins_28_29 = <0>,"+2-3-4";
+-      };
+ };
+--- a/arch/arm/boot/dts/overlays/irs1125-overlay.dts
++++ b/arch/arm/boot/dts/overlays/irs1125-overlay.dts
+@@ -7,7 +7,7 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target = <&i2c_vc>;
++              target = <&i2c_csi_dsi>;
+               __overlay__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+@@ -55,43 +55,24 @@
+       };
+       fragment@2 {
+-              target = <&i2c0_pins>;
+-              __dormant__ {
+-                      brcm,pins = <28 29>;
+-                      brcm,function = <4>; /* alt0 */
+-              };
+-      };
+-      fragment@3 {
+-              target = <&i2c0_pins>;
++              target = <&i2c0if>;
+               __overlay__ {
+-                      brcm,pins = <44 45>;
+-                      brcm,function = <5>; /* alt1 */
+-              };
+-      };
+-      fragment@4 {
+-              target = <&i2c0_pins>;
+-              __dormant__ {
+-                      brcm,pins = <0 1>;
+-                      brcm,function = <4>; /* alt0 */
++                      status = "okay";
+               };
+       };
+-      fragment@5 {
+-              target = <&i2c_vc>;
++
++      fragment@3 {
++              target = <&i2c0mux>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@6 {
++      fragment@4 {
+               target-path="/__overrides__";
+               __overlay__ {
+                       cam0-pwdn-ctrl = <&irs1125>,"pwdn-gpios:0";
+                       cam0-pwdn      = <&irs1125>,"pwdn-gpios:4";
+               };
+       };
+-
+-      __overrides__ {
+-              i2c_pins_0_1 = <0>,"-2-3+4";
+-              i2c_pins_28_29 = <0>,"+2-3-4";
+-      };
+ };
+--- a/arch/arm/boot/dts/overlays/ov5647-overlay.dts
++++ b/arch/arm/boot/dts/overlays/ov5647-overlay.dts
+@@ -7,7 +7,7 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target = <&i2c_vc>;
++              target = <&i2c_csi_dsi>;
+               __overlay__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+@@ -55,34 +55,20 @@
+       };
+       fragment@2 {
+-              target = <&i2c0_pins>;
+-              __dormant__ {
+-                      brcm,pins = <28 29>;
+-                      brcm,function = <4>; /* alt0 */
+-              };
+-      };
+-      fragment@3 {
+-              target = <&i2c0_pins>;
++              target = <&i2c0if>;
+               __overlay__ {
+-                      brcm,pins = <44 45>;
+-                      brcm,function = <5>; /* alt1 */
+-              };
+-      };
+-      fragment@4 {
+-              target = <&i2c0_pins>;
+-              __dormant__ {
+-                      brcm,pins = <0 1>;
+-                      brcm,function = <4>; /* alt0 */
++                      status = "okay";
+               };
+       };
+-      fragment@5 {
+-              target = <&i2c_vc>;
++
++      fragment@3 {
++              target = <&i2c0mux>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@6 {
++      fragment@4 {
+               target-path="/__overrides__";
+               __overlay__ {
+                       cam0-pwdn-ctrl = <&ov5647>,"pwdn-gpios:0";
+@@ -91,9 +77,4 @@
+                       cam0-led       = <&ov5647>,"pwdn-gpios:16";
+               };
+       };
+-
+-      __overrides__ {
+-              i2c_pins_0_1 = <0>,"-2-3+4";
+-              i2c_pins_28_29 = <0>,"+2-3-4";
+-      };
+ };
+--- a/arch/arm/boot/dts/overlays/tc358743-overlay.dts
++++ b/arch/arm/boot/dts/overlays/tc358743-overlay.dts
+@@ -7,7 +7,7 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target = <&i2c_vc>;
++              target = <&i2c_csi_dsi>;
+               __overlay__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+@@ -54,7 +54,7 @@
+       };
+       fragment@2 {
+-              target = <&i2c_vc>;
++              target = <&i2c_csi_dsi>;
+               __overlay__ {
+                       tc358743@0f {
+                               port {
+@@ -67,7 +67,7 @@
+       };
+       fragment@3 {
+-              target = <&i2c_vc>;
++              target = <&i2c_csi_dsi>;
+               __dormant__ {
+                       tc358743@0f {
+                               port {
+@@ -80,36 +80,20 @@
+       };
+       fragment@4 {
+-              target = <&i2c0_pins>;
+-              __dormant__ {
+-                      brcm,pins = <28 29>;
+-                      brcm,function = <4>; /* alt0 */
+-              };
+-      };
+-      fragment@5 {
+-              target = <&i2c0_pins>;
++              target = <&i2c0if>;
+               __overlay__ {
+-                      brcm,pins = <44 45>;
+-                      brcm,function = <5>; /* alt1 */
+-              };
+-      };
+-      fragment@6 {
+-              target = <&i2c0_pins>;
+-              __dormant__ {
+-                      brcm,pins = <0 1>;
+-                      brcm,function = <4>; /* alt0 */
++                      status = "okay";
+               };
+       };
+-      fragment@7 {
+-              target = <&i2c_vc>;
++
++      fragment@5 {
++              target = <&i2c0mux>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+       __overrides__ {
+-              i2c_pins_0_1 = <0>,"-4-5+6";
+-              i2c_pins_28_29 = <0>,"+4-5-6";
+               4lane = <0>, "-2+3";
+               link-frequency = <&tc358743>,"link-frequencies#0";
+       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0659-media-bcm2835-unicam-Add-support-for-the-FRAME_SYNC-.patch b/target/linux/bcm27xx/patches-5.4/950-0659-media-bcm2835-unicam-Add-support-for-the-FRAME_SYNC-.patch
deleted file mode 100644 (file)
index 544d597..0000000
+++ /dev/null
@@ -1,55 +0,0 @@
-From 20caa3607c972f5c28b3e9aceb0b8a1d2094c0a7 Mon Sep 17 00:00:00 2001
-From: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
-Date: Tue, 24 Mar 2020 23:13:02 +0200
-Subject: [PATCH] media: bcm2835-unicam: Add support for the FRAME_SYNC
- event
-
-The FRAME_SYNC event is useful for userspace image processing algorithms
-to program the camera sensor as early as possible after frame start.
-Support it.
-
-Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
-Reviewed-by: Kieran Bingham <kieran.bingham@ideasonboard.com>
-Reviewed-by: Jacopo Mondi <jacopo@jmondi.org>
-Reviewed-by: Naushir Patuck <naush@raspberrypi.com>
----
- drivers/media/platform/bcm2835/bcm2835-unicam.c | 14 ++++++++++++++
- 1 file changed, 14 insertions(+)
-
---- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -772,6 +772,16 @@ static int unicam_all_nodes_disabled(str
-              !dev->node[METADATA_PAD].streaming;
- }
-+static void unicam_queue_event_sof(struct unicam_device *unicam)
-+{
-+      struct v4l2_event event = {
-+              .type = V4L2_EVENT_FRAME_SYNC,
-+              .u.frame_sync.frame_sequence = unicam->sequence,
-+      };
-+
-+      v4l2_event_queue(&unicam->node[IMAGE_PAD].video_dev, &event);
-+}
-+
- /*
-  * unicam_isr : ISR handler for unicam capture
-  * @irq: irq number
-@@ -853,6 +863,8 @@ static irqreturn_t unicam_isr(int irq, v
-                        */
-                       unicam_schedule_dummy_buffer(&unicam->node[i]);
-               }
-+
-+              unicam_queue_event_sof(unicam);
-       }
-       /*
-        * Cannot swap buffer at frame end, there may be a race condition
-@@ -2022,6 +2034,8 @@ static int unicam_subscribe_event(struct
-                                 const struct v4l2_event_subscription *sub)
- {
-       switch (sub->type) {
-+      case V4L2_EVENT_FRAME_SYNC:
-+              return v4l2_event_subscribe(fh, sub, 2, NULL);
-       case V4L2_EVENT_SOURCE_CHANGE:
-               return v4l2_event_subscribe(fh, sub, 4, NULL);
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0660-Revert-firmware-raspberrypi-register-clk-device.patch b/target/linux/bcm27xx/patches-5.4/950-0660-Revert-firmware-raspberrypi-register-clk-device.patch
deleted file mode 100644 (file)
index 01533d1..0000000
+++ /dev/null
@@ -1,58 +0,0 @@
-From b2691aee386b18425a7b96cca05e3bcceb8678ae Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Fri, 17 Apr 2020 16:20:55 +0100
-Subject: [PATCH] Revert "firmware: raspberrypi: register clk device"
-
-This reverts commit 91f2cf4a6b2131016b1ae9c9500245f0572112c7.
-
-Revert this because the clock driver won't probe without a DT node, and
-creating it as a platform device won't give it one. Doing so avoids the
-following error message:
-
-    raspberrypi-clk raspberrypi-clk: Missing firmware node
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- drivers/firmware/raspberrypi.c | 10 ----------
- 1 file changed, 10 deletions(-)
-
---- a/drivers/firmware/raspberrypi.c
-+++ b/drivers/firmware/raspberrypi.c
-@@ -21,7 +21,6 @@
- #define MBOX_CHAN_PROPERTY            8
- static struct platform_device *rpi_hwmon;
--static struct platform_device *rpi_clk;
- struct rpi_firmware {
-       struct mbox_client cl;
-@@ -299,12 +298,6 @@ rpi_register_hwmon_driver(struct device
-       }
- }
--static void rpi_register_clk_driver(struct device *dev)
--{
--      rpi_clk = platform_device_register_data(dev, "raspberrypi-clk",
--                                              -1, NULL, 0);
--}
--
- static int rpi_firmware_probe(struct platform_device *pdev)
- {
-       struct device *dev = &pdev->dev;
-@@ -334,7 +327,6 @@ static int rpi_firmware_probe(struct pla
-       rpi_firmware_print_firmware_revision(fw);
-       rpi_firmware_print_firmware_hash(fw);
-       rpi_register_hwmon_driver(dev, fw);
--      rpi_register_clk_driver(dev);
-       return 0;
- }
-@@ -355,8 +347,6 @@ static int rpi_firmware_remove(struct pl
-       platform_device_unregister(rpi_hwmon);
-       rpi_hwmon = NULL;
--      platform_device_unregister(rpi_clk);
--      rpi_clk = NULL;
-       mbox_free_channel(fw->chan);
-       g_pdev = NULL;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0660-dt-Update-all-mainline-bcm283x-dt-files-for-i2c0-pin.patch b/target/linux/bcm27xx/patches-5.4/950-0660-dt-Update-all-mainline-bcm283x-dt-files-for-i2c0-pin.patch
new file mode 100644 (file)
index 0000000..f5e4e4b
--- /dev/null
@@ -0,0 +1,201 @@
+From 393b01ee7330723b5f27b86d1b03bed88f8a8ffa Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 9 Apr 2020 17:26:13 +0100
+Subject: [PATCH] dt: Update all mainline bcm283x dt files for i2c0
+ pinctrl mux
+
+BSC0 (aka i2c0) can me muxed via pinctrl to GPIOs 0&1, 28&29, or
+44&45. These have different uses based on the platform (40pin header,
+and CSI/DSI connectors), so add a pinctrl I2C mux between the
+different options.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2835-rpi-a-plus.dts   |  5 +++++
+ arch/arm/boot/dts/bcm2835-rpi-a.dts        |  7 +++++++
+ arch/arm/boot/dts/bcm2835-rpi-b-plus.dts   |  5 +++++
+ arch/arm/boot/dts/bcm2835-rpi-b-rev2.dts   |  7 +++++++
+ arch/arm/boot/dts/bcm2835-rpi-b.dts        |  7 +++++++
+ arch/arm/boot/dts/bcm2835-rpi-cm1-io1.dts  |  5 +++++
+ arch/arm/boot/dts/bcm2835-rpi-zero-w.dts   |  5 +++++
+ arch/arm/boot/dts/bcm2835-rpi-zero.dts     |  5 +++++
+ arch/arm/boot/dts/bcm2835-rpi.dtsi         | 10 +++++++---
+ arch/arm/boot/dts/bcm2836-rpi-2-b.dts      |  5 +++++
+ arch/arm/boot/dts/bcm2837-rpi-3-a-plus.dts |  5 +++++
+ arch/arm/boot/dts/bcm2837-rpi-3-b-plus.dts |  5 +++++
+ arch/arm/boot/dts/bcm2837-rpi-3-b.dts      |  5 +++++
+ arch/arm/boot/dts/bcm2837-rpi-cm3-io3.dts  |  5 +++++
+ 14 files changed, 78 insertions(+), 3 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2835-rpi-a-plus.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-a-plus.dts
+@@ -126,3 +126,8 @@
+       pinctrl-0 = <&uart0_gpio14>;
+       status = "okay";
+ };
++
++/* i2c on camera/display connector is gpio 28&29 */
++&i2c0mux {
++      pinctrl-1 = <&i2c0_gpio28>;
++};
+--- a/arch/arm/boot/dts/bcm2835-rpi-a.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-a.dts
+@@ -121,3 +121,10 @@
+       pinctrl-0 = <&uart0_gpio14>;
+       status = "okay";
+ };
++
++/* i2c0 on camera/display connector is gpio 0&1. Not exposed on header.
++ * To avoid having to remap everything, map both ports to gpios 0&1
++ */
++&i2c0mux {
++      pinctrl-1 = <&i2c0_gpio0>;
++};
+--- a/arch/arm/boot/dts/bcm2835-rpi-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-b-plus.dts
+@@ -128,3 +128,8 @@
+       pinctrl-0 = <&uart0_gpio14>;
+       status = "okay";
+ };
++
++/* i2c on camera/display connector is gpio 28&29 */
++&i2c0mux {
++      pinctrl-1 = <&i2c0_gpio28>;
++};
+--- a/arch/arm/boot/dts/bcm2835-rpi-b-rev2.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-b-rev2.dts
+@@ -121,3 +121,10 @@
+       pinctrl-0 = <&uart0_gpio14>;
+       status = "okay";
+ };
++
++/* i2c0 on camera/display connector is gpio 0&1. Not exposed on header.
++ * To avoid having to remap everything, map both ports to gpios 0&1
++ */
++&i2c0mux {
++      pinctrl-1 = <&i2c0_gpio0>;
++};
+--- a/arch/arm/boot/dts/bcm2835-rpi-b.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-b.dts
+@@ -116,3 +116,10 @@
+       pinctrl-0 = <&uart0_gpio14>;
+       status = "okay";
+ };
++
++/* camera/display connector use BSC1 on GPIOS 2&3.
++ * To avoid having to remap everything, map both ports to gpios 0&1
++ */
++&i2c0mux {
++      pinctrl-1 = <&i2c0_gpio0>;
++};
+--- a/arch/arm/boot/dts/bcm2835-rpi-cm1-io1.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-cm1-io1.dts
+@@ -95,3 +95,8 @@
+       pinctrl-0 = <&uart0_gpio14>;
+       status = "okay";
+ };
++
++/* WHAT TO DO HERE? */
++&i2c0mux {
++      pinctrl-1 = <&i2c0_gpio28>;
++};
+--- a/arch/arm/boot/dts/bcm2835-rpi-zero-w.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-zero-w.dts
+@@ -149,3 +149,8 @@
+       pinctrl-0 = <&uart1_gpio14>;
+       status = "okay";
+ };
++
++/* i2c on camera/display connector is gpio 28&29 */
++&i2c0mux {
++      pinctrl-1 = <&i2c0_gpio28>;
++};
+--- a/arch/arm/boot/dts/bcm2835-rpi-zero.dts
++++ b/arch/arm/boot/dts/bcm2835-rpi-zero.dts
+@@ -117,3 +117,8 @@
+       pinctrl-0 = <&uart0_gpio14>;
+       status = "okay";
+ };
++
++/* i2c on camera/display connector is gpio 28&29 */
++&i2c0mux {
++      pinctrl-1 = <&i2c0_gpio28>;
++};
+--- a/arch/arm/boot/dts/bcm2835-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2835-rpi.dtsi
+@@ -46,13 +46,17 @@
+       };
+ };
+-&i2c0 {
+-      pinctrl-names = "default";
+-      pinctrl-0 = <&i2c0_gpio0>;
++&i2c0if {
+       status = "okay";
+       clock-frequency = <100000>;
+ };
++&i2c0mux {
++      pinctrl-0 = <&i2c0_gpio0>;
++      /* pinctrl-1 varies based on platform */
++      status = "okay";
++};
++
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_gpio2>;
+--- a/arch/arm/boot/dts/bcm2836-rpi-2-b.dts
++++ b/arch/arm/boot/dts/bcm2836-rpi-2-b.dts
+@@ -128,3 +128,8 @@
+       pinctrl-0 = <&uart0_gpio14>;
+       status = "okay";
+ };
++
++/* i2c on camera/display connector is gpio 28&29 */
++&i2c0mux {
++      pinctrl-1 = <&i2c0_gpio28>;
++};
+--- a/arch/arm/boot/dts/bcm2837-rpi-3-a-plus.dts
++++ b/arch/arm/boot/dts/bcm2837-rpi-3-a-plus.dts
+@@ -176,3 +176,8 @@
+       pinctrl-0 = <&uart1_gpio14>;
+       status = "okay";
+ };
++
++/* i2c on camera/display connector is gpio 44&45 */
++&i2c0mux {
++      pinctrl-1 = <&i2c0_gpio44>;
++};
+--- a/arch/arm/boot/dts/bcm2837-rpi-3-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2837-rpi-3-b-plus.dts
+@@ -179,3 +179,8 @@
+       pinctrl-0 = <&uart1_gpio14>;
+       status = "okay";
+ };
++
++/* i2c on camera/display connector is gpio 44&45 */
++&i2c0mux {
++      pinctrl-1 = <&i2c0_gpio44>;
++};
+--- a/arch/arm/boot/dts/bcm2837-rpi-3-b.dts
++++ b/arch/arm/boot/dts/bcm2837-rpi-3-b.dts
+@@ -174,3 +174,8 @@
+       status = "okay";
+       bus-width = <4>;
+ };
++
++/* i2c on camera/display connector is gpio 44&45 */
++&i2c0mux {
++      pinctrl-1 = <&i2c0_gpio44>;
++};
+--- a/arch/arm/boot/dts/bcm2837-rpi-cm3-io3.dts
++++ b/arch/arm/boot/dts/bcm2837-rpi-cm3-io3.dts
+@@ -94,3 +94,8 @@
+       pinctrl-0 = <&uart0_gpio14>;
+       status = "okay";
+ };
++
++/* WHAT TO DO HERE? */
++&i2c0mux {
++      pinctrl-1 = <&i2c0_gpio28>;
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0661-ARM-dts-Create-bcm2708-rpi-b-rev1.dts.patch b/target/linux/bcm27xx/patches-5.4/950-0661-ARM-dts-Create-bcm2708-rpi-b-rev1.dts.patch
new file mode 100644 (file)
index 0000000..42067a7
--- /dev/null
@@ -0,0 +1,182 @@
+From bd291f0ff613ad270a7c9352f3f27a09c058553f Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 21 Apr 2020 17:34:27 +0100
+Subject: [PATCH] ARM: dts: Create bcm2708-rpi-b-rev1.dts
+
+The first revision of the Pi Model B used I2C0 to address the camera
+and I2C0 was available for user applications on the 26-pin header.
+The second revision switched the roles, kept I2C0 on the 26-pin header
+and added I2C1 on a new 8-way header (P5).
+
+Up to now, downstream DTS has used a single file for both revisions of
+the board, with a small amount of patching from the firmware. With the
+introduction of an I2C mux to share I2C0 between the camera/display
+connectors and the IDC headers, the difference between the two versions
+becomes too great to comfortably manage with tweaking, hence this split.
+
+Upstream DTS files already have bcm2835-rpi-b.dts and
+bcm2835-rpi-b-rev2.dts, but for backwards compatibility the new file is
+being added as bcm2708-rpi-b-rev1.dts, rather than renaming the old
+shared version to bcm2708-rpi-b-rev2.dts.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/Makefile               |   1 +
+ arch/arm/boot/dts/bcm2708-rpi-b-rev1.dts | 127 +++++++++++++++++++++++
+ arch/arm/boot/dts/bcm270x-rpi.dtsi       |   4 +
+ 3 files changed, 132 insertions(+)
+ create mode 100644 arch/arm/boot/dts/bcm2708-rpi-b-rev1.dts
+
+--- a/arch/arm/boot/dts/Makefile
++++ b/arch/arm/boot/dts/Makefile
+@@ -2,6 +2,7 @@
+ dtb-$(CONFIG_ARCH_BCM2835) += \
+       bcm2708-rpi-b.dtb \
++      bcm2708-rpi-b-rev1.dtb \
+       bcm2708-rpi-b-plus.dtb \
+       bcm2708-rpi-cm.dtb \
+       bcm2708-rpi-zero.dtb \
+--- /dev/null
++++ b/arch/arm/boot/dts/bcm2708-rpi-b-rev1.dts
+@@ -0,0 +1,127 @@
++/dts-v1/;
++
++#include "bcm2708.dtsi"
++#include "bcm2708-rpi.dtsi"
++#include "bcm283x-rpi-smsc9512.dtsi"
++#include "bcm283x-rpi-csi1-2lane.dtsi"
++
++/ {
++      compatible = "raspberrypi,model-b", "brcm,bcm2835";
++      model = "Raspberry Pi Model B";
++};
++
++&gpio {
++      spi0_pins: spi0_pins {
++              brcm,pins = <9 10 11>;
++              brcm,function = <4>; /* alt0 */
++      };
++
++      spi0_cs_pins: spi0_cs_pins {
++              brcm,pins = <8 7>;
++              brcm,function = <1>; /* output */
++      };
++
++      i2c0_pins: i2c0 {
++              brcm,pins = <0 1>;
++              brcm,function = <4>;
++      };
++
++      i2c1_pins: i2c1 {
++              brcm,pins = <2 3>;
++              brcm,function = <4>;
++      };
++
++      i2s_pins: i2s {
++              brcm,pins = <28 29 30 31>;
++              brcm,function = <6>; /* alt2 */
++      };
++
++      audio_pins: audio_pins {
++              brcm,pins = <40 45>;
++              brcm,function = <4>;
++      };
++};
++
++&uart0 {
++      status = "okay";
++};
++
++&spi0 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&spi0_pins &spi0_cs_pins>;
++      cs-gpios = <&gpio 8 1>, <&gpio 7 1>;
++
++      spidev0: spidev@0{
++              compatible = "spidev";
++              reg = <0>;      /* CE0 */
++              #address-cells = <1>;
++              #size-cells = <0>;
++              spi-max-frequency = <125000000>;
++      };
++
++      spidev1: spidev@1{
++              compatible = "spidev";
++              reg = <1>;      /* CE1 */
++              #address-cells = <1>;
++              #size-cells = <0>;
++              spi-max-frequency = <125000000>;
++      };
++};
++
++/delete-node/ &i2c0mux;
++
++i2c0: &i2c0if {
++      pinctrl-names = "default";
++      pinctrl-0 = <&i2c0_pins>;
++      clock-frequency = <100000>;
++};
++
++i2c_csi_dsi: &i2c1 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&i2c1_pins>;
++      clock-frequency = <100000>;
++};
++
++/ {
++      aliases {
++              i2c0 = &i2c0;
++      };
++
++      __overrides__ {
++              i2c0 = <&i2c0>, "status";
++      };
++};
++
++&i2c2 {
++      clock-frequency = <100000>;
++};
++
++&i2s {
++      pinctrl-names = "default";
++      pinctrl-0 = <&i2s_pins>;
++};
++
++&leds {
++      act_led: act {
++              label = "led0";
++              linux,default-trigger = "mmc0";
++              gpios = <&gpio 16 1>;
++      };
++};
++
++&hdmi {
++      hpd-gpios = <&gpio 46 GPIO_ACTIVE_HIGH>;
++};
++
++&audio {
++      pinctrl-names = "default";
++      pinctrl-0 = <&audio_pins>;
++};
++
++/ {
++      __overrides__ {
++              act_led_gpio = <&act_led>,"gpios:4";
++              act_led_activelow = <&act_led>,"gpios:8";
++              act_led_trigger = <&act_led>,"linux,default-trigger";
++      };
++};
+--- a/arch/arm/boot/dts/bcm270x-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm270x-rpi.dtsi
+@@ -110,6 +110,10 @@
+       status = "disabled";
+ };
++&i2c0mux {
++      status = "disabled";
++};
++
+ &i2c1 {
+       status = "disabled";
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0661-media-imx219-Advertise-embedded-data-node-on-media-p.patch b/target/linux/bcm27xx/patches-5.4/950-0661-media-imx219-Advertise-embedded-data-node-on-media-p.patch
deleted file mode 100644 (file)
index c4c25d1..0000000
+++ /dev/null
@@ -1,335 +0,0 @@
-From 372b138a6bc43b0fdff4e46ae4c799fd1b1f2785 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Thu, 12 Mar 2020 14:09:38 +0000
-Subject: [PATCH] media: imx219: Advertise embedded data node on media
- pad 1
-
-This commit updates the imx219 driver to adverise support for embedded
-data streams.  This can then be used by the bcm2835-unicam driver, which
-has recently been updated to expose the embedded data stream to
-userland.
-
-The imx219 sensor subdevice overloads the media pad to differentiate
-between image stream (pad 0) and embedded data stream (pad 1) when
-performing the v4l2_subdev_pad_ops functions.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- drivers/media/i2c/imx219.c | 226 +++++++++++++++++++++++++------------
- 1 file changed, 155 insertions(+), 71 deletions(-)
-
---- a/drivers/media/i2c/imx219.c
-+++ b/drivers/media/i2c/imx219.c
-@@ -112,6 +112,16 @@
- #define IMX219_TESTP_BLUE_DEFAULT     0
- #define IMX219_TESTP_GREENB_DEFAULT   0
-+/* Embedded metadata stream structure */
-+#define IMX219_EMBEDDED_LINE_WIDTH 16384
-+#define IMX219_NUM_EMBEDDED_LINES 1
-+
-+enum pad_types {
-+      IMAGE_PAD,
-+      METADATA_PAD,
-+      NUM_PADS
-+};
-+
- struct imx219_reg {
-       u16 address;
-       u8 val;
-@@ -503,7 +513,7 @@ static const struct imx219_mode supporte
- struct imx219 {
-       struct v4l2_subdev sd;
--      struct media_pad pad;
-+      struct media_pad pad[NUM_PADS];
-       struct v4l2_mbus_framefmt fmt;
-@@ -652,17 +662,25 @@ static void imx219_set_default_format(st
- static int imx219_open(struct v4l2_subdev *sd, struct v4l2_subdev_fh *fh)
- {
-       struct imx219 *imx219 = to_imx219(sd);
--      struct v4l2_mbus_framefmt *try_fmt =
--              v4l2_subdev_get_try_format(sd, fh->pad, 0);
-+      struct v4l2_mbus_framefmt *try_fmt_img =
-+              v4l2_subdev_get_try_format(sd, fh->pad, IMAGE_PAD);
-+      struct v4l2_mbus_framefmt *try_fmt_meta =
-+              v4l2_subdev_get_try_format(sd, fh->pad, METADATA_PAD);
-       mutex_lock(&imx219->mutex);
--      /* Initialize try_fmt */
--      try_fmt->width = supported_modes[0].width;
--      try_fmt->height = supported_modes[0].height;
--      try_fmt->code = imx219_get_format_code(imx219,
--                                             MEDIA_BUS_FMT_SRGGB10_1X10);
--      try_fmt->field = V4L2_FIELD_NONE;
-+      /* Initialize try_fmt for the image pad */
-+      try_fmt_img->width = supported_modes[0].width;
-+      try_fmt_img->height = supported_modes[0].height;
-+      try_fmt_img->code = imx219_get_format_code(imx219,
-+                                                 MEDIA_BUS_FMT_SRGGB10_1X10);
-+      try_fmt_img->field = V4L2_FIELD_NONE;
-+
-+      /* Initialize try_fmt for the embedded metadata pad */
-+      try_fmt_meta->width = IMX219_EMBEDDED_LINE_WIDTH;
-+      try_fmt_meta->height = IMX219_NUM_EMBEDDED_LINES;
-+      try_fmt_meta->code = MEDIA_BUS_FMT_SENSOR_DATA;
-+      try_fmt_meta->field = V4L2_FIELD_NONE;
-       mutex_unlock(&imx219->mutex);
-@@ -764,10 +782,21 @@ static int imx219_enum_mbus_code(struct
- {
-       struct imx219 *imx219 = to_imx219(sd);
--      if (code->index >= (ARRAY_SIZE(codes) / 4))
-+      if (code->pad >= NUM_PADS)
-               return -EINVAL;
--      code->code = imx219_get_format_code(imx219, codes[code->index * 4]);
-+      if (code->pad == IMAGE_PAD) {
-+              if (code->index >= (ARRAY_SIZE(codes) / 4))
-+                      return -EINVAL;
-+
-+              code->code = imx219_get_format_code(imx219,
-+                                                  codes[code->index * 4]);
-+      } else {
-+              if (code->index > 0)
-+                      return -EINVAL;
-+
-+              code->code = MEDIA_BUS_FMT_SENSOR_DATA;
-+      }
-       return 0;
- }
-@@ -778,16 +807,29 @@ static int imx219_enum_frame_size(struct
- {
-       struct imx219 *imx219 = to_imx219(sd);
--      if (fse->index >= ARRAY_SIZE(supported_modes))
-+      if (fse->pad >= NUM_PADS)
-               return -EINVAL;
--      if (fse->code != imx219_get_format_code(imx219, fse->code))
--              return -EINVAL;
-+      if (fse->pad == IMAGE_PAD) {
-+              if (fse->index >= ARRAY_SIZE(supported_modes))
-+                      return -EINVAL;
-+
-+              if (fse->code != imx219_get_format_code(imx219, fse->code))
-+                      return -EINVAL;
-+
-+              fse->min_width = supported_modes[fse->index].width;
-+              fse->max_width = fse->min_width;
-+              fse->min_height = supported_modes[fse->index].height;
-+              fse->max_height = fse->min_height;
-+      } else {
-+              if (fse->code != MEDIA_BUS_FMT_SENSOR_DATA || fse->index > 0)
-+                      return -EINVAL;
--      fse->min_width = supported_modes[fse->index].width;
--      fse->max_width = fse->min_width;
--      fse->min_height = supported_modes[fse->index].height;
--      fse->max_height = fse->min_height;
-+              fse->min_width = IMX219_EMBEDDED_LINE_WIDTH;
-+              fse->max_width = fse->min_width;
-+              fse->min_height = IMX219_NUM_EMBEDDED_LINES;
-+              fse->max_height = fse->min_height;
-+      }
-       return 0;
- }
-@@ -802,9 +844,9 @@ static void imx219_reset_colorspace(stru
-       fmt->xfer_func = V4L2_MAP_XFER_FUNC_DEFAULT(fmt->colorspace);
- }
--static void imx219_update_pad_format(struct imx219 *imx219,
--                                   const struct imx219_mode *mode,
--                                   struct v4l2_subdev_format *fmt)
-+static void imx219_update_image_pad_format(struct imx219 *imx219,
-+                                         const struct imx219_mode *mode,
-+                                         struct v4l2_subdev_format *fmt)
- {
-       fmt->format.width = mode->width;
-       fmt->format.height = mode->height;
-@@ -812,20 +854,38 @@ static void imx219_update_pad_format(str
-       imx219_reset_colorspace(&fmt->format);
- }
-+static void imx219_update_metadata_pad_format(struct v4l2_subdev_format *fmt)
-+{
-+      fmt->format.width = IMX219_EMBEDDED_LINE_WIDTH;
-+      fmt->format.height = IMX219_NUM_EMBEDDED_LINES;
-+      fmt->format.code = MEDIA_BUS_FMT_SENSOR_DATA;
-+      fmt->format.field = V4L2_FIELD_NONE;
-+}
-+
- static int __imx219_get_pad_format(struct imx219 *imx219,
-                                  struct v4l2_subdev_pad_config *cfg,
-                                  struct v4l2_subdev_format *fmt)
- {
-+      if (fmt->pad >= NUM_PADS)
-+              return -EINVAL;
-+
-       if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
-               struct v4l2_mbus_framefmt *try_fmt =
-                       v4l2_subdev_get_try_format(&imx219->sd, cfg, fmt->pad);
-               /* update the code which could change due to vflip or hflip: */
--              try_fmt->code = imx219_get_format_code(imx219, try_fmt->code);
-+              try_fmt->code = fmt->pad == IMAGE_PAD ?
-+                              imx219_get_format_code(imx219, try_fmt->code) :
-+                              MEDIA_BUS_FMT_SENSOR_DATA;
-               fmt->format = *try_fmt;
-       } else {
--              imx219_update_pad_format(imx219, imx219->mode, fmt);
--              fmt->format.code = imx219_get_format_code(imx219,
--                                                        imx219->fmt.code);
-+              if (fmt->pad == IMAGE_PAD) {
-+                      imx219_update_image_pad_format(imx219, imx219->mode,
-+                                                     fmt);
-+                      fmt->format.code = imx219_get_format_code(imx219,
-+                                                            imx219->fmt.code);
-+              } else {
-+                      imx219_update_metadata_pad_format(fmt);
-+              }
-       }
-       return 0;
-@@ -855,51 +915,74 @@ static int imx219_set_pad_format(struct
-       int exposure_max, exposure_def, hblank;
-       unsigned int i;
--      mutex_lock(&imx219->mutex);
--
--      for (i = 0; i < ARRAY_SIZE(codes); i++)
--              if (codes[i] == fmt->format.code)
--                      break;
--      if (i >= ARRAY_SIZE(codes))
--              i = 0;
-+      if (fmt->pad >= NUM_PADS)
-+              return -EINVAL;
--      /* Bayer order varies with flips */
--      fmt->format.code = imx219_get_format_code(imx219, codes[i]);
-+      mutex_lock(&imx219->mutex);
--      mode = v4l2_find_nearest_size(supported_modes,
--                                    ARRAY_SIZE(supported_modes),
--                                    width, height,
--                                    fmt->format.width, fmt->format.height);
--      imx219_update_pad_format(imx219, mode, fmt);
--      if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
--              framefmt = v4l2_subdev_get_try_format(sd, cfg, fmt->pad);
--              *framefmt = fmt->format;
--      } else if (imx219->mode != mode ||
--                 imx219->fmt.code != fmt->format.code) {
--              imx219->fmt = fmt->format;
--              imx219->mode = mode;
--              /* Update limits and set FPS to default */
--              __v4l2_ctrl_modify_range(imx219->vblank, IMX219_VBLANK_MIN,
--                                       IMX219_VTS_MAX - mode->height, 1,
--                                       mode->vts_def - mode->height);
--              __v4l2_ctrl_s_ctrl(imx219->vblank,
--                                 mode->vts_def - mode->height);
--              /* Update max exposure while meeting expected vblanking */
--              exposure_max = mode->vts_def - 4;
--              exposure_def = (exposure_max < IMX219_EXPOSURE_DEFAULT) ?
--                      exposure_max : IMX219_EXPOSURE_DEFAULT;
--              __v4l2_ctrl_modify_range(imx219->exposure,
--                                       imx219->exposure->minimum,
--                                       exposure_max, imx219->exposure->step,
--                                       exposure_def);
--              /*
--               * Currently PPL is fixed to IMX219_PPL_DEFAULT, so hblank
--               * depends on mode->width only, and is not changeble in any
--               * way other than changing the mode.
--               */
--              hblank = IMX219_PPL_DEFAULT - mode->width;
--              __v4l2_ctrl_modify_range(imx219->hblank, hblank, hblank, 1,
--                                       hblank);
-+      if (fmt->pad == IMAGE_PAD) {
-+              for (i = 0; i < ARRAY_SIZE(codes); i++)
-+                      if (codes[i] == fmt->format.code)
-+                              break;
-+              if (i >= ARRAY_SIZE(codes))
-+                      i = 0;
-+
-+              /* Bayer order varies with flips */
-+              fmt->format.code = imx219_get_format_code(imx219, codes[i]);
-+
-+              mode = v4l2_find_nearest_size(supported_modes,
-+                                            ARRAY_SIZE(supported_modes),
-+                                            width, height,
-+                                            fmt->format.width,
-+                                            fmt->format.height);
-+              imx219_update_image_pad_format(imx219, mode, fmt);
-+              if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
-+                      framefmt = v4l2_subdev_get_try_format(sd, cfg,
-+                                                            fmt->pad);
-+                      *framefmt = fmt->format;
-+              } else if (imx219->mode != mode ||
-+                      imx219->fmt.code != fmt->format.code) {
-+                      imx219->fmt = fmt->format;
-+                      imx219->mode = mode;
-+                      /* Update limits and set FPS to default */
-+                      __v4l2_ctrl_modify_range(imx219->vblank,
-+                                               IMX219_VBLANK_MIN,
-+                                               IMX219_VTS_MAX - mode->height,
-+                                               1,
-+                                               mode->vts_def - mode->height);
-+                      __v4l2_ctrl_s_ctrl(imx219->vblank,
-+                                         mode->vts_def - mode->height);
-+                      /*
-+                       * Update max exposure while meeting
-+                       * expected vblanking
-+                       */
-+                      exposure_max = mode->vts_def - 4;
-+                      exposure_def =
-+                              (exposure_max < IMX219_EXPOSURE_DEFAULT) ?
-+                                      exposure_max : IMX219_EXPOSURE_DEFAULT;
-+                      __v4l2_ctrl_modify_range(imx219->exposure,
-+                                               imx219->exposure->minimum,
-+                                               exposure_max,
-+                                               imx219->exposure->step,
-+                                               exposure_def);
-+                      /*
-+                       * Currently PPL is fixed to IMX219_PPL_DEFAULT, so
-+                       * hblank depends on mode->width only, and is not
-+                       * changeble in any way other than changing the mode.
-+                       */
-+                      hblank = IMX219_PPL_DEFAULT - mode->width;
-+                      __v4l2_ctrl_modify_range(imx219->hblank, hblank, hblank,
-+                                               1, hblank);
-+              }
-+      } else {
-+              if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
-+                      framefmt = v4l2_subdev_get_try_format(sd, cfg,
-+                                                            fmt->pad);
-+                      *framefmt = fmt->format;
-+              } else {
-+                      /* Only one embedded data mode is supported */
-+                      imx219_update_metadata_pad_format(fmt);
-+              }
-       }
-       mutex_unlock(&imx219->mutex);
-@@ -1399,13 +1482,14 @@ static int imx219_probe(struct i2c_clien
-       imx219->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
-       imx219->sd.entity.function = MEDIA_ENT_F_CAM_SENSOR;
--      /* Initialize source pad */
--      imx219->pad.flags = MEDIA_PAD_FL_SOURCE;
-+      /* Initialize source pads */
-+      imx219->pad[IMAGE_PAD].flags = MEDIA_PAD_FL_SOURCE;
-+      imx219->pad[METADATA_PAD].flags = MEDIA_PAD_FL_SOURCE;
-       /* Initialize default format */
-       imx219_set_default_format(imx219);
--      ret = media_entity_pads_init(&imx219->sd.entity, 1, &imx219->pad);
-+      ret = media_entity_pads_init(&imx219->sd.entity, NUM_PADS, imx219->pad);
-       if (ret) {
-               dev_err(dev, "failed to init entity pads: %d\n", ret);
-               goto error_handler_free;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0662-dts-bcm2711-EMMC2-can-address-the-whole-first-GB.patch b/target/linux/bcm27xx/patches-5.4/950-0662-dts-bcm2711-EMMC2-can-address-the-whole-first-GB.patch
deleted file mode 100644 (file)
index 4c5e506..0000000
+++ /dev/null
@@ -1,31 +0,0 @@
-From 4f2da50bb75ec7b74a23e119062d945626398e30 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Mon, 20 Apr 2020 11:25:18 +0100
-Subject: [PATCH] dts: bcm2711: EMMC2 can address the whole first GB
-
-Although 0xfc000000 looks like an inaccessible RAM address (due to the
-peripheral mappings), with RAM mapped at 0xc0000000 (as it is on the
-30/32-bit VPU bus) this is actually 0x3c000000 in the ARM memory space,
-which is fine.
-
-This difference is potentially the cause of some warnings seen in
-sdhci_send_command.
-
-Fixes: "dts: bcm2711: Move emmc2 to its own 'bus'"
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -223,7 +223,7 @@
-               #size-cells = <1>;
-               ranges = <0x0 0x7e000000  0x0 0xfe000000  0x01800000>;
--              dma-ranges = <0x0 0xc0000000  0x0 0x00000000  0x3c000000>;
-+              dma-ranges = <0x0 0xc0000000  0x0 0x00000000  0x40000000>;
-               emmc2: emmc2@7e340000 {
-                       compatible = "brcm,bcm2711-emmc2";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0662-dts-bcm2711-set-size-cells-2.patch b/target/linux/bcm27xx/patches-5.4/950-0662-dts-bcm2711-set-size-cells-2.patch
new file mode 100644 (file)
index 0000000..fa5a2d4
--- /dev/null
@@ -0,0 +1,117 @@
+From 6fe41cac345c8010943defa4ebc2496dd7ca05a1 Mon Sep 17 00:00:00 2001
+From: Hristo Venev <hristo@venev.name>
+Date: Wed, 22 Apr 2020 13:40:47 +0300
+Subject: [PATCH] dts: bcm2711: set #size-cells = <2>
+
+There already is one 4 GiB range, and one more will appear when high
+peripheral mode is enabled.
+
+Signed-off-by: Hristo Venev <hristo@venev.name>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 27 +++++++++++++--------------
+ arch/arm/boot/dts/bcm2711.dtsi     | 10 +++++-----
+ 2 files changed, 18 insertions(+), 19 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -65,17 +65,16 @@
+ };
+ &scb {
+-      ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>,
+-               <0x0 0x40000000  0x0 0xff800000  0x00800000>,
+-               <0x6 0x00000000  0x6 0x00000000  0x40000000>,
+-               <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
+-      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0xfc000000>,
+-                   <0x1 0x00000000  0x1 0x00000000  0x80000000>,
+-                   <0x1 0x80000000  0x1 0x80000000  0x80000000>;
++      ranges = <0x0 0x7c000000  0x0 0xfc000000  0x0 0x03800000>,
++               <0x0 0x40000000  0x0 0xff800000  0x0 0x00800000>,
++               <0x6 0x00000000  0x6 0x00000000  0x0 0x40000000>,
++               <0x0 0x00000000  0x0 0x00000000  0x0 0xfc000000>;
++      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0x0 0xfc000000>,
++                   <0x1 0x00000000  0x1 0x00000000  0x1 0x00000000>;
+       dma40: dma@7e007b00 {
+               compatible = "brcm,bcm2711-dma";
+-              reg = <0x0 0x7e007b00 0x400>;
++              reg = <0x0 0x7e007b00  0x0 0x400>;
+               interrupts =
+                       <GIC_SPI 89 IRQ_TYPE_LEVEL_HIGH>, /* dma4 11 */
+                       <GIC_SPI 90 IRQ_TYPE_LEVEL_HIGH>, /* dma4 12 */
+@@ -91,39 +90,39 @@
+       vchiq: mailbox@7e00b840 {
+               compatible = "brcm,bcm2711-vchiq";
+-              reg = <0 0x7e00b840 0x3c>;
++              reg = <0 0x7e00b840  0x0 0x3c>;
+               interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
+       };
+       xhci: xhci@7e9c0000 {
+               compatible = "generic-xhci";
+               status = "disabled";
+-              reg = <0x0 0x7e9c0000 0x100000>;
++              reg = <0x0 0x7e9c0000  0x0 0x100000>;
+               interrupts = <GIC_SPI 176 IRQ_TYPE_LEVEL_HIGH>;
+       };
+       hevc-decoder@7eb00000 {
+               compatible = "raspberrypi,rpivid-hevc-decoder";
+-              reg = <0x0 0x7eb00000 0x10000>;
++              reg = <0x0 0x7eb00000  0x0 0x10000>;
+               status = "okay";
+       };
+       rpivid-local-intc@7eb10000 {
+               compatible = "raspberrypi,rpivid-local-intc";
+-              reg = <0x0 0x7eb10000 0x1000>;
++              reg = <0x0 0x7eb10000  0x0 0x1000>;
+               status = "okay";
+               interrupts = <GIC_SPI 98 IRQ_TYPE_LEVEL_HIGH>;
+       };
+       h264-decoder@7eb20000 {
+               compatible = "raspberrypi,rpivid-h264-decoder";
+-              reg = <0x0 0x7eb20000 0x10000>;
++              reg = <0x0 0x7eb20000  0x0 0x10000>;
+               status = "okay";
+       };
+       vp9-decoder@7eb30000 {
+               compatible = "raspberrypi,rpivid-vp9-decoder";
+-              reg = <0x0 0x7eb30000 0x10000>;
++              reg = <0x0 0x7eb30000  0x0 0x10000>;
+               status = "okay";
+       };
+ };
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -445,14 +445,14 @@
+       scb {
+               compatible = "simple-bus";
+               #address-cells = <2>;
+-              #size-cells = <1>;
++              #size-cells = <2>;
+-              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>,
+-                       <0x6 0x00000000  0x6 0x00000000  0x40000000>;
++              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x0 0x03800000>,
++                       <0x6 0x00000000  0x6 0x00000000  0x0 0x40000000>;
+               pcie0: pcie@7d500000 {
+                       compatible = "brcm,bcm2711-pcie";
+-                      reg = <0x0 0x7d500000 0x9310>;
++                      reg = <0x0 0x7d500000  0x0 0x9310>;
+                       device_type = "pci";
+                       #address-cells = <3>;
+                       #interrupt-cells = <1>;
+@@ -480,7 +480,7 @@
+               genet: ethernet@7d580000 {
+                       compatible = "brcm,bcm2711-genet-v5";
+-                      reg = <0x0 0x7d580000 0x10000>;
++                      reg = <0x0 0x7d580000  0x0 0x10000>;
+                       #address-cells = <0x1>;
+                       #size-cells = <0x1>;
+                       interrupts = <GIC_SPI 157 IRQ_TYPE_LEVEL_HIGH>,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0663-driver-char-rpivid-Remove-legacy-name-support.patch b/target/linux/bcm27xx/patches-5.4/950-0663-driver-char-rpivid-Remove-legacy-name-support.patch
deleted file mode 100644 (file)
index a3896be..0000000
+++ /dev/null
@@ -1,53 +0,0 @@
-From 77beb3055b14910fa3ef9af606476520e956bf93 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Mon, 20 Apr 2020 22:18:52 +0100
-Subject: [PATCH] driver: char: rpivid: Remove legacy name support
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- drivers/char/broadcom/rpivid-mem.c | 22 ----------------------
- 1 file changed, 22 deletions(-)
-
---- a/drivers/char/broadcom/rpivid-mem.c
-+++ b/drivers/char/broadcom/rpivid-mem.c
-@@ -193,32 +193,11 @@ static int rpivid_mem_probe(struct platf
-               goto failed_device_create;
-       }
--      /* Legacy alias */
--      {
--              char *oldname = kstrdup(priv->name, GFP_KERNEL);
--
--              oldname[1] = 'a';
--              oldname[2] = 'r';
--              oldname[3] = 'g';
--              oldname[4] = 'o';
--              oldname[5] = 'n';
--              dev = device_create(priv->class, NULL, priv->devid + 1, NULL,
--                                  oldname + 1);
--              kfree(oldname);
--
--              if (IS_ERR(dev)) {
--                      err = PTR_ERR(dev);
--                      goto failed_legacy_device_create;
--              }
--      }
--
-       dev_info(priv->dev, "%s initialised: Registers at 0x%08lx length 0x%08lx",
-               priv->name, priv->regs_phys, priv->mem_window_len);
-       return 0;
--failed_legacy_device_create:
--      device_destroy(priv->class, priv->devid);
- failed_device_create:
-       class_destroy(priv->class);
- failed_class_create:
-@@ -238,7 +217,6 @@ static int rpivid_mem_remove(struct plat
-       struct device *dev = &pdev->dev;
-       struct rpivid_mem_priv *priv = platform_get_drvdata(pdev);
--      device_destroy(priv->class, priv->devid + 1);
-       device_destroy(priv->class, priv->devid);
-       class_destroy(priv->class);
-       cdev_del(&priv->rpivid_mem_cdev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0663-dts-bcm2711-add-High-Peripheral-mode-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0663-dts-bcm2711-add-High-Peripheral-mode-overlay.patch
new file mode 100644 (file)
index 0000000..e24f5de
--- /dev/null
@@ -0,0 +1,140 @@
+From f6d6731d8e896ab029466547dfa66d91a9a6b73a Mon Sep 17 00:00:00 2001
+From: Hristo Venev <hristo@venev.name>
+Date: Wed, 22 Apr 2020 16:34:59 +0300
+Subject: [PATCH] dts: bcm2711: add "High Peripheral" mode overlay
+
+The following addresses change:
+
+ - 0xfc00_0000 -> 0x4_7c00_0000
+ - 0xff80_0000 -> 0x4_c000_0000
+
+The range 0xfc00_0000-0xffff_ffff becomes available as system RAM on
+devices with >= 4 GiB of RAM. Firmware should initialize the memory node
+appropriately.
+
+Signed-off-by: Hristo Venev <hristo@venev.name>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi            |  2 +-
+ arch/arm/boot/dts/overlays/Makefile           |  1 +
+ arch/arm/boot/dts/overlays/README             |  6 ++
+ .../boot/dts/overlays/highperi-overlay.dts    | 64 +++++++++++++++++++
+ arch/arm/boot/dts/overlays/overlay_map.dts    |  4 ++
+ 5 files changed, 76 insertions(+), 1 deletion(-)
+ create mode 100644 arch/arm/boot/dts/overlays/highperi-overlay.dts
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -16,7 +16,7 @@
+               compatible = "arm,cortex-a72-pmu", "arm,cortex-a15-pmu";
+       };
+-      v3dbus {
++      v3dbus: v3dbus {
+               compatible = "simple-bus";
+               #address-cells = <1>;
+               #size-cells = <2>;
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -64,6 +64,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       hifiberry-dacplushd.dtbo \
+       hifiberry-digi.dtbo \
+       hifiberry-digi-pro.dtbo \
++      highperi.dtbo \
+       hy28a.dtbo \
+       hy28b.dtbo \
+       hy28b-2017.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1019,6 +1019,12 @@ Load:   dtoverlay=hifiberry-digi-pro
+ Params: <None>
++Name:   highperi
++Info:   Enables "High Peripheral" mode
++Load:   dtoverlay=highperi
++Params: <None>
++
++
+ Name:   hy28a
+ Info:   HY28A - 2.8" TFT LCD Display Module by HAOYU Electronics
+         Default values match Texy's display shield
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/highperi-overlay.dts
+@@ -0,0 +1,64 @@
++/*
++ * highperi.dts
++ */
++
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2711";
++
++      fragment@0 {
++              target = <&soc>;
++              #address-cells = <2>;
++              #size-cells = <1>;
++
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <1>;
++                      ranges = <0x7c000000  0x4 0x7c000000  0x04000000>,
++                               <0x40000000  0x4 0xc0000000  0x00800000>;
++              };
++      };
++
++      fragment@1 {
++              target = <&scb>;
++              #address-cells = <2>;
++              #size-cells = <1>;
++
++              __overlay__ {
++                      #address-cells = <2>;
++                      #size-cells = <2>;
++                      ranges = <0x0 0x7c000000  0x4 0x7c000000  0x0 0x04000000>,
++                               <0x0 0x40000000  0x4 0xc0000000  0x0 0x00800000>,
++                               <0x6 0x00000000  0x6 0x00000000  0x0 0x40000000>,
++                               <0x0 0x00000000  0x0 0x00000000  0x1 0x00000000>;
++                      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0x2 0x00000000>;
++              };
++      };
++
++      fragment@2 {
++              target = <&v3dbus>;
++              #address-cells = <2>;
++              #size-cells = <1>;
++
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <2>;
++                      ranges = <0x7c500000  0x4 0x7c500000  0x0 0x03300000>,
++                               <0x40000000  0x4 0xc0000000  0x0 0x00800000>;
++              };
++      };
++
++      fragment@3 {
++              target = <&emmc2bus>;
++              #address-cells = <2>;
++              #size-cells = <1>;
++
++              __overlay__ {
++                      #address-cells = <2>;
++                      #size-cells = <1>;
++                      ranges = <0x0 0x7e000000  0x4 0x7e000000  0x01800000>;
++              };
++      };
++};
+--- a/arch/arm/boot/dts/overlays/overlay_map.dts
++++ b/arch/arm/boot/dts/overlays/overlay_map.dts
+@@ -5,6 +5,10 @@
+               deprecated = "use i2c-sensor,bmp085";
+       };
++      highperi {
++              bcm2711;
++      };
++
+       i2c0-bcm2708 {
+               deprecated = "use i2c0";
+       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0664-Revert-spi-spidev-Fix-CS-polarity-if-GPIO-descriptor.patch b/target/linux/bcm27xx/patches-5.4/950-0664-Revert-spi-spidev-Fix-CS-polarity-if-GPIO-descriptor.patch
new file mode 100644 (file)
index 0000000..00bbe98
--- /dev/null
@@ -0,0 +1,32 @@
+From 4c7f1a1c3d1bfd35b5a4089766ff0882d7b4ee0d Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 20 Apr 2020 13:41:10 +0100
+Subject: [PATCH] Revert "spi: spidev: Fix CS polarity if GPIO
+ descriptors are used"
+
+This reverts commit 83b2a8fe43bda0c11981ad6afa5dd0104d78be28.
+---
+ drivers/spi/spidev.c | 5 -----
+ 1 file changed, 5 deletions(-)
+
+--- a/drivers/spi/spidev.c
++++ b/drivers/spi/spidev.c
+@@ -399,7 +399,6 @@ spidev_ioctl(struct file *filp, unsigned
+               else
+                       retval = get_user(tmp, (u32 __user *)arg);
+               if (retval == 0) {
+-                      struct spi_controller *ctlr = spi->controller;
+                       u32     save = spi->mode;
+                       if (tmp & ~SPI_MODE_MASK) {
+@@ -407,10 +406,6 @@ spidev_ioctl(struct file *filp, unsigned
+                               break;
+                       }
+-                      if (ctlr->use_gpio_descriptors && ctlr->cs_gpiods &&
+-                          ctlr->cs_gpiods[spi->chip_select])
+-                              tmp |= SPI_CS_HIGH;
+-
+                       tmp |= spi->mode & ~SPI_MODE_MASK;
+                       spi->mode = (u16)tmp;
+                       retval = spi_setup(spi);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0664-driver-char-rpivid-Don-t-map-more-than-wanted.patch b/target/linux/bcm27xx/patches-5.4/950-0664-driver-char-rpivid-Don-t-map-more-than-wanted.patch
deleted file mode 100644 (file)
index afc1a5a..0000000
+++ /dev/null
@@ -1,51 +0,0 @@
-From 8c2369b39b1dafe7a26907173bb47d37ec53bfa2 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Tue, 21 Apr 2020 11:30:23 +0100
-Subject: [PATCH] driver: char: rpivid: Don't map more than wanted
-
-Limit mappings to the permitted range, but don't map more than asked
-for otherwise we walk off the end of the allocated VMA.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- drivers/char/broadcom/rpivid-mem.c | 12 ++++++------
- 1 file changed, 6 insertions(+), 6 deletions(-)
-
---- a/drivers/char/broadcom/rpivid-mem.c
-+++ b/drivers/char/broadcom/rpivid-mem.c
-@@ -100,6 +100,7 @@ static int rpivid_mem_mmap(struct file *
- {
-       struct rpivid_mem_priv *priv;
-       unsigned long pages;
-+      unsigned long len;
-       priv = file->private_data;
-       pages = priv->regs_phys >> PAGE_SHIFT;
-@@ -107,14 +108,13 @@ static int rpivid_mem_mmap(struct file *
-        * The address decode is far larger than the actual number of registers.
-        * Just map the whole lot in.
-        */
--      vma->vm_page_prot = phys_mem_access_prot(file, pages,
--                                               priv->mem_window_len,
-+      len = min(vma->vm_end - vma->vm_start, priv->mem_window_len);
-+      vma->vm_page_prot = phys_mem_access_prot(file, pages, len,
-                                                vma->vm_page_prot);
-       vma->vm_ops = &rpivid_mem_vm_ops;
-       if (remap_pfn_range(vma, vma->vm_start,
--                      pages,
--                      priv->mem_window_len,
--                      vma->vm_page_prot)) {
-+                          pages, len,
-+                          vma->vm_page_prot)) {
-               return -EAGAIN;
-       }
-       return 0;
-@@ -156,7 +156,7 @@ static int rpivid_mem_probe(struct platf
-       ioresource = platform_get_resource(pdev, IORESOURCE_MEM, 0);
-       if (ioresource) {
-               priv->regs_phys = ioresource->start;
--              priv->mem_window_len = ioresource->end - ioresource->start;
-+              priv->mem_window_len = (ioresource->end + 1) - ioresource->start;
-       } else {
-               dev_err(priv->dev, "failed to get IO resource");
-               err = -ENOENT;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0665-dt-Implement-an-I2C-pinctrl-mux-for-BSC0.patch b/target/linux/bcm27xx/patches-5.4/950-0665-dt-Implement-an-I2C-pinctrl-mux-for-BSC0.patch
deleted file mode 100644 (file)
index 002ad86..0000000
+++ /dev/null
@@ -1,434 +0,0 @@
-From 77d7427bed21c92d1c10e0cc9beabb5ce9bb6c0b Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Thu, 9 Apr 2020 12:46:13 +0100
-Subject: [PATCH] dt: Implement an I2C pinctrl mux for BSC0.
-
-BSC0 serves either the HAT EEPROM pins on the 40pin connector,
-or the display and camera on a board specific pairing of either
-GPIO 28&29, or 44&45.
-
-Use I2C_MUX_PINCTRL to allow exposing both pairs of pins as I2C
-busses.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2708-rpi-b-plus.dts      |  9 ++++---
- arch/arm/boot/dts/bcm2708-rpi-b.dts           |  9 ++++---
- arch/arm/boot/dts/bcm2708-rpi-cm.dts          |  9 ++++---
- arch/arm/boot/dts/bcm2708-rpi-zero-w.dts      |  9 ++++---
- arch/arm/boot/dts/bcm2708-rpi-zero.dts        |  9 ++++---
- arch/arm/boot/dts/bcm2709-rpi-2-b.dts         |  9 ++++---
- arch/arm/boot/dts/bcm270x-rpi.dtsi            |  7 ++---
- arch/arm/boot/dts/bcm2710-rpi-2-b.dts         |  9 ++++---
- arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts    |  9 ++++---
- arch/arm/boot/dts/bcm2710-rpi-3-b.dts         |  9 ++++---
- arch/arm/boot/dts/bcm2710-rpi-cm3.dts         | 10 ++++---
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts         |  5 ++--
- arch/arm/boot/dts/bcm2711.dtsi                |  2 +-
- .../boot/dts/bcm283x-rpi-i2c0mux_0_28.dtsi    |  4 +++
- .../boot/dts/bcm283x-rpi-i2c0mux_0_44.dtsi    |  4 +++
- arch/arm/boot/dts/bcm283x.dtsi                | 26 ++++++++++++++++++-
- 16 files changed, 100 insertions(+), 39 deletions(-)
- create mode 100644 arch/arm/boot/dts/bcm283x-rpi-i2c0mux_0_28.dtsi
- create mode 100644 arch/arm/boot/dts/bcm283x-rpi-i2c0mux_0_44.dtsi
-
---- a/arch/arm/boot/dts/bcm2708-rpi-b-plus.dts
-+++ b/arch/arm/boot/dts/bcm2708-rpi-b-plus.dts
-@@ -4,6 +4,7 @@
- #include "bcm2708-rpi.dtsi"
- #include "bcm283x-rpi-smsc9514.dtsi"
- #include "bcm283x-rpi-csi1-2lane.dtsi"
-+#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
- / {
-       compatible = "raspberrypi,model-b-plus", "brcm,bcm2835";
-@@ -68,12 +69,14 @@
-       };
- };
--&i2c0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2c0_pins>;
-+&i2c0if {
-       clock-frequency = <100000>;
- };
-+&i2c0mux {
-+      pinctrl-0 = <&i2c0_pins>;
-+};
-+
- &i2c1 {
-       pinctrl-names = "default";
-       pinctrl-0 = <&i2c1_pins>;
---- a/arch/arm/boot/dts/bcm2708-rpi-b.dts
-+++ b/arch/arm/boot/dts/bcm2708-rpi-b.dts
-@@ -4,6 +4,7 @@
- #include "bcm2708-rpi.dtsi"
- #include "bcm283x-rpi-smsc9512.dtsi"
- #include "bcm283x-rpi-csi1-2lane.dtsi"
-+#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
- / {
-       compatible = "raspberrypi,model-b", "brcm,bcm2835";
-@@ -68,12 +69,14 @@
-       };
- };
--&i2c0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2c0_pins>;
-+&i2c0if {
-       clock-frequency = <100000>;
- };
-+&i2c0mux {
-+      pinctrl-0 = <&i2c0_pins>;
-+};
-+
- &i2c1 {
-       pinctrl-names = "default";
-       pinctrl-0 = <&i2c1_pins>;
---- a/arch/arm/boot/dts/bcm2708-rpi-cm.dts
-+++ b/arch/arm/boot/dts/bcm2708-rpi-cm.dts
-@@ -3,6 +3,7 @@
- #include "bcm2708-rpi-cm.dtsi"
- #include "bcm283x-rpi-csi0-2lane.dtsi"
- #include "bcm283x-rpi-csi1-4lane.dtsi"
-+#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
- / {
-       compatible = "raspberrypi,compute-module", "brcm,bcm2835";
-@@ -67,12 +68,14 @@
-       };
- };
--&i2c0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2c0_pins>;
-+&i2c0if {
-       clock-frequency = <100000>;
- };
-+&i2c0mux {
-+      pinctrl-0 = <&i2c0_pins>;
-+};
-+
- &i2c1 {
-       pinctrl-names = "default";
-       pinctrl-0 = <&i2c1_pins>;
---- a/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
-+++ b/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
-@@ -3,6 +3,7 @@
- #include "bcm2708.dtsi"
- #include "bcm2708-rpi.dtsi"
- #include "bcm283x-rpi-csi1-2lane.dtsi"
-+#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
- / {
-       compatible = "raspberrypi,model-zero-w", "brcm,bcm2835";
-@@ -116,12 +117,14 @@
-       };
- };
--&i2c0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2c0_pins>;
-+&i2c0if {
-       clock-frequency = <100000>;
- };
-+&i2c0mux {
-+      pinctrl-0 = <&i2c0_pins>;
-+};
-+
- &i2c1 {
-       pinctrl-names = "default";
-       pinctrl-0 = <&i2c1_pins>;
---- a/arch/arm/boot/dts/bcm2708-rpi-zero.dts
-+++ b/arch/arm/boot/dts/bcm2708-rpi-zero.dts
-@@ -3,6 +3,7 @@
- #include "bcm2708.dtsi"
- #include "bcm2708-rpi.dtsi"
- #include "bcm283x-rpi-csi1-2lane.dtsi"
-+#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
- / {
-       compatible = "raspberrypi,model-zero", "brcm,bcm2835";
-@@ -71,12 +72,14 @@
-       };
- };
--&i2c0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2c0_pins>;
-+&i2c0if {
-       clock-frequency = <100000>;
- };
-+&i2c0mux {
-+      pinctrl-0 = <&i2c0_pins>;
-+};
-+
- &i2c1 {
-       pinctrl-names = "default";
-       pinctrl-0 = <&i2c1_pins>;
---- a/arch/arm/boot/dts/bcm2709-rpi-2-b.dts
-+++ b/arch/arm/boot/dts/bcm2709-rpi-2-b.dts
-@@ -4,6 +4,7 @@
- #include "bcm2709-rpi.dtsi"
- #include "bcm283x-rpi-smsc9514.dtsi"
- #include "bcm283x-rpi-csi1-2lane.dtsi"
-+#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
- / {
-       compatible = "raspberrypi,2-model-b", "brcm,bcm2836";
-@@ -68,12 +69,14 @@
-       };
- };
--&i2c0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2c0_pins>;
-+&i2c0if {
-       clock-frequency = <100000>;
- };
-+&i2c0mux {
-+      pinctrl-0 = <&i2c0_pins>;
-+};
-+
- &i2c1 {
-       pinctrl-names = "default";
-       pinctrl-0 = <&i2c1_pins>;
---- a/arch/arm/boot/dts/bcm270x-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm270x-rpi.dtsi
-@@ -21,6 +21,7 @@
-               i2s = &i2s;
-               i2c0 = &i2c0;
-               i2c1 = &i2c1;
-+              i2c10 = &i2c_csi_dsi;
-               spi0 = &spi0;
-               spi1 = &spi1;
-               spi2 = &spi2;
-@@ -83,9 +84,9 @@
-               uart1 = <&uart1>,"status";
-               i2s = <&i2s>,"status";
-               spi = <&spi0>,"status";
--              i2c0 = <&i2c0>,"status";
-+              i2c0 = <&i2c0if>,"status",<&i2c0mux>,"status";
-               i2c1 = <&i2c1>,"status";
--              i2c0_baudrate = <&i2c0>,"clock-frequency:0";
-+              i2c0_baudrate = <&i2c0if>,"clock-frequency:0";
-               i2c1_baudrate = <&i2c1>,"clock-frequency:0";
-               audio = <&audio>,"status";
-@@ -105,7 +106,7 @@
-       status = "disabled";
- };
--&i2c0 {
-+&i2c0if {
-       status = "disabled";
- };
---- a/arch/arm/boot/dts/bcm2710-rpi-2-b.dts
-+++ b/arch/arm/boot/dts/bcm2710-rpi-2-b.dts
-@@ -4,6 +4,7 @@
- #include "bcm2709-rpi.dtsi"
- #include "bcm283x-rpi-smsc9514.dtsi"
- #include "bcm283x-rpi-csi1-2lane.dtsi"
-+#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
- / {
-       compatible = "raspberrypi,2-model-b-rev2", "brcm,bcm2837";
-@@ -68,12 +69,14 @@
-       };
- };
--&i2c0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2c0_pins>;
-+&i2c0if {
-       clock-frequency = <100000>;
- };
-+&i2c0mux {
-+      pinctrl-0 = <&i2c0_pins>;
-+};
-+
- &i2c1 {
-       pinctrl-names = "default";
-       pinctrl-0 = <&i2c1_pins>;
---- a/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
-+++ b/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
-@@ -4,6 +4,7 @@
- #include "bcm2709-rpi.dtsi"
- #include "bcm283x-rpi-lan7515.dtsi"
- #include "bcm283x-rpi-csi1-2lane.dtsi"
-+#include "bcm283x-rpi-i2c0mux_0_44.dtsi"
- / {
-       compatible = "raspberrypi,3-model-b-plus", "brcm,bcm2837";
-@@ -126,12 +127,14 @@
-       };
- };
--&i2c0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2c0_pins>;
-+&i2c0if {
-       clock-frequency = <100000>;
- };
-+&i2c0mux {
-+      pinctrl-0 = <&i2c0_pins>;
-+};
-+
- &i2c1 {
-       pinctrl-names = "default";
-       pinctrl-0 = <&i2c1_pins>;
---- a/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
-+++ b/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
-@@ -4,6 +4,7 @@
- #include "bcm2709-rpi.dtsi"
- #include "bcm283x-rpi-smsc9514.dtsi"
- #include "bcm283x-rpi-csi1-2lane.dtsi"
-+#include "bcm283x-rpi-i2c0mux_0_44.dtsi"
- / {
-       compatible = "raspberrypi,3-model-b", "brcm,bcm2837";
-@@ -137,12 +138,14 @@
-       };
- };
--&i2c0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2c0_pins>;
-+&i2c0if {
-       clock-frequency = <100000>;
- };
-+&i2c0mux {
-+      pinctrl-0 = <&i2c0_pins>;
-+};
-+
- &i2c1 {
-       pinctrl-names = "default";
-       pinctrl-0 = <&i2c1_pins>;
---- a/arch/arm/boot/dts/bcm2710-rpi-cm3.dts
-+++ b/arch/arm/boot/dts/bcm2710-rpi-cm3.dts
-@@ -4,7 +4,7 @@
- #include "bcm2709-rpi.dtsi"
- #include "bcm283x-rpi-csi0-2lane.dtsi"
- #include "bcm283x-rpi-csi1-4lane.dtsi"
--
-+#include "bcm283x-rpi-i2c0mux_0_28.dtsi"
- / {
-       compatible = "raspberrypi,3-compute-module", "brcm,bcm2837";
-       model = "Raspberry Pi Compute Module 3";
-@@ -88,12 +88,14 @@
-       };
- };
--&i2c0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2c0_pins>;
-+&i2c0if {
-       clock-frequency = <100000>;
- };
-+&i2c0mux {
-+      pinctrl-0 = <&i2c0_pins>;
-+};
-+
- &i2c1 {
-       pinctrl-names = "default";
-       pinctrl-0 = <&i2c1_pins>;
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -192,6 +192,7 @@
- #include "bcm2711-rpi.dtsi"
- #include "bcm283x-rpi-csi1-2lane.dtsi"
-+#include "bcm283x-rpi-i2c0mux_0_44.dtsi"
- /delete-node/ &emmc2;
-@@ -421,9 +422,7 @@
-       };
- };
--&i2c0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2c0_pins>;
-+&i2c0if {
-       clock-frequency = <100000>;
- };
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -986,7 +986,7 @@
-       alloc-ranges = <0x0 0x00000000 0x40000000>;
- };
--&i2c0 {
-+&i2c0if {
-       compatible = "brcm,bcm2711-i2c", "brcm,bcm2835-i2c";
-       interrupts = <GIC_SPI 117 IRQ_TYPE_LEVEL_HIGH>;
- };
---- /dev/null
-+++ b/arch/arm/boot/dts/bcm283x-rpi-i2c0mux_0_28.dtsi
-@@ -0,0 +1,4 @@
-+&i2c0mux {
-+      pinctrl-0 = <&i2c0_gpio0>;
-+      pinctrl-1 = <&i2c0_gpio28>;
-+};
---- /dev/null
-+++ b/arch/arm/boot/dts/bcm283x-rpi-i2c0mux_0_44.dtsi
-@@ -0,0 +1,4 @@
-+&i2c0mux {
-+      pinctrl-0 = <&i2c0_gpio0>;
-+      pinctrl-1 = <&i2c0_gpio44>;
-+};
---- a/arch/arm/boot/dts/bcm283x.dtsi
-+++ b/arch/arm/boot/dts/bcm283x.dtsi
-@@ -340,7 +340,7 @@
-                       status = "disabled";
-               };
--              i2c0: i2c@7e205000 {
-+              i2c0if: i2c@7e205000 {
-                       compatible = "brcm,bcm2835-i2c";
-                       reg = <0x7e205000 0x200>;
-                       interrupts = <2 21>;
-@@ -350,6 +350,30 @@
-                       status = "disabled";
-               };
-+              i2c0mux: i2c0mux {
-+                      compatible = "i2c-mux-pinctrl";
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+
-+                      i2c-parent = <&i2c0if>;
-+
-+                      pinctrl-names = "i2c0", "i2c_csi_dsi";
-+
-+                      status = "disabled";
-+
-+                      i2c0: i2c@0 {
-+                              reg = <0>;
-+                              #address-cells = <1>;
-+                              #size-cells = <0>;
-+                      };
-+
-+                      i2c_csi_dsi: i2c@1 {
-+                              reg = <1>;
-+                              #address-cells = <1>;
-+                              #size-cells = <0>;
-+                      };
-+              };
-+
-               dpi: dpi@7e208000 {
-                       compatible = "brcm,bcm2835-dpi";
-                       reg = <0x7e208000 0x8c>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0665-spi-use_gpio_descriptor-fixup-moved-to-spi_setup.patch b/target/linux/bcm27xx/patches-5.4/950-0665-spi-use_gpio_descriptor-fixup-moved-to-spi_setup.patch
new file mode 100644 (file)
index 0000000..8792faa
--- /dev/null
@@ -0,0 +1,55 @@
+From b4659f44df3454c6b37ba206a0347af3b8d6a744 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 20 Apr 2020 13:30:49 +0100
+Subject: [PATCH] spi: use_gpio_descriptor fixup moved to spi_setup
+
+Commits [1] and [2] including code that forces SPI_CS_HIGH for SPI
+controllers that use GPIO descriptors, the SPI_CS_HIGH flag being
+there to avoid a double-negation (since SPI CS is usually active-low).
+The motivation for pushing the knowledge of the required polarity into
+the GPIO descriptor allows the switch to an output to request the
+correct inactive level, avoiding a needless glitch.
+
+The problem with setting the flag early as [1] does is that it appears
+in the mode field that is passed to client drivers during their probing,
+when they may want to choose SPI_POL, SPI_PHA and (just possibly)
+SPI_CS_HIGH. Since SPI_CS_HIGH is the exception, most drivers won't
+set it and the anti-negation negation is lost. [2] acknowledges that
+problem and patches things up for the case of users of spidev, but
+omits regular kernel-mode drivers.
+
+Downstream commit [3] moves the forcing of SPI_CS_HIGH to spi_setup,
+after the driver probing. Since this code is called before any CS
+manipulation it is early enough to be effective, but late enough that
+clients have already had their chance to change the mode field.
+
+This is a partial reversion of [1], and is accompanied by a complete
+reversion of [2], neither of which is needed any longer.
+
+[1] f3186dd87669 ("spi: Optionally use GPIO descriptors for CS GPIOs")
+[2] 83b2a8fe43bd ("spi: spidev: Fix CS polarity if GPIO descriptors are used")
+[3] <varies> ("spi: Force CS_HIGH if GPIO descriptors are used")
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/spi/spi.c | 9 ---------
+ 1 file changed, 9 deletions(-)
+
+--- a/drivers/spi/spi.c
++++ b/drivers/spi/spi.c
+@@ -1793,15 +1793,6 @@ static int of_spi_parse_dt(struct spi_co
+       }
+       spi->chip_select = value;
+-      /*
+-       * For descriptors associated with the device, polarity inversion is
+-       * handled in the gpiolib, so all gpio chip selects are "active high"
+-       * in the logical sense, the gpiolib will invert the line if need be.
+-       */
+-      if ((ctlr->use_gpio_descriptors) && ctlr->cs_gpiods &&
+-          ctlr->cs_gpiods[spi->chip_select])
+-              spi->mode |= SPI_CS_HIGH;
+-
+       /* Device speed */
+       rc = of_property_read_u32(nc, "spi-max-frequency", &value);
+       if (rc) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0666-dtoverlays-Update-CSI-overlays-to-use-i2c_csi_dsi.patch b/target/linux/bcm27xx/patches-5.4/950-0666-dtoverlays-Update-CSI-overlays-to-use-i2c_csi_dsi.patch
deleted file mode 100644 (file)
index f6b6340..0000000
+++ /dev/null
@@ -1,425 +0,0 @@
-From bd24924fea541c114c7761f4698f3fe29d7257e1 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Thu, 9 Apr 2020 15:04:14 +0100
-Subject: [PATCH] dtoverlays: Update CSI overlays to use i2c_csi_dsi
-
-Update all overlays that were using i2c_vc for talking to CSI
-source devices to use the new i2c_csi_dsi node via i2c_mux_pinctrl.
-Remove the pins overrides as well.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/README             | 42 ++++---------------
- .../boot/dts/overlays/adv7282m-overlay.dts    | 29 +++----------
- arch/arm/boot/dts/overlays/imx219-overlay.dts | 41 +++++-------------
- .../arm/boot/dts/overlays/irs1125-overlay.dts | 33 ++++-----------
- arch/arm/boot/dts/overlays/ov5647-overlay.dts | 33 ++++-----------
- .../boot/dts/overlays/tc358743-overlay.dts    | 32 ++++----------
- 6 files changed, 47 insertions(+), 163 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -331,22 +331,14 @@ Info:   Analog Devices ADV7282M analogue
-         Uses Unicam1, which is the standard camera connector on most Pi
-         variants.
- Load:   dtoverlay=adv7282m,<param>=<val>
--Params: i2c_pins_0_1            Use pins 0&1 for the I2C instead of 44&45.
--                                Useful on Compute Modules.
--        i2c_pins_28_29          Use pins 28&29 for the I2C instead of 44&45.
--                                This is required for Pi B+, 2, 0, and 0W.
--        addr                    Overrides the I2C address (default 0x21)
-+Params: addr                    Overrides the I2C address (default 0x21)
- Name:   adv728x-m
- Info:   Analog Devices ADV728[0|1|2]-M analogue video to CSI2 bridges.
-         This is a wrapper for adv7282m, and defaults to ADV7282M.
- Load:   dtoverlay=adv728x-m,<param>=<val>
--Params: i2c_pins_0_1            Use pins 0&1 for the I2C instead of 44&45.
--                                Useful on Compute Modules.
--        i2c_pins_28_29          Use pins 28&29 for the I2C instead of 44&45.
--                                This is required for Pi B+, 2, 0, and 0W.
--        addr                    Overrides the I2C address (default 0x21)
-+Params: addr                    Overrides the I2C address (default 0x21)
-         adv7280m                Select ADV7280-M.
-         adv7281m                Select ADV7281-M.
-         adv7281ma               Select ADV7281-MA.
-@@ -1384,12 +1376,8 @@ Name:   imx219
- Info:   Sony IMX219 camera module.
-         Uses Unicam 1, which is the standard camera connector on most Pi
-         variants.
--Load:   dtoverlay=imx219,<param>=<val>
--Params: i2c_pins_0_1            Use pins 0&1 for the I2C instead of 44&45.
--                                Useful on Compute Modules.
--
--        i2c_pins_28_29          Use pins 28&29 for the I2C instead of 44&45.
--                                This is required for Pi B+, 2, 0, and 0W.
-+Load:   dtoverlay=imx219
-+Params: <None>
- Name:   iqaudio-codec
-@@ -1453,12 +1441,8 @@ Name:   irs1125
- Info:   Infineon irs1125 TOF camera module.
-         Uses Unicam 1, which is the standard camera connector on most Pi
-         variants.
--Load:   dtoverlay=irs1125,<param>=<val>
--Params: i2c_pins_0_1            Use pins 0&1 for the I2C instead of 44&45.
--                                Useful on Compute Modules.
--
--        i2c_pins_28_29          Use pins 28&29 for the I2C instead of 44&45.
--                                This is required for Pi B+, 2, 0, and 0W.
-+Load:   dtoverlay=irs1125
-+Params: <None>
- Name:   jedec-spi-nor
-@@ -1743,12 +1727,8 @@ Name:   ov5647
- Info:   Omnivision OV5647 camera module.
-         Uses Unicam 1, which is the standard camera connector on most Pi
-         variants.
--Load:   dtoverlay=ov5647,<param>=<val>
--Params: i2c_pins_0_1            Use pins 0&1 for the I2C instead of 44&45.
--                                Useful on Compute Modules.
--
--        i2c_pins_28_29          Use pins 28&29 for the I2C instead of 44&45.
--                                This is required for Pi B+, 2, 0, and 0W.
-+Load:   dtoverlay=ov5647
-+Params: <None>
- Name:   papirus
-@@ -2555,12 +2535,6 @@ Params: 4lane                   Use 4 la
-                                 (574Mbit/s) and 486000000 (972Mbit/s - default)
-                                 are supported by the driver.
--        i2c_pins_0_1            Use pins 0&1 for the I2C instead of 44&45.
--                                Useful on Compute Modules.
--
--        i2c_pins_28_29          Use pins 28&29 for the I2C instead of 44&45.
--                                This is required for Pi B+, 2, 0, and 0W.
--
- Name:   tc358743-audio
- Info:   Used in combination with the tc358743-fast overlay to route the audio
---- a/arch/arm/boot/dts/overlays/adv7282m-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/adv7282m-overlay.dts
-@@ -7,7 +7,7 @@
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target = <&i2c_vc>;
-+              target = <&i2c_csi_dsi>;
-               __overlay__ {
-                       #address-cells = <1>;
-                       #size-cells = <0>;
-@@ -45,37 +45,20 @@
-               };
-       };
-       fragment@2 {
--              target = <&i2c0_pins>;
--              __dormant__ {
--                      brcm,pins = <28 29>;
--                      brcm,function = <4>; /* alt0 */
--              };
--
--      };
--      fragment@3 {
--              target = <&i2c0_pins>;
-+              target = <&i2c0if>;
-               __overlay__ {
--                      brcm,pins = <44 45>;
--                      brcm,function = <5>; /* alt1 */
--              };
--      };
--      fragment@4 {
--              target = <&i2c0_pins>;
--              __dormant__ {
--                      brcm,pins = <0 1>;
--                      brcm,function = <4>; /* alt0 */
-+                      status = "okay";
-               };
-       };
--      fragment@5 {
--              target = <&i2c_vc>;
-+
-+      fragment@3 {
-+              target = <&i2c0mux>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
-       __overrides__ {
--              i2c_pins_0_1 =          <0>,"-2-3+4";
--              i2c_pins_28_29 =        <0>,"+2-3-4";
-               addr =                  <&adv728x>,"reg:0";
-       };
- };
---- a/arch/arm/boot/dts/overlays/imx219-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/imx219-overlay.dts
-@@ -9,7 +9,7 @@
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target = <&i2c_vc>;
-+              target = <&i2c_csi_dsi>;
-               __overlay__ {
-                       #address-cells = <1>;
-                       #size-cells = <0>;
-@@ -61,34 +61,13 @@
-       };
-       fragment@2 {
--              target = <&i2c0_pins>;
--              __dormant__ {
--                      brcm,pins = <28 29>;
--                      brcm,function = <4>; /* alt0 */
--              };
--      };
--      fragment@3 {
--              target = <&i2c0_pins>;
--              __overlay__ {
--                      brcm,pins = <44 45>;
--                      brcm,function = <5>; /* alt1 */
--              };
--      };
--      fragment@4 {
--              target = <&i2c0_pins>;
--              __dormant__ {
--                      brcm,pins = <0 1>;
--                      brcm,function = <4>; /* alt0 */
--              };
--      };
--      fragment@5 {
--              target = <&i2c_vc>;
-+              target = <&i2c0if>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@6 {
-+      fragment@3 {
-               target-path="/";
-               __overlay__ {
-                       imx219_vana: fixedregulator@0 {
-@@ -114,16 +93,18 @@
-               };
-       };
--      fragment@7 {
-+      fragment@4 {
-+              target = <&i2c0mux>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@5 {
-               target-path="/__overrides__";
-               __overlay__ {
-                       cam0-pwdn-ctrl = <&imx219_vana>,"gpio:0";
-                       cam0-pwdn      = <&imx219_vana>,"gpio:4";
-               };
-       };
--
--      __overrides__ {
--              i2c_pins_0_1 = <0>,"-2-3+4";
--              i2c_pins_28_29 = <0>,"+2-3-4";
--      };
- };
---- a/arch/arm/boot/dts/overlays/irs1125-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/irs1125-overlay.dts
-@@ -7,7 +7,7 @@
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target = <&i2c_vc>;
-+              target = <&i2c_csi_dsi>;
-               __overlay__ {
-                       #address-cells = <1>;
-                       #size-cells = <0>;
-@@ -55,43 +55,24 @@
-       };
-       fragment@2 {
--              target = <&i2c0_pins>;
--              __dormant__ {
--                      brcm,pins = <28 29>;
--                      brcm,function = <4>; /* alt0 */
--              };
--      };
--      fragment@3 {
--              target = <&i2c0_pins>;
-+              target = <&i2c0if>;
-               __overlay__ {
--                      brcm,pins = <44 45>;
--                      brcm,function = <5>; /* alt1 */
--              };
--      };
--      fragment@4 {
--              target = <&i2c0_pins>;
--              __dormant__ {
--                      brcm,pins = <0 1>;
--                      brcm,function = <4>; /* alt0 */
-+                      status = "okay";
-               };
-       };
--      fragment@5 {
--              target = <&i2c_vc>;
-+
-+      fragment@3 {
-+              target = <&i2c0mux>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@6 {
-+      fragment@4 {
-               target-path="/__overrides__";
-               __overlay__ {
-                       cam0-pwdn-ctrl = <&irs1125>,"pwdn-gpios:0";
-                       cam0-pwdn      = <&irs1125>,"pwdn-gpios:4";
-               };
-       };
--
--      __overrides__ {
--              i2c_pins_0_1 = <0>,"-2-3+4";
--              i2c_pins_28_29 = <0>,"+2-3-4";
--      };
- };
---- a/arch/arm/boot/dts/overlays/ov5647-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/ov5647-overlay.dts
-@@ -7,7 +7,7 @@
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target = <&i2c_vc>;
-+              target = <&i2c_csi_dsi>;
-               __overlay__ {
-                       #address-cells = <1>;
-                       #size-cells = <0>;
-@@ -55,34 +55,20 @@
-       };
-       fragment@2 {
--              target = <&i2c0_pins>;
--              __dormant__ {
--                      brcm,pins = <28 29>;
--                      brcm,function = <4>; /* alt0 */
--              };
--      };
--      fragment@3 {
--              target = <&i2c0_pins>;
-+              target = <&i2c0if>;
-               __overlay__ {
--                      brcm,pins = <44 45>;
--                      brcm,function = <5>; /* alt1 */
--              };
--      };
--      fragment@4 {
--              target = <&i2c0_pins>;
--              __dormant__ {
--                      brcm,pins = <0 1>;
--                      brcm,function = <4>; /* alt0 */
-+                      status = "okay";
-               };
-       };
--      fragment@5 {
--              target = <&i2c_vc>;
-+
-+      fragment@3 {
-+              target = <&i2c0mux>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
--      fragment@6 {
-+      fragment@4 {
-               target-path="/__overrides__";
-               __overlay__ {
-                       cam0-pwdn-ctrl = <&ov5647>,"pwdn-gpios:0";
-@@ -91,9 +77,4 @@
-                       cam0-led       = <&ov5647>,"pwdn-gpios:16";
-               };
-       };
--
--      __overrides__ {
--              i2c_pins_0_1 = <0>,"-2-3+4";
--              i2c_pins_28_29 = <0>,"+2-3-4";
--      };
- };
---- a/arch/arm/boot/dts/overlays/tc358743-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/tc358743-overlay.dts
-@@ -7,7 +7,7 @@
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target = <&i2c_vc>;
-+              target = <&i2c_csi_dsi>;
-               __overlay__ {
-                       #address-cells = <1>;
-                       #size-cells = <0>;
-@@ -54,7 +54,7 @@
-       };
-       fragment@2 {
--              target = <&i2c_vc>;
-+              target = <&i2c_csi_dsi>;
-               __overlay__ {
-                       tc358743@0f {
-                               port {
-@@ -67,7 +67,7 @@
-       };
-       fragment@3 {
--              target = <&i2c_vc>;
-+              target = <&i2c_csi_dsi>;
-               __dormant__ {
-                       tc358743@0f {
-                               port {
-@@ -80,36 +80,20 @@
-       };
-       fragment@4 {
--              target = <&i2c0_pins>;
--              __dormant__ {
--                      brcm,pins = <28 29>;
--                      brcm,function = <4>; /* alt0 */
--              };
--      };
--      fragment@5 {
--              target = <&i2c0_pins>;
-+              target = <&i2c0if>;
-               __overlay__ {
--                      brcm,pins = <44 45>;
--                      brcm,function = <5>; /* alt1 */
--              };
--      };
--      fragment@6 {
--              target = <&i2c0_pins>;
--              __dormant__ {
--                      brcm,pins = <0 1>;
--                      brcm,function = <4>; /* alt0 */
-+                      status = "okay";
-               };
-       };
--      fragment@7 {
--              target = <&i2c_vc>;
-+
-+      fragment@5 {
-+              target = <&i2c0mux>;
-               __overlay__ {
-                       status = "okay";
-               };
-       };
-       __overrides__ {
--              i2c_pins_0_1 = <0>,"-4-5+6";
--              i2c_pins_28_29 = <0>,"+4-5-6";
-               4lane = <0>, "-2+3";
-               link-frequency = <&tc358743>,"link-frequencies#0";
-       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0666-overlays-rpivid-v4l2-also-needs-size-cells-2.patch b/target/linux/bcm27xx/patches-5.4/950-0666-overlays-rpivid-v4l2-also-needs-size-cells-2.patch
new file mode 100644 (file)
index 0000000..4a1757d
--- /dev/null
@@ -0,0 +1,30 @@
+From 096fc044170aa40a99dd66b0a8b072ef76327ddb Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 24 Apr 2020 15:17:06 +0100
+Subject: [PATCH] overlays: rpivid-v4l2 also needs size-cells = 2
+
+Fixes: "dts: bcm2711: set #size-cells = <2>"
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts | 7 ++++---
+ 1 file changed, 4 insertions(+), 3 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts
++++ b/arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts
+@@ -13,11 +13,12 @@
+               __overlay__ {
+                       /* needed to avoid dtc warning */
+                       #address-cells = <2>;
+-                      #size-cells = <1>;
++                      #size-cells = <2>;
++
+                       codec@7eb10000 {
+                               compatible = "raspberrypi,rpivid-vid-decoder";
+-                              reg = <0x0 0x7eb10000 0x1000>,  /* INTC */
+-                                    <0x0 0x7eb00000 0x10000>; /* HEVC */
++                              reg = <0x0 0x7eb10000  0x0 0x1000>,  /* INTC */
++                                    <0x0 0x7eb00000  0x0 0x10000>; /* HEVC */
+                               reg-names = "intc",
+                                           "hevc";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0667-dt-Update-all-mainline-bcm283x-dt-files-for-i2c0-pin.patch b/target/linux/bcm27xx/patches-5.4/950-0667-dt-Update-all-mainline-bcm283x-dt-files-for-i2c0-pin.patch
deleted file mode 100644 (file)
index f5e4e4b..0000000
+++ /dev/null
@@ -1,201 +0,0 @@
-From 393b01ee7330723b5f27b86d1b03bed88f8a8ffa Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Thu, 9 Apr 2020 17:26:13 +0100
-Subject: [PATCH] dt: Update all mainline bcm283x dt files for i2c0
- pinctrl mux
-
-BSC0 (aka i2c0) can me muxed via pinctrl to GPIOs 0&1, 28&29, or
-44&45. These have different uses based on the platform (40pin header,
-and CSI/DSI connectors), so add a pinctrl I2C mux between the
-different options.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2835-rpi-a-plus.dts   |  5 +++++
- arch/arm/boot/dts/bcm2835-rpi-a.dts        |  7 +++++++
- arch/arm/boot/dts/bcm2835-rpi-b-plus.dts   |  5 +++++
- arch/arm/boot/dts/bcm2835-rpi-b-rev2.dts   |  7 +++++++
- arch/arm/boot/dts/bcm2835-rpi-b.dts        |  7 +++++++
- arch/arm/boot/dts/bcm2835-rpi-cm1-io1.dts  |  5 +++++
- arch/arm/boot/dts/bcm2835-rpi-zero-w.dts   |  5 +++++
- arch/arm/boot/dts/bcm2835-rpi-zero.dts     |  5 +++++
- arch/arm/boot/dts/bcm2835-rpi.dtsi         | 10 +++++++---
- arch/arm/boot/dts/bcm2836-rpi-2-b.dts      |  5 +++++
- arch/arm/boot/dts/bcm2837-rpi-3-a-plus.dts |  5 +++++
- arch/arm/boot/dts/bcm2837-rpi-3-b-plus.dts |  5 +++++
- arch/arm/boot/dts/bcm2837-rpi-3-b.dts      |  5 +++++
- arch/arm/boot/dts/bcm2837-rpi-cm3-io3.dts  |  5 +++++
- 14 files changed, 78 insertions(+), 3 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2835-rpi-a-plus.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-a-plus.dts
-@@ -126,3 +126,8 @@
-       pinctrl-0 = <&uart0_gpio14>;
-       status = "okay";
- };
-+
-+/* i2c on camera/display connector is gpio 28&29 */
-+&i2c0mux {
-+      pinctrl-1 = <&i2c0_gpio28>;
-+};
---- a/arch/arm/boot/dts/bcm2835-rpi-a.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-a.dts
-@@ -121,3 +121,10 @@
-       pinctrl-0 = <&uart0_gpio14>;
-       status = "okay";
- };
-+
-+/* i2c0 on camera/display connector is gpio 0&1. Not exposed on header.
-+ * To avoid having to remap everything, map both ports to gpios 0&1
-+ */
-+&i2c0mux {
-+      pinctrl-1 = <&i2c0_gpio0>;
-+};
---- a/arch/arm/boot/dts/bcm2835-rpi-b-plus.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-b-plus.dts
-@@ -128,3 +128,8 @@
-       pinctrl-0 = <&uart0_gpio14>;
-       status = "okay";
- };
-+
-+/* i2c on camera/display connector is gpio 28&29 */
-+&i2c0mux {
-+      pinctrl-1 = <&i2c0_gpio28>;
-+};
---- a/arch/arm/boot/dts/bcm2835-rpi-b-rev2.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-b-rev2.dts
-@@ -121,3 +121,10 @@
-       pinctrl-0 = <&uart0_gpio14>;
-       status = "okay";
- };
-+
-+/* i2c0 on camera/display connector is gpio 0&1. Not exposed on header.
-+ * To avoid having to remap everything, map both ports to gpios 0&1
-+ */
-+&i2c0mux {
-+      pinctrl-1 = <&i2c0_gpio0>;
-+};
---- a/arch/arm/boot/dts/bcm2835-rpi-b.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-b.dts
-@@ -116,3 +116,10 @@
-       pinctrl-0 = <&uart0_gpio14>;
-       status = "okay";
- };
-+
-+/* camera/display connector use BSC1 on GPIOS 2&3.
-+ * To avoid having to remap everything, map both ports to gpios 0&1
-+ */
-+&i2c0mux {
-+      pinctrl-1 = <&i2c0_gpio0>;
-+};
---- a/arch/arm/boot/dts/bcm2835-rpi-cm1-io1.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-cm1-io1.dts
-@@ -95,3 +95,8 @@
-       pinctrl-0 = <&uart0_gpio14>;
-       status = "okay";
- };
-+
-+/* WHAT TO DO HERE? */
-+&i2c0mux {
-+      pinctrl-1 = <&i2c0_gpio28>;
-+};
---- a/arch/arm/boot/dts/bcm2835-rpi-zero-w.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-zero-w.dts
-@@ -149,3 +149,8 @@
-       pinctrl-0 = <&uart1_gpio14>;
-       status = "okay";
- };
-+
-+/* i2c on camera/display connector is gpio 28&29 */
-+&i2c0mux {
-+      pinctrl-1 = <&i2c0_gpio28>;
-+};
---- a/arch/arm/boot/dts/bcm2835-rpi-zero.dts
-+++ b/arch/arm/boot/dts/bcm2835-rpi-zero.dts
-@@ -117,3 +117,8 @@
-       pinctrl-0 = <&uart0_gpio14>;
-       status = "okay";
- };
-+
-+/* i2c on camera/display connector is gpio 28&29 */
-+&i2c0mux {
-+      pinctrl-1 = <&i2c0_gpio28>;
-+};
---- a/arch/arm/boot/dts/bcm2835-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2835-rpi.dtsi
-@@ -46,13 +46,17 @@
-       };
- };
--&i2c0 {
--      pinctrl-names = "default";
--      pinctrl-0 = <&i2c0_gpio0>;
-+&i2c0if {
-       status = "okay";
-       clock-frequency = <100000>;
- };
-+&i2c0mux {
-+      pinctrl-0 = <&i2c0_gpio0>;
-+      /* pinctrl-1 varies based on platform */
-+      status = "okay";
-+};
-+
- &i2c1 {
-       pinctrl-names = "default";
-       pinctrl-0 = <&i2c1_gpio2>;
---- a/arch/arm/boot/dts/bcm2836-rpi-2-b.dts
-+++ b/arch/arm/boot/dts/bcm2836-rpi-2-b.dts
-@@ -128,3 +128,8 @@
-       pinctrl-0 = <&uart0_gpio14>;
-       status = "okay";
- };
-+
-+/* i2c on camera/display connector is gpio 28&29 */
-+&i2c0mux {
-+      pinctrl-1 = <&i2c0_gpio28>;
-+};
---- a/arch/arm/boot/dts/bcm2837-rpi-3-a-plus.dts
-+++ b/arch/arm/boot/dts/bcm2837-rpi-3-a-plus.dts
-@@ -176,3 +176,8 @@
-       pinctrl-0 = <&uart1_gpio14>;
-       status = "okay";
- };
-+
-+/* i2c on camera/display connector is gpio 44&45 */
-+&i2c0mux {
-+      pinctrl-1 = <&i2c0_gpio44>;
-+};
---- a/arch/arm/boot/dts/bcm2837-rpi-3-b-plus.dts
-+++ b/arch/arm/boot/dts/bcm2837-rpi-3-b-plus.dts
-@@ -179,3 +179,8 @@
-       pinctrl-0 = <&uart1_gpio14>;
-       status = "okay";
- };
-+
-+/* i2c on camera/display connector is gpio 44&45 */
-+&i2c0mux {
-+      pinctrl-1 = <&i2c0_gpio44>;
-+};
---- a/arch/arm/boot/dts/bcm2837-rpi-3-b.dts
-+++ b/arch/arm/boot/dts/bcm2837-rpi-3-b.dts
-@@ -174,3 +174,8 @@
-       status = "okay";
-       bus-width = <4>;
- };
-+
-+/* i2c on camera/display connector is gpio 44&45 */
-+&i2c0mux {
-+      pinctrl-1 = <&i2c0_gpio44>;
-+};
---- a/arch/arm/boot/dts/bcm2837-rpi-cm3-io3.dts
-+++ b/arch/arm/boot/dts/bcm2837-rpi-cm3-io3.dts
-@@ -94,3 +94,8 @@
-       pinctrl-0 = <&uart0_gpio14>;
-       status = "okay";
- };
-+
-+/* WHAT TO DO HERE? */
-+&i2c0mux {
-+      pinctrl-1 = <&i2c0_gpio28>;
-+};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0667-media-bcm2835-unicam-Re-fetch-mbus-code-from-subdev-.patch b/target/linux/bcm27xx/patches-5.4/950-0667-media-bcm2835-unicam-Re-fetch-mbus-code-from-subdev-.patch
new file mode 100644 (file)
index 0000000..4d43c97
--- /dev/null
@@ -0,0 +1,49 @@
+From 53d7c93a14d1e0804a96e3a21f472ba5ff033b14 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Tue, 21 Apr 2020 16:26:03 +0100
+Subject: [PATCH] media: bcm2835-unicam: Re-fetch mbus code from subdev
+ on a g_fmt call
+
+The sensor subdevice may change the Bayer order if a H/V flip is
+requested after a s_fmt call.  Unicam g_fmt must call the subdev get_fmt
+in case this has happened and return out the correct format 4cc.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ .../media/platform/bcm2835/bcm2835-unicam.c   | 21 ++++++++++++++++++-
+ 1 file changed, 20 insertions(+), 1 deletion(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -967,11 +967,30 @@ static int unicam_enum_fmt_vid_cap(struc
+ static int unicam_g_fmt_vid_cap(struct file *file, void *priv,
+                               struct v4l2_format *f)
+ {
++      struct v4l2_mbus_framefmt mbus_fmt = {0};
+       struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      const struct unicam_fmt *fmt = NULL;
++      int ret;
+-      if (node->pad_id == METADATA_PAD)
++      if (node->pad_id != IMAGE_PAD)
+               return -EINVAL;
++      /*
++       * If a flip has occurred in the sensor, the fmt code might have
++       * changed. So we will need to re-fetch the format from the subdevice.
++       */
++      ret = __subdev_get_format(dev, &mbus_fmt, node->pad_id);
++      if (ret)
++              return -EINVAL;
++
++      /* Find the V4L2 format from mbus code. We must match a known format. */
++      fmt = find_format_by_code(mbus_fmt.code);
++      if (!fmt)
++              return -EINVAL;
++
++      node->fmt = fmt;
++      node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
+       *f = node->v_fmt;
+       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0668-ARM-dts-Create-bcm2708-rpi-b-rev1.dts.patch b/target/linux/bcm27xx/patches-5.4/950-0668-ARM-dts-Create-bcm2708-rpi-b-rev1.dts.patch
deleted file mode 100644 (file)
index 42067a7..0000000
+++ /dev/null
@@ -1,182 +0,0 @@
-From bd291f0ff613ad270a7c9352f3f27a09c058553f Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Tue, 21 Apr 2020 17:34:27 +0100
-Subject: [PATCH] ARM: dts: Create bcm2708-rpi-b-rev1.dts
-
-The first revision of the Pi Model B used I2C0 to address the camera
-and I2C0 was available for user applications on the 26-pin header.
-The second revision switched the roles, kept I2C0 on the 26-pin header
-and added I2C1 on a new 8-way header (P5).
-
-Up to now, downstream DTS has used a single file for both revisions of
-the board, with a small amount of patching from the firmware. With the
-introduction of an I2C mux to share I2C0 between the camera/display
-connectors and the IDC headers, the difference between the two versions
-becomes too great to comfortably manage with tweaking, hence this split.
-
-Upstream DTS files already have bcm2835-rpi-b.dts and
-bcm2835-rpi-b-rev2.dts, but for backwards compatibility the new file is
-being added as bcm2708-rpi-b-rev1.dts, rather than renaming the old
-shared version to bcm2708-rpi-b-rev2.dts.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/Makefile               |   1 +
- arch/arm/boot/dts/bcm2708-rpi-b-rev1.dts | 127 +++++++++++++++++++++++
- arch/arm/boot/dts/bcm270x-rpi.dtsi       |   4 +
- 3 files changed, 132 insertions(+)
- create mode 100644 arch/arm/boot/dts/bcm2708-rpi-b-rev1.dts
-
---- a/arch/arm/boot/dts/Makefile
-+++ b/arch/arm/boot/dts/Makefile
-@@ -2,6 +2,7 @@
- dtb-$(CONFIG_ARCH_BCM2835) += \
-       bcm2708-rpi-b.dtb \
-+      bcm2708-rpi-b-rev1.dtb \
-       bcm2708-rpi-b-plus.dtb \
-       bcm2708-rpi-cm.dtb \
-       bcm2708-rpi-zero.dtb \
---- /dev/null
-+++ b/arch/arm/boot/dts/bcm2708-rpi-b-rev1.dts
-@@ -0,0 +1,127 @@
-+/dts-v1/;
-+
-+#include "bcm2708.dtsi"
-+#include "bcm2708-rpi.dtsi"
-+#include "bcm283x-rpi-smsc9512.dtsi"
-+#include "bcm283x-rpi-csi1-2lane.dtsi"
-+
-+/ {
-+      compatible = "raspberrypi,model-b", "brcm,bcm2835";
-+      model = "Raspberry Pi Model B";
-+};
-+
-+&gpio {
-+      spi0_pins: spi0_pins {
-+              brcm,pins = <9 10 11>;
-+              brcm,function = <4>; /* alt0 */
-+      };
-+
-+      spi0_cs_pins: spi0_cs_pins {
-+              brcm,pins = <8 7>;
-+              brcm,function = <1>; /* output */
-+      };
-+
-+      i2c0_pins: i2c0 {
-+              brcm,pins = <0 1>;
-+              brcm,function = <4>;
-+      };
-+
-+      i2c1_pins: i2c1 {
-+              brcm,pins = <2 3>;
-+              brcm,function = <4>;
-+      };
-+
-+      i2s_pins: i2s {
-+              brcm,pins = <28 29 30 31>;
-+              brcm,function = <6>; /* alt2 */
-+      };
-+
-+      audio_pins: audio_pins {
-+              brcm,pins = <40 45>;
-+              brcm,function = <4>;
-+      };
-+};
-+
-+&uart0 {
-+      status = "okay";
-+};
-+
-+&spi0 {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&spi0_pins &spi0_cs_pins>;
-+      cs-gpios = <&gpio 8 1>, <&gpio 7 1>;
-+
-+      spidev0: spidev@0{
-+              compatible = "spidev";
-+              reg = <0>;      /* CE0 */
-+              #address-cells = <1>;
-+              #size-cells = <0>;
-+              spi-max-frequency = <125000000>;
-+      };
-+
-+      spidev1: spidev@1{
-+              compatible = "spidev";
-+              reg = <1>;      /* CE1 */
-+              #address-cells = <1>;
-+              #size-cells = <0>;
-+              spi-max-frequency = <125000000>;
-+      };
-+};
-+
-+/delete-node/ &i2c0mux;
-+
-+i2c0: &i2c0if {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&i2c0_pins>;
-+      clock-frequency = <100000>;
-+};
-+
-+i2c_csi_dsi: &i2c1 {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&i2c1_pins>;
-+      clock-frequency = <100000>;
-+};
-+
-+/ {
-+      aliases {
-+              i2c0 = &i2c0;
-+      };
-+
-+      __overrides__ {
-+              i2c0 = <&i2c0>, "status";
-+      };
-+};
-+
-+&i2c2 {
-+      clock-frequency = <100000>;
-+};
-+
-+&i2s {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&i2s_pins>;
-+};
-+
-+&leds {
-+      act_led: act {
-+              label = "led0";
-+              linux,default-trigger = "mmc0";
-+              gpios = <&gpio 16 1>;
-+      };
-+};
-+
-+&hdmi {
-+      hpd-gpios = <&gpio 46 GPIO_ACTIVE_HIGH>;
-+};
-+
-+&audio {
-+      pinctrl-names = "default";
-+      pinctrl-0 = <&audio_pins>;
-+};
-+
-+/ {
-+      __overrides__ {
-+              act_led_gpio = <&act_led>,"gpios:4";
-+              act_led_activelow = <&act_led>,"gpios:8";
-+              act_led_trigger = <&act_led>,"linux,default-trigger";
-+      };
-+};
---- a/arch/arm/boot/dts/bcm270x-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm270x-rpi.dtsi
-@@ -110,6 +110,10 @@
-       status = "disabled";
- };
-+&i2c0mux {
-+      status = "disabled";
-+};
-+
- &i2c1 {
-       status = "disabled";
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0668-uapi-bcm2835-isp-Add-bcm2835-isp-uapi-header-file.patch b/target/linux/bcm27xx/patches-5.4/950-0668-uapi-bcm2835-isp-Add-bcm2835-isp-uapi-header-file.patch
new file mode 100644 (file)
index 0000000..9f41783
--- /dev/null
@@ -0,0 +1,337 @@
+From b5d50012157f909eff0e8775c1e040b7dfde0705 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Thu, 23 Apr 2020 10:18:15 +0100
+Subject: [PATCH] uapi: bcm2835-isp: Add bcm2835-isp uapi header file
+
+This file defines the userland interface to the bcm2835-isp driver
+that will follow in a separate commit.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ include/uapi/linux/bcm2835-isp.h | 320 +++++++++++++++++++++++++++++++
+ 1 file changed, 320 insertions(+)
+ create mode 100644 include/uapi/linux/bcm2835-isp.h
+
+--- /dev/null
++++ b/include/uapi/linux/bcm2835-isp.h
+@@ -0,0 +1,320 @@
++/* SPDX-License-Identifier: ((GPL-2.0+ WITH Linux-syscall-note) OR BSD-3-Clause) */
++/*
++ * bcm2835-isp.h
++ *
++ * BCM2835 ISP driver - user space header file.
++ *
++ * Copyright © 2019-2020 Raspberry Pi (Trading) Ltd.
++ *
++ * Author: Naushir Patuck (naush@raspberrypi.com)
++ *
++ */
++
++#ifndef __BCM2835_ISP_H_
++#define __BCM2835_ISP_H_
++
++#include <linux/v4l2-controls.h>
++
++#define V4L2_CID_USER_BCM2835_ISP_CC_MATRIX   \
++                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0001)
++#define V4L2_CID_USER_BCM2835_ISP_LENS_SHADING        \
++                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0002)
++#define V4L2_CID_USER_BCM2835_ISP_BLACK_LEVEL \
++                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0003)
++#define V4L2_CID_USER_BCM2835_ISP_GEQ         \
++                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0004)
++#define V4L2_CID_USER_BCM2835_ISP_GAMMA               \
++                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0005)
++#define V4L2_CID_USER_BCM2835_ISP_DENOISE     \
++                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0006)
++#define V4L2_CID_USER_BCM2835_ISP_SHARPEN     \
++                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0007)
++#define V4L2_CID_USER_BCM2835_ISP_DPC         \
++                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0008)
++
++/*
++ * All structs below are directly mapped onto the equivalent structs in
++ * drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
++ * for convenience.
++ */
++
++/**
++ * struct bcm2835_isp_rational - Rational value type.
++ *
++ * @num:      Numerator.
++ * @den:      Denominator.
++ */
++struct bcm2835_isp_rational {
++      __s32 num;
++      __s32 den;
++};
++
++/**
++ * struct bcm2835_isp_ccm - Colour correction matrix.
++ *
++ * @ccm:      3x3 correction matrix coefficients.
++ * @offsets:  1x3 correction offsets.
++ */
++struct bcm2835_isp_ccm {
++      struct bcm2835_isp_rational ccm[3][3];
++      __s32 offsets[3];
++};
++
++/**
++ * struct bcm2835_isp_custom_ccm - Custom CCM applied with the
++ *                               V4L2_CID_USER_BCM2835_ISP_CC_MATRIX ctrl.
++ *
++ * @enabled:  Enable custom CCM.
++ * @ccm:      Custom CCM coefficients and offsets.
++ */
++struct bcm2835_isp_custom_ccm {
++      __u32 enabled;
++      struct bcm2835_isp_ccm ccm;
++};
++
++/**
++ * enum bcm2835_isp_gain_format - format of the gains in the lens shading
++ *                              tables used with the
++ *                              V4L2_CID_USER_BCM2835_ISP_LENS_SHADING ctrl.
++ *
++ * @GAIN_FORMAT_U0P8_1:               Gains are u0.8 format, starting at 1.0
++ * @GAIN_FORMAT_U1P7_0:               Gains are u1.7 format, starting at 0.0
++ * @GAIN_FORMAT_U1P7_1:               Gains are u1.7 format, starting at 1.0
++ * @GAIN_FORMAT_U2P6_0:               Gains are u2.6 format, starting at 0.0
++ * @GAIN_FORMAT_U2P6_1:               Gains are u2.6 format, starting at 1.0
++ * @GAIN_FORMAT_U3P5_0:               Gains are u3.5 format, starting at 0.0
++ * @GAIN_FORMAT_U3P5_1:               Gains are u3.5 format, starting at 1.0
++ * @GAIN_FORMAT_U4P10:                Gains are u4.10 format, starting at 0.0
++ */
++enum bcm2835_isp_gain_format {
++      GAIN_FORMAT_U0P8_1 = 0,
++      GAIN_FORMAT_U1P7_0 = 1,
++      GAIN_FORMAT_U1P7_1 = 2,
++      GAIN_FORMAT_U2P6_0 = 3,
++      GAIN_FORMAT_U2P6_1 = 4,
++      GAIN_FORMAT_U3P5_0 = 5,
++      GAIN_FORMAT_U3P5_1 = 6,
++      GAIN_FORMAT_U4P10  = 7,
++};
++
++/**
++ * struct bcm2835_isp_lens_shading - Lens shading tables supplied with the
++ *                                 V4L2_CID_USER_BCM2835_ISP_LENS_SHADING
++ *                                 ctrl.
++ *
++ * @enabled:          Enable lens shading.
++ * @grid_cell_size:   Size of grid cells in samples (16, 32, 64, 128 or 256).
++ * @grid_width:               Width of lens shading tables in grid cells.
++ * @grid_stride:      Row to row distance (in grid cells) between grid cells
++ *                    in the same horizontal location.
++ * @grid_height:      Height of lens shading tables in grid cells.
++ * @mem_handle_table: Memory handle to the tables.
++ * @ref_transform:    Reference transform - unsupported, please pass zero.
++ * @corner_sampled:   Whether the gains are sampled at the corner points
++ *                    of the grid cells or in the cell centres.
++ * @gain_format:      Format of the gains (see enum &bcm2835_isp_gain_format).
++ */
++struct bcm2835_isp_lens_shading {
++      __u32 enabled;
++      __u32 grid_cell_size;
++      __u32 grid_width;
++      __u32 grid_stride;
++      __u32 grid_height;
++      __u32 mem_handle_table;
++      __u32 ref_transform;
++      __u32 corner_sampled;
++      __u32 gain_format;
++};
++
++/**
++ * struct bcm2835_isp_black_level - Sensor black level set with the
++ *                                V4L2_CID_USER_BCM2835_ISP_BLACK_LEVEL ctrl.
++ *
++ * @enabled:          Enable black level.
++ * @black_level_r:    Black level for red channel.
++ * @black_level_g:    Black level for green channels.
++ * @black_level_b:    Black level for blue channel.
++ */
++struct bcm2835_isp_black_level {
++      __u32 enabled;
++      __u16 black_level_r;
++      __u16 black_level_g;
++      __u16 black_level_b;
++      __u8 pad_[2]; /* Unused */
++};
++
++/**
++ * struct bcm2835_isp_geq - Green equalisation parameters set with the
++ *                        V4L2_CID_USER_BCM2835_ISP_GEQ ctrl.
++ *
++ * @enabled:  Enable green equalisation.
++ * @offset:   Fixed offset of the green equalisation threshold.
++ * @slope:    Slope of the green equalisation threshold.
++ */
++struct bcm2835_isp_geq {
++      __u32 enabled;
++      __u32 offset;
++      struct bcm2835_isp_rational slope;
++};
++
++#define BCM2835_NUM_GAMMA_PTS 33
++
++/**
++ * struct bcm2835_isp_gamma - Gamma parameters set with the
++ *                          V4L2_CID_USER_BCM2835_ISP_GAMMA ctrl.
++ *
++ * @enabled:  Enable gamma adjustment.
++ * @X:                X values of the points defining the gamma curve.
++ *            Values should be scaled to 16 bits.
++ * @Y:                Y values of the points defining the gamma curve.
++ *            Values should be scaled to 16 bits.
++ */
++struct bcm2835_isp_gamma {
++      __u32 enabled;
++      __u16 x[BCM2835_NUM_GAMMA_PTS];
++      __u16 y[BCM2835_NUM_GAMMA_PTS];
++};
++
++/**
++ * struct bcm2835_isp_denoise - Denoise parameters set with the
++ *                            V4L2_CID_USER_BCM2835_ISP_DENOISE ctrl.
++ *
++ * @enabled:  Enable denoise.
++ * @constant: Fixed offset of the noise threshold.
++ * @slope:    Slope of the noise threshold.
++ * @strength: Denoise strength between 0.0 (off) and 1.0 (maximum).
++ */
++struct bcm2835_isp_denoise {
++      __u32 enabled;
++      __u32 constant;
++      struct bcm2835_isp_rational slope;
++      struct bcm2835_isp_rational strength;
++};
++
++/**
++ * struct bcm2835_isp_sharpen - Sharpen parameters set with the
++ *                            V4L2_CID_USER_BCM2835_ISP_SHARPEN ctrl.
++ *
++ * @enabled:  Enable sharpening.
++ * @threshold:        Threshold at which to start sharpening pixels.
++ * @strength: Strength with which pixel sharpening increases.
++ * @limit:    Limit to the amount of sharpening applied.
++ */
++struct bcm2835_isp_sharpen {
++      __u32 enabled;
++      struct bcm2835_isp_rational threshold;
++      struct bcm2835_isp_rational strength;
++      struct bcm2835_isp_rational limit;
++};
++
++/**
++ * enum bcm2835_isp_dpc_mode - defective pixel correction (DPC) strength.
++ *
++ * @DPC_MODE_OFF:             No DPC.
++ * @DPC_MODE_NORMAL:          Normal DPC.
++ * @DPC_MODE_STRONG:          Strong DPC.
++ */
++enum bcm2835_isp_dpc_mode {
++      DPC_MODE_OFF = 0,
++      DPC_MODE_NORMAL = 1,
++      DPC_MODE_STRONG = 2,
++};
++
++/**
++ * struct bcm2835_isp_dpc - Defective pixel correction (DPC) parameters set
++ *                        with the V4L2_CID_USER_BCM2835_ISP_DPC ctrl.
++ *
++ * @enabled:  Enable DPC.
++ * @strength: DPC strength (see enum &bcm2835_isp_dpc_mode).
++ */
++struct bcm2835_isp_dpc {
++      __u32 enabled;
++      __u32 strength;
++};
++
++/*
++ * ISP statistics structures.
++ *
++ * The bcm2835_isp_stats structure is generated at the output of the
++ * statistics node.  Note that this does not directly map onto the statistics
++ * output of the ISP HW.  Instead, the MMAL firmware code maps the HW statistics
++ * to the bcm2835_isp_stats structure.
++ */
++#define DEFAULT_AWB_REGIONS_X 16
++#define DEFAULT_AWB_REGIONS_Y 12
++
++#define NUM_HISTOGRAMS 2
++#define NUM_HISTOGRAM_BINS 128
++#define AWB_REGIONS (DEFAULT_AWB_REGIONS_X * DEFAULT_AWB_REGIONS_Y)
++#define FLOATING_REGIONS 16
++#define AGC_REGIONS 16
++#define FOCUS_REGIONS 12
++
++/**
++ * struct bcm2835_isp_stats_hist - Histogram statistics
++ *
++ * @r_hist:   Red channel histogram.
++ * @g_hist:   Combined green channel histogram.
++ * @b_hist:   Blue channel histogram.
++ */
++struct bcm2835_isp_stats_hist {
++      __u32 r_hist[NUM_HISTOGRAM_BINS];
++      __u32 g_hist[NUM_HISTOGRAM_BINS];
++      __u32 b_hist[NUM_HISTOGRAM_BINS];
++};
++
++/**
++ * struct bcm2835_isp_stats_region - Region sums.
++ *
++ * @counted:  The number of 2x2 bayer tiles accumulated.
++ * @notcounted:       The number of 2x2 bayer tiles not accumulated.
++ * @r_sum:    Total sum of counted pixels in the red channel for a region.
++ * @g_sum:    Total sum of counted pixels in the green channel for a region.
++ * @b_sum:    Total sum of counted pixels in the blue channel for a region.
++ */
++struct bcm2835_isp_stats_region {
++      __u32 counted;
++      __u32 notcounted;
++      __u64 r_sum;
++      __u64 g_sum;
++      __u64 b_sum;
++};
++
++/**
++ * struct bcm2835_isp_stats_focus - Focus statistics.
++ *
++ * @contrast_val:     Focus measure - accumulated output of the focus filter.
++ *                    In the first dimension, index [0] counts pixels below a
++ *                    preset threshold, and index [1] counts pixels above the
++ *                    threshold.  In the second dimension, index [0] uses the
++ *                    first predefined filter, and index [1] uses the second
++ *                    predefined filter.
++ * @contrast_val_num: The number of counted pixels in the above accumulation.
++ */
++struct bcm2835_isp_stats_focus {
++      __u64 contrast_val[2][2];
++      __u32 contrast_val_num[2][2];
++};
++
++/**
++ * struct bcm2835_isp_stats - ISP statistics.
++ *
++ * @version:          Version of the bcm2835_isp_stats structure.
++ * @size:             Size of the bcm2835_isp_stats structure.
++ * @hist:             Histogram statistics for the entire image.
++ * @awb_stats:                Statistics for the regions defined for AWB calculations.
++ * @floating_stats:   Statistics for arbitrarily placed (floating) regions.
++ * @agc_stats:                Statistics for the regions defined for AGC calculations.
++ * @focus_stats:      Focus filter statistics for the focus regions.
++ */
++struct bcm2835_isp_stats {
++      __u32 version;
++      __u32 size;
++      struct bcm2835_isp_stats_hist hist[NUM_HISTOGRAMS];
++      struct bcm2835_isp_stats_region awb_stats[AWB_REGIONS];
++      struct bcm2835_isp_stats_region floating_stats[FLOATING_REGIONS];
++      struct bcm2835_isp_stats_region agc_stats[AGC_REGIONS];
++      struct bcm2835_isp_stats_focus focus_stats[FOCUS_REGIONS];
++};
++
++#endif /* __BCM2835_ISP_H_ */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0669-dts-bcm2711-set-size-cells-2.patch b/target/linux/bcm27xx/patches-5.4/950-0669-dts-bcm2711-set-size-cells-2.patch
deleted file mode 100644 (file)
index fa5a2d4..0000000
+++ /dev/null
@@ -1,117 +0,0 @@
-From 6fe41cac345c8010943defa4ebc2496dd7ca05a1 Mon Sep 17 00:00:00 2001
-From: Hristo Venev <hristo@venev.name>
-Date: Wed, 22 Apr 2020 13:40:47 +0300
-Subject: [PATCH] dts: bcm2711: set #size-cells = <2>
-
-There already is one 4 GiB range, and one more will appear when high
-peripheral mode is enabled.
-
-Signed-off-by: Hristo Venev <hristo@venev.name>
----
- arch/arm/boot/dts/bcm2711-rpi.dtsi | 27 +++++++++++++--------------
- arch/arm/boot/dts/bcm2711.dtsi     | 10 +++++-----
- 2 files changed, 18 insertions(+), 19 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -65,17 +65,16 @@
- };
- &scb {
--      ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>,
--               <0x0 0x40000000  0x0 0xff800000  0x00800000>,
--               <0x6 0x00000000  0x6 0x00000000  0x40000000>,
--               <0x0 0x00000000  0x0 0x00000000  0xfc000000>;
--      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0xfc000000>,
--                   <0x1 0x00000000  0x1 0x00000000  0x80000000>,
--                   <0x1 0x80000000  0x1 0x80000000  0x80000000>;
-+      ranges = <0x0 0x7c000000  0x0 0xfc000000  0x0 0x03800000>,
-+               <0x0 0x40000000  0x0 0xff800000  0x0 0x00800000>,
-+               <0x6 0x00000000  0x6 0x00000000  0x0 0x40000000>,
-+               <0x0 0x00000000  0x0 0x00000000  0x0 0xfc000000>;
-+      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0x0 0xfc000000>,
-+                   <0x1 0x00000000  0x1 0x00000000  0x1 0x00000000>;
-       dma40: dma@7e007b00 {
-               compatible = "brcm,bcm2711-dma";
--              reg = <0x0 0x7e007b00 0x400>;
-+              reg = <0x0 0x7e007b00  0x0 0x400>;
-               interrupts =
-                       <GIC_SPI 89 IRQ_TYPE_LEVEL_HIGH>, /* dma4 11 */
-                       <GIC_SPI 90 IRQ_TYPE_LEVEL_HIGH>, /* dma4 12 */
-@@ -91,39 +90,39 @@
-       vchiq: mailbox@7e00b840 {
-               compatible = "brcm,bcm2711-vchiq";
--              reg = <0 0x7e00b840 0x3c>;
-+              reg = <0 0x7e00b840  0x0 0x3c>;
-               interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
-       };
-       xhci: xhci@7e9c0000 {
-               compatible = "generic-xhci";
-               status = "disabled";
--              reg = <0x0 0x7e9c0000 0x100000>;
-+              reg = <0x0 0x7e9c0000  0x0 0x100000>;
-               interrupts = <GIC_SPI 176 IRQ_TYPE_LEVEL_HIGH>;
-       };
-       hevc-decoder@7eb00000 {
-               compatible = "raspberrypi,rpivid-hevc-decoder";
--              reg = <0x0 0x7eb00000 0x10000>;
-+              reg = <0x0 0x7eb00000  0x0 0x10000>;
-               status = "okay";
-       };
-       rpivid-local-intc@7eb10000 {
-               compatible = "raspberrypi,rpivid-local-intc";
--              reg = <0x0 0x7eb10000 0x1000>;
-+              reg = <0x0 0x7eb10000  0x0 0x1000>;
-               status = "okay";
-               interrupts = <GIC_SPI 98 IRQ_TYPE_LEVEL_HIGH>;
-       };
-       h264-decoder@7eb20000 {
-               compatible = "raspberrypi,rpivid-h264-decoder";
--              reg = <0x0 0x7eb20000 0x10000>;
-+              reg = <0x0 0x7eb20000  0x0 0x10000>;
-               status = "okay";
-       };
-       vp9-decoder@7eb30000 {
-               compatible = "raspberrypi,rpivid-vp9-decoder";
--              reg = <0x0 0x7eb30000 0x10000>;
-+              reg = <0x0 0x7eb30000  0x0 0x10000>;
-               status = "okay";
-       };
- };
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -445,14 +445,14 @@
-       scb {
-               compatible = "simple-bus";
-               #address-cells = <2>;
--              #size-cells = <1>;
-+              #size-cells = <2>;
--              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x03800000>,
--                       <0x6 0x00000000  0x6 0x00000000  0x40000000>;
-+              ranges = <0x0 0x7c000000  0x0 0xfc000000  0x0 0x03800000>,
-+                       <0x6 0x00000000  0x6 0x00000000  0x0 0x40000000>;
-               pcie0: pcie@7d500000 {
-                       compatible = "brcm,bcm2711-pcie";
--                      reg = <0x0 0x7d500000 0x9310>;
-+                      reg = <0x0 0x7d500000  0x0 0x9310>;
-                       device_type = "pci";
-                       #address-cells = <3>;
-                       #interrupt-cells = <1>;
-@@ -480,7 +480,7 @@
-               genet: ethernet@7d580000 {
-                       compatible = "brcm,bcm2711-genet-v5";
--                      reg = <0x0 0x7d580000 0x10000>;
-+                      reg = <0x0 0x7d580000  0x0 0x10000>;
-                       #address-cells = <0x1>;
-                       #size-cells = <0x1>;
-                       interrupts = <GIC_SPI 157 IRQ_TYPE_LEVEL_HIGH>,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0669-media-uapi-v4l2-core-Add-ISP-statistics-output-V4L2-.patch b/target/linux/bcm27xx/patches-5.4/950-0669-media-uapi-v4l2-core-Add-ISP-statistics-output-V4L2-.patch
new file mode 100644 (file)
index 0000000..082dff5
--- /dev/null
@@ -0,0 +1,94 @@
+From 8dbbff7b75eee842c00ebaa53fa0a34b3e9bbcaa Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Thu, 23 Apr 2020 10:20:26 +0100
+Subject: [PATCH] media: uapi: v4l2-core: Add ISP statistics output
+ V4L2 fourcc type
+
+Add V4L2_META_FMT_BCM2835_ISP_STATS V4L2 format type.
+
+This new format will be used by the BCM2835 ISP device to return
+out ISP statistics for 3A.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ Documentation/media/uapi/v4l/meta-formats.rst |  1 +
+ .../v4l/pixfmt-meta-bcm2835-isp-stats.rst     | 41 +++++++++++++++++++
+ drivers/media/v4l2-core/v4l2-ioctl.c          |  1 +
+ include/uapi/linux/videodev2.h                |  1 +
+ 4 files changed, 44 insertions(+)
+ create mode 100644 Documentation/media/uapi/v4l/pixfmt-meta-bcm2835-isp-stats.rst
+
+--- a/Documentation/media/uapi/v4l/meta-formats.rst
++++ b/Documentation/media/uapi/v4l/meta-formats.rst
+@@ -19,6 +19,7 @@ These formats are used for the :ref:`met
+ .. toctree::
+     :maxdepth: 1
++    pixfmt-meta-bcm2835-isp-stats
+     pixfmt-meta-d4xx
+     pixfmt-meta-intel-ipu3
+     pixfmt-meta-sensor-data
+--- /dev/null
++++ b/Documentation/media/uapi/v4l/pixfmt-meta-bcm2835-isp-stats.rst
+@@ -0,0 +1,41 @@
++.. Permission is granted to copy, distribute and/or modify this
++.. document under the terms of the GNU Free Documentation License,
++.. Version 1.1 or any later version published by the Free Software
++.. Foundation, with no Invariant Sections, no Front-Cover Texts
++.. and no Back-Cover Texts. A copy of the license is included at
++.. Documentation/media/uapi/fdl-appendix.rst.
++..
++.. TODO: replace it to GFDL-1.1-or-later WITH no-invariant-sections
++
++.. _v4l2-meta-fmt-bcm2835-isp-stats:
++
++*****************************************
++V4L2_META_FMT_BCM2835_ISP_STATS  ('BSTA')
++*****************************************
++
++BCM2835 ISP Statistics
++
++Description
++===========
++
++The BCM2835 ISP hardware calculate image statistics for an input Bayer frame.
++These statistics are obtained from the "bcm2835-isp0-capture3" device node
++using the :c:type:`v4l2_meta_format` interface. They are formatted as described
++by the :c:type:`bcm2835_isp_stats` structure below.
++
++.. code-block:: c
++
++      #define DEFAULT_AWB_REGIONS_X 16
++      #define DEFAULT_AWB_REGIONS_Y 12
++
++      #define NUM_HISTOGRAMS 2
++      #define NUM_HISTOGRAM_BINS 128
++      #define AWB_REGIONS (DEFAULT_AWB_REGIONS_X * DEFAULT_AWB_REGIONS_Y)
++      #define FLOATING_REGIONS 16
++      #define AGC_REGIONS 16
++      #define FOCUS_REGIONS 12
++
++.. kernel-doc:: include/uapi/linux/bcm2835-isp.h
++   :functions: bcm2835_isp_stats_hist bcm2835_isp_stats_region
++                   bcm2835_isp_stats_focus bcm2835_isp_stats
++
+--- a/drivers/media/v4l2-core/v4l2-ioctl.c
++++ b/drivers/media/v4l2-core/v4l2-ioctl.c
+@@ -1333,6 +1333,7 @@ static void v4l_fill_fmtdesc(struct v4l2
+       case V4L2_META_FMT_UVC:         descr = "UVC Payload Header Metadata"; break;
+       case V4L2_META_FMT_D4XX:        descr = "Intel D4xx UVC Metadata"; break;
+       case V4L2_META_FMT_SENSOR_DATA: descr = "Sensor Ancillary Metadata"; break;
++      case V4L2_META_FMT_BCM2835_ISP_STATS: descr = "BCM2835 ISP Image Statistics"; break;
+       default:
+               /* Compressed formats */
+--- a/include/uapi/linux/videodev2.h
++++ b/include/uapi/linux/videodev2.h
+@@ -769,6 +769,7 @@ struct v4l2_pix_format {
+ #define V4L2_META_FMT_UVC         v4l2_fourcc('U', 'V', 'C', 'H') /* UVC Payload Header metadata */
+ #define V4L2_META_FMT_D4XX        v4l2_fourcc('D', '4', 'X', 'X') /* D4XX Payload Header metadata */
+ #define V4L2_META_FMT_SENSOR_DATA v4l2_fourcc('S', 'E', 'N', 'S') /* Sensor Ancillary metadata */
++#define V4L2_META_FMT_BCM2835_ISP_STATS v4l2_fourcc('B', 'S', 'T', 'A') /* BCM2835 ISP image statistics output */
+ /* priv field value to indicates that subsequent fields are valid. */
+ #define V4L2_PIX_FMT_PRIV_MAGIC               0xfeedcafe
diff --git a/target/linux/bcm27xx/patches-5.4/950-0670-dts-bcm2711-add-High-Peripheral-mode-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0670-dts-bcm2711-add-High-Peripheral-mode-overlay.patch
deleted file mode 100644 (file)
index e24f5de..0000000
+++ /dev/null
@@ -1,140 +0,0 @@
-From f6d6731d8e896ab029466547dfa66d91a9a6b73a Mon Sep 17 00:00:00 2001
-From: Hristo Venev <hristo@venev.name>
-Date: Wed, 22 Apr 2020 16:34:59 +0300
-Subject: [PATCH] dts: bcm2711: add "High Peripheral" mode overlay
-
-The following addresses change:
-
- - 0xfc00_0000 -> 0x4_7c00_0000
- - 0xff80_0000 -> 0x4_c000_0000
-
-The range 0xfc00_0000-0xffff_ffff becomes available as system RAM on
-devices with >= 4 GiB of RAM. Firmware should initialize the memory node
-appropriately.
-
-Signed-off-by: Hristo Venev <hristo@venev.name>
----
- arch/arm/boot/dts/bcm2711-rpi.dtsi            |  2 +-
- arch/arm/boot/dts/overlays/Makefile           |  1 +
- arch/arm/boot/dts/overlays/README             |  6 ++
- .../boot/dts/overlays/highperi-overlay.dts    | 64 +++++++++++++++++++
- arch/arm/boot/dts/overlays/overlay_map.dts    |  4 ++
- 5 files changed, 76 insertions(+), 1 deletion(-)
- create mode 100644 arch/arm/boot/dts/overlays/highperi-overlay.dts
-
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -16,7 +16,7 @@
-               compatible = "arm,cortex-a72-pmu", "arm,cortex-a15-pmu";
-       };
--      v3dbus {
-+      v3dbus: v3dbus {
-               compatible = "simple-bus";
-               #address-cells = <1>;
-               #size-cells = <2>;
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -64,6 +64,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       hifiberry-dacplushd.dtbo \
-       hifiberry-digi.dtbo \
-       hifiberry-digi-pro.dtbo \
-+      highperi.dtbo \
-       hy28a.dtbo \
-       hy28b.dtbo \
-       hy28b-2017.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -1019,6 +1019,12 @@ Load:   dtoverlay=hifiberry-digi-pro
- Params: <None>
-+Name:   highperi
-+Info:   Enables "High Peripheral" mode
-+Load:   dtoverlay=highperi
-+Params: <None>
-+
-+
- Name:   hy28a
- Info:   HY28A - 2.8" TFT LCD Display Module by HAOYU Electronics
-         Default values match Texy's display shield
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/highperi-overlay.dts
-@@ -0,0 +1,64 @@
-+/*
-+ * highperi.dts
-+ */
-+
-+/dts-v1/;
-+/plugin/;
-+
-+/ {
-+      compatible = "brcm,bcm2711";
-+
-+      fragment@0 {
-+              target = <&soc>;
-+              #address-cells = <2>;
-+              #size-cells = <1>;
-+
-+              __overlay__ {
-+                      #address-cells = <1>;
-+                      #size-cells = <1>;
-+                      ranges = <0x7c000000  0x4 0x7c000000  0x04000000>,
-+                               <0x40000000  0x4 0xc0000000  0x00800000>;
-+              };
-+      };
-+
-+      fragment@1 {
-+              target = <&scb>;
-+              #address-cells = <2>;
-+              #size-cells = <1>;
-+
-+              __overlay__ {
-+                      #address-cells = <2>;
-+                      #size-cells = <2>;
-+                      ranges = <0x0 0x7c000000  0x4 0x7c000000  0x0 0x04000000>,
-+                               <0x0 0x40000000  0x4 0xc0000000  0x0 0x00800000>,
-+                               <0x6 0x00000000  0x6 0x00000000  0x0 0x40000000>,
-+                               <0x0 0x00000000  0x0 0x00000000  0x1 0x00000000>;
-+                      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0x2 0x00000000>;
-+              };
-+      };
-+
-+      fragment@2 {
-+              target = <&v3dbus>;
-+              #address-cells = <2>;
-+              #size-cells = <1>;
-+
-+              __overlay__ {
-+                      #address-cells = <1>;
-+                      #size-cells = <2>;
-+                      ranges = <0x7c500000  0x4 0x7c500000  0x0 0x03300000>,
-+                               <0x40000000  0x4 0xc0000000  0x0 0x00800000>;
-+              };
-+      };
-+
-+      fragment@3 {
-+              target = <&emmc2bus>;
-+              #address-cells = <2>;
-+              #size-cells = <1>;
-+
-+              __overlay__ {
-+                      #address-cells = <2>;
-+                      #size-cells = <1>;
-+                      ranges = <0x0 0x7e000000  0x4 0x7e000000  0x01800000>;
-+              };
-+      };
-+};
---- a/arch/arm/boot/dts/overlays/overlay_map.dts
-+++ b/arch/arm/boot/dts/overlays/overlay_map.dts
-@@ -5,6 +5,10 @@
-               deprecated = "use i2c-sensor,bmp085";
-       };
-+      highperi {
-+              bcm2711;
-+      };
-+
-       i2c0-bcm2708 {
-               deprecated = "use i2c0";
-       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0670-media-uapi-v4l-ctrls-Add-CID-base-for-the-bcm2835-is.patch b/target/linux/bcm27xx/patches-5.4/950-0670-media-uapi-v4l-ctrls-Add-CID-base-for-the-bcm2835-is.patch
new file mode 100644 (file)
index 0000000..96e6e12
--- /dev/null
@@ -0,0 +1,169 @@
+From 23afbeb993acfd94fa21341f01819ab6505444d2 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Tue, 21 Apr 2020 15:06:19 +0100
+Subject: [PATCH] media: uapi: v4l-ctrls: Add CID base for the
+ bcm2835-isp driver
+
+We are reserving controls for the new bcm2835-isp driver.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ .../media/v4l-drivers/bcm2835-isp.rst         | 127 ++++++++++++++++++
+ Documentation/media/v4l-drivers/index.rst     |   1 +
+ include/uapi/linux/v4l2-controls.h            |   4 +
+ 3 files changed, 132 insertions(+)
+ create mode 100644 Documentation/media/v4l-drivers/bcm2835-isp.rst
+
+--- /dev/null
++++ b/Documentation/media/v4l-drivers/bcm2835-isp.rst
+@@ -0,0 +1,127 @@
++.. SPDX-License-Identifier: GPL-2.0
++
++BCM2835 ISP Driver
++==================
++
++Introduction
++------------
++
++The BCM2835 Image Sensor Pipeline (ISP) is a fixed function hardware pipeline
++for performing image processing operations.  Images are fed to the input
++of the ISP through memory frame buffers.  These images may be in various YUV,
++RGB, or Bayer formats.  A typical use case would have Bayer images obtained from
++an image sensor by the BCM2835 Unicam peripheral, written to a memory
++frame buffer, and finally fed into the input of the ISP.  Two concurrent output
++images may be generated in YUV or RGB format at different resolutions.
++Statistics output is also generated for Bayer input images.
++
++The bcm2835-isp driver exposes the following media pads as V4L2 device nodes:
++
++.. tabularcolumns:: |l|l|l|l|
++
++.. cssclass: longtable
++
++.. flat-table::
++
++    * - *Pad*
++      - *Direction*
++      - *Purpose*
++      - *Formats*
++
++    * - "bcm2835-isp0-output0"
++      - sink
++      - Accepts Bayer, RGB or YUV format frame buffers as input to the ISP HW
++        pipeline.
++      - :ref:`RAW8 <V4L2-PIX-FMT-SRGGB8>`,
++        :ref:`RAW10P <V4L2-PIX-FMT-SRGGB10P>`,
++        :ref:`RAW12P <V4L2-PIX-FMT-SRGGB12P>`,
++        :ref:`RAW14P <V4L2-PIX-FMT-SRGGB14P>`,
++        :ref:`RAW16 <V4L2-PIX-FMT-SRGGB16>`,
++        :ref:`RGB24/BGR24 <V4L2-PIX-FMT-RGB24>`,
++        :ref:`YUYV <V4L2-PIX-FMT-YUYV>`,
++        :ref:`YVYU <V4L2-PIX-FMT-YVYU>`,
++        :ref:`UYVY <V4L2-PIX-FMT-UYVY>`,
++        :ref:`VYUY <V4L2-PIX-FMT-VYUY>`,
++        :ref:`YUV420/YVU420 <V4L2-PIX-FMT-YUV420>`
++
++    * - "bcm2835-isp0-capture1"
++      - source
++      - High resolution YUV or RGB processed output from the ISP.
++      - :ref:`RGB565 <V4L2-PIX-FMT-RGB565>`,
++        :ref:`RGB24/BGR24 <V4L2-PIX-FMT-RGB24>`,
++        :ref:`ABGR32 <V4L2-PIX-FMT-ABGR32>`,
++        :ref:`YUYV <V4L2-PIX-FMT-YUYV>`,
++        :ref:`YVYU <V4L2-PIX-FMT-YVYU>`,
++        :ref:`UYVY <V4L2-PIX-FMT-UYVY>`,
++        :ref:`VYUY <V4L2-PIX-FMT-VYUY>`.
++        :ref:`YUV420/YVU420 <V4L2-PIX-FMT-YUV420>`,
++        :ref:`NV12/NV21 <V4L2-PIX-FMT-NV12>`,
++
++    * - "bcm2835-isp0-capture2"
++      - source
++      - Low resolution YUV processed output from the ISP. The output of
++        this pad cannot have a resolution larger than the "bcm2835-isp0-capture1" pad in any dimension.
++      - :ref:`YUYV <V4L2-PIX-FMT-YUYV>`,
++        :ref:`YVYU <V4L2-PIX-FMT-YVYU>`,
++        :ref:`UYVY <V4L2-PIX-FMT-UYVY>`,
++        :ref:`VYUY <V4L2-PIX-FMT-VYUY>`.
++        :ref:`YUV420/YVU420 <V4L2-PIX-FMT-YUV420>`,
++        :ref:`NV12/NV21 <V4L2-PIX-FMT-NV12>`,
++
++    * - "bcm2835-isp0-capture1"
++      - source
++      - Image statistics calculated from the input image provided on the
++        "bcm2835-isp0-output0" pad.  Statistics are only available for Bayer
++        format input images.
++      - :ref:`v4l2-meta-fmt-bcm2835-isp-stats`.
++
++Pipeline Configuration
++----------------------
++
++The ISP pipeline can be configure through user-space by calling
++:ref:`VIDIOC_S_EXT_CTRLS <VIDIOC_G_EXT_CTRLS>` on the “bcm2835-isp0-output0”
++node with the appropriate parameters as shown in the table below.
++
++.. tabularcolumns:: |p{2cm}|p{5.0cm}|
++
++.. cssclass: longtable
++
++.. flat-table::
++
++    * - *id*
++      - *Parameter*
++
++    * - ``V4L2_CID_USER_BCM2835_ISP_CC_MATRIX``
++      - struct :c:type:`bcm2835_isp_custom_ccm`
++
++    * - ``V4L2_CID_USER_BCM2835_ISP_LENS_SHADING``
++      - struct :c:type:`bcm2835_isp_lens_shading`
++
++    * - ``V4L2_CID_USER_BCM2835_ISP_BLACK_LEVEL``
++      - struct :c:type:`bcm2835_isp_black_level`
++
++    * - ``V4L2_CID_USER_BCM2835_ISP_GEQ``
++      - struct :c:type:`bcm2835_isp_geq`
++
++    * - ``V4L2_CID_USER_BCM2835_ISP_GAMMA``
++      - struct :c:type:`bcm2835_isp_gamma`
++
++    * - ``V4L2_CID_USER_BCM2835_ISP_DENOISE``
++      - struct :c:type:`bcm2835_isp_denoise`
++
++    * - ``V4L2_CID_USER_BCM2835_ISP_SHARPEN``
++      - struct :c:type:`bcm2835_isp_sharpen`
++
++    * - ``V4L2_CID_USER_BCM2835_ISP_DPC``
++      - struct :c:type:`bcm2835_isp_dpc`
++
++++++++++++++++++++++++++
++Configuration Parameters
++++++++++++++++++++++++++
++
++.. kernel-doc:: include/uapi/linux/bcm2835-isp.h
++   :functions: bcm2835_isp_rational bcm2835_isp_ccm bcm2835_isp_custom_ccm
++                bcm2835_isp_gain_format bcm2835_isp_lens_shading
++                bcm2835_isp_black_level bcm2835_isp_geq bcm2835_isp_gamma
++                bcm2835_isp_denoise bcm2835_isp_sharpen
++                bcm2835_isp_dpc_mode bcm2835_isp_dpc
+--- a/Documentation/media/v4l-drivers/index.rst
++++ b/Documentation/media/v4l-drivers/index.rst
+@@ -35,6 +35,7 @@ For more details see the file COPYING in
+       v4l-with-ir
+       tuners
+       cardlist
++      bcm2835-isp
+       bttv
+       cafe_ccic
+       cpia2
+--- a/include/uapi/linux/v4l2-controls.h
++++ b/include/uapi/linux/v4l2-controls.h
+@@ -192,6 +192,10 @@ enum v4l2_colorfx {
+  * We reserve 16 controls for this driver. */
+ #define V4L2_CID_USER_IMX_BASE                        (V4L2_CID_USER_BASE + 0x10b0)
++/* The base for the bcm2835-isp driver controls.
++ * We reserve 16 controls for this driver. */
++#define V4L2_CID_USER_BCM2835_ISP_BASE                (V4L2_CID_USER_BASE + 0x10c0)
++
+ /* MPEG-class control IDs */
+ /* The MPEG controls are applicable to all codec controls
+  * and the 'MPEG' part of the define is historical */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0671-Revert-spi-spidev-Fix-CS-polarity-if-GPIO-descriptor.patch b/target/linux/bcm27xx/patches-5.4/950-0671-Revert-spi-spidev-Fix-CS-polarity-if-GPIO-descriptor.patch
deleted file mode 100644 (file)
index 00bbe98..0000000
+++ /dev/null
@@ -1,32 +0,0 @@
-From 4c7f1a1c3d1bfd35b5a4089766ff0882d7b4ee0d Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Mon, 20 Apr 2020 13:41:10 +0100
-Subject: [PATCH] Revert "spi: spidev: Fix CS polarity if GPIO
- descriptors are used"
-
-This reverts commit 83b2a8fe43bda0c11981ad6afa5dd0104d78be28.
----
- drivers/spi/spidev.c | 5 -----
- 1 file changed, 5 deletions(-)
-
---- a/drivers/spi/spidev.c
-+++ b/drivers/spi/spidev.c
-@@ -399,7 +399,6 @@ spidev_ioctl(struct file *filp, unsigned
-               else
-                       retval = get_user(tmp, (u32 __user *)arg);
-               if (retval == 0) {
--                      struct spi_controller *ctlr = spi->controller;
-                       u32     save = spi->mode;
-                       if (tmp & ~SPI_MODE_MASK) {
-@@ -407,10 +406,6 @@ spidev_ioctl(struct file *filp, unsigned
-                               break;
-                       }
--                      if (ctlr->use_gpio_descriptors && ctlr->cs_gpiods &&
--                          ctlr->cs_gpiods[spi->chip_select])
--                              tmp |= SPI_CS_HIGH;
--
-                       tmp |= spi->mode & ~SPI_MODE_MASK;
-                       spi->mode = (u16)tmp;
-                       retval = spi_setup(spi);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0671-staging-mmal-vchiq-Fix-formatting-errors-in-mmal_par.patch b/target/linux/bcm27xx/patches-5.4/950-0671-staging-mmal-vchiq-Fix-formatting-errors-in-mmal_par.patch
new file mode 100644 (file)
index 0000000..f428ce5
--- /dev/null
@@ -0,0 +1,116 @@
+From 3319293da05e444e0673c1aba5507e539ccff043 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Thu, 23 Apr 2020 10:12:24 +0100
+Subject: [PATCH] staging: mmal-vchiq: Fix formatting errors in
+ mmal_parameters.h
+
+No functional changes in this commit.
+
+- Remove erroneous whitespace.
+- Remove _t postfix label on structs and enums.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ .../bcm2835-camera/bcm2835-camera.c           |  2 +-
+ .../vchiq-mmal/mmal-parameters.h              | 46 +++++++++----------
+ 2 files changed, 24 insertions(+), 24 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
++++ b/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
+@@ -1523,7 +1523,7 @@ static int get_num_cameras(struct vchiq_
+ {
+       int ret;
+       struct vchiq_mmal_component  *cam_info_component;
+-      struct mmal_parameter_camera_info_t cam_info = {0};
++      struct mmal_parameter_camera_info cam_info = {0};
+       u32 param_size = sizeof(cam_info);
+       int i;
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
+@@ -23,21 +23,21 @@
+ #define MMAL_PARAMETERS_H
+ /** Common parameter ID group, used with many types of component. */
+-#define MMAL_PARAMETER_GROUP_COMMON            (0 << 16)
++#define MMAL_PARAMETER_GROUP_COMMON           (0 << 16)
+ /** Camera-specific parameter ID group. */
+-#define MMAL_PARAMETER_GROUP_CAMERA            (1 << 16)
++#define MMAL_PARAMETER_GROUP_CAMERA           (1 << 16)
+ /** Video-specific parameter ID group. */
+-#define MMAL_PARAMETER_GROUP_VIDEO             (2 << 16)
++#define MMAL_PARAMETER_GROUP_VIDEO            (2 << 16)
+ /** Audio-specific parameter ID group. */
+-#define MMAL_PARAMETER_GROUP_AUDIO             (3 << 16)
++#define MMAL_PARAMETER_GROUP_AUDIO            (3 << 16)
+ /** Clock-specific parameter ID group. */
+-#define MMAL_PARAMETER_GROUP_CLOCK             (4 << 16)
++#define MMAL_PARAMETER_GROUP_CLOCK            (4 << 16)
+ /** Miracast-specific parameter ID group. */
+-#define MMAL_PARAMETER_GROUP_MIRACAST       (5 << 16)
++#define MMAL_PARAMETER_GROUP_MIRACAST         (5 << 16)
+ /* Common parameters */
+ enum mmal_parameter_common_type {
+-              /**< Never a valid parameter ID */
++      /**< Never a valid parameter ID */
+       MMAL_PARAMETER_UNUSED = MMAL_PARAMETER_GROUP_COMMON,
+               /**< MMAL_PARAMETER_ENCODING_T */
+@@ -342,7 +342,7 @@ enum mmal_parameter_imagefx {
+       MMAL_PARAM_IMAGEFX_CARTOON,
+ };
+-enum MMAL_PARAM_FLICKERAVOID_T {
++enum MMAL_PARAM_FLICKERAVOID {
+       MMAL_PARAM_FLICKERAVOID_OFF,
+       MMAL_PARAM_FLICKERAVOID_AUTO,
+       MMAL_PARAM_FLICKERAVOID_50HZ,
+@@ -754,15 +754,15 @@ struct mmal_parameter_imagefx_parameters
+ #define MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES 2
+ #define MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN 16
+-struct mmal_parameter_camera_info_camera_t {
+-      u32    port_id;
+-      u32    max_width;
+-      u32    max_height;
+-      u32    lens_present;
+-      u8     camera_name[MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN];
++struct mmal_parameter_camera_info_camera {
++      u32 port_id;
++      u32 max_width;
++      u32 max_height;
++      u32 lens_present;
++      u8 camera_name[MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN];
+ };
+-enum mmal_parameter_camera_info_flash_type_t {
++enum mmal_parameter_camera_info_flash_type {
+       /* Make values explicit to ensure they match values in config ini */
+       MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_XENON = 0,
+       MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_LED   = 1,
+@@ -770,16 +770,16 @@ enum mmal_parameter_camera_info_flash_ty
+       MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_MAX = 0x7FFFFFFF
+ };
+-struct mmal_parameter_camera_info_flash_t {
+-      enum mmal_parameter_camera_info_flash_type_t flash_type;
++struct mmal_parameter_camera_info_flash {
++      enum mmal_parameter_camera_info_flash_type flash_type;
+ };
+-struct mmal_parameter_camera_info_t {
+-      u32                            num_cameras;
+-      u32                            num_flashes;
+-      struct mmal_parameter_camera_info_camera_t
+-                              cameras[MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS];
+-      struct mmal_parameter_camera_info_flash_t
++struct mmal_parameter_camera_info {
++      u32 num_cameras;
++      u32 num_flashes;
++      struct mmal_parameter_camera_info_camera
++              cameras[MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS];
++      struct mmal_parameter_camera_info_flash
+                               flashes[MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES];
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0672-spi-use_gpio_descriptor-fixup-moved-to-spi_setup.patch b/target/linux/bcm27xx/patches-5.4/950-0672-spi-use_gpio_descriptor-fixup-moved-to-spi_setup.patch
deleted file mode 100644 (file)
index 8792faa..0000000
+++ /dev/null
@@ -1,55 +0,0 @@
-From b4659f44df3454c6b37ba206a0347af3b8d6a744 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Mon, 20 Apr 2020 13:30:49 +0100
-Subject: [PATCH] spi: use_gpio_descriptor fixup moved to spi_setup
-
-Commits [1] and [2] including code that forces SPI_CS_HIGH for SPI
-controllers that use GPIO descriptors, the SPI_CS_HIGH flag being
-there to avoid a double-negation (since SPI CS is usually active-low).
-The motivation for pushing the knowledge of the required polarity into
-the GPIO descriptor allows the switch to an output to request the
-correct inactive level, avoiding a needless glitch.
-
-The problem with setting the flag early as [1] does is that it appears
-in the mode field that is passed to client drivers during their probing,
-when they may want to choose SPI_POL, SPI_PHA and (just possibly)
-SPI_CS_HIGH. Since SPI_CS_HIGH is the exception, most drivers won't
-set it and the anti-negation negation is lost. [2] acknowledges that
-problem and patches things up for the case of users of spidev, but
-omits regular kernel-mode drivers.
-
-Downstream commit [3] moves the forcing of SPI_CS_HIGH to spi_setup,
-after the driver probing. Since this code is called before any CS
-manipulation it is early enough to be effective, but late enough that
-clients have already had their chance to change the mode field.
-
-This is a partial reversion of [1], and is accompanied by a complete
-reversion of [2], neither of which is needed any longer.
-
-[1] f3186dd87669 ("spi: Optionally use GPIO descriptors for CS GPIOs")
-[2] 83b2a8fe43bd ("spi: spidev: Fix CS polarity if GPIO descriptors are used")
-[3] <varies> ("spi: Force CS_HIGH if GPIO descriptors are used")
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- drivers/spi/spi.c | 9 ---------
- 1 file changed, 9 deletions(-)
-
---- a/drivers/spi/spi.c
-+++ b/drivers/spi/spi.c
-@@ -1793,15 +1793,6 @@ static int of_spi_parse_dt(struct spi_co
-       }
-       spi->chip_select = value;
--      /*
--       * For descriptors associated with the device, polarity inversion is
--       * handled in the gpiolib, so all gpio chip selects are "active high"
--       * in the logical sense, the gpiolib will invert the line if need be.
--       */
--      if ((ctlr->use_gpio_descriptors) && ctlr->cs_gpiods &&
--          ctlr->cs_gpiods[spi->chip_select])
--              spi->mode |= SPI_CS_HIGH;
--
-       /* Device speed */
-       rc = of_property_read_u32(nc, "spi-max-frequency", &value);
-       if (rc) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0672-staging-vc04_services-ISP-Add-a-more-complex-ISP-pro.patch b/target/linux/bcm27xx/patches-5.4/950-0672-staging-vc04_services-ISP-Add-a-more-complex-ISP-pro.patch
new file mode 100644 (file)
index 0000000..38015cc
--- /dev/null
@@ -0,0 +1,2255 @@
+From 05a5bc2bfa028885c844ccc2263029b5db9160b4 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Thu, 23 Apr 2020 10:17:37 +0100
+Subject: [PATCH] staging: vc04_services: ISP: Add a more complex ISP
+ processing component
+
+Driver for the BCM2835 ISP hardware block.  This driver uses the MMAL
+component to program the ISP hardware through the VC firmware.
+
+The ISP component can produce two video stream outputs, and Bayer
+image statistics. This can't be encompassed in a simple V4L2
+M2M device, so create a new device that registers 4 video nodes.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ MAINTAINERS                                   |    9 +
+ drivers/staging/vc04_services/Kconfig         |    1 +
+ drivers/staging/vc04_services/Makefile        |    1 +
+ .../staging/vc04_services/bcm2835-isp/Kconfig |   14 +
+ .../vc04_services/bcm2835-isp/Makefile        |    8 +
+ .../bcm2835-isp/bcm2835-v4l2-isp.c            | 1627 +++++++++++++++++
+ .../bcm2835-isp/bcm2835_isp_ctrls.h           |   67 +
+ .../bcm2835-isp/bcm2835_isp_fmts.h            |  272 +++
+ .../vc04_services/vchiq-mmal/mmal-encodings.h |    4 +
+ .../vchiq-mmal/mmal-parameters.h              |  153 +-
+ 10 files changed, 2155 insertions(+), 1 deletion(-)
+ create mode 100644 drivers/staging/vc04_services/bcm2835-isp/Kconfig
+ create mode 100644 drivers/staging/vc04_services/bcm2835-isp/Makefile
+ create mode 100644 drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
+ create mode 100644 drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_ctrls.h
+ create mode 100644 drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
+
+--- a/MAINTAINERS
++++ b/MAINTAINERS
+@@ -3212,6 +3212,15 @@ S:      Maintained
+ F:    drivers/media/platform/bcm2835/
+ F:    Documentation/devicetree/bindings/media/bcm2835-unicam.txt
++BROADCOM BCM2835 ISP DRIVER
++M:    Raspberry Pi Kernel Maintenance <kernel-list@raspberrypi.com>
++L:    linux-media@vger.kernel.org
++S:    Maintained
++F:    drivers/staging/vc04_services/bcm2835-isp
++F:    include/uapi/linux/bcm2835-isp.h
++F:    Documentation/media/v4l-drivers/bcm2835-isp.rst
++F:    Documentation/media/uapi/v4l/pixfmt-meta-bcm2835-isp-stats.rst
++
+ BROADCOM BCM47XX MIPS ARCHITECTURE
+ M:    Hauke Mehrtens <hauke@hauke-m.de>
+ M:    Rafał Miłecki <zajec5@gmail.com>
+--- a/drivers/staging/vc04_services/Kconfig
++++ b/drivers/staging/vc04_services/Kconfig
+@@ -25,6 +25,7 @@ source "drivers/staging/vc04_services/bc
+ source "drivers/staging/vc04_services/vchiq-mmal/Kconfig"
+ source "drivers/staging/vc04_services/vc-sm-cma/Kconfig"
+ source "drivers/staging/vc04_services/bcm2835-codec/Kconfig"
++source "drivers/staging/vc04_services/bcm2835-isp/Kconfig"
+ endif
+--- a/drivers/staging/vc04_services/Makefile
++++ b/drivers/staging/vc04_services/Makefile
+@@ -15,6 +15,7 @@ obj-$(CONFIG_VIDEO_BCM2835)          += bcm2835-
+ obj-$(CONFIG_BCM2835_VCHIQ_MMAL)      += vchiq-mmal/
+ obj-$(CONFIG_BCM_VC_SM_CMA)           += vc-sm-cma/
+ obj-$(CONFIG_VIDEO_CODEC_BCM2835)     += bcm2835-codec/
++obj-$(CONFIG_VIDEO_ISP_BCM2835)               += bcm2835-isp/
+ ccflags-y += -Idrivers/staging/vc04_services -D__VCCOREVER__=0x04000000
+--- /dev/null
++++ b/drivers/staging/vc04_services/bcm2835-isp/Kconfig
+@@ -0,0 +1,14 @@
++config VIDEO_ISP_BCM2835
++      tristate "BCM2835 ISP support"
++      depends on MEDIA_SUPPORT
++      depends on VIDEO_V4L2 && (ARCH_BCM2835 || COMPILE_TEST)
++      depends on MEDIA_CONTROLLER
++      select BCM2835_VCHIQ_MMAL
++      select VIDEOBUF2_DMA_CONTIG
++      help
++        This is the V4L2 driver for the Broadcom BCM2835 ISP hardware.
++        This operates over the VCHIQ interface to a service running on
++        VideoCore.
++
++        To compile this driver as a module, choose M here: the module
++        will be called bcm2835-isp.
+--- /dev/null
++++ b/drivers/staging/vc04_services/bcm2835-isp/Makefile
+@@ -0,0 +1,8 @@
++# SPDX-License-Identifier: GPL-2.0
++bcm2835-isp-objs := bcm2835-v4l2-isp.o
++
++obj-$(CONFIG_VIDEO_ISP_BCM2835) += bcm2835-isp.o
++
++ccflags-y += \
++      -I$(srctree)/drivers/staging/vc04_services \
++      -D__VCCOREVER__=0x04000000
+--- /dev/null
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
+@@ -0,0 +1,1627 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * Broadcom BCM2835 ISP driver
++ *
++ * Copyright © 2019-2020 Raspberry Pi (Trading) Ltd.
++ *
++ * Author: Naushir Patuck (naush@raspberrypi.com)
++ *
++ */
++
++#include <linux/module.h>
++#include <linux/platform_device.h>
++
++#include <media/v4l2-ctrls.h>
++#include <media/v4l2-device.h>
++#include <media/v4l2-event.h>
++#include <media/v4l2-ioctl.h>
++#include <media/videobuf2-dma-contig.h>
++
++#include "vchiq-mmal/mmal-msg.h"
++#include "vchiq-mmal/mmal-parameters.h"
++#include "vchiq-mmal/mmal-vchiq.h"
++
++#include "bcm2835_isp_ctrls.h"
++#include "bcm2835_isp_fmts.h"
++
++static unsigned int debug;
++module_param(debug, uint, 0644);
++MODULE_PARM_DESC(debug, "activates debug info");
++
++static unsigned int video_nr = 13;
++module_param(video_nr, uint, 0644);
++MODULE_PARM_DESC(video_nr, "base video device number");
++
++#define BCM2835_ISP_NAME "bcm2835-isp"
++#define BCM2835_ISP_ENTITY_NAME_LEN 32
++
++#define BCM2835_ISP_NUM_OUTPUTS 1
++#define BCM2835_ISP_NUM_CAPTURES 2
++#define BCM2835_ISP_NUM_METADATA 1
++
++#define BCM2835_ISP_NUM_NODES                                         \
++              (BCM2835_ISP_NUM_OUTPUTS + BCM2835_ISP_NUM_CAPTURES +   \
++               BCM2835_ISP_NUM_METADATA)
++
++/* Default frame dimension of 1280 pixels. */
++#define DEFAULT_DIM 1280U
++/*
++ * Maximum frame dimension of 16384 pixels.  Even though the ISP runs in tiles,
++ * have a sensible limit so that we do not create an excessive number of tiles
++ * to process.
++ */
++#define MAX_DIM 16384U
++/*
++ * Minimum frame dimension of 64 pixels.  Anything lower, and the tiling
++ * algorihtm may not be able to cope when applying filter context.
++ */
++#define MIN_DIM 64U
++
++/* Per-queue, driver-specific private data */
++struct bcm2835_isp_q_data {
++      /*
++       * These parameters should be treated as gospel, with everything else
++       * being determined from them.
++       */
++      unsigned int bytesperline;
++      unsigned int width;
++      unsigned int height;
++      unsigned int sizeimage;
++      struct bcm2835_isp_fmt *fmt;
++};
++
++/*
++ * Structure to describe a single node /dev/video<N> which represents a single
++ * input or output queue to the ISP device.
++ */
++struct bcm2835_isp_node {
++      int vfl_dir;
++      unsigned int id;
++      const char *name;
++      struct video_device vfd;
++      struct media_pad pad;
++      struct media_intf_devnode *intf_devnode;
++      struct media_link *intf_link;
++      struct mutex lock; /* top level device node lock */
++      struct mutex queue_lock;
++
++      struct vb2_queue queue;
++      unsigned int sequence;
++
++      /* The list of formats supported on the node. */
++      struct bcm2835_isp_fmt_list supported_fmts;
++
++      struct bcm2835_isp_q_data q_data;
++
++      /* Parent device structure */
++      struct bcm2835_isp_dev *dev;
++
++      bool registered;
++      bool media_node_registered;
++      bool queue_init;
++};
++
++/*
++ * Structure representing the entire ISP device, comprising several input and
++ * output nodes /dev/video<N>.
++ */
++struct bcm2835_isp_dev {
++      struct v4l2_device v4l2_dev;
++      struct device *dev;
++      struct v4l2_ctrl_handler ctrl_handler;
++      struct media_device mdev;
++      struct media_entity entity;
++      bool media_device_registered;
++      bool media_entity_registered;
++      struct vchiq_mmal_instance *mmal_instance;
++      struct vchiq_mmal_component *component;
++      struct completion frame_cmplt;
++
++      struct bcm2835_isp_node node[BCM2835_ISP_NUM_NODES];
++      struct media_pad pad[BCM2835_ISP_NUM_NODES];
++      atomic_t num_streaming;
++
++      /* Image pipeline controls. */
++      int r_gain;
++      int b_gain;
++};
++
++struct bcm2835_isp_buffer {
++      struct vb2_v4l2_buffer vb;
++      struct mmal_buffer mmal;
++};
++
++static
++inline struct bcm2835_isp_dev *node_get_dev(struct bcm2835_isp_node *node)
++{
++      return node->dev;
++}
++
++static inline bool node_is_output(struct bcm2835_isp_node *node)
++{
++      return node->queue.type == V4L2_BUF_TYPE_VIDEO_OUTPUT;
++}
++
++static inline bool node_is_capture(struct bcm2835_isp_node *node)
++{
++      return node->queue.type == V4L2_BUF_TYPE_VIDEO_CAPTURE;
++}
++
++static inline bool node_is_stats(struct bcm2835_isp_node *node)
++{
++      return node->queue.type == V4L2_BUF_TYPE_META_CAPTURE;
++}
++
++static inline enum v4l2_buf_type index_to_queue_type(int index)
++{
++      if (index < BCM2835_ISP_NUM_OUTPUTS)
++              return V4L2_BUF_TYPE_VIDEO_OUTPUT;
++      else if (index < BCM2835_ISP_NUM_OUTPUTS + BCM2835_ISP_NUM_CAPTURES)
++              return V4L2_BUF_TYPE_VIDEO_CAPTURE;
++      else
++              return V4L2_BUF_TYPE_META_CAPTURE;
++}
++
++static struct vchiq_mmal_port *get_port_data(struct bcm2835_isp_node *node)
++{
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++
++      if (!dev->component)
++              return NULL;
++
++      switch (node->queue.type) {
++      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
++              return &dev->component->input[node->id];
++      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
++      case V4L2_BUF_TYPE_META_CAPTURE:
++              return &dev->component->output[node->id];
++      default:
++              v4l2_err(&dev->v4l2_dev, "%s: Invalid queue type %u\n",
++                       __func__, node->queue.type);
++              break;
++      }
++      return NULL;
++}
++
++static int set_isp_param(struct bcm2835_isp_node *node, u32 parameter,
++                       void *value, u32 value_size)
++{
++      struct vchiq_mmal_port *port = get_port_data(node);
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++
++      return vchiq_mmal_port_parameter_set(dev->mmal_instance, port,
++                                           parameter, value, value_size);
++}
++
++static int set_wb_gains(struct bcm2835_isp_node *node)
++{
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++      struct mmal_parameter_awbgains gains = {
++              .r_gain = { dev->r_gain, 1000 },
++              .b_gain = { dev->b_gain, 1000 }
++      };
++
++      return set_isp_param(node, MMAL_PARAMETER_CUSTOM_AWB_GAINS,
++                           &gains, sizeof(gains));
++}
++
++static int set_digital_gain(struct bcm2835_isp_node *node, uint32_t gain)
++{
++      struct mmal_parameter_rational digital_gain = {
++              .num = gain,
++              .den = 1000
++      };
++
++      return set_isp_param(node, MMAL_PARAMETER_DIGITAL_GAIN,
++                           &digital_gain, sizeof(digital_gain));
++}
++
++static const struct bcm2835_isp_fmt *get_fmt(u32 mmal_fmt)
++{
++      unsigned int i;
++
++      for (i = 0; i < ARRAY_SIZE(supported_formats); i++) {
++              if (supported_formats[i].mmal_fmt == mmal_fmt)
++                      return &supported_formats[i];
++      }
++      return NULL;
++}
++
++static struct bcm2835_isp_fmt *find_format(struct v4l2_format *f,
++                                         struct bcm2835_isp_node *node)
++{
++      struct bcm2835_isp_fmt_list *fmts = &node->supported_fmts;
++      struct bcm2835_isp_fmt *fmt;
++      unsigned int i;
++
++      for (i = 0; i < fmts->num_entries; i++) {
++              fmt = &fmts->list[i];
++              if (fmt->fourcc == (node_is_stats(node) ?
++                                          f->fmt.meta.dataformat :
++                                          f->fmt.pix.pixelformat))
++                      return fmt;
++      }
++
++      return NULL;
++}
++
++/* vb2_to_mmal_buffer() - converts vb2 buffer header to MMAL
++ *
++ * Copies all the required fields from a VB2 buffer to the MMAL buffer header,
++ * ready for sending to the VPU.
++ */
++static void vb2_to_mmal_buffer(struct mmal_buffer *buf,
++                             struct vb2_v4l2_buffer *vb2)
++{
++      u64 pts;
++
++      buf->mmal_flags = 0;
++      if (vb2->flags & V4L2_BUF_FLAG_KEYFRAME)
++              buf->mmal_flags |= MMAL_BUFFER_HEADER_FLAG_KEYFRAME;
++
++      /* Data must be framed correctly as one frame per buffer. */
++      buf->mmal_flags |= MMAL_BUFFER_HEADER_FLAG_FRAME_END;
++
++      buf->length = vb2->vb2_buf.planes[0].bytesused;
++      /*
++       * Minor ambiguity in the V4L2 spec as to whether passing in a 0 length
++       * buffer, or one with V4L2_BUF_FLAG_LAST set denotes end of stream.
++       * Handle either.
++       */
++      if (!buf->length || vb2->flags & V4L2_BUF_FLAG_LAST)
++              buf->mmal_flags |= MMAL_BUFFER_HEADER_FLAG_EOS;
++
++      /* vb2 timestamps in nsecs, mmal in usecs */
++      pts = vb2->vb2_buf.timestamp;
++      do_div(pts, 1000);
++      buf->pts = pts;
++      buf->dts = MMAL_TIME_UNKNOWN;
++}
++
++static void mmal_buffer_cb(struct vchiq_mmal_instance *instance,
++                         struct vchiq_mmal_port *port, int status,
++                         struct mmal_buffer *mmal_buf)
++{
++      struct bcm2835_isp_buffer *q_buf;
++      struct bcm2835_isp_node *node = port->cb_ctx;
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++      struct vb2_v4l2_buffer *vb2;
++
++      q_buf = container_of(mmal_buf, struct bcm2835_isp_buffer, mmal);
++      vb2 = &q_buf->vb;
++      v4l2_dbg(2, debug, &dev->v4l2_dev,
++               "%s: port:%s[%d], status:%d, buf:%p, dmabuf:%p, length:%lu, flags %u, pts %lld\n",
++               __func__, node_is_output(node) ? "input" : "output", node->id,
++               status, mmal_buf, mmal_buf->dma_buf, mmal_buf->length,
++               mmal_buf->mmal_flags, mmal_buf->pts);
++
++      if (mmal_buf->cmd)
++              v4l2_err(&dev->v4l2_dev,
++                       "%s: Unexpected event on output callback - %08x\n",
++                       __func__, mmal_buf->cmd);
++
++      if (status) {
++              /* error in transfer */
++              if (vb2) {
++                      /* there was a buffer with the error so return it */
++                      vb2_buffer_done(&vb2->vb2_buf, VB2_BUF_STATE_ERROR);
++              }
++              return;
++      }
++
++      /* vb2 timestamps in nsecs, mmal in usecs */
++      vb2->vb2_buf.timestamp = mmal_buf->pts * 1000;
++      vb2->sequence = node->sequence++;
++      vb2_set_plane_payload(&vb2->vb2_buf, 0, mmal_buf->length);
++      vb2_buffer_done(&vb2->vb2_buf, VB2_BUF_STATE_DONE);
++
++      if (!port->enabled)
++              complete(&dev->frame_cmplt);
++}
++
++static void setup_mmal_port_format(struct bcm2835_isp_node *node,
++                                 struct vchiq_mmal_port *port)
++{
++      struct bcm2835_isp_q_data *q_data = &node->q_data;
++
++      port->format.encoding = q_data->fmt->mmal_fmt;
++      /* Raw image format - set width/height */
++      port->es.video.width = (q_data->bytesperline << 3) / q_data->fmt->depth;
++      port->es.video.height = q_data->height;
++      port->es.video.crop.width = q_data->width;
++      port->es.video.crop.height = q_data->height;
++      port->es.video.crop.x = 0;
++      port->es.video.crop.y = 0;
++};
++
++static int setup_mmal_port(struct bcm2835_isp_node *node)
++{
++      struct vchiq_mmal_port *port = get_port_data(node);
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++      unsigned int enable = 1;
++      int ret;
++
++      v4l2_dbg(2, debug, &dev->v4l2_dev, "%s: setup %s[%d]\n", __func__,
++               node->name, node->id);
++
++      vchiq_mmal_port_parameter_set(dev->mmal_instance, port,
++                                    MMAL_PARAMETER_ZERO_COPY, &enable,
++                                    sizeof(enable));
++      setup_mmal_port_format(node, port);
++      ret = vchiq_mmal_port_set_format(dev->mmal_instance, port);
++      if (ret < 0) {
++              v4l2_dbg(1, debug, &dev->v4l2_dev,
++                       "%s: vchiq_mmal_port_set_format failed\n",
++                       __func__);
++              return ret;
++      }
++
++      if (node->q_data.sizeimage < port->minimum_buffer.size) {
++              v4l2_err(&dev->v4l2_dev,
++                       "buffer size mismatch sizeimage %u < min size %u\n",
++                       node->q_data.sizeimage, port->minimum_buffer.size);
++              return -EINVAL;
++      }
++
++      return 0;
++}
++
++static int bcm2835_isp_mmal_buf_cleanup(struct mmal_buffer *mmal_buf)
++{
++      mmal_vchi_buffer_cleanup(mmal_buf);
++
++      if (mmal_buf->dma_buf) {
++              dma_buf_put(mmal_buf->dma_buf);
++              mmal_buf->dma_buf = NULL;
++      }
++
++      return 0;
++}
++
++static int bcm2835_isp_node_queue_setup(struct vb2_queue *q,
++                                      unsigned int *nbuffers,
++                                      unsigned int *nplanes,
++                                      unsigned int sizes[],
++                                      struct device *alloc_devs[])
++{
++      struct bcm2835_isp_node *node = vb2_get_drv_priv(q);
++      struct vchiq_mmal_port *port;
++      unsigned int size;
++
++      if (setup_mmal_port(node))
++              return -EINVAL;
++
++      size = node->q_data.sizeimage;
++      if (size == 0) {
++              v4l2_info(&node_get_dev(node)->v4l2_dev,
++                        "%s: Image size unset in queue_setup for node %s[%d]\n",
++                        __func__, node->name, node->id);
++              return -EINVAL;
++      }
++
++      if (*nplanes)
++              return sizes[0] < size ? -EINVAL : 0;
++
++      *nplanes = 1;
++      sizes[0] = size;
++
++      port = get_port_data(node);
++      port->current_buffer.size = size;
++
++      if (*nbuffers < port->minimum_buffer.num)
++              *nbuffers = port->minimum_buffer.num;
++
++      port->current_buffer.num = *nbuffers;
++
++      v4l2_dbg(2, debug, &node_get_dev(node)->v4l2_dev,
++               "%s: Image size %u, nbuffers %u for node %s[%d]\n",
++               __func__, sizes[0], *nbuffers, node->name, node->id);
++      return 0;
++}
++
++static int bcm2835_isp_buf_init(struct vb2_buffer *vb)
++{
++      struct bcm2835_isp_node *node = vb2_get_drv_priv(vb->vb2_queue);
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++      struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
++      struct bcm2835_isp_buffer *buf =
++              container_of(vb2, struct bcm2835_isp_buffer, vb);
++
++      v4l2_dbg(3, debug, &dev->v4l2_dev, "%s: vb %p\n", __func__, vb);
++
++      buf->mmal.buffer = vb2_plane_vaddr(&buf->vb.vb2_buf, 0);
++      buf->mmal.buffer_size = vb2_plane_size(&buf->vb.vb2_buf, 0);
++      mmal_vchi_buffer_init(dev->mmal_instance, &buf->mmal);
++      return 0;
++}
++
++static int bcm2835_isp_buf_prepare(struct vb2_buffer *vb)
++{
++      struct bcm2835_isp_node *node = vb2_get_drv_priv(vb->vb2_queue);
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++      struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
++      struct bcm2835_isp_buffer *buf =
++              container_of(vb2, struct bcm2835_isp_buffer, vb);
++      struct dma_buf *dma_buf;
++      int ret;
++
++      v4l2_dbg(3, debug, &dev->v4l2_dev, "%s: type: %d ptr %p\n",
++               __func__, vb->vb2_queue->type, vb);
++
++      if (V4L2_TYPE_IS_OUTPUT(vb->vb2_queue->type)) {
++              if (vb2->field == V4L2_FIELD_ANY)
++                      vb2->field = V4L2_FIELD_NONE;
++              if (vb2->field != V4L2_FIELD_NONE) {
++                      v4l2_err(&dev->v4l2_dev,
++                               "%s field isn't supported\n", __func__);
++                      return -EINVAL;
++              }
++      }
++
++      if (vb2_plane_size(vb, 0) < node->q_data.sizeimage) {
++              v4l2_err(&dev->v4l2_dev,
++                       "%s data will not fit into plane (%lu < %lu)\n",
++                       __func__, vb2_plane_size(vb, 0),
++                       (long)node->q_data.sizeimage);
++              return -EINVAL;
++      }
++
++      if (!V4L2_TYPE_IS_OUTPUT(vb->vb2_queue->type))
++              vb2_set_plane_payload(vb, 0, node->q_data.sizeimage);
++
++      switch (vb->memory) {
++      case VB2_MEMORY_DMABUF:
++              dma_buf = dma_buf_get(vb->planes[0].m.fd);
++
++              if (dma_buf != buf->mmal.dma_buf) {
++                      /*
++                       * dmabuf either hasn't already been mapped, or it has
++                       * changed.
++                       */
++                      if (buf->mmal.dma_buf) {
++                              v4l2_err(&dev->v4l2_dev,
++                                       "%s Buffer changed - why did the core not call cleanup?\n",
++                                       __func__);
++                              bcm2835_isp_mmal_buf_cleanup(&buf->mmal);
++                      }
++
++                      buf->mmal.dma_buf = dma_buf;
++              } else {
++                      /*
++                       * Already have a reference to the buffer, so release it
++                       * here.
++                       */
++                      dma_buf_put(dma_buf);
++              }
++              ret = 0;
++              break;
++      case VB2_MEMORY_MMAP:
++              /*
++               * We want to do this at init, but vb2_core_expbuf checks that
++               * the index < q->num_buffers, and q->num_buffers only gets
++               * updated once all the buffers are allocated.
++               */
++              if (!buf->mmal.dma_buf) {
++                      ret = vb2_core_expbuf_dmabuf(vb->vb2_queue,
++                                                   vb->vb2_queue->type,
++                                                   vb->index, 0, O_CLOEXEC,
++                                                   &buf->mmal.dma_buf);
++                      v4l2_dbg(3, debug, &dev->v4l2_dev,
++                               "%s: exporting ptr %p to dmabuf %p\n",
++                               __func__, vb, buf->mmal.dma_buf);
++                      if (ret)
++                              v4l2_err(&dev->v4l2_dev,
++                                       "%s: Failed to expbuf idx %d, ret %d\n",
++                                       __func__, vb->index, ret);
++              } else {
++                      ret = 0;
++              }
++              break;
++      default:
++              ret = -EINVAL;
++              break;
++      }
++
++      return ret;
++}
++
++static void bcm2835_isp_node_buffer_queue(struct vb2_buffer *buf)
++{
++      struct bcm2835_isp_node *node = vb2_get_drv_priv(buf->vb2_queue);
++      struct vb2_v4l2_buffer *vbuf =
++              container_of(buf, struct vb2_v4l2_buffer, vb2_buf);
++      struct bcm2835_isp_buffer *buffer =
++              container_of(vbuf, struct bcm2835_isp_buffer, vb);
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++
++      v4l2_dbg(3, debug, &dev->v4l2_dev, "%s: node %s[%d], buffer %p\n",
++               __func__, node->name, node->id, buffer);
++
++      vb2_to_mmal_buffer(&buffer->mmal, &buffer->vb);
++      v4l2_dbg(3, debug, &dev->v4l2_dev,
++               "%s: node %s[%d] - submitting  mmal dmabuf %p\n", __func__,
++               node->name, node->id, buffer->mmal.dma_buf);
++      vchiq_mmal_submit_buffer(dev->mmal_instance, get_port_data(node),
++                               &buffer->mmal);
++}
++
++static void bcm2835_isp_buffer_cleanup(struct vb2_buffer *vb)
++{
++      struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
++      struct bcm2835_isp_buffer *buffer =
++              container_of(vb2, struct bcm2835_isp_buffer, vb);
++
++      bcm2835_isp_mmal_buf_cleanup(&buffer->mmal);
++}
++
++static int bcm2835_isp_node_start_streaming(struct vb2_queue *q,
++                                          unsigned int count)
++{
++      struct bcm2835_isp_node *node = vb2_get_drv_priv(q);
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++      struct vchiq_mmal_port *port = get_port_data(node);
++      int ret;
++
++      v4l2_dbg(1, debug, &dev->v4l2_dev, "%s: node %s[%d] (count %u)\n",
++               __func__, node->name, node->id, count);
++
++      ret = vchiq_mmal_component_enable(dev->mmal_instance, dev->component);
++      if (ret) {
++              v4l2_err(&dev->v4l2_dev, "%s: Failed enabling component, ret %d\n",
++                       __func__, ret);
++              return -EIO;
++      }
++
++      node->sequence = 0;
++      port->cb_ctx = node;
++      ret = vchiq_mmal_port_enable(dev->mmal_instance, port,
++                                   mmal_buffer_cb);
++      if (!ret)
++              atomic_inc(&dev->num_streaming);
++      else
++              v4l2_err(&dev->v4l2_dev,
++                       "%s: Failed enabling port, ret %d\n", __func__, ret);
++
++      return ret;
++}
++
++static void bcm2835_isp_node_stop_streaming(struct vb2_queue *q)
++{
++      struct bcm2835_isp_node *node = vb2_get_drv_priv(q);
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++      struct vchiq_mmal_port *port = get_port_data(node);
++      unsigned int i;
++      int ret;
++
++      v4l2_dbg(1, debug, &dev->v4l2_dev, "%s: node %s[%d], mmal port %p\n",
++               __func__, node->name, node->id, port);
++
++      init_completion(&dev->frame_cmplt);
++
++      /* Disable MMAL port - this will flush buffers back */
++      ret = vchiq_mmal_port_disable(dev->mmal_instance, port);
++      if (ret)
++              v4l2_err(&dev->v4l2_dev,
++                       "%s: Failed disabling %s port, ret %d\n", __func__,
++                       node_is_output(node) ? "i/p" : "o/p",
++                       ret);
++
++      while (atomic_read(&port->buffers_with_vpu)) {
++              v4l2_dbg(1, debug, &dev->v4l2_dev,
++                       "%s: Waiting for buffers to be returned - %d outstanding\n",
++                       __func__, atomic_read(&port->buffers_with_vpu));
++              ret = wait_for_completion_timeout(&dev->frame_cmplt, HZ);
++              if (ret <= 0) {
++                      v4l2_err(&dev->v4l2_dev,
++                               "%s: Timeout waiting for buffers to be returned - %d outstanding\n",
++                               __func__,
++                               atomic_read(&port->buffers_with_vpu));
++                      break;
++              }
++      }
++
++      /* Release the VCSM handle here to release the associated dmabuf */
++      for (i = 0; i < q->num_buffers; i++) {
++              struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(q->bufs[i]);
++              struct bcm2835_isp_buffer *buf =
++                      container_of(vb2, struct bcm2835_isp_buffer, vb);
++              bcm2835_isp_mmal_buf_cleanup(&buf->mmal);
++      }
++
++      atomic_dec(&dev->num_streaming);
++      /* If all ports disabled, then disable the component */
++      if (atomic_read(&dev->num_streaming) == 0) {
++              ret = vchiq_mmal_component_disable(dev->mmal_instance,
++                                                 dev->component);
++              if (ret) {
++                      v4l2_err(&dev->v4l2_dev,
++                               "%s: Failed disabling component, ret %d\n",
++                               __func__, ret);
++              }
++      }
++
++      /*
++       * Simply wait for any vb2 buffers to finish. We could take steps to
++       * make them complete more quickly if we care, or even return them
++       * ourselves.
++       */
++      vb2_wait_for_all_buffers(&node->queue);
++}
++
++static const struct vb2_ops bcm2835_isp_node_queue_ops = {
++      .queue_setup            = bcm2835_isp_node_queue_setup,
++      .buf_init               = bcm2835_isp_buf_init,
++      .buf_prepare            = bcm2835_isp_buf_prepare,
++      .buf_queue              = bcm2835_isp_node_buffer_queue,
++      .buf_cleanup            = bcm2835_isp_buffer_cleanup,
++      .start_streaming        = bcm2835_isp_node_start_streaming,
++      .stop_streaming         = bcm2835_isp_node_stop_streaming,
++};
++
++static struct bcm2835_isp_fmt *get_default_format(struct bcm2835_isp_node *node)
++{
++      return &node->supported_fmts.list[0];
++}
++
++static inline unsigned int get_bytesperline(int width,
++                                          struct bcm2835_isp_fmt *fmt)
++{
++      return ALIGN((width * fmt->depth) >> 3, fmt->bytesperline_align);
++}
++
++static inline unsigned int get_sizeimage(int bpl, int width, int height,
++                                       struct bcm2835_isp_fmt *fmt)
++{
++      return (bpl * height * fmt->size_multiplier_x2) >> 1;
++}
++
++static int bcm2835_isp_s_ctrl(struct v4l2_ctrl *ctrl)
++{
++      struct bcm2835_isp_dev *dev =
++            container_of(ctrl->handler, struct bcm2835_isp_dev, ctrl_handler);
++      struct bcm2835_isp_node *node = &dev->node[0];
++      int ret = 0;
++
++      /*
++       * The ISP firmware driver will ensure these settings are applied on
++       * a frame boundary, so we are safe to write them as they come in.
++       *
++       * Note that the bcm2835_isp_* param structures are identical to the
++       * mmal-parameters.h definitions.  This avoids the need for unnecessary
++       * field-by-field copying between structures.
++       */
++      switch (ctrl->id) {
++      case V4L2_CID_RED_BALANCE:
++              dev->r_gain = ctrl->val;
++              ret = set_wb_gains(node);
++              break;
++      case V4L2_CID_BLUE_BALANCE:
++              dev->b_gain = ctrl->val;
++              ret = set_wb_gains(node);
++              break;
++      case V4L2_CID_DIGITAL_GAIN:
++              ret = set_digital_gain(node, ctrl->val);
++              break;
++      case V4L2_CID_USER_BCM2835_ISP_CC_MATRIX:
++              ret = set_isp_param(node, MMAL_PARAMETER_CUSTOM_CCM,
++                                  ctrl->p_new.p_u8,
++                                  sizeof(struct bcm2835_isp_custom_ccm));
++              break;
++      case V4L2_CID_USER_BCM2835_ISP_LENS_SHADING:
++              ret = set_isp_param(node, MMAL_PARAMETER_LENS_SHADING_OVERRIDE,
++                                  ctrl->p_new.p_u8,
++                                  sizeof(struct bcm2835_isp_lens_shading));
++              break;
++      case V4L2_CID_USER_BCM2835_ISP_BLACK_LEVEL:
++              ret = set_isp_param(node, MMAL_PARAMETER_BLACK_LEVEL,
++                                  ctrl->p_new.p_u8,
++                                  sizeof(struct bcm2835_isp_black_level));
++              break;
++      case V4L2_CID_USER_BCM2835_ISP_GEQ:
++              ret = set_isp_param(node, MMAL_PARAMETER_GEQ,
++                                  ctrl->p_new.p_u8,
++                                  sizeof(struct bcm2835_isp_geq));
++              break;
++      case V4L2_CID_USER_BCM2835_ISP_GAMMA:
++              ret = set_isp_param(node, MMAL_PARAMETER_GAMMA,
++                                  ctrl->p_new.p_u8,
++                                  sizeof(struct bcm2835_isp_gamma));
++              break;
++      case V4L2_CID_USER_BCM2835_ISP_DENOISE:
++              ret = set_isp_param(node, MMAL_PARAMETER_DENOISE,
++                                  ctrl->p_new.p_u8,
++                                  sizeof(struct bcm2835_isp_denoise));
++              break;
++      case V4L2_CID_USER_BCM2835_ISP_SHARPEN:
++              ret = set_isp_param(node, MMAL_PARAMETER_SHARPEN,
++                                  ctrl->p_new.p_u8,
++                                  sizeof(struct bcm2835_isp_sharpen));
++              break;
++      case V4L2_CID_USER_BCM2835_ISP_DPC:
++              ret = set_isp_param(node, MMAL_PARAMETER_DPC,
++                                  ctrl->p_new.p_u8,
++                                  sizeof(struct bcm2835_isp_dpc));
++              break;
++      default:
++              v4l2_info(&dev->v4l2_dev, "Unrecognised control\n");
++              ret = -EINVAL;
++      }
++
++      if (ret) {
++              v4l2_err(&dev->v4l2_dev, "%s: Failed setting ctrl \"%s\" (%08x), err %d\n",
++                       __func__, ctrl->name, ctrl->id, ret);
++              ret = -EIO;
++      }
++
++      return ret;
++}
++
++static const struct v4l2_ctrl_ops bcm2835_isp_ctrl_ops = {
++      .s_ctrl = bcm2835_isp_s_ctrl,
++};
++
++static const struct v4l2_file_operations bcm2835_isp_fops = {
++      .owner          = THIS_MODULE,
++      .open           = v4l2_fh_open,
++      .release        = vb2_fop_release,
++      .poll           = vb2_fop_poll,
++      .unlocked_ioctl = video_ioctl2,
++      .mmap           = vb2_fop_mmap
++};
++
++static int populate_qdata_fmt(struct v4l2_format *f,
++                            struct bcm2835_isp_node *node)
++{
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++      struct bcm2835_isp_q_data *q_data = &node->q_data;
++      struct vchiq_mmal_port *port;
++      int ret;
++
++      if (!node_is_stats(node)) {
++              v4l2_dbg(1, debug, &dev->v4l2_dev,
++                       "%s: Setting pix format for type %d, wxh: %ux%u, fmt: %08x, size %u\n",
++                       __func__, f->type, f->fmt.pix.width, f->fmt.pix.height,
++                       f->fmt.pix.pixelformat, f->fmt.pix.sizeimage);
++
++              q_data->fmt = find_format(f, node);
++              q_data->width = f->fmt.pix.width;
++              q_data->height = f->fmt.pix.height;
++              q_data->height = f->fmt.pix.height;
++
++              /* All parameters should have been set correctly by try_fmt */
++              q_data->bytesperline = f->fmt.pix.bytesperline;
++              q_data->sizeimage = f->fmt.pix.sizeimage;
++      } else {
++              v4l2_dbg(1, debug, &dev->v4l2_dev,
++                       "%s: Setting meta format for fmt: %08x, size %u\n",
++                       __func__, f->fmt.meta.dataformat,
++                       f->fmt.meta.buffersize);
++
++              q_data->fmt = find_format(f, node);
++              q_data->width = 0;
++              q_data->height = 0;
++              q_data->bytesperline = 0;
++              q_data->sizeimage = f->fmt.meta.buffersize;
++      }
++
++      v4l2_dbg(1, debug, &dev->v4l2_dev,
++               "%s: Calculated bpl as %u, size %u\n", __func__,
++               q_data->bytesperline, q_data->sizeimage);
++
++      /* If we have a component then setup the port as well */
++      port = get_port_data(node);
++      setup_mmal_port_format(node, port);
++      ret = vchiq_mmal_port_set_format(dev->mmal_instance, port);
++      if (ret) {
++              v4l2_err(&dev->v4l2_dev,
++                       "%s: Failed vchiq_mmal_port_set_format on port, ret %d\n",
++                       __func__, ret);
++              ret = -EINVAL;
++      }
++
++      if (q_data->sizeimage < port->minimum_buffer.size) {
++              v4l2_err(&dev->v4l2_dev,
++                       "%s: Current buffer size of %u < min buf size %u - driver mismatch to MMAL\n",
++                       __func__,
++                       q_data->sizeimage,
++                       port->minimum_buffer.size);
++      }
++
++      v4l2_dbg(1, debug, &dev->v4l2_dev,
++               "%s: Set format for type %d, wxh: %dx%d, fmt: %08x, size %u\n",
++               __func__, f->type, q_data->width, q_data->height,
++               q_data->fmt->fourcc, q_data->sizeimage);
++
++      return ret;
++}
++
++static int bcm2835_isp_node_querycap(struct file *file, void *priv,
++                                   struct v4l2_capability *cap)
++{
++      strscpy(cap->driver, BCM2835_ISP_NAME, sizeof(cap->driver));
++      strscpy(cap->card, BCM2835_ISP_NAME, sizeof(cap->card));
++      snprintf(cap->bus_info, sizeof(cap->bus_info), "platform:%s",
++               BCM2835_ISP_NAME);
++
++      return 0;
++}
++
++static int bcm2835_isp_node_g_fmt(struct file *file, void *priv,
++                                struct v4l2_format *f)
++{
++      struct bcm2835_isp_node *node = video_drvdata(file);
++
++      if (f->type != node->queue.type)
++              return -EINVAL;
++
++      if (node_is_stats(node)) {
++              f->fmt.meta.dataformat = V4L2_META_FMT_BCM2835_ISP_STATS;
++              f->fmt.meta.buffersize =
++                      get_port_data(node)->minimum_buffer.size;
++      } else {
++              struct bcm2835_isp_q_data *q_data = &node->q_data;
++
++              f->fmt.pix.width = q_data->width;
++              f->fmt.pix.height = q_data->height;
++              f->fmt.pix.field = V4L2_FIELD_NONE;
++              f->fmt.pix.pixelformat = q_data->fmt->fourcc;
++              f->fmt.pix.bytesperline = q_data->bytesperline;
++              f->fmt.pix.sizeimage = q_data->sizeimage;
++              f->fmt.pix.colorspace = q_data->fmt->colorspace;
++      }
++
++      return 0;
++}
++
++static int bcm2835_isp_node_enum_fmt(struct file *file, void  *priv,
++                                   struct v4l2_fmtdesc *f)
++{
++      struct bcm2835_isp_node *node = video_drvdata(file);
++      struct bcm2835_isp_fmt_list *fmts = &node->supported_fmts;
++
++      if (f->type != node->queue.type)
++              return -EINVAL;
++
++      if (f->index < fmts->num_entries) {
++              /* Format found */
++              f->pixelformat = fmts->list[f->index].fourcc;
++              f->flags = fmts->list[f->index].flags;
++              return 0;
++      }
++
++      return -EINVAL;
++}
++
++static int bcm2835_isp_node_try_fmt(struct file *file, void *priv,
++                                  struct v4l2_format *f)
++{
++      struct bcm2835_isp_node *node = video_drvdata(file);
++      struct bcm2835_isp_fmt *fmt;
++
++      if (f->type != node->queue.type)
++              return -EINVAL;
++
++      fmt = find_format(f, node);
++      if (!fmt)
++              fmt = get_default_format(node);
++
++      if (!node_is_stats(node)) {
++              f->fmt.pix.width = max(min(f->fmt.pix.width, MAX_DIM),
++                                     MIN_DIM);
++              f->fmt.pix.height = max(min(f->fmt.pix.height, MAX_DIM),
++                                      MIN_DIM);
++
++              f->fmt.pix.pixelformat = fmt->fourcc;
++              f->fmt.pix.colorspace = fmt->colorspace;
++              f->fmt.pix.bytesperline = get_bytesperline(f->fmt.pix.width,
++                                                         fmt);
++              f->fmt.pix.field = V4L2_FIELD_NONE;
++              f->fmt.pix.sizeimage =
++                      get_sizeimage(f->fmt.pix.bytesperline, f->fmt.pix.width,
++                                    f->fmt.pix.height, fmt);
++      } else {
++              f->fmt.meta.dataformat = fmt->fourcc;
++              f->fmt.meta.buffersize =
++                              get_port_data(node)->minimum_buffer.size;
++      }
++
++      return 0;
++}
++
++static int bcm2835_isp_node_s_fmt(struct file *file, void *priv,
++                                struct v4l2_format *f)
++{
++      struct bcm2835_isp_node *node = video_drvdata(file);
++      int ret;
++
++      if (f->type != node->queue.type)
++              return -EINVAL;
++
++      ret = bcm2835_isp_node_try_fmt(file, priv, f);
++      if (ret)
++              return ret;
++
++      v4l2_dbg(1, debug, &node_get_dev(node)->v4l2_dev,
++               "%s: Set format for node %s[%d]\n",
++               __func__, node->name, node->id);
++
++      return populate_qdata_fmt(f, node);
++}
++
++static int bcm2835_isp_node_s_selection(struct file *file, void *fh,
++                                      struct v4l2_selection *s)
++{
++      struct mmal_parameter_crop crop;
++      struct bcm2835_isp_node *node = video_drvdata(file);
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++      struct vchiq_mmal_port *port = get_port_data(node);
++
++      /* This return value is required fro V4L2 compliance. */
++      if (node_is_stats(node))
++              return -ENOTTY;
++
++      if (!s->r.width || !s->r.height)
++              return -EINVAL;
++
++      /* Adjust the crop window if goes outside the frame dimensions. */
++      s->r.left = min((unsigned int)max(s->r.left, 0),
++                      node->q_data.width - MIN_DIM);
++      s->r.top = min((unsigned int)max(s->r.top, 0),
++                     node->q_data.height - MIN_DIM);
++      s->r.width = max(min(s->r.width, node->q_data.width - s->r.left),
++                       MIN_DIM);
++      s->r.height = max(min(s->r.height, node->q_data.height - s->r.top),
++                        MIN_DIM);
++
++      crop.rect.x = s->r.left;
++      crop.rect.y = s->r.top;
++      crop.rect.width = s->r.width;
++      crop.rect.height = s->r.height;
++
++      return vchiq_mmal_port_parameter_set(dev->mmal_instance, port,
++                                           MMAL_PARAMETER_CROP,
++                                           &crop, sizeof(crop));
++}
++
++static int bcm2835_isp_node_g_selection(struct file *file, void *fh,
++                                      struct v4l2_selection *s)
++{
++      struct bcm2835_isp_node *node = video_drvdata(file);
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++      struct vchiq_mmal_port *port = get_port_data(node);
++      struct mmal_parameter_crop crop;
++      u32 crop_size = sizeof(crop);
++      int ret;
++
++      /* This return value is required for V4L2 compliance. */
++      if (node_is_stats(node))
++              return -ENOTTY;
++
++      /* We can only return out an input crop. */
++      if (s->target != V4L2_SEL_TGT_CROP)
++              return -EINVAL;
++
++      ret = vchiq_mmal_port_parameter_get(dev->mmal_instance, port,
++                                          MMAL_PARAMETER_CROP,
++                                          &crop, &crop_size);
++      if (!ret)
++              return -EINVAL;
++
++      s->r.left = crop.rect.x;
++      s->r.top = crop.rect.y;
++      s->r.width = crop.rect.width;
++      s->r.height = crop.rect.height;
++
++      return 0;
++}
++
++static int bcm3285_isp_subscribe_event(struct v4l2_fh *fh,
++                                     const struct v4l2_event_subscription *s)
++{
++      switch (s->type) {
++      /* Cannot change source parameters dynamically at runtime. */
++      case V4L2_EVENT_SOURCE_CHANGE:
++              return -EINVAL;
++      case V4L2_EVENT_CTRL:
++              return v4l2_ctrl_subscribe_event(fh, s);
++      default:
++              return v4l2_event_subscribe(fh, s, 4, NULL);
++      }
++}
++
++static const struct v4l2_ioctl_ops bcm2835_isp_node_ioctl_ops = {
++      .vidioc_querycap                = bcm2835_isp_node_querycap,
++      .vidioc_g_fmt_vid_cap           = bcm2835_isp_node_g_fmt,
++      .vidioc_g_fmt_vid_out           = bcm2835_isp_node_g_fmt,
++      .vidioc_g_fmt_meta_cap          = bcm2835_isp_node_g_fmt,
++      .vidioc_s_fmt_vid_cap           = bcm2835_isp_node_s_fmt,
++      .vidioc_s_fmt_vid_out           = bcm2835_isp_node_s_fmt,
++      .vidioc_s_fmt_meta_cap          = bcm2835_isp_node_s_fmt,
++      .vidioc_try_fmt_vid_cap         = bcm2835_isp_node_try_fmt,
++      .vidioc_try_fmt_vid_out         = bcm2835_isp_node_try_fmt,
++      .vidioc_try_fmt_meta_cap        = bcm2835_isp_node_try_fmt,
++      .vidioc_s_selection             = bcm2835_isp_node_s_selection,
++      .vidioc_g_selection             = bcm2835_isp_node_g_selection,
++
++      .vidioc_enum_fmt_vid_cap        = bcm2835_isp_node_enum_fmt,
++      .vidioc_enum_fmt_vid_out        = bcm2835_isp_node_enum_fmt,
++      .vidioc_enum_fmt_meta_cap       = bcm2835_isp_node_enum_fmt,
++
++      .vidioc_reqbufs                 = vb2_ioctl_reqbufs,
++      .vidioc_querybuf                = vb2_ioctl_querybuf,
++      .vidioc_qbuf                    = vb2_ioctl_qbuf,
++      .vidioc_dqbuf                   = vb2_ioctl_dqbuf,
++      .vidioc_expbuf                  = vb2_ioctl_expbuf,
++      .vidioc_create_bufs             = vb2_ioctl_create_bufs,
++      .vidioc_prepare_buf             = vb2_ioctl_prepare_buf,
++
++      .vidioc_streamon                = vb2_ioctl_streamon,
++      .vidioc_streamoff               = vb2_ioctl_streamoff,
++
++      .vidioc_subscribe_event         = bcm3285_isp_subscribe_event,
++      .vidioc_unsubscribe_event       = v4l2_event_unsubscribe,
++};
++
++/*
++ * Size of the array to provide to the VPU when asking for the list of supported
++ * formats.
++ *
++ * The ISP component currently advertises 33 input formats, so add a small
++ * overhead on that.
++ */
++#define MAX_SUPPORTED_ENCODINGS 40
++
++/* Populate node->supported_fmts with the formats supported by those ports. */
++static int bcm2835_isp_get_supported_fmts(struct bcm2835_isp_node *node)
++{
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++      struct bcm2835_isp_fmt *list;
++      unsigned int i, j, num_encodings;
++      u32 fourccs[MAX_SUPPORTED_ENCODINGS];
++      u32 param_size = sizeof(fourccs);
++      int ret;
++
++      ret = vchiq_mmal_port_parameter_get(dev->mmal_instance,
++                                          get_port_data(node),
++                                          MMAL_PARAMETER_SUPPORTED_ENCODINGS,
++                                          &fourccs, &param_size);
++
++      if (ret) {
++              if (ret == MMAL_MSG_STATUS_ENOSPC) {
++                      v4l2_err(&dev->v4l2_dev,
++                               "%s: port has more encoding than we provided space for. Some are dropped.\n",
++                               __func__);
++                      num_encodings = MAX_SUPPORTED_ENCODINGS;
++              } else {
++                      v4l2_err(&dev->v4l2_dev, "%s: get_param ret %u.\n",
++                               __func__, ret);
++                      return -EINVAL;
++              }
++      } else {
++              num_encodings = param_size / sizeof(u32);
++      }
++
++      /*
++       * Assume at this stage that all encodings will be supported in V4L2.
++       * Any that aren't supported will waste a very small amount of memory.
++       */
++      list = devm_kzalloc(dev->dev,
++                          sizeof(struct bcm2835_isp_fmt) * num_encodings,
++                          GFP_KERNEL);
++      if (!list)
++              return -ENOMEM;
++      node->supported_fmts.list = list;
++
++      for (i = 0, j = 0; i < num_encodings; i++) {
++              const struct bcm2835_isp_fmt *fmt = get_fmt(fourccs[i]);
++
++              if (fmt) {
++                      list[j] = *fmt;
++                      j++;
++              }
++      }
++      node->supported_fmts.num_entries = j;
++
++      param_size = sizeof(fourccs);
++      ret = vchiq_mmal_port_parameter_get(dev->mmal_instance,
++                                          get_port_data(node),
++                                          MMAL_PARAMETER_SUPPORTED_ENCODINGS,
++                                          &fourccs, &param_size);
++
++      if (ret) {
++              if (ret == MMAL_MSG_STATUS_ENOSPC) {
++                      v4l2_err(&dev->v4l2_dev,
++                               "%s: port has more encoding than we provided space for. Some are dropped.\n",
++                               __func__);
++                      num_encodings = MAX_SUPPORTED_ENCODINGS;
++              } else {
++                      return -EINVAL;
++              }
++      } else {
++              num_encodings = param_size / sizeof(u32);
++      }
++      /* Assume at this stage that all encodings will be supported in V4L2. */
++      list = devm_kzalloc(dev->dev,
++                          sizeof(struct bcm2835_isp_fmt) * num_encodings,
++                          GFP_KERNEL);
++      if (!list)
++              return -ENOMEM;
++      node->supported_fmts.list = list;
++
++      for (i = 0, j = 0; i < num_encodings; i++) {
++              const struct bcm2835_isp_fmt *fmt = get_fmt(fourccs[i]);
++
++              if (fmt) {
++                      list[j] = *fmt;
++                      j++;
++              }
++      }
++      node->supported_fmts.num_entries = j;
++      return 0;
++}
++
++/*
++ * Register a device node /dev/video<N> to go along with one of the ISP's input
++ * or output nodes.
++ */
++static int register_node(struct bcm2835_isp_dev *dev,
++                       struct bcm2835_isp_node *node,
++                       int index)
++{
++      struct video_device *vfd;
++      struct vb2_queue *queue;
++      int ret;
++
++      mutex_init(&node->lock);
++
++      node->dev = dev;
++      vfd = &node->vfd;
++      queue = &node->queue;
++      queue->type = index_to_queue_type(index);
++      /*
++       * Setup the node type-specific params.
++       *
++       * Only the OUTPUT node can set controls and crop windows. However,
++       * we must allow the s/g_selection ioctl on the stats node as v4l2
++       * compliance expects it to return a -ENOTTY, and the framework
++       * does not handle it if the ioctl is disabled.
++       */
++      switch (queue->type) {
++      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
++              vfd->device_caps = V4L2_CAP_VIDEO_OUTPUT | V4L2_CAP_STREAMING;
++              node->id = index;
++              node->vfl_dir = VFL_DIR_TX;
++              node->name = "output";
++              break;
++      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
++              vfd->device_caps = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING;
++              /* First Capture node starts at id 0, etc. */
++              node->id = index - BCM2835_ISP_NUM_OUTPUTS;
++              node->vfl_dir = VFL_DIR_RX;
++              node->name = "capture";
++              v4l2_disable_ioctl(&node->vfd, VIDIOC_S_CTRL);
++              v4l2_disable_ioctl(&node->vfd, VIDIOC_S_SELECTION);
++              v4l2_disable_ioctl(&node->vfd, VIDIOC_G_SELECTION);
++              break;
++      case V4L2_BUF_TYPE_META_CAPTURE:
++              vfd->device_caps = V4L2_CAP_META_CAPTURE | V4L2_CAP_STREAMING;
++              node->id = index - BCM2835_ISP_NUM_OUTPUTS;
++              node->vfl_dir = VFL_DIR_RX;
++              node->name = "stats";
++              v4l2_disable_ioctl(&node->vfd, VIDIOC_S_CTRL);
++              break;
++      }
++
++      /* We use the selection API instead of the old crop API. */
++      v4l2_disable_ioctl(vfd, VIDIOC_CROPCAP);
++      v4l2_disable_ioctl(vfd, VIDIOC_G_CROP);
++      v4l2_disable_ioctl(vfd, VIDIOC_S_CROP);
++
++      ret = bcm2835_isp_get_supported_fmts(node);
++      if (ret)
++              return ret;
++
++      /* Initialise the the video node. */
++      vfd->vfl_type   = VFL_TYPE_GRABBER;
++      vfd->fops       = &bcm2835_isp_fops,
++      vfd->ioctl_ops  = &bcm2835_isp_node_ioctl_ops,
++      vfd->minor      = -1,
++      vfd->release    = video_device_release_empty,
++      vfd->queue      = &node->queue;
++      vfd->lock       = &node->lock;
++      vfd->v4l2_dev   = &dev->v4l2_dev;
++      vfd->vfl_dir    = node->vfl_dir;
++
++      node->q_data.fmt = get_default_format(node);
++      node->q_data.width = DEFAULT_DIM;
++      node->q_data.height = DEFAULT_DIM;
++      node->q_data.bytesperline =
++              get_bytesperline(DEFAULT_DIM, node->q_data.fmt);
++      node->q_data.sizeimage = node_is_stats(node) ?
++                               get_port_data(node)->recommended_buffer.size :
++                               get_sizeimage(node->q_data.bytesperline,
++                                             node->q_data.width,
++                                             node->q_data.height,
++                                             node->q_data.fmt);
++
++      queue->io_modes = VB2_MMAP | VB2_DMABUF;
++      queue->drv_priv = node;
++      queue->ops = &bcm2835_isp_node_queue_ops;
++      queue->mem_ops = &vb2_dma_contig_memops;
++      queue->buf_struct_size = sizeof(struct bcm2835_isp_buffer);
++      queue->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_COPY;
++      queue->dev = dev->dev;
++      queue->lock = &node->queue_lock;
++
++      ret = vb2_queue_init(queue);
++      if (ret < 0) {
++              v4l2_info(&dev->v4l2_dev, "vb2_queue_init failed\n");
++              return ret;
++      }
++      node->queue_init = true;
++
++      /* Define the device names */
++      snprintf(vfd->name, sizeof(node->vfd.name), "%s-%s%d", BCM2835_ISP_NAME,
++               node->name, node->id);
++
++      ret = video_register_device(vfd, VFL_TYPE_GRABBER, video_nr + index);
++      if (ret) {
++              v4l2_err(&dev->v4l2_dev,
++                       "Failed to register video %s[%d] device node\n",
++                       node->name, node->id);
++              return ret;
++      }
++
++      node->registered = true;
++      video_set_drvdata(vfd, node);
++
++      /* Set some controls and defaults, but only on the VIDEO_OUTPUT node. */
++      if (node_is_output(node)) {
++              unsigned int i;
++
++              /* Use this ctrl template to assign all out ISP custom ctrls. */
++              struct v4l2_ctrl_config ctrl_template = {
++                      .ops            = &bcm2835_isp_ctrl_ops,
++                      .type           = V4L2_CTRL_TYPE_U8,
++                      .def            = 0,
++                      .min            = 0x00,
++                      .max            = 0xff,
++                      .step           = 1,
++              };
++
++              v4l2_ctrl_handler_init(&dev->ctrl_handler, 4);
++
++              dev->r_gain = 1000;
++              dev->b_gain = 1000;
++
++              v4l2_ctrl_new_std(&dev->ctrl_handler,  &bcm2835_isp_ctrl_ops,
++                                V4L2_CID_RED_BALANCE, 1, 0xffff, 1,
++                                dev->r_gain);
++
++              v4l2_ctrl_new_std(&dev->ctrl_handler, &bcm2835_isp_ctrl_ops,
++                                V4L2_CID_BLUE_BALANCE, 1, 0xffff, 1,
++                                dev->b_gain);
++
++              v4l2_ctrl_new_std(&dev->ctrl_handler, &bcm2835_isp_ctrl_ops,
++                                V4L2_CID_DIGITAL_GAIN, 1, 0xffff, 1, 1000);
++
++              for (i = 0; i < ARRAY_SIZE(custom_ctrls); i++) {
++                      ctrl_template.name = custom_ctrls[i].name;
++                      ctrl_template.id = custom_ctrls[i].id;
++                      ctrl_template.dims[0] = custom_ctrls[i].size;
++                      ctrl_template.flags = custom_ctrls[i].flags;
++                      v4l2_ctrl_new_custom(&dev->ctrl_handler,
++                                           &ctrl_template, NULL);
++              }
++
++              node->vfd.ctrl_handler = &dev->ctrl_handler;
++      }
++
++      v4l2_info(&dev->v4l2_dev,
++                "Device node %s[%d] registered as /dev/video%d\n",
++                node->name, node->id, vfd->num);
++
++      return 0;
++}
++
++/* Unregister one of the /dev/video<N> nodes associated with the ISP. */
++static void unregister_node(struct bcm2835_isp_node *node)
++{
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++
++      v4l2_info(&dev->v4l2_dev,
++                "Unregistering node %s[%d] device node /dev/video%d\n",
++                node->name, node->id, node->vfd.num);
++
++      if (node->queue_init)
++              vb2_queue_release(&node->queue);
++
++      if (node->registered) {
++              video_unregister_device(&node->vfd);
++              if (node_is_output(node))
++                      v4l2_ctrl_handler_free(&dev->ctrl_handler);
++      }
++
++      /*
++       * node->supported_fmts.list is free'd automatically
++       * as a managed resource.
++       */
++      node->supported_fmts.list = NULL;
++      node->supported_fmts.num_entries = 0;
++      node->vfd.ctrl_handler = NULL;
++      node->registered = false;
++      node->queue_init = false;
++}
++
++static void media_controller_unregister(struct bcm2835_isp_dev *dev)
++{
++      unsigned int i;
++
++      v4l2_info(&dev->v4l2_dev, "Unregister from media controller\n");
++
++      if (dev->media_device_registered) {
++              media_device_unregister(&dev->mdev);
++              media_device_cleanup(&dev->mdev);
++              dev->media_device_registered = false;
++      }
++
++      kfree(dev->entity.name);
++      dev->entity.name = NULL;
++
++      if (dev->media_entity_registered) {
++              media_device_unregister_entity(&dev->entity);
++              dev->media_entity_registered = false;
++      }
++
++      for (i = 0; i < BCM2835_ISP_NUM_NODES; i++) {
++              struct bcm2835_isp_node *node = &dev->node[i];
++
++              if (node->media_node_registered) {
++                      media_remove_intf_links(node->intf_link->intf);
++                      media_entity_remove_links(&dev->node[i].vfd.entity);
++                      media_devnode_remove(node->intf_devnode);
++                      media_device_unregister_entity(&node->vfd.entity);
++                      kfree(node->vfd.entity.name);
++              }
++              node->media_node_registered = false;
++      }
++
++      dev->v4l2_dev.mdev = NULL;
++}
++
++static int media_controller_register_node(struct bcm2835_isp_dev *dev, int num)
++{
++      struct bcm2835_isp_node *node = &dev->node[num];
++      struct media_entity *entity = &node->vfd.entity;
++      int output = node_is_output(node);
++      char *name;
++      int ret;
++
++      v4l2_info(&dev->v4l2_dev,
++                "Register %s node %d with media controller\n",
++                output ? "output" : "capture", num);
++      entity->obj_type = MEDIA_ENTITY_TYPE_VIDEO_DEVICE;
++      entity->function = MEDIA_ENT_F_IO_V4L;
++      entity->info.dev.major = VIDEO_MAJOR;
++      entity->info.dev.minor = node->vfd.minor;
++      name = kmalloc(BCM2835_ISP_ENTITY_NAME_LEN, GFP_KERNEL);
++      if (!name) {
++              ret = -ENOMEM;
++              goto error_no_mem;
++      }
++      snprintf(name, BCM2835_ISP_ENTITY_NAME_LEN, "%s0-%s%d",
++               BCM2835_ISP_NAME, output ? "output" : "capture", num);
++      entity->name = name;
++      node->pad.flags = output ? MEDIA_PAD_FL_SOURCE : MEDIA_PAD_FL_SINK;
++      ret = media_entity_pads_init(entity, 1, &node->pad);
++      if (ret)
++              goto error_pads_init;
++      ret = media_device_register_entity(&dev->mdev, entity);
++      if (ret)
++              goto error_register_entity;
++
++      node->intf_devnode = media_devnode_create(&dev->mdev,
++                                                MEDIA_INTF_T_V4L_VIDEO, 0,
++                                                VIDEO_MAJOR, node->vfd.minor);
++      if (!node->intf_devnode) {
++              ret = -ENOMEM;
++              goto error_devnode_create;
++      }
++
++      node->intf_link = media_create_intf_link(entity,
++                                               &node->intf_devnode->intf,
++                                               MEDIA_LNK_FL_IMMUTABLE |
++                                               MEDIA_LNK_FL_ENABLED);
++      if (!node->intf_link) {
++              ret = -ENOMEM;
++              goto error_create_intf_link;
++      }
++
++      if (output)
++              ret = media_create_pad_link(entity, 0, &dev->entity, num,
++                                          MEDIA_LNK_FL_IMMUTABLE |
++                                                  MEDIA_LNK_FL_ENABLED);
++      else
++              ret = media_create_pad_link(&dev->entity, num, entity, 0,
++                                          MEDIA_LNK_FL_IMMUTABLE |
++                                          MEDIA_LNK_FL_ENABLED);
++      if (ret)
++              goto error_create_pad_link;
++
++      dev->node[num].media_node_registered = true;
++      return 0;
++
++error_create_pad_link:
++      media_remove_intf_links(&node->intf_devnode->intf);
++error_create_intf_link:
++      media_devnode_remove(node->intf_devnode);
++error_devnode_create:
++      media_device_unregister_entity(&node->vfd.entity);
++error_register_entity:
++error_pads_init:
++      kfree(entity->name);
++      entity->name = NULL;
++error_no_mem:
++      if (ret)
++              v4l2_info(&dev->v4l2_dev, "Error registering node\n");
++
++      return ret;
++}
++
++static int media_controller_register(struct bcm2835_isp_dev *dev)
++{
++      char *name;
++      unsigned int i;
++      int ret;
++
++      v4l2_dbg(2, debug, &dev->v4l2_dev, "Registering with media controller\n");
++      dev->mdev.dev = dev->dev;
++      strscpy(dev->mdev.model, "bcm2835-isp",
++              sizeof(dev->mdev.model));
++      strscpy(dev->mdev.bus_info, "platform:bcm2835-isp",
++              sizeof(dev->mdev.bus_info));
++      media_device_init(&dev->mdev);
++      dev->v4l2_dev.mdev = &dev->mdev;
++
++      v4l2_dbg(2, debug, &dev->v4l2_dev, "Register entity for nodes\n");
++
++      name = kmalloc(BCM2835_ISP_ENTITY_NAME_LEN, GFP_KERNEL);
++      if (!name) {
++              ret = -ENOMEM;
++              goto done;
++      }
++      snprintf(name, BCM2835_ISP_ENTITY_NAME_LEN, "bcm2835_isp0");
++      dev->entity.name = name;
++      dev->entity.obj_type = MEDIA_ENTITY_TYPE_BASE;
++      dev->entity.function = MEDIA_ENT_F_PROC_VIDEO_SCALER;
++
++      for (i = 0; i < BCM2835_ISP_NUM_NODES; i++) {
++              dev->pad[i].flags = node_is_output(&dev->node[i]) ?
++                                      MEDIA_PAD_FL_SINK : MEDIA_PAD_FL_SOURCE;
++      }
++
++      ret = media_entity_pads_init(&dev->entity, BCM2835_ISP_NUM_NODES,
++                                   dev->pad);
++      if (ret)
++              goto done;
++
++      ret = media_device_register_entity(&dev->mdev, &dev->entity);
++      if (ret)
++              goto done;
++
++      dev->media_entity_registered = true;
++      for (i = 0; i < BCM2835_ISP_NUM_NODES; i++) {
++              ret = media_controller_register_node(dev, i);
++              if (ret)
++                      goto done;
++      }
++
++      ret = media_device_register(&dev->mdev);
++      if (!ret)
++              dev->media_device_registered = true;
++done:
++      return ret;
++}
++
++static int bcm2835_isp_remove(struct platform_device *pdev)
++{
++      struct bcm2835_isp_dev *dev = platform_get_drvdata(pdev);
++      unsigned int i;
++
++      media_controller_unregister(dev);
++
++      for (i = 0; i < BCM2835_ISP_NUM_NODES; i++)
++              unregister_node(&dev->node[i]);
++
++      v4l2_device_unregister(&dev->v4l2_dev);
++
++      if (dev->component)
++              vchiq_mmal_component_finalise(dev->mmal_instance,
++                                            dev->component);
++
++      vchiq_mmal_finalise(dev->mmal_instance);
++
++      return 0;
++}
++
++static int bcm2835_isp_probe(struct platform_device *pdev)
++{
++      struct bcm2835_isp_dev *dev;
++      unsigned int i;
++      int ret;
++
++      dev = devm_kzalloc(&pdev->dev, sizeof(*dev), GFP_KERNEL);
++      if (!dev)
++              return -ENOMEM;
++
++      dev->dev = &pdev->dev;
++
++      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
++      if (ret)
++              return ret;
++
++      ret = vchiq_mmal_init(&dev->mmal_instance);
++      if (ret) {
++              v4l2_device_unregister(&dev->v4l2_dev);
++              return ret;
++      }
++
++      ret = vchiq_mmal_component_init(dev->mmal_instance, "ril.isp",
++                                      &dev->component);
++      if (ret) {
++              v4l2_err(&dev->v4l2_dev,
++                       "%s: failed to create ril.isp component\n", __func__);
++              goto error;
++      }
++
++      if ((dev->component->inputs != BCM2835_ISP_NUM_OUTPUTS) ||
++          (dev->component->outputs != BCM2835_ISP_NUM_CAPTURES +
++                                      BCM2835_ISP_NUM_METADATA)) {
++              v4l2_err(&dev->v4l2_dev,
++                       "%s: ril.isp returned %d i/p (%d expected), %d o/p (%d expected) ports\n",
++                        __func__, dev->component->inputs,
++                        BCM2835_ISP_NUM_OUTPUTS,
++                        dev->component->outputs,
++                        BCM2835_ISP_NUM_CAPTURES + BCM2835_ISP_NUM_METADATA);
++              goto error;
++      }
++
++      atomic_set(&dev->num_streaming, 0);
++
++      for (i = 0; i < BCM2835_ISP_NUM_NODES; i++) {
++              struct bcm2835_isp_node *node = &dev->node[i];
++
++              ret = register_node(dev, node, i);
++              if (ret)
++                      goto error;
++      }
++
++      ret = media_controller_register(dev);
++      if (ret)
++              goto error;
++
++      platform_set_drvdata(pdev, dev);
++      v4l2_info(&dev->v4l2_dev, "Loaded V4L2 %s\n", BCM2835_ISP_NAME);
++      return 0;
++
++error:
++      bcm2835_isp_remove(pdev);
++
++      return ret;
++}
++
++static struct platform_driver bcm2835_isp_pdrv = {
++      .probe = bcm2835_isp_probe,
++      .remove = bcm2835_isp_remove,
++      .driver = {
++                      .name = BCM2835_ISP_NAME,
++                },
++};
++
++module_platform_driver(bcm2835_isp_pdrv);
++
++MODULE_DESCRIPTION("BCM2835 ISP driver");
++MODULE_AUTHOR("Naushir Patuck <naush@raspberrypi.com>");
++MODULE_LICENSE("GPL");
++MODULE_VERSION("1.0");
++MODULE_ALIAS("platform:bcm2835-isp");
+--- /dev/null
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_ctrls.h
+@@ -0,0 +1,67 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Broadcom BCM2835 ISP driver
++ *
++ * Copyright © 2019-2020 Raspberry Pi (Trading) Ltd.
++ *
++ * Author: Naushir Patuck (naush@raspberrypi.com)
++ *
++ */
++
++#ifndef BCM2835_ISP_CTRLS
++#define BCM2835_ISP_CTRLS
++
++#include <linux/bcm2835-isp.h>
++
++struct bcm2835_isp_custom_ctrl {
++      const char *name;
++      u32 id;
++      u32 size;
++      u32 flags;
++};
++
++static const struct bcm2835_isp_custom_ctrl custom_ctrls[] = {
++      {
++              .name   = "Colour Correction Matrix",
++              .id     = V4L2_CID_USER_BCM2835_ISP_CC_MATRIX,
++              .size   = sizeof(struct bcm2835_isp_custom_ccm),
++              .flags  = 0
++      }, {
++              .name   = "Lens Shading",
++              .id     = V4L2_CID_USER_BCM2835_ISP_LENS_SHADING,
++              .size   = sizeof(struct bcm2835_isp_lens_shading),
++              .flags  = V4L2_CTRL_FLAG_EXECUTE_ON_WRITE
++      }, {
++              .name   = "Black Level",
++              .id     = V4L2_CID_USER_BCM2835_ISP_BLACK_LEVEL,
++              .size   = sizeof(struct bcm2835_isp_black_level),
++              .flags  = 0
++      }, {
++              .name   = "Green Equalisation",
++              .id     = V4L2_CID_USER_BCM2835_ISP_GEQ,
++              .size   = sizeof(struct bcm2835_isp_geq),
++              .flags  = 0
++      }, {
++              .name   = "Gamma",
++              .id     = V4L2_CID_USER_BCM2835_ISP_GAMMA,
++              .size   = sizeof(struct bcm2835_isp_gamma),
++              .flags  = 0
++      }, {
++              .name   = "Sharpen",
++              .id     = V4L2_CID_USER_BCM2835_ISP_SHARPEN,
++              .size   = sizeof(struct bcm2835_isp_sharpen),
++              .flags  = 0
++      }, {
++              .name   = "Denoise",
++              .id     = V4L2_CID_USER_BCM2835_ISP_DENOISE,
++              .size   = sizeof(struct bcm2835_isp_denoise),
++              .flags  = 0
++      }, {
++              .name   = "Defective Pixel Correction",
++              .id     = V4L2_CID_USER_BCM2835_ISP_DPC,
++              .size   = sizeof(struct bcm2835_isp_dpc),
++              .flags  = 0
++      }
++};
++
++#endif
+--- /dev/null
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
+@@ -0,0 +1,272 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * Broadcom BCM2835 ISP driver
++ *
++ * Copyright © 2019-2020 Raspberry Pi (Trading) Ltd.
++ *
++ * Author: Naushir Patuck (naush@raspberrypi.com)
++ *
++ */
++
++#ifndef BCM2835_ISP_FMTS
++#define BCM2835_ISP_FMTS
++
++#include <linux/videodev2.h>
++#include "vchiq-mmal/mmal-encodings.h"
++
++struct bcm2835_isp_fmt {
++      u32 fourcc;
++      int depth;
++      int bytesperline_align;
++      u32 flags;
++      u32 mmal_fmt;
++      int size_multiplier_x2;
++      enum v4l2_colorspace colorspace;
++};
++
++struct bcm2835_isp_fmt_list {
++      struct bcm2835_isp_fmt *list;
++      unsigned int num_entries;
++};
++
++static const struct bcm2835_isp_fmt supported_formats[] = {
++      {
++              /* YUV formats */
++              .fourcc             = V4L2_PIX_FMT_YUV420,
++              .depth              = 8,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_I420,
++              .size_multiplier_x2 = 3,
++              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_YVU420,
++              .depth              = 8,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_YV12,
++              .size_multiplier_x2 = 3,
++              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_NV12,
++              .depth              = 8,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_NV12,
++              .size_multiplier_x2 = 3,
++              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_NV21,
++              .depth              = 8,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_NV21,
++              .size_multiplier_x2 = 3,
++              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_YUYV,
++              .depth              = 16,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_YUYV,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_UYVY,
++              .depth              = 16,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_UYVY,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_YVYU,
++              .depth              = 16,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_YVYU,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_VYUY,
++              .depth              = 16,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_VYUY,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++      }, {
++              /* RGB formats */
++              .fourcc             = V4L2_PIX_FMT_RGB24,
++              .depth              = 24,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_RGB24,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_SRGB,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_RGB565,
++              .depth              = 16,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_RGB16,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_SRGB,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_BGR24,
++              .depth              = 24,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BGR24,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_SRGB,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_ABGR32,
++              .depth              = 32,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BGRA,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_SRGB,
++      }, {
++              /* Bayer formats */
++              /* 8 bit */
++              .fourcc             = V4L2_PIX_FMT_SRGGB8,
++              .depth              = 8,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB8,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SBGGR8,
++              .depth              = 8,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR8,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SGRBG8,
++              .depth              = 8,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG8,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SGBRG8,
++              .depth              = 8,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG8,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              /* 10 bit */
++              .fourcc             = V4L2_PIX_FMT_SRGGB10P,
++              .depth              = 10,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB10P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SBGGR10P,
++              .depth              = 10,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR10P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SGRBG10P,
++              .depth              = 10,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG10P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SGBRG10P,
++              .depth              = 10,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG10P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              /* 12 bit */
++              .fourcc             = V4L2_PIX_FMT_SRGGB12P,
++              .depth              = 12,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB12P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SBGGR12P,
++              .depth              = 12,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR12P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SGRBG12P,
++              .depth              = 12,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG12P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SGBRG12P,
++              .depth              = 12,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG12P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              /* 16 bit */
++              .fourcc             = V4L2_PIX_FMT_SRGGB16,
++              .depth              = 16,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB16,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SBGGR16,
++              .depth              = 16,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR16,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SGRBG16,
++              .depth              = 16,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG16,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SGBRG16,
++              .depth              = 16,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG16,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++      }, {
++              /* ISP statistics format */
++              .fourcc             = V4L2_META_FMT_BCM2835_ISP_STATS,
++              .mmal_fmt           = MMAL_ENCODING_BRCM_STATS,
++              /* The rest are not valid fields for stats. */
++      }
++};
++
++#endif
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
+@@ -100,6 +100,10 @@
+  */
+ #define MMAL_ENCODING_EGL_IMAGE        MMAL_FOURCC('E', 'G', 'L', 'I')
++/** ISP image statistics format
++ */
++#define MMAL_ENCODING_BRCM_STATS       MMAL_FOURCC('S', 'T', 'A', 'T')
++
+ /* }@ */
+ /** \name Pre-defined audio encodings */
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
+@@ -221,6 +221,62 @@ enum mmal_parameter_camera_type {
+       MMAL_PARAMETER_SHUTTER_SPEED,
+               /**< Takes a @ref MMAL_PARAMETER_AWB_GAINS_T */
+       MMAL_PARAMETER_CUSTOM_AWB_GAINS,
++              /**< Takes a @ref MMAL_PARAMETER_CAMERA_SETTINGS_T */
++      MMAL_PARAMETER_CAMERA_SETTINGS,
++              /**< Takes a @ref MMAL_PARAMETER_PRIVACY_INDICATOR_T */
++      MMAL_PARAMETER_PRIVACY_INDICATOR,
++              /**< Takes a @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_VIDEO_DENOISE,
++              /**< Takes a @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_STILLS_DENOISE,
++              /**< Takes a @ref MMAL_PARAMETER_CAMERA_ANNOTATE_T */
++      MMAL_PARAMETER_ANNOTATE,
++              /**< Takes a @ref MMAL_PARAMETER_STEREOSCOPIC_MODE_T */
++      MMAL_PARAMETER_STEREOSCOPIC_MODE,
++              /**< Takes a @ref MMAL_PARAMETER_CAMERA_INTERFACE_T */
++      MMAL_PARAMETER_CAMERA_INTERFACE,
++              /**< Takes a @ref MMAL_PARAMETER_CAMERA_CLOCKING_MODE_T */
++      MMAL_PARAMETER_CAMERA_CLOCKING_MODE,
++              /**< Takes a @ref MMAL_PARAMETER_CAMERA_RX_CONFIG_T */
++      MMAL_PARAMETER_CAMERA_RX_CONFIG,
++              /**< Takes a @ref MMAL_PARAMETER_CAMERA_RX_TIMING_T */
++      MMAL_PARAMETER_CAMERA_RX_TIMING,
++              /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_DPF_CONFIG,
++
++      /* 0x50 */
++              /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_JPEG_RESTART_INTERVAL,
++              /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_CAMERA_ISP_BLOCK_OVERRIDE,
++              /**< Takes a @ref MMAL_PARAMETER_LENS_SHADING_T */
++      MMAL_PARAMETER_LENS_SHADING_OVERRIDE,
++              /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
++      MMAL_PARAMETER_BLACK_LEVEL,
++              /**< Takes a @ref MMAL_PARAMETER_RESIZE_T */
++      MMAL_PARAMETER_RESIZE_PARAMS,
++              /**< Takes a @ref MMAL_PARAMETER_CROP_T */
++      MMAL_PARAMETER_CROP,
++              /**< Takes a @ref MMAL_PARAMETER_INT32_T */
++      MMAL_PARAMETER_OUTPUT_SHIFT,
++              /**< Takes a @ref MMAL_PARAMETER_INT32_T */
++      MMAL_PARAMETER_CCM_SHIFT,
++              /**< Takes a @ref MMAL_PARAMETER_CUSTOM_CCM_T */
++      MMAL_PARAMETER_CUSTOM_CCM,
++              /**< Takes a @ref MMAL_PARAMETER_RATIONAL_T */
++      MMAL_PARAMETER_ANALOG_GAIN,
++              /**< Takes a @ref MMAL_PARAMETER_RATIONAL_T */
++      MMAL_PARAMETER_DIGITAL_GAIN,
++              /**< Takes a @ref MMAL_PARAMETER_DENOISE_T */
++      MMAL_PARAMETER_DENOISE,
++              /**< Takes a @ref MMAL_PARAMETER_SHARPEN_T */
++      MMAL_PARAMETER_SHARPEN,
++              /**< Takes a @ref MMAL_PARAMETER_GEQ_T */
++      MMAL_PARAMETER_GEQ,
++              /**< Tales a @ref MMAP_PARAMETER_DPC_T */
++      MMAL_PARAMETER_DPC,
++              /**< Tales a @ref MMAP_PARAMETER_GAMMA_T */
++      MMAL_PARAMETER_GAMMA,
+ };
+ struct mmal_parameter_rational {
+@@ -780,7 +836,102 @@ struct mmal_parameter_camera_info {
+       struct mmal_parameter_camera_info_camera
+               cameras[MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS];
+       struct mmal_parameter_camera_info_flash
+-                              flashes[MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES];
++              flashes[MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES];
++};
++
++struct mmal_parameter_ccm {
++      struct mmal_parameter_rational ccm[3][3];
++      s32 offsets[3];
++};
++
++struct mmal_parameter_custom_ccm {
++      u32 enabled; /**< Enable the custom CCM. */
++      struct mmal_parameter_ccm ccm; /**< CCM to be used. */
++};
++
++struct mmal_parameter_lens_shading {
++      u32 enabled;
++      u32 grid_cell_size;
++      u32 grid_width;
++      u32 grid_stride;
++      u32 grid_height;
++      u32 mem_handle_table;
++      u32 ref_transform;
++};
++
++enum mmal_parameter_ls_gain_format_type {
++      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U0P8_1 = 0,
++      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U1P7_0 = 1,
++      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U1P7_1 = 2,
++      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U2P6_0 = 3,
++      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U2P6_1 = 4,
++      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U3P5_0 = 5,
++      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U3P5_1 = 6,
++      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U4P10  = 7,
++      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_DUMMY  = 0x7FFFFFFF
++};
++
++struct mmal_parameter_lens_shading_v2 {
++      u32 enabled;
++      u32 grid_cell_size;
++      u32 grid_width;
++      u32 grid_stride;
++      u32 grid_height;
++      u32 mem_handle_table;
++      u32 ref_transform;
++      u32 corner_sampled;
++      enum mmal_parameter_ls_gain_format_type gain_format;
++};
++
++struct mmal_parameter_black_level {
++      u32 enabled;
++      u16 black_level_r;
++      u16 black_level_g;
++      u16 black_level_b;
++      u8 pad_[2]; /* Unused */
++};
++
++struct mmal_parameter_geq {
++      u32 enabled;
++      u32 offset;
++      struct mmal_parameter_rational slope;
++};
++
++#define MMAL_NUM_GAMMA_PTS 33
++struct mmal_parameter_gamma {
++      u32 enabled;
++      u16 x[MMAL_NUM_GAMMA_PTS];
++      u16 y[MMAL_NUM_GAMMA_PTS];
++};
++
++struct mmal_parameter_denoise {
++      u32 enabled;
++      u32 constant;
++      struct mmal_parameter_rational slope;
++      struct mmal_parameter_rational strength;
++};
++
++struct mmal_parameter_sharpen {
++      u32 enabled;
++      struct mmal_parameter_rational threshold;
++      struct mmal_parameter_rational strength;
++      struct mmal_parameter_rational limit;
++};
++
++enum mmal_dpc_mode {
++      MMAL_DPC_MODE_OFF = 0,
++      MMAL_DPC_MODE_NORMAL = 1,
++      MMAL_DPC_MODE_STRONG = 2,
++      MMAL_DPC_MODE_MAX = 0x7FFFFFFF,
++};
++
++struct mmal_parameter_dpc {
++      u32 enabled;
++      u32 strength;
++};
++
++struct mmal_parameter_crop {
++      struct vchiq_mmal_rect rect;
+ };
+ #endif
diff --git a/target/linux/bcm27xx/patches-5.4/950-0673-overlays-rpivid-v4l2-also-needs-size-cells-2.patch b/target/linux/bcm27xx/patches-5.4/950-0673-overlays-rpivid-v4l2-also-needs-size-cells-2.patch
deleted file mode 100644 (file)
index 4a1757d..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-From 096fc044170aa40a99dd66b0a8b072ef76327ddb Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Fri, 24 Apr 2020 15:17:06 +0100
-Subject: [PATCH] overlays: rpivid-v4l2 also needs size-cells = 2
-
-Fixes: "dts: bcm2711: set #size-cells = <2>"
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts | 7 ++++---
- 1 file changed, 4 insertions(+), 3 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts
-@@ -13,11 +13,12 @@
-               __overlay__ {
-                       /* needed to avoid dtc warning */
-                       #address-cells = <2>;
--                      #size-cells = <1>;
-+                      #size-cells = <2>;
-+
-                       codec@7eb10000 {
-                               compatible = "raspberrypi,rpivid-vid-decoder";
--                              reg = <0x0 0x7eb10000 0x1000>,  /* INTC */
--                                    <0x0 0x7eb00000 0x10000>; /* HEVC */
-+                              reg = <0x0 0x7eb10000  0x0 0x1000>,  /* INTC */
-+                                    <0x0 0x7eb00000  0x0 0x10000>; /* HEVC */
-                               reg-names = "intc",
-                                           "hevc";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0673-staging-vchiq-Load-bcm2835_isp-driver-from-vchiq.patch b/target/linux/bcm27xx/patches-5.4/950-0673-staging-vchiq-Load-bcm2835_isp-driver-from-vchiq.patch
new file mode 100644 (file)
index 0000000..70fe392
--- /dev/null
@@ -0,0 +1,39 @@
+From 7f2f9b54862f7df5cdef95b85234fad83b6b3480 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Wed, 22 Apr 2020 08:32:32 +0100
+Subject: [PATCH] staging: vchiq: Load bcm2835_isp driver from vchiq
+
+bcmn2835_isp is a platform driver dependent on vchiq,
+therefore add the load/unload functions for it to vchiq.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c | 3 +++
+ 1 file changed, 3 insertions(+)
+
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
+@@ -142,6 +142,7 @@ static struct platform_device *bcm2835_c
+ static struct platform_device *bcm2835_audio;
+ static struct platform_device *bcm2835_codec;
+ static struct platform_device *vcsm_cma;
++static struct platform_device *bcm2835_isp;
+ static struct vchiq_drvdata bcm2835_drvdata = {
+       .cache_line_size = 32,
+@@ -3281,6 +3282,7 @@ static int vchiq_probe(struct platform_d
+       bcm2835_codec = vchiq_register_child(pdev, "bcm2835-codec");
+       bcm2835_camera = vchiq_register_child(pdev, "bcm2835-camera");
+       bcm2835_audio = vchiq_register_child(pdev, "bcm2835_audio");
++      bcm2835_isp = vchiq_register_child(pdev, "bcm2835-isp");
+       return 0;
+@@ -3293,6 +3295,7 @@ failed_platform_init:
+ static int vchiq_remove(struct platform_device *pdev)
+ {
++      platform_device_unregister(bcm2835_isp);
+       platform_device_unregister(bcm2835_audio);
+       platform_device_unregister(bcm2835_camera);
+       platform_device_unregister(bcm2835_codec);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0674-media-bcm2835-unicam-Re-fetch-mbus-code-from-subdev-.patch b/target/linux/bcm27xx/patches-5.4/950-0674-media-bcm2835-unicam-Re-fetch-mbus-code-from-subdev-.patch
deleted file mode 100644 (file)
index 4d43c97..0000000
+++ /dev/null
@@ -1,49 +0,0 @@
-From 53d7c93a14d1e0804a96e3a21f472ba5ff033b14 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Tue, 21 Apr 2020 16:26:03 +0100
-Subject: [PATCH] media: bcm2835-unicam: Re-fetch mbus code from subdev
- on a g_fmt call
-
-The sensor subdevice may change the Bayer order if a H/V flip is
-requested after a s_fmt call.  Unicam g_fmt must call the subdev get_fmt
-in case this has happened and return out the correct format 4cc.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- .../media/platform/bcm2835/bcm2835-unicam.c   | 21 ++++++++++++++++++-
- 1 file changed, 20 insertions(+), 1 deletion(-)
-
---- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -967,11 +967,30 @@ static int unicam_enum_fmt_vid_cap(struc
- static int unicam_g_fmt_vid_cap(struct file *file, void *priv,
-                               struct v4l2_format *f)
- {
-+      struct v4l2_mbus_framefmt mbus_fmt = {0};
-       struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-+      const struct unicam_fmt *fmt = NULL;
-+      int ret;
--      if (node->pad_id == METADATA_PAD)
-+      if (node->pad_id != IMAGE_PAD)
-               return -EINVAL;
-+      /*
-+       * If a flip has occurred in the sensor, the fmt code might have
-+       * changed. So we will need to re-fetch the format from the subdevice.
-+       */
-+      ret = __subdev_get_format(dev, &mbus_fmt, node->pad_id);
-+      if (ret)
-+              return -EINVAL;
-+
-+      /* Find the V4L2 format from mbus code. We must match a known format. */
-+      fmt = find_format_by_code(mbus_fmt.code);
-+      if (!fmt)
-+              return -EINVAL;
-+
-+      node->fmt = fmt;
-+      node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
-       *f = node->v_fmt;
-       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0674-vc4_hvs-Mark-core-clock-as-optional.patch b/target/linux/bcm27xx/patches-5.4/950-0674-vc4_hvs-Mark-core-clock-as-optional.patch
new file mode 100644 (file)
index 0000000..816ca3c
--- /dev/null
@@ -0,0 +1,23 @@
+From 41b2f1242ff3f90c88de2de93dbec1f5734b45fd Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Tue, 28 Apr 2020 17:35:07 +0100
+Subject: [PATCH] vc4_hvs: Mark core clock as optional
+
+This isn't required on Pi3, so don't treat as an error
+
+Signed-off-by: popcornmix <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hvs.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hvs.c
++++ b/drivers/gpu/drm/vc4/vc4_hvs.c
+@@ -240,7 +240,7 @@ static int vc4_hvs_bind(struct device *d
+       hvs->regset.regs = hvs_regs;
+       hvs->regset.nregs = ARRAY_SIZE(hvs_regs);
+-      hvs->core_clk = devm_clk_get(&pdev->dev, NULL);
++      hvs->core_clk = devm_clk_get_optional(&pdev->dev, NULL);
+       if (IS_ERR(hvs->core_clk)) {
+               dev_err(&pdev->dev, "Couldn't get core clock\n");
+               return PTR_ERR(hvs->regs);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0675-uapi-bcm2835-isp-Add-bcm2835-isp-uapi-header-file.patch b/target/linux/bcm27xx/patches-5.4/950-0675-uapi-bcm2835-isp-Add-bcm2835-isp-uapi-header-file.patch
deleted file mode 100644 (file)
index 9f41783..0000000
+++ /dev/null
@@ -1,337 +0,0 @@
-From b5d50012157f909eff0e8775c1e040b7dfde0705 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Thu, 23 Apr 2020 10:18:15 +0100
-Subject: [PATCH] uapi: bcm2835-isp: Add bcm2835-isp uapi header file
-
-This file defines the userland interface to the bcm2835-isp driver
-that will follow in a separate commit.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- include/uapi/linux/bcm2835-isp.h | 320 +++++++++++++++++++++++++++++++
- 1 file changed, 320 insertions(+)
- create mode 100644 include/uapi/linux/bcm2835-isp.h
-
---- /dev/null
-+++ b/include/uapi/linux/bcm2835-isp.h
-@@ -0,0 +1,320 @@
-+/* SPDX-License-Identifier: ((GPL-2.0+ WITH Linux-syscall-note) OR BSD-3-Clause) */
-+/*
-+ * bcm2835-isp.h
-+ *
-+ * BCM2835 ISP driver - user space header file.
-+ *
-+ * Copyright © 2019-2020 Raspberry Pi (Trading) Ltd.
-+ *
-+ * Author: Naushir Patuck (naush@raspberrypi.com)
-+ *
-+ */
-+
-+#ifndef __BCM2835_ISP_H_
-+#define __BCM2835_ISP_H_
-+
-+#include <linux/v4l2-controls.h>
-+
-+#define V4L2_CID_USER_BCM2835_ISP_CC_MATRIX   \
-+                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0001)
-+#define V4L2_CID_USER_BCM2835_ISP_LENS_SHADING        \
-+                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0002)
-+#define V4L2_CID_USER_BCM2835_ISP_BLACK_LEVEL \
-+                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0003)
-+#define V4L2_CID_USER_BCM2835_ISP_GEQ         \
-+                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0004)
-+#define V4L2_CID_USER_BCM2835_ISP_GAMMA               \
-+                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0005)
-+#define V4L2_CID_USER_BCM2835_ISP_DENOISE     \
-+                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0006)
-+#define V4L2_CID_USER_BCM2835_ISP_SHARPEN     \
-+                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0007)
-+#define V4L2_CID_USER_BCM2835_ISP_DPC         \
-+                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0008)
-+
-+/*
-+ * All structs below are directly mapped onto the equivalent structs in
-+ * drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
-+ * for convenience.
-+ */
-+
-+/**
-+ * struct bcm2835_isp_rational - Rational value type.
-+ *
-+ * @num:      Numerator.
-+ * @den:      Denominator.
-+ */
-+struct bcm2835_isp_rational {
-+      __s32 num;
-+      __s32 den;
-+};
-+
-+/**
-+ * struct bcm2835_isp_ccm - Colour correction matrix.
-+ *
-+ * @ccm:      3x3 correction matrix coefficients.
-+ * @offsets:  1x3 correction offsets.
-+ */
-+struct bcm2835_isp_ccm {
-+      struct bcm2835_isp_rational ccm[3][3];
-+      __s32 offsets[3];
-+};
-+
-+/**
-+ * struct bcm2835_isp_custom_ccm - Custom CCM applied with the
-+ *                               V4L2_CID_USER_BCM2835_ISP_CC_MATRIX ctrl.
-+ *
-+ * @enabled:  Enable custom CCM.
-+ * @ccm:      Custom CCM coefficients and offsets.
-+ */
-+struct bcm2835_isp_custom_ccm {
-+      __u32 enabled;
-+      struct bcm2835_isp_ccm ccm;
-+};
-+
-+/**
-+ * enum bcm2835_isp_gain_format - format of the gains in the lens shading
-+ *                              tables used with the
-+ *                              V4L2_CID_USER_BCM2835_ISP_LENS_SHADING ctrl.
-+ *
-+ * @GAIN_FORMAT_U0P8_1:               Gains are u0.8 format, starting at 1.0
-+ * @GAIN_FORMAT_U1P7_0:               Gains are u1.7 format, starting at 0.0
-+ * @GAIN_FORMAT_U1P7_1:               Gains are u1.7 format, starting at 1.0
-+ * @GAIN_FORMAT_U2P6_0:               Gains are u2.6 format, starting at 0.0
-+ * @GAIN_FORMAT_U2P6_1:               Gains are u2.6 format, starting at 1.0
-+ * @GAIN_FORMAT_U3P5_0:               Gains are u3.5 format, starting at 0.0
-+ * @GAIN_FORMAT_U3P5_1:               Gains are u3.5 format, starting at 1.0
-+ * @GAIN_FORMAT_U4P10:                Gains are u4.10 format, starting at 0.0
-+ */
-+enum bcm2835_isp_gain_format {
-+      GAIN_FORMAT_U0P8_1 = 0,
-+      GAIN_FORMAT_U1P7_0 = 1,
-+      GAIN_FORMAT_U1P7_1 = 2,
-+      GAIN_FORMAT_U2P6_0 = 3,
-+      GAIN_FORMAT_U2P6_1 = 4,
-+      GAIN_FORMAT_U3P5_0 = 5,
-+      GAIN_FORMAT_U3P5_1 = 6,
-+      GAIN_FORMAT_U4P10  = 7,
-+};
-+
-+/**
-+ * struct bcm2835_isp_lens_shading - Lens shading tables supplied with the
-+ *                                 V4L2_CID_USER_BCM2835_ISP_LENS_SHADING
-+ *                                 ctrl.
-+ *
-+ * @enabled:          Enable lens shading.
-+ * @grid_cell_size:   Size of grid cells in samples (16, 32, 64, 128 or 256).
-+ * @grid_width:               Width of lens shading tables in grid cells.
-+ * @grid_stride:      Row to row distance (in grid cells) between grid cells
-+ *                    in the same horizontal location.
-+ * @grid_height:      Height of lens shading tables in grid cells.
-+ * @mem_handle_table: Memory handle to the tables.
-+ * @ref_transform:    Reference transform - unsupported, please pass zero.
-+ * @corner_sampled:   Whether the gains are sampled at the corner points
-+ *                    of the grid cells or in the cell centres.
-+ * @gain_format:      Format of the gains (see enum &bcm2835_isp_gain_format).
-+ */
-+struct bcm2835_isp_lens_shading {
-+      __u32 enabled;
-+      __u32 grid_cell_size;
-+      __u32 grid_width;
-+      __u32 grid_stride;
-+      __u32 grid_height;
-+      __u32 mem_handle_table;
-+      __u32 ref_transform;
-+      __u32 corner_sampled;
-+      __u32 gain_format;
-+};
-+
-+/**
-+ * struct bcm2835_isp_black_level - Sensor black level set with the
-+ *                                V4L2_CID_USER_BCM2835_ISP_BLACK_LEVEL ctrl.
-+ *
-+ * @enabled:          Enable black level.
-+ * @black_level_r:    Black level for red channel.
-+ * @black_level_g:    Black level for green channels.
-+ * @black_level_b:    Black level for blue channel.
-+ */
-+struct bcm2835_isp_black_level {
-+      __u32 enabled;
-+      __u16 black_level_r;
-+      __u16 black_level_g;
-+      __u16 black_level_b;
-+      __u8 pad_[2]; /* Unused */
-+};
-+
-+/**
-+ * struct bcm2835_isp_geq - Green equalisation parameters set with the
-+ *                        V4L2_CID_USER_BCM2835_ISP_GEQ ctrl.
-+ *
-+ * @enabled:  Enable green equalisation.
-+ * @offset:   Fixed offset of the green equalisation threshold.
-+ * @slope:    Slope of the green equalisation threshold.
-+ */
-+struct bcm2835_isp_geq {
-+      __u32 enabled;
-+      __u32 offset;
-+      struct bcm2835_isp_rational slope;
-+};
-+
-+#define BCM2835_NUM_GAMMA_PTS 33
-+
-+/**
-+ * struct bcm2835_isp_gamma - Gamma parameters set with the
-+ *                          V4L2_CID_USER_BCM2835_ISP_GAMMA ctrl.
-+ *
-+ * @enabled:  Enable gamma adjustment.
-+ * @X:                X values of the points defining the gamma curve.
-+ *            Values should be scaled to 16 bits.
-+ * @Y:                Y values of the points defining the gamma curve.
-+ *            Values should be scaled to 16 bits.
-+ */
-+struct bcm2835_isp_gamma {
-+      __u32 enabled;
-+      __u16 x[BCM2835_NUM_GAMMA_PTS];
-+      __u16 y[BCM2835_NUM_GAMMA_PTS];
-+};
-+
-+/**
-+ * struct bcm2835_isp_denoise - Denoise parameters set with the
-+ *                            V4L2_CID_USER_BCM2835_ISP_DENOISE ctrl.
-+ *
-+ * @enabled:  Enable denoise.
-+ * @constant: Fixed offset of the noise threshold.
-+ * @slope:    Slope of the noise threshold.
-+ * @strength: Denoise strength between 0.0 (off) and 1.0 (maximum).
-+ */
-+struct bcm2835_isp_denoise {
-+      __u32 enabled;
-+      __u32 constant;
-+      struct bcm2835_isp_rational slope;
-+      struct bcm2835_isp_rational strength;
-+};
-+
-+/**
-+ * struct bcm2835_isp_sharpen - Sharpen parameters set with the
-+ *                            V4L2_CID_USER_BCM2835_ISP_SHARPEN ctrl.
-+ *
-+ * @enabled:  Enable sharpening.
-+ * @threshold:        Threshold at which to start sharpening pixels.
-+ * @strength: Strength with which pixel sharpening increases.
-+ * @limit:    Limit to the amount of sharpening applied.
-+ */
-+struct bcm2835_isp_sharpen {
-+      __u32 enabled;
-+      struct bcm2835_isp_rational threshold;
-+      struct bcm2835_isp_rational strength;
-+      struct bcm2835_isp_rational limit;
-+};
-+
-+/**
-+ * enum bcm2835_isp_dpc_mode - defective pixel correction (DPC) strength.
-+ *
-+ * @DPC_MODE_OFF:             No DPC.
-+ * @DPC_MODE_NORMAL:          Normal DPC.
-+ * @DPC_MODE_STRONG:          Strong DPC.
-+ */
-+enum bcm2835_isp_dpc_mode {
-+      DPC_MODE_OFF = 0,
-+      DPC_MODE_NORMAL = 1,
-+      DPC_MODE_STRONG = 2,
-+};
-+
-+/**
-+ * struct bcm2835_isp_dpc - Defective pixel correction (DPC) parameters set
-+ *                        with the V4L2_CID_USER_BCM2835_ISP_DPC ctrl.
-+ *
-+ * @enabled:  Enable DPC.
-+ * @strength: DPC strength (see enum &bcm2835_isp_dpc_mode).
-+ */
-+struct bcm2835_isp_dpc {
-+      __u32 enabled;
-+      __u32 strength;
-+};
-+
-+/*
-+ * ISP statistics structures.
-+ *
-+ * The bcm2835_isp_stats structure is generated at the output of the
-+ * statistics node.  Note that this does not directly map onto the statistics
-+ * output of the ISP HW.  Instead, the MMAL firmware code maps the HW statistics
-+ * to the bcm2835_isp_stats structure.
-+ */
-+#define DEFAULT_AWB_REGIONS_X 16
-+#define DEFAULT_AWB_REGIONS_Y 12
-+
-+#define NUM_HISTOGRAMS 2
-+#define NUM_HISTOGRAM_BINS 128
-+#define AWB_REGIONS (DEFAULT_AWB_REGIONS_X * DEFAULT_AWB_REGIONS_Y)
-+#define FLOATING_REGIONS 16
-+#define AGC_REGIONS 16
-+#define FOCUS_REGIONS 12
-+
-+/**
-+ * struct bcm2835_isp_stats_hist - Histogram statistics
-+ *
-+ * @r_hist:   Red channel histogram.
-+ * @g_hist:   Combined green channel histogram.
-+ * @b_hist:   Blue channel histogram.
-+ */
-+struct bcm2835_isp_stats_hist {
-+      __u32 r_hist[NUM_HISTOGRAM_BINS];
-+      __u32 g_hist[NUM_HISTOGRAM_BINS];
-+      __u32 b_hist[NUM_HISTOGRAM_BINS];
-+};
-+
-+/**
-+ * struct bcm2835_isp_stats_region - Region sums.
-+ *
-+ * @counted:  The number of 2x2 bayer tiles accumulated.
-+ * @notcounted:       The number of 2x2 bayer tiles not accumulated.
-+ * @r_sum:    Total sum of counted pixels in the red channel for a region.
-+ * @g_sum:    Total sum of counted pixels in the green channel for a region.
-+ * @b_sum:    Total sum of counted pixels in the blue channel for a region.
-+ */
-+struct bcm2835_isp_stats_region {
-+      __u32 counted;
-+      __u32 notcounted;
-+      __u64 r_sum;
-+      __u64 g_sum;
-+      __u64 b_sum;
-+};
-+
-+/**
-+ * struct bcm2835_isp_stats_focus - Focus statistics.
-+ *
-+ * @contrast_val:     Focus measure - accumulated output of the focus filter.
-+ *                    In the first dimension, index [0] counts pixels below a
-+ *                    preset threshold, and index [1] counts pixels above the
-+ *                    threshold.  In the second dimension, index [0] uses the
-+ *                    first predefined filter, and index [1] uses the second
-+ *                    predefined filter.
-+ * @contrast_val_num: The number of counted pixels in the above accumulation.
-+ */
-+struct bcm2835_isp_stats_focus {
-+      __u64 contrast_val[2][2];
-+      __u32 contrast_val_num[2][2];
-+};
-+
-+/**
-+ * struct bcm2835_isp_stats - ISP statistics.
-+ *
-+ * @version:          Version of the bcm2835_isp_stats structure.
-+ * @size:             Size of the bcm2835_isp_stats structure.
-+ * @hist:             Histogram statistics for the entire image.
-+ * @awb_stats:                Statistics for the regions defined for AWB calculations.
-+ * @floating_stats:   Statistics for arbitrarily placed (floating) regions.
-+ * @agc_stats:                Statistics for the regions defined for AGC calculations.
-+ * @focus_stats:      Focus filter statistics for the focus regions.
-+ */
-+struct bcm2835_isp_stats {
-+      __u32 version;
-+      __u32 size;
-+      struct bcm2835_isp_stats_hist hist[NUM_HISTOGRAMS];
-+      struct bcm2835_isp_stats_region awb_stats[AWB_REGIONS];
-+      struct bcm2835_isp_stats_region floating_stats[FLOATING_REGIONS];
-+      struct bcm2835_isp_stats_region agc_stats[AGC_REGIONS];
-+      struct bcm2835_isp_stats_focus focus_stats[FOCUS_REGIONS];
-+};
-+
-+#endif /* __BCM2835_ISP_H_ */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0675-vc4_hdmi-BCM2835-requires-a-fixed-hsm-clock-for-CEC-.patch b/target/linux/bcm27xx/patches-5.4/950-0675-vc4_hdmi-BCM2835-requires-a-fixed-hsm-clock-for-CEC-.patch
new file mode 100644 (file)
index 0000000..e5ffc3e
--- /dev/null
@@ -0,0 +1,93 @@
+From af3f381a59c10f6bd49d86a5ff2325b6ebeb79e9 Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Mon, 27 Apr 2020 19:07:50 +0100
+Subject: [PATCH] vc4_hdmi: BCM2835 requires a fixed hsm clock for CEC
+ to work
+
+Signed-off-by: popcornmix <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 32 ++++++++++++++++++++++++++------
+ drivers/gpu/drm/vc4/vc4_hdmi.h |  3 +++
+ 2 files changed, 29 insertions(+), 6 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -580,12 +580,7 @@ static void vc4_hdmi_encoder_enable(stru
+               return;
+       }
+-      /*
+-       * The HSM rate needs to be slightly greater than the pixel clock, with
+-       * a minimum of 108MHz.
+-       * Use 101% as this is what the firmware uses.
+-       */
+-      hsm_rate = max_t(unsigned long, 108000000, (pixel_rate / 100) * 101);
++      hsm_rate = vc4_hdmi->variant->calc_hsm_clock(vc4_hdmi, pixel_rate);
+       ret = clk_set_rate(vc4_hdmi->hsm_clock, hsm_rate);
+       if (ret) {
+               DRM_ERROR("Failed to set HSM clock rate: %d\n", ret);
+@@ -753,6 +748,28 @@ static u32 vc5_hdmi_get_hsm_clock(struct
+       return 108000000;
+ }
++static u32 vc4_hdmi_calc_hsm_clock(struct vc4_hdmi *vc4_hdmi, unsigned long pixel_rate)
++{
++      /*
++       * This is the rate that is set by the firmware.  The number
++       * needs to be a bit higher than the pixel clock rate
++       * (generally 148.5Mhz).
++       */
++
++      return 163682864;
++}
++
++static u32 vc5_hdmi_calc_hsm_clock(struct vc4_hdmi *vc4_hdmi, unsigned long pixel_rate)
++{
++      /*
++       * The HSM rate needs to be slightly greater than the pixel clock, with
++       * a minimum of 108MHz.
++       * Use 101% as this is what the firmware uses.
++       */
++
++      return max_t(unsigned long, 108000000, (pixel_rate / 100) * 101);
++}
++
+ static u32 vc4_hdmi_channel_map(struct vc4_hdmi *vc4_hdmi, u32 channel_mask)
+ {
+       int i;
+@@ -1749,6 +1766,7 @@ static const struct vc4_hdmi_variant bcm
+       .phy_rng_enable         = vc4_hdmi_phy_rng_enable,
+       .phy_rng_disable        = vc4_hdmi_phy_rng_disable,
+       .get_hsm_clock          = vc4_hdmi_get_hsm_clock,
++      .calc_hsm_clock         = vc4_hdmi_calc_hsm_clock,
+       .channel_map            = vc4_hdmi_channel_map,
+ };
+@@ -1773,6 +1791,7 @@ static const struct vc4_hdmi_variant bcm
+       .phy_rng_enable         = vc5_hdmi_phy_rng_enable,
+       .phy_rng_disable        = vc5_hdmi_phy_rng_disable,
+       .get_hsm_clock          = vc5_hdmi_get_hsm_clock,
++      .calc_hsm_clock         = vc5_hdmi_calc_hsm_clock,
+       .channel_map            = vc5_hdmi_channel_map,
+ };
+@@ -1797,6 +1816,7 @@ static const struct vc4_hdmi_variant bcm
+       .phy_rng_enable         = vc5_hdmi_phy_rng_enable,
+       .phy_rng_disable        = vc5_hdmi_phy_rng_disable,
+       .get_hsm_clock          = vc5_hdmi_get_hsm_clock,
++      .calc_hsm_clock         = vc5_hdmi_calc_hsm_clock,
+       .channel_map            = vc5_hdmi_channel_map,
+ };
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -92,6 +92,9 @@ struct vc4_hdmi_variant {
+       /* Callback to get hsm clock */
+       u32 (*get_hsm_clock)(struct vc4_hdmi *vc4_hdmi);
++      /* Callback to get hsm clock */
++      u32 (*calc_hsm_clock)(struct vc4_hdmi *vc4_hdmi, unsigned long pixel_rate);
++
+       /* Callback to get channel map */
+       u32 (*channel_map)(struct vc4_hdmi *vc4_hdmi, u32 channel_mask);
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0676-media-i2c-imx219-Implement-get_selection.patch b/target/linux/bcm27xx/patches-5.4/950-0676-media-i2c-imx219-Implement-get_selection.patch
new file mode 100644 (file)
index 0000000..cb7a0af
--- /dev/null
@@ -0,0 +1,181 @@
+From f479cf37ccda2be7204a964fe2747dfcb4b56bf6 Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo@jmondi.org>
+Date: Wed, 29 Apr 2020 11:50:38 +0200
+Subject: [PATCH] media: i2c: imx219: Implement get_selection
+
+Implement the get_selection pad operation for the IMX219 sensor driver.
+The supported targets report the sensor's native size, the crop default
+rectangle and the crop rectangle.
+
+Reviewed-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
+---
+ drivers/media/i2c/imx219.c | 94 ++++++++++++++++++++++++++++++++++++++
+ 1 file changed, 94 insertions(+)
+
+--- a/drivers/media/i2c/imx219.c
++++ b/drivers/media/i2c/imx219.c
+@@ -122,6 +122,14 @@ enum pad_types {
+       NUM_PADS
+ };
++/* IMX219 native and active pixel array size. */
++#define IMX219_NATIVE_WIDTH           3296U
++#define IMX219_NATIVE_HEIGHT          2480U
++#define IMX219_PIXEL_ARRAY_LEFT               8U
++#define IMX219_PIXEL_ARRAY_TOP                8U
++#define IMX219_PIXEL_ARRAY_WIDTH      3280U
++#define IMX219_PIXEL_ARRAY_HEIGHT     2464U
++
+ struct imx219_reg {
+       u16 address;
+       u8 val;
+@@ -139,6 +147,9 @@ struct imx219_mode {
+       /* Frame height */
+       unsigned int height;
++      /* Analog crop rectangle. */
++      struct v4l2_rect crop;
++
+       /* V-timing */
+       unsigned int vts_def;
+@@ -473,6 +484,12 @@ static const struct imx219_mode supporte
+               /* 8MPix 15fps mode */
+               .width = 3280,
+               .height = 2464,
++              .crop = {
++                      .left = 0,
++                      .top = 0,
++                      .width = 3280,
++                      .height = 2464
++              },
+               .vts_def = IMX219_VTS_15FPS,
+               .reg_list = {
+                       .num_of_regs = ARRAY_SIZE(mode_3280x2464_regs),
+@@ -483,6 +500,12 @@ static const struct imx219_mode supporte
+               /* 1080P 30fps cropped */
+               .width = 1920,
+               .height = 1080,
++              .crop = {
++                      .left = 680,
++                      .top = 692,
++                      .width = 1920,
++                      .height = 1080
++              },
+               .vts_def = IMX219_VTS_30FPS_1080P,
+               .reg_list = {
+                       .num_of_regs = ARRAY_SIZE(mode_1920_1080_regs),
+@@ -493,6 +516,12 @@ static const struct imx219_mode supporte
+               /* 2x2 binned 30fps mode */
+               .width = 1640,
+               .height = 1232,
++              .crop = {
++                      .left = 0,
++                      .top = 0,
++                      .width = 3280,
++                      .height = 2464
++              },
+               .vts_def = IMX219_VTS_30FPS_BINNED,
+               .reg_list = {
+                       .num_of_regs = ARRAY_SIZE(mode_1640_1232_regs),
+@@ -503,6 +532,12 @@ static const struct imx219_mode supporte
+               /* 640x480 30fps mode */
+               .width = 640,
+               .height = 480,
++              .crop = {
++                      .left = 1000,
++                      .top = 752,
++                      .width = 1280,
++                      .height = 960
++              },
+               .vts_def = IMX219_VTS_30FPS_640x480,
+               .reg_list = {
+                       .num_of_regs = ARRAY_SIZE(mode_640_480_regs),
+@@ -666,6 +701,7 @@ static int imx219_open(struct v4l2_subde
+               v4l2_subdev_get_try_format(sd, fh->pad, IMAGE_PAD);
+       struct v4l2_mbus_framefmt *try_fmt_meta =
+               v4l2_subdev_get_try_format(sd, fh->pad, METADATA_PAD);
++      struct v4l2_rect *try_crop;
+       mutex_lock(&imx219->mutex);
+@@ -682,6 +718,13 @@ static int imx219_open(struct v4l2_subde
+       try_fmt_meta->code = MEDIA_BUS_FMT_SENSOR_DATA;
+       try_fmt_meta->field = V4L2_FIELD_NONE;
++      /* Initialize try_crop rectangle. */
++      try_crop = v4l2_subdev_get_try_crop(sd, fh->pad, 0);
++      try_crop->top = IMX219_PIXEL_ARRAY_TOP;
++      try_crop->left = IMX219_PIXEL_ARRAY_LEFT;
++      try_crop->width = IMX219_PIXEL_ARRAY_WIDTH;
++      try_crop->height = IMX219_PIXEL_ARRAY_HEIGHT;
++
+       mutex_unlock(&imx219->mutex);
+       return 0;
+@@ -1011,6 +1054,56 @@ static int imx219_set_framefmt(struct im
+       return -EINVAL;
+ }
++static const struct v4l2_rect *
++__imx219_get_pad_crop(struct imx219 *imx219, struct v4l2_subdev_pad_config *cfg,
++                    unsigned int pad, enum v4l2_subdev_format_whence which)
++{
++      switch (which) {
++      case V4L2_SUBDEV_FORMAT_TRY:
++              return v4l2_subdev_get_try_crop(&imx219->sd, cfg, pad);
++      case V4L2_SUBDEV_FORMAT_ACTIVE:
++              return &imx219->mode->crop;
++      }
++
++      return NULL;
++}
++
++static int imx219_get_selection(struct v4l2_subdev *sd,
++                              struct v4l2_subdev_pad_config *cfg,
++                              struct v4l2_subdev_selection *sel)
++{
++      switch (sel->target) {
++      case V4L2_SEL_TGT_CROP: {
++              struct imx219 *imx219 = to_imx219(sd);
++
++              mutex_lock(&imx219->mutex);
++              sel->r = *__imx219_get_pad_crop(imx219, cfg, sel->pad,
++                                              sel->which);
++              mutex_unlock(&imx219->mutex);
++
++              return 0;
++      }
++
++      case V4L2_SEL_TGT_NATIVE_SIZE:
++              sel->r.top = 0;
++              sel->r.left = 0;
++              sel->r.width = IMX219_NATIVE_WIDTH;
++              sel->r.height = IMX219_NATIVE_HEIGHT;
++
++              return 0;
++
++      case V4L2_SEL_TGT_CROP_DEFAULT:
++              sel->r.top = IMX219_PIXEL_ARRAY_TOP;
++              sel->r.left = IMX219_PIXEL_ARRAY_LEFT;
++              sel->r.width = IMX219_PIXEL_ARRAY_WIDTH;
++              sel->r.height = IMX219_PIXEL_ARRAY_HEIGHT;
++
++              return 0;
++      }
++
++      return -EINVAL;
++}
++
+ static int imx219_start_streaming(struct imx219 *imx219)
+ {
+       struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
+@@ -1235,6 +1328,7 @@ static const struct v4l2_subdev_pad_ops
+       .enum_mbus_code = imx219_enum_mbus_code,
+       .get_fmt = imx219_get_pad_format,
+       .set_fmt = imx219_set_pad_format,
++      .get_selection = imx219_get_selection,
+       .enum_frame_size = imx219_enum_frame_size,
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0676-media-uapi-v4l2-core-Add-ISP-statistics-output-V4L2-.patch b/target/linux/bcm27xx/patches-5.4/950-0676-media-uapi-v4l2-core-Add-ISP-statistics-output-V4L2-.patch
deleted file mode 100644 (file)
index 082dff5..0000000
+++ /dev/null
@@ -1,94 +0,0 @@
-From 8dbbff7b75eee842c00ebaa53fa0a34b3e9bbcaa Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Thu, 23 Apr 2020 10:20:26 +0100
-Subject: [PATCH] media: uapi: v4l2-core: Add ISP statistics output
- V4L2 fourcc type
-
-Add V4L2_META_FMT_BCM2835_ISP_STATS V4L2 format type.
-
-This new format will be used by the BCM2835 ISP device to return
-out ISP statistics for 3A.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- Documentation/media/uapi/v4l/meta-formats.rst |  1 +
- .../v4l/pixfmt-meta-bcm2835-isp-stats.rst     | 41 +++++++++++++++++++
- drivers/media/v4l2-core/v4l2-ioctl.c          |  1 +
- include/uapi/linux/videodev2.h                |  1 +
- 4 files changed, 44 insertions(+)
- create mode 100644 Documentation/media/uapi/v4l/pixfmt-meta-bcm2835-isp-stats.rst
-
---- a/Documentation/media/uapi/v4l/meta-formats.rst
-+++ b/Documentation/media/uapi/v4l/meta-formats.rst
-@@ -19,6 +19,7 @@ These formats are used for the :ref:`met
- .. toctree::
-     :maxdepth: 1
-+    pixfmt-meta-bcm2835-isp-stats
-     pixfmt-meta-d4xx
-     pixfmt-meta-intel-ipu3
-     pixfmt-meta-sensor-data
---- /dev/null
-+++ b/Documentation/media/uapi/v4l/pixfmt-meta-bcm2835-isp-stats.rst
-@@ -0,0 +1,41 @@
-+.. Permission is granted to copy, distribute and/or modify this
-+.. document under the terms of the GNU Free Documentation License,
-+.. Version 1.1 or any later version published by the Free Software
-+.. Foundation, with no Invariant Sections, no Front-Cover Texts
-+.. and no Back-Cover Texts. A copy of the license is included at
-+.. Documentation/media/uapi/fdl-appendix.rst.
-+..
-+.. TODO: replace it to GFDL-1.1-or-later WITH no-invariant-sections
-+
-+.. _v4l2-meta-fmt-bcm2835-isp-stats:
-+
-+*****************************************
-+V4L2_META_FMT_BCM2835_ISP_STATS  ('BSTA')
-+*****************************************
-+
-+BCM2835 ISP Statistics
-+
-+Description
-+===========
-+
-+The BCM2835 ISP hardware calculate image statistics for an input Bayer frame.
-+These statistics are obtained from the "bcm2835-isp0-capture3" device node
-+using the :c:type:`v4l2_meta_format` interface. They are formatted as described
-+by the :c:type:`bcm2835_isp_stats` structure below.
-+
-+.. code-block:: c
-+
-+      #define DEFAULT_AWB_REGIONS_X 16
-+      #define DEFAULT_AWB_REGIONS_Y 12
-+
-+      #define NUM_HISTOGRAMS 2
-+      #define NUM_HISTOGRAM_BINS 128
-+      #define AWB_REGIONS (DEFAULT_AWB_REGIONS_X * DEFAULT_AWB_REGIONS_Y)
-+      #define FLOATING_REGIONS 16
-+      #define AGC_REGIONS 16
-+      #define FOCUS_REGIONS 12
-+
-+.. kernel-doc:: include/uapi/linux/bcm2835-isp.h
-+   :functions: bcm2835_isp_stats_hist bcm2835_isp_stats_region
-+                   bcm2835_isp_stats_focus bcm2835_isp_stats
-+
---- a/drivers/media/v4l2-core/v4l2-ioctl.c
-+++ b/drivers/media/v4l2-core/v4l2-ioctl.c
-@@ -1333,6 +1333,7 @@ static void v4l_fill_fmtdesc(struct v4l2
-       case V4L2_META_FMT_UVC:         descr = "UVC Payload Header Metadata"; break;
-       case V4L2_META_FMT_D4XX:        descr = "Intel D4xx UVC Metadata"; break;
-       case V4L2_META_FMT_SENSOR_DATA: descr = "Sensor Ancillary Metadata"; break;
-+      case V4L2_META_FMT_BCM2835_ISP_STATS: descr = "BCM2835 ISP Image Statistics"; break;
-       default:
-               /* Compressed formats */
---- a/include/uapi/linux/videodev2.h
-+++ b/include/uapi/linux/videodev2.h
-@@ -769,6 +769,7 @@ struct v4l2_pix_format {
- #define V4L2_META_FMT_UVC         v4l2_fourcc('U', 'V', 'C', 'H') /* UVC Payload Header metadata */
- #define V4L2_META_FMT_D4XX        v4l2_fourcc('D', '4', 'X', 'X') /* D4XX Payload Header metadata */
- #define V4L2_META_FMT_SENSOR_DATA v4l2_fourcc('S', 'E', 'N', 'S') /* Sensor Ancillary metadata */
-+#define V4L2_META_FMT_BCM2835_ISP_STATS v4l2_fourcc('B', 'S', 'T', 'A') /* BCM2835 ISP image statistics output */
- /* priv field value to indicates that subsequent fields are valid. */
- #define V4L2_PIX_FMT_PRIV_MAGIC               0xfeedcafe
diff --git a/target/linux/bcm27xx/patches-5.4/950-0677-media-i2c-ov5647-Add-support-for-g_selection-to-refl.patch b/target/linux/bcm27xx/patches-5.4/950-0677-media-i2c-ov5647-Add-support-for-g_selection-to-refl.patch
new file mode 100644 (file)
index 0000000..66715a1
--- /dev/null
@@ -0,0 +1,206 @@
+From 940cac315aaeca33483bffcf09a235195e3f5272 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 29 Apr 2020 11:46:07 +0100
+Subject: [PATCH] media: i2c: ov5647: Add support for g_selection to
+ reflect cropping/binning
+
+In order to apply lens shading correctly the client needs to know how
+each mode crops or scales the image compared to the full sensor array.
+Implement this (based on the imx219 equivalent).
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/ov5647.c | 119 ++++++++++++++++++++++++++++++-------
+ 1 file changed, 96 insertions(+), 23 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -70,25 +70,14 @@
+ #define VAL_TERM 0xfe
+ #define REG_DLY  0xffff
+-#define OV5647_ROW_START              0x01
+-#define OV5647_ROW_START_MIN          0
+-#define OV5647_ROW_START_MAX          2004
+-#define OV5647_ROW_START_DEF          54
+-
+-#define OV5647_COLUMN_START           0x02
+-#define OV5647_COLUMN_START_MIN               0
+-#define OV5647_COLUMN_START_MAX               2750
+-#define OV5647_COLUMN_START_DEF               16
+-
+-#define OV5647_WINDOW_HEIGHT          0x03
+-#define OV5647_WINDOW_HEIGHT_MIN      2
+-#define OV5647_WINDOW_HEIGHT_MAX      2006
+-#define OV5647_WINDOW_HEIGHT_DEF      1944
+-
+-#define OV5647_WINDOW_WIDTH           0x04
+-#define OV5647_WINDOW_WIDTH_MIN               2
+-#define OV5647_WINDOW_WIDTH_MAX               2752
+-#define OV5647_WINDOW_WIDTH_DEF               2592
++/* OV5647 native and active pixel array size */
++#define OV5647_NATIVE_WIDTH           2624U
++#define OV5647_NATIVE_HEIGHT          1956U
++
++#define OV5647_PIXEL_ARRAY_LEFT               16U
++#define OV5647_PIXEL_ARRAY_TOP                16U
++#define OV5647_PIXEL_ARRAY_WIDTH      2592U
++#define OV5647_PIXEL_ARRAY_HEIGHT     1944U
+ struct regval_list {
+       u16 addr;
+@@ -97,6 +86,9 @@ struct regval_list {
+ struct ov5647_mode {
+       struct v4l2_mbus_framefmt       format;
++      /* Analog crop rectangle. */
++      struct v4l2_rect crop;
++
+       struct regval_list              *reg_list;
+       unsigned int                    num_regs;
+ };
+@@ -603,6 +595,12 @@ static struct ov5647_mode supported_mode
+                       .width = 640,
+                       .height = 480
+               },
++              .crop = {
++                      .left = 0,
++                      .top = 0,
++                      .width = 1280,
++                      .height = 960,
++              },
+               ov5647_640x480_8bit,
+               ARRAY_SIZE(ov5647_640x480_8bit)
+       },
+@@ -620,6 +618,12 @@ static struct ov5647_mode supported_mode
+                       .width = 2592,
+                       .height = 1944
+               },
++              .crop = {
++                      .left = 0,
++                      .top = 0,
++                      .width = 2592,
++                      .height = 1944
++              },
+               ov5647_2592x1944_10bit,
+               ARRAY_SIZE(ov5647_2592x1944_10bit)
+       },
+@@ -635,6 +639,12 @@ static struct ov5647_mode supported_mode
+                       .width = 1920,
+                       .height = 1080
+               },
++              .crop = {
++                      .left = 348,
++                      .top = 434,
++                      .width = 1928,
++                      .height = 1080,
++              },
+               ov5647_1080p30_10bit,
+               ARRAY_SIZE(ov5647_1080p30_10bit)
+       },
+@@ -649,6 +659,12 @@ static struct ov5647_mode supported_mode
+                       .width = 1296,
+                       .height = 972
+               },
++              .crop = {
++                      .left = 0,
++                      .top = 0,
++                      .width = 2592,
++                      .height = 1944,
++              },
+               ov5647_2x2binned_10bit,
+               ARRAY_SIZE(ov5647_2x2binned_10bit)
+       },
+@@ -664,6 +680,12 @@ static struct ov5647_mode supported_mode
+                       .width = 640,
+                       .height = 480
+               },
++              .crop = {
++                      .left = 16,
++                      .top = 0,
++                      .width = 2560,
++                      .height = 1920,
++              },
+               ov5647_640x480_10bit,
+               ARRAY_SIZE(ov5647_640x480_10bit)
+       },
+@@ -971,6 +993,56 @@ static const struct v4l2_subdev_core_ops
+ #endif
+ };
++static const struct v4l2_rect *
++__ov5647_get_pad_crop(struct ov5647 *ov5647, struct v4l2_subdev_pad_config *cfg,
++                    unsigned int pad, enum v4l2_subdev_format_whence which)
++{
++      switch (which) {
++      case V4L2_SUBDEV_FORMAT_TRY:
++              return v4l2_subdev_get_try_crop(&ov5647->sd, cfg, pad);
++      case V4L2_SUBDEV_FORMAT_ACTIVE:
++              return &ov5647->mode->crop;
++      }
++
++      return NULL;
++}
++
++static int ov5647_get_selection(struct v4l2_subdev *sd,
++                              struct v4l2_subdev_pad_config *cfg,
++                              struct v4l2_subdev_selection *sel)
++{
++      switch (sel->target) {
++      case V4L2_SEL_TGT_CROP: {
++              struct ov5647 *state = to_state(sd);
++
++              mutex_lock(&state->lock);
++              sel->r = *__ov5647_get_pad_crop(state, cfg, sel->pad,
++                                              sel->which);
++              mutex_unlock(&state->lock);
++
++              return 0;
++      }
++
++      case V4L2_SEL_TGT_NATIVE_SIZE:
++              sel->r.top = 0;
++              sel->r.left = 0;
++              sel->r.width = OV5647_NATIVE_WIDTH;
++              sel->r.height = OV5647_NATIVE_HEIGHT;
++
++              return 0;
++
++      case V4L2_SEL_TGT_CROP_DEFAULT:
++              sel->r.top = OV5647_PIXEL_ARRAY_TOP;
++              sel->r.left = OV5647_PIXEL_ARRAY_LEFT;
++              sel->r.width = OV5647_PIXEL_ARRAY_WIDTH;
++              sel->r.height = OV5647_PIXEL_ARRAY_HEIGHT;
++
++              return 0;
++      }
++
++      return -EINVAL;
++}
++
+ static int ov5647_s_stream(struct v4l2_subdev *sd, int enable)
+ {
+       struct ov5647 *state = to_state(sd);
+@@ -1122,6 +1194,7 @@ static const struct v4l2_subdev_pad_ops
+       .enum_mbus_code = ov5647_enum_mbus_code,
+       .set_fmt =        ov5647_set_fmt,
+       .get_fmt =        ov5647_get_fmt,
++      .get_selection =  ov5647_get_selection,
+       .enum_frame_size = ov5647_enum_frame_size,
+ };
+@@ -1170,10 +1243,10 @@ static int ov5647_open(struct v4l2_subde
+                               v4l2_subdev_get_try_crop(sd, fh->pad, 0);
+       struct ov5647 *state = to_state(sd);
+-      crop->left = OV5647_COLUMN_START_DEF;
+-      crop->top = OV5647_ROW_START_DEF;
+-      crop->width = OV5647_WINDOW_WIDTH_DEF;
+-      crop->height = OV5647_WINDOW_HEIGHT_DEF;
++      crop->left = OV5647_PIXEL_ARRAY_LEFT;
++      crop->top = OV5647_PIXEL_ARRAY_TOP;
++      crop->width = OV5647_PIXEL_ARRAY_WIDTH;
++      crop->height = OV5647_PIXEL_ARRAY_HEIGHT;
+       /* Set the default format to the same as the sensor. */
+       *format = state->mode->format;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0677-media-uapi-v4l-ctrls-Add-CID-base-for-the-bcm2835-is.patch b/target/linux/bcm27xx/patches-5.4/950-0677-media-uapi-v4l-ctrls-Add-CID-base-for-the-bcm2835-is.patch
deleted file mode 100644 (file)
index 96e6e12..0000000
+++ /dev/null
@@ -1,169 +0,0 @@
-From 23afbeb993acfd94fa21341f01819ab6505444d2 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Tue, 21 Apr 2020 15:06:19 +0100
-Subject: [PATCH] media: uapi: v4l-ctrls: Add CID base for the
- bcm2835-isp driver
-
-We are reserving controls for the new bcm2835-isp driver.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- .../media/v4l-drivers/bcm2835-isp.rst         | 127 ++++++++++++++++++
- Documentation/media/v4l-drivers/index.rst     |   1 +
- include/uapi/linux/v4l2-controls.h            |   4 +
- 3 files changed, 132 insertions(+)
- create mode 100644 Documentation/media/v4l-drivers/bcm2835-isp.rst
-
---- /dev/null
-+++ b/Documentation/media/v4l-drivers/bcm2835-isp.rst
-@@ -0,0 +1,127 @@
-+.. SPDX-License-Identifier: GPL-2.0
-+
-+BCM2835 ISP Driver
-+==================
-+
-+Introduction
-+------------
-+
-+The BCM2835 Image Sensor Pipeline (ISP) is a fixed function hardware pipeline
-+for performing image processing operations.  Images are fed to the input
-+of the ISP through memory frame buffers.  These images may be in various YUV,
-+RGB, or Bayer formats.  A typical use case would have Bayer images obtained from
-+an image sensor by the BCM2835 Unicam peripheral, written to a memory
-+frame buffer, and finally fed into the input of the ISP.  Two concurrent output
-+images may be generated in YUV or RGB format at different resolutions.
-+Statistics output is also generated for Bayer input images.
-+
-+The bcm2835-isp driver exposes the following media pads as V4L2 device nodes:
-+
-+.. tabularcolumns:: |l|l|l|l|
-+
-+.. cssclass: longtable
-+
-+.. flat-table::
-+
-+    * - *Pad*
-+      - *Direction*
-+      - *Purpose*
-+      - *Formats*
-+
-+    * - "bcm2835-isp0-output0"
-+      - sink
-+      - Accepts Bayer, RGB or YUV format frame buffers as input to the ISP HW
-+        pipeline.
-+      - :ref:`RAW8 <V4L2-PIX-FMT-SRGGB8>`,
-+        :ref:`RAW10P <V4L2-PIX-FMT-SRGGB10P>`,
-+        :ref:`RAW12P <V4L2-PIX-FMT-SRGGB12P>`,
-+        :ref:`RAW14P <V4L2-PIX-FMT-SRGGB14P>`,
-+        :ref:`RAW16 <V4L2-PIX-FMT-SRGGB16>`,
-+        :ref:`RGB24/BGR24 <V4L2-PIX-FMT-RGB24>`,
-+        :ref:`YUYV <V4L2-PIX-FMT-YUYV>`,
-+        :ref:`YVYU <V4L2-PIX-FMT-YVYU>`,
-+        :ref:`UYVY <V4L2-PIX-FMT-UYVY>`,
-+        :ref:`VYUY <V4L2-PIX-FMT-VYUY>`,
-+        :ref:`YUV420/YVU420 <V4L2-PIX-FMT-YUV420>`
-+
-+    * - "bcm2835-isp0-capture1"
-+      - source
-+      - High resolution YUV or RGB processed output from the ISP.
-+      - :ref:`RGB565 <V4L2-PIX-FMT-RGB565>`,
-+        :ref:`RGB24/BGR24 <V4L2-PIX-FMT-RGB24>`,
-+        :ref:`ABGR32 <V4L2-PIX-FMT-ABGR32>`,
-+        :ref:`YUYV <V4L2-PIX-FMT-YUYV>`,
-+        :ref:`YVYU <V4L2-PIX-FMT-YVYU>`,
-+        :ref:`UYVY <V4L2-PIX-FMT-UYVY>`,
-+        :ref:`VYUY <V4L2-PIX-FMT-VYUY>`.
-+        :ref:`YUV420/YVU420 <V4L2-PIX-FMT-YUV420>`,
-+        :ref:`NV12/NV21 <V4L2-PIX-FMT-NV12>`,
-+
-+    * - "bcm2835-isp0-capture2"
-+      - source
-+      - Low resolution YUV processed output from the ISP. The output of
-+        this pad cannot have a resolution larger than the "bcm2835-isp0-capture1" pad in any dimension.
-+      - :ref:`YUYV <V4L2-PIX-FMT-YUYV>`,
-+        :ref:`YVYU <V4L2-PIX-FMT-YVYU>`,
-+        :ref:`UYVY <V4L2-PIX-FMT-UYVY>`,
-+        :ref:`VYUY <V4L2-PIX-FMT-VYUY>`.
-+        :ref:`YUV420/YVU420 <V4L2-PIX-FMT-YUV420>`,
-+        :ref:`NV12/NV21 <V4L2-PIX-FMT-NV12>`,
-+
-+    * - "bcm2835-isp0-capture1"
-+      - source
-+      - Image statistics calculated from the input image provided on the
-+        "bcm2835-isp0-output0" pad.  Statistics are only available for Bayer
-+        format input images.
-+      - :ref:`v4l2-meta-fmt-bcm2835-isp-stats`.
-+
-+Pipeline Configuration
-+----------------------
-+
-+The ISP pipeline can be configure through user-space by calling
-+:ref:`VIDIOC_S_EXT_CTRLS <VIDIOC_G_EXT_CTRLS>` on the “bcm2835-isp0-output0”
-+node with the appropriate parameters as shown in the table below.
-+
-+.. tabularcolumns:: |p{2cm}|p{5.0cm}|
-+
-+.. cssclass: longtable
-+
-+.. flat-table::
-+
-+    * - *id*
-+      - *Parameter*
-+
-+    * - ``V4L2_CID_USER_BCM2835_ISP_CC_MATRIX``
-+      - struct :c:type:`bcm2835_isp_custom_ccm`
-+
-+    * - ``V4L2_CID_USER_BCM2835_ISP_LENS_SHADING``
-+      - struct :c:type:`bcm2835_isp_lens_shading`
-+
-+    * - ``V4L2_CID_USER_BCM2835_ISP_BLACK_LEVEL``
-+      - struct :c:type:`bcm2835_isp_black_level`
-+
-+    * - ``V4L2_CID_USER_BCM2835_ISP_GEQ``
-+      - struct :c:type:`bcm2835_isp_geq`
-+
-+    * - ``V4L2_CID_USER_BCM2835_ISP_GAMMA``
-+      - struct :c:type:`bcm2835_isp_gamma`
-+
-+    * - ``V4L2_CID_USER_BCM2835_ISP_DENOISE``
-+      - struct :c:type:`bcm2835_isp_denoise`
-+
-+    * - ``V4L2_CID_USER_BCM2835_ISP_SHARPEN``
-+      - struct :c:type:`bcm2835_isp_sharpen`
-+
-+    * - ``V4L2_CID_USER_BCM2835_ISP_DPC``
-+      - struct :c:type:`bcm2835_isp_dpc`
-+
-+++++++++++++++++++++++++
-+Configuration Parameters
-+++++++++++++++++++++++++
-+
-+.. kernel-doc:: include/uapi/linux/bcm2835-isp.h
-+   :functions: bcm2835_isp_rational bcm2835_isp_ccm bcm2835_isp_custom_ccm
-+                bcm2835_isp_gain_format bcm2835_isp_lens_shading
-+                bcm2835_isp_black_level bcm2835_isp_geq bcm2835_isp_gamma
-+                bcm2835_isp_denoise bcm2835_isp_sharpen
-+                bcm2835_isp_dpc_mode bcm2835_isp_dpc
---- a/Documentation/media/v4l-drivers/index.rst
-+++ b/Documentation/media/v4l-drivers/index.rst
-@@ -35,6 +35,7 @@ For more details see the file COPYING in
-       v4l-with-ir
-       tuners
-       cardlist
-+      bcm2835-isp
-       bttv
-       cafe_ccic
-       cpia2
---- a/include/uapi/linux/v4l2-controls.h
-+++ b/include/uapi/linux/v4l2-controls.h
-@@ -192,6 +192,10 @@ enum v4l2_colorfx {
-  * We reserve 16 controls for this driver. */
- #define V4L2_CID_USER_IMX_BASE                        (V4L2_CID_USER_BASE + 0x10b0)
-+/* The base for the bcm2835-isp driver controls.
-+ * We reserve 16 controls for this driver. */
-+#define V4L2_CID_USER_BCM2835_ISP_BASE                (V4L2_CID_USER_BASE + 0x10c0)
-+
- /* MPEG-class control IDs */
- /* The MPEG controls are applicable to all codec controls
-  * and the 'MPEG' part of the define is historical */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0678-media-i2c-ov5467-Fixup-error-path-to-release-mutex.patch b/target/linux/bcm27xx/patches-5.4/950-0678-media-i2c-ov5467-Fixup-error-path-to-release-mutex.patch
new file mode 100644 (file)
index 0000000..d8a0104
--- /dev/null
@@ -0,0 +1,29 @@
+From 0c447a38b9d561a80d78ffd7f4533fef75cd1393 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 29 Apr 2020 11:50:52 +0100
+Subject: [PATCH] media: i2c: ov5467: Fixup error path to release mutex
+
+"87f3ab9 media: ov5647: Add basic support for multiple sensor modes."
+added a return path ov5647_set_fmt that didn't release the device
+mutex that it had claimed.
+Release the mutex.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/ov5647.c | 4 +++-
+ 1 file changed, 3 insertions(+), 1 deletion(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -1146,8 +1146,10 @@ static int ov5647_set_fmt(struct v4l2_su
+       else
+               mode = mode_8bit;
+-      if (!mode)
++      if (!mode) {
++              mutex_unlock(&state->lock);
+               return -EINVAL;
++      }
+       *fmt = mode->format;
+       if (format->which == V4L2_SUBDEV_FORMAT_TRY) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0678-staging-mmal-vchiq-Fix-formatting-errors-in-mmal_par.patch b/target/linux/bcm27xx/patches-5.4/950-0678-staging-mmal-vchiq-Fix-formatting-errors-in-mmal_par.patch
deleted file mode 100644 (file)
index f428ce5..0000000
+++ /dev/null
@@ -1,116 +0,0 @@
-From 3319293da05e444e0673c1aba5507e539ccff043 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Thu, 23 Apr 2020 10:12:24 +0100
-Subject: [PATCH] staging: mmal-vchiq: Fix formatting errors in
- mmal_parameters.h
-
-No functional changes in this commit.
-
-- Remove erroneous whitespace.
-- Remove _t postfix label on structs and enums.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- .../bcm2835-camera/bcm2835-camera.c           |  2 +-
- .../vchiq-mmal/mmal-parameters.h              | 46 +++++++++----------
- 2 files changed, 24 insertions(+), 24 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
-+++ b/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
-@@ -1523,7 +1523,7 @@ static int get_num_cameras(struct vchiq_
- {
-       int ret;
-       struct vchiq_mmal_component  *cam_info_component;
--      struct mmal_parameter_camera_info_t cam_info = {0};
-+      struct mmal_parameter_camera_info cam_info = {0};
-       u32 param_size = sizeof(cam_info);
-       int i;
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
-@@ -23,21 +23,21 @@
- #define MMAL_PARAMETERS_H
- /** Common parameter ID group, used with many types of component. */
--#define MMAL_PARAMETER_GROUP_COMMON            (0 << 16)
-+#define MMAL_PARAMETER_GROUP_COMMON           (0 << 16)
- /** Camera-specific parameter ID group. */
--#define MMAL_PARAMETER_GROUP_CAMERA            (1 << 16)
-+#define MMAL_PARAMETER_GROUP_CAMERA           (1 << 16)
- /** Video-specific parameter ID group. */
--#define MMAL_PARAMETER_GROUP_VIDEO             (2 << 16)
-+#define MMAL_PARAMETER_GROUP_VIDEO            (2 << 16)
- /** Audio-specific parameter ID group. */
--#define MMAL_PARAMETER_GROUP_AUDIO             (3 << 16)
-+#define MMAL_PARAMETER_GROUP_AUDIO            (3 << 16)
- /** Clock-specific parameter ID group. */
--#define MMAL_PARAMETER_GROUP_CLOCK             (4 << 16)
-+#define MMAL_PARAMETER_GROUP_CLOCK            (4 << 16)
- /** Miracast-specific parameter ID group. */
--#define MMAL_PARAMETER_GROUP_MIRACAST       (5 << 16)
-+#define MMAL_PARAMETER_GROUP_MIRACAST         (5 << 16)
- /* Common parameters */
- enum mmal_parameter_common_type {
--              /**< Never a valid parameter ID */
-+      /**< Never a valid parameter ID */
-       MMAL_PARAMETER_UNUSED = MMAL_PARAMETER_GROUP_COMMON,
-               /**< MMAL_PARAMETER_ENCODING_T */
-@@ -342,7 +342,7 @@ enum mmal_parameter_imagefx {
-       MMAL_PARAM_IMAGEFX_CARTOON,
- };
--enum MMAL_PARAM_FLICKERAVOID_T {
-+enum MMAL_PARAM_FLICKERAVOID {
-       MMAL_PARAM_FLICKERAVOID_OFF,
-       MMAL_PARAM_FLICKERAVOID_AUTO,
-       MMAL_PARAM_FLICKERAVOID_50HZ,
-@@ -754,15 +754,15 @@ struct mmal_parameter_imagefx_parameters
- #define MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES 2
- #define MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN 16
--struct mmal_parameter_camera_info_camera_t {
--      u32    port_id;
--      u32    max_width;
--      u32    max_height;
--      u32    lens_present;
--      u8     camera_name[MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN];
-+struct mmal_parameter_camera_info_camera {
-+      u32 port_id;
-+      u32 max_width;
-+      u32 max_height;
-+      u32 lens_present;
-+      u8 camera_name[MMAL_PARAMETER_CAMERA_INFO_MAX_STR_LEN];
- };
--enum mmal_parameter_camera_info_flash_type_t {
-+enum mmal_parameter_camera_info_flash_type {
-       /* Make values explicit to ensure they match values in config ini */
-       MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_XENON = 0,
-       MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_LED   = 1,
-@@ -770,16 +770,16 @@ enum mmal_parameter_camera_info_flash_ty
-       MMAL_PARAMETER_CAMERA_INFO_FLASH_TYPE_MAX = 0x7FFFFFFF
- };
--struct mmal_parameter_camera_info_flash_t {
--      enum mmal_parameter_camera_info_flash_type_t flash_type;
-+struct mmal_parameter_camera_info_flash {
-+      enum mmal_parameter_camera_info_flash_type flash_type;
- };
--struct mmal_parameter_camera_info_t {
--      u32                            num_cameras;
--      u32                            num_flashes;
--      struct mmal_parameter_camera_info_camera_t
--                              cameras[MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS];
--      struct mmal_parameter_camera_info_flash_t
-+struct mmal_parameter_camera_info {
-+      u32 num_cameras;
-+      u32 num_flashes;
-+      struct mmal_parameter_camera_info_camera
-+              cameras[MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS];
-+      struct mmal_parameter_camera_info_flash
-                               flashes[MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES];
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0679-media-i2c-ov5647-Support-V4L2_CID_PIXEL_RATE.patch b/target/linux/bcm27xx/patches-5.4/950-0679-media-i2c-ov5647-Support-V4L2_CID_PIXEL_RATE.patch
new file mode 100644 (file)
index 0000000..ce16832
--- /dev/null
@@ -0,0 +1,131 @@
+From e947a531a5c6a61fc568dc6a502543e1145efc29 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 29 Apr 2020 12:25:13 +0100
+Subject: [PATCH] media: i2c: ov5647: Support V4L2_CID_PIXEL_RATE
+
+Clients need to know the pixel rate in order to compute exposure
+and frame rate values.
+Advertise it.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/ov5647.c | 31 +++++++++++++++++++++++++++----
+ 1 file changed, 27 insertions(+), 4 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -89,6 +89,8 @@ struct ov5647_mode {
+       /* Analog crop rectangle. */
+       struct v4l2_rect crop;
++      u64 pixel_rate;
++
+       struct regval_list              *reg_list;
+       unsigned int                    num_regs;
+ };
+@@ -103,6 +105,7 @@ struct ov5647 {
+       struct gpio_desc                *pwdn;
+       unsigned int                    flags;
+       struct v4l2_ctrl_handler        ctrls;
++      struct v4l2_ctrl                *pixel_rate;
+       bool                            write_mode_regs;
+ };
+@@ -601,6 +604,7 @@ static struct ov5647_mode supported_mode
+                       .width = 1280,
+                       .height = 960,
+               },
++              .pixel_rate = 77291670,
+               ov5647_640x480_8bit,
+               ARRAY_SIZE(ov5647_640x480_8bit)
+       },
+@@ -624,6 +628,7 @@ static struct ov5647_mode supported_mode
+                       .width = 2592,
+                       .height = 1944
+               },
++              .pixel_rate = 87500000,
+               ov5647_2592x1944_10bit,
+               ARRAY_SIZE(ov5647_2592x1944_10bit)
+       },
+@@ -645,6 +650,7 @@ static struct ov5647_mode supported_mode
+                       .width = 1928,
+                       .height = 1080,
+               },
++              .pixel_rate = 81666700,
+               ov5647_1080p30_10bit,
+               ARRAY_SIZE(ov5647_1080p30_10bit)
+       },
+@@ -665,6 +671,7 @@ static struct ov5647_mode supported_mode
+                       .width = 2592,
+                       .height = 1944,
+               },
++              .pixel_rate = 81666700,
+               ov5647_2x2binned_10bit,
+               ARRAY_SIZE(ov5647_2x2binned_10bit)
+       },
+@@ -686,6 +693,7 @@ static struct ov5647_mode supported_mode
+                       .width = 2560,
+                       .height = 1920,
+               },
++              .pixel_rate = 55000000,
+               ov5647_640x480_10bit,
+               ARRAY_SIZE(ov5647_640x480_10bit)
+       },
+@@ -1163,6 +1171,11 @@ static int ov5647_set_fmt(struct v4l2_su
+               if (state->mode != mode)
+                       state->write_mode_regs = true;
+               state->mode = mode;
++
++              __v4l2_ctrl_modify_range(state->pixel_rate,
++                                       mode->pixel_rate,
++                                       mode->pixel_rate, 1,
++                                       mode->pixel_rate);
+       }
+       mutex_unlock(&state->lock);
+@@ -1379,6 +1392,9 @@ static int ov5647_s_ctrl(struct v4l2_ctr
+       case V4L2_CID_EXPOSURE:
+               ret = ov5647_s_exposure(sd, ctrl->val);
+               break;
++      case V4L2_CID_PIXEL_RATE:
++              /* Read-only, but we adjust it based on mode. */
++              break;
+       default:
+               dev_info(&client->dev,
+                        "ctrl(id:0x%x,val:0x%x) is not handled\n",
+@@ -1436,7 +1452,7 @@ static int ov5647_probe(struct i2c_clien
+       mutex_init(&sensor->lock);
+       /* Initialise controls. */
+-      v4l2_ctrl_handler_init(&sensor->ctrls, 3);
++      v4l2_ctrl_handler_init(&sensor->ctrls, 6);
+       v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
+                         V4L2_CID_AUTOGAIN,
+                         0,  /* min */
+@@ -1469,6 +1485,16 @@ static int ov5647_probe(struct i2c_clien
+                                32);  /* default, 32 = 2.0x */
+       ctrl->flags |= V4L2_CTRL_FLAG_EXECUTE_ON_WRITE;
++      /* Set the default mode before we init the subdev */
++      sensor->mode = OV5647_DEFAULT_MODE;
++
++      /* By default, PIXEL_RATE is read only, but it does change per mode */
++      sensor->pixel_rate = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
++                                             V4L2_CID_PIXEL_RATE,
++                                             sensor->mode->pixel_rate,
++                                             sensor->mode->pixel_rate, 1,
++                                             sensor->mode->pixel_rate);
++
+       if (sensor->ctrls.error) {
+               ret = sensor->ctrls.error;
+               dev_err(&client->dev, "%s control init failed (%d)\n",
+@@ -1477,9 +1503,6 @@ static int ov5647_probe(struct i2c_clien
+       }
+       sensor->sd.ctrl_handler = &sensor->ctrls;
+-      /* Set the default mode before we init the subdev */
+-      sensor->mode = OV5647_DEFAULT_MODE;
+-
+       /* Write out the register set over I2C on stream-on. */
+       sensor->write_mode_regs = true;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0679-staging-vc04_services-ISP-Add-a-more-complex-ISP-pro.patch b/target/linux/bcm27xx/patches-5.4/950-0679-staging-vc04_services-ISP-Add-a-more-complex-ISP-pro.patch
deleted file mode 100644 (file)
index 38015cc..0000000
+++ /dev/null
@@ -1,2255 +0,0 @@
-From 05a5bc2bfa028885c844ccc2263029b5db9160b4 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Thu, 23 Apr 2020 10:17:37 +0100
-Subject: [PATCH] staging: vc04_services: ISP: Add a more complex ISP
- processing component
-
-Driver for the BCM2835 ISP hardware block.  This driver uses the MMAL
-component to program the ISP hardware through the VC firmware.
-
-The ISP component can produce two video stream outputs, and Bayer
-image statistics. This can't be encompassed in a simple V4L2
-M2M device, so create a new device that registers 4 video nodes.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- MAINTAINERS                                   |    9 +
- drivers/staging/vc04_services/Kconfig         |    1 +
- drivers/staging/vc04_services/Makefile        |    1 +
- .../staging/vc04_services/bcm2835-isp/Kconfig |   14 +
- .../vc04_services/bcm2835-isp/Makefile        |    8 +
- .../bcm2835-isp/bcm2835-v4l2-isp.c            | 1627 +++++++++++++++++
- .../bcm2835-isp/bcm2835_isp_ctrls.h           |   67 +
- .../bcm2835-isp/bcm2835_isp_fmts.h            |  272 +++
- .../vc04_services/vchiq-mmal/mmal-encodings.h |    4 +
- .../vchiq-mmal/mmal-parameters.h              |  153 +-
- 10 files changed, 2155 insertions(+), 1 deletion(-)
- create mode 100644 drivers/staging/vc04_services/bcm2835-isp/Kconfig
- create mode 100644 drivers/staging/vc04_services/bcm2835-isp/Makefile
- create mode 100644 drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
- create mode 100644 drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_ctrls.h
- create mode 100644 drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
-
---- a/MAINTAINERS
-+++ b/MAINTAINERS
-@@ -3212,6 +3212,15 @@ S:      Maintained
- F:    drivers/media/platform/bcm2835/
- F:    Documentation/devicetree/bindings/media/bcm2835-unicam.txt
-+BROADCOM BCM2835 ISP DRIVER
-+M:    Raspberry Pi Kernel Maintenance <kernel-list@raspberrypi.com>
-+L:    linux-media@vger.kernel.org
-+S:    Maintained
-+F:    drivers/staging/vc04_services/bcm2835-isp
-+F:    include/uapi/linux/bcm2835-isp.h
-+F:    Documentation/media/v4l-drivers/bcm2835-isp.rst
-+F:    Documentation/media/uapi/v4l/pixfmt-meta-bcm2835-isp-stats.rst
-+
- BROADCOM BCM47XX MIPS ARCHITECTURE
- M:    Hauke Mehrtens <hauke@hauke-m.de>
- M:    Rafał Miłecki <zajec5@gmail.com>
---- a/drivers/staging/vc04_services/Kconfig
-+++ b/drivers/staging/vc04_services/Kconfig
-@@ -25,6 +25,7 @@ source "drivers/staging/vc04_services/bc
- source "drivers/staging/vc04_services/vchiq-mmal/Kconfig"
- source "drivers/staging/vc04_services/vc-sm-cma/Kconfig"
- source "drivers/staging/vc04_services/bcm2835-codec/Kconfig"
-+source "drivers/staging/vc04_services/bcm2835-isp/Kconfig"
- endif
---- a/drivers/staging/vc04_services/Makefile
-+++ b/drivers/staging/vc04_services/Makefile
-@@ -15,6 +15,7 @@ obj-$(CONFIG_VIDEO_BCM2835)          += bcm2835-
- obj-$(CONFIG_BCM2835_VCHIQ_MMAL)      += vchiq-mmal/
- obj-$(CONFIG_BCM_VC_SM_CMA)           += vc-sm-cma/
- obj-$(CONFIG_VIDEO_CODEC_BCM2835)     += bcm2835-codec/
-+obj-$(CONFIG_VIDEO_ISP_BCM2835)               += bcm2835-isp/
- ccflags-y += -Idrivers/staging/vc04_services -D__VCCOREVER__=0x04000000
---- /dev/null
-+++ b/drivers/staging/vc04_services/bcm2835-isp/Kconfig
-@@ -0,0 +1,14 @@
-+config VIDEO_ISP_BCM2835
-+      tristate "BCM2835 ISP support"
-+      depends on MEDIA_SUPPORT
-+      depends on VIDEO_V4L2 && (ARCH_BCM2835 || COMPILE_TEST)
-+      depends on MEDIA_CONTROLLER
-+      select BCM2835_VCHIQ_MMAL
-+      select VIDEOBUF2_DMA_CONTIG
-+      help
-+        This is the V4L2 driver for the Broadcom BCM2835 ISP hardware.
-+        This operates over the VCHIQ interface to a service running on
-+        VideoCore.
-+
-+        To compile this driver as a module, choose M here: the module
-+        will be called bcm2835-isp.
---- /dev/null
-+++ b/drivers/staging/vc04_services/bcm2835-isp/Makefile
-@@ -0,0 +1,8 @@
-+# SPDX-License-Identifier: GPL-2.0
-+bcm2835-isp-objs := bcm2835-v4l2-isp.o
-+
-+obj-$(CONFIG_VIDEO_ISP_BCM2835) += bcm2835-isp.o
-+
-+ccflags-y += \
-+      -I$(srctree)/drivers/staging/vc04_services \
-+      -D__VCCOREVER__=0x04000000
---- /dev/null
-+++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
-@@ -0,0 +1,1627 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * Broadcom BCM2835 ISP driver
-+ *
-+ * Copyright © 2019-2020 Raspberry Pi (Trading) Ltd.
-+ *
-+ * Author: Naushir Patuck (naush@raspberrypi.com)
-+ *
-+ */
-+
-+#include <linux/module.h>
-+#include <linux/platform_device.h>
-+
-+#include <media/v4l2-ctrls.h>
-+#include <media/v4l2-device.h>
-+#include <media/v4l2-event.h>
-+#include <media/v4l2-ioctl.h>
-+#include <media/videobuf2-dma-contig.h>
-+
-+#include "vchiq-mmal/mmal-msg.h"
-+#include "vchiq-mmal/mmal-parameters.h"
-+#include "vchiq-mmal/mmal-vchiq.h"
-+
-+#include "bcm2835_isp_ctrls.h"
-+#include "bcm2835_isp_fmts.h"
-+
-+static unsigned int debug;
-+module_param(debug, uint, 0644);
-+MODULE_PARM_DESC(debug, "activates debug info");
-+
-+static unsigned int video_nr = 13;
-+module_param(video_nr, uint, 0644);
-+MODULE_PARM_DESC(video_nr, "base video device number");
-+
-+#define BCM2835_ISP_NAME "bcm2835-isp"
-+#define BCM2835_ISP_ENTITY_NAME_LEN 32
-+
-+#define BCM2835_ISP_NUM_OUTPUTS 1
-+#define BCM2835_ISP_NUM_CAPTURES 2
-+#define BCM2835_ISP_NUM_METADATA 1
-+
-+#define BCM2835_ISP_NUM_NODES                                         \
-+              (BCM2835_ISP_NUM_OUTPUTS + BCM2835_ISP_NUM_CAPTURES +   \
-+               BCM2835_ISP_NUM_METADATA)
-+
-+/* Default frame dimension of 1280 pixels. */
-+#define DEFAULT_DIM 1280U
-+/*
-+ * Maximum frame dimension of 16384 pixels.  Even though the ISP runs in tiles,
-+ * have a sensible limit so that we do not create an excessive number of tiles
-+ * to process.
-+ */
-+#define MAX_DIM 16384U
-+/*
-+ * Minimum frame dimension of 64 pixels.  Anything lower, and the tiling
-+ * algorihtm may not be able to cope when applying filter context.
-+ */
-+#define MIN_DIM 64U
-+
-+/* Per-queue, driver-specific private data */
-+struct bcm2835_isp_q_data {
-+      /*
-+       * These parameters should be treated as gospel, with everything else
-+       * being determined from them.
-+       */
-+      unsigned int bytesperline;
-+      unsigned int width;
-+      unsigned int height;
-+      unsigned int sizeimage;
-+      struct bcm2835_isp_fmt *fmt;
-+};
-+
-+/*
-+ * Structure to describe a single node /dev/video<N> which represents a single
-+ * input or output queue to the ISP device.
-+ */
-+struct bcm2835_isp_node {
-+      int vfl_dir;
-+      unsigned int id;
-+      const char *name;
-+      struct video_device vfd;
-+      struct media_pad pad;
-+      struct media_intf_devnode *intf_devnode;
-+      struct media_link *intf_link;
-+      struct mutex lock; /* top level device node lock */
-+      struct mutex queue_lock;
-+
-+      struct vb2_queue queue;
-+      unsigned int sequence;
-+
-+      /* The list of formats supported on the node. */
-+      struct bcm2835_isp_fmt_list supported_fmts;
-+
-+      struct bcm2835_isp_q_data q_data;
-+
-+      /* Parent device structure */
-+      struct bcm2835_isp_dev *dev;
-+
-+      bool registered;
-+      bool media_node_registered;
-+      bool queue_init;
-+};
-+
-+/*
-+ * Structure representing the entire ISP device, comprising several input and
-+ * output nodes /dev/video<N>.
-+ */
-+struct bcm2835_isp_dev {
-+      struct v4l2_device v4l2_dev;
-+      struct device *dev;
-+      struct v4l2_ctrl_handler ctrl_handler;
-+      struct media_device mdev;
-+      struct media_entity entity;
-+      bool media_device_registered;
-+      bool media_entity_registered;
-+      struct vchiq_mmal_instance *mmal_instance;
-+      struct vchiq_mmal_component *component;
-+      struct completion frame_cmplt;
-+
-+      struct bcm2835_isp_node node[BCM2835_ISP_NUM_NODES];
-+      struct media_pad pad[BCM2835_ISP_NUM_NODES];
-+      atomic_t num_streaming;
-+
-+      /* Image pipeline controls. */
-+      int r_gain;
-+      int b_gain;
-+};
-+
-+struct bcm2835_isp_buffer {
-+      struct vb2_v4l2_buffer vb;
-+      struct mmal_buffer mmal;
-+};
-+
-+static
-+inline struct bcm2835_isp_dev *node_get_dev(struct bcm2835_isp_node *node)
-+{
-+      return node->dev;
-+}
-+
-+static inline bool node_is_output(struct bcm2835_isp_node *node)
-+{
-+      return node->queue.type == V4L2_BUF_TYPE_VIDEO_OUTPUT;
-+}
-+
-+static inline bool node_is_capture(struct bcm2835_isp_node *node)
-+{
-+      return node->queue.type == V4L2_BUF_TYPE_VIDEO_CAPTURE;
-+}
-+
-+static inline bool node_is_stats(struct bcm2835_isp_node *node)
-+{
-+      return node->queue.type == V4L2_BUF_TYPE_META_CAPTURE;
-+}
-+
-+static inline enum v4l2_buf_type index_to_queue_type(int index)
-+{
-+      if (index < BCM2835_ISP_NUM_OUTPUTS)
-+              return V4L2_BUF_TYPE_VIDEO_OUTPUT;
-+      else if (index < BCM2835_ISP_NUM_OUTPUTS + BCM2835_ISP_NUM_CAPTURES)
-+              return V4L2_BUF_TYPE_VIDEO_CAPTURE;
-+      else
-+              return V4L2_BUF_TYPE_META_CAPTURE;
-+}
-+
-+static struct vchiq_mmal_port *get_port_data(struct bcm2835_isp_node *node)
-+{
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+
-+      if (!dev->component)
-+              return NULL;
-+
-+      switch (node->queue.type) {
-+      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
-+              return &dev->component->input[node->id];
-+      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
-+      case V4L2_BUF_TYPE_META_CAPTURE:
-+              return &dev->component->output[node->id];
-+      default:
-+              v4l2_err(&dev->v4l2_dev, "%s: Invalid queue type %u\n",
-+                       __func__, node->queue.type);
-+              break;
-+      }
-+      return NULL;
-+}
-+
-+static int set_isp_param(struct bcm2835_isp_node *node, u32 parameter,
-+                       void *value, u32 value_size)
-+{
-+      struct vchiq_mmal_port *port = get_port_data(node);
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+
-+      return vchiq_mmal_port_parameter_set(dev->mmal_instance, port,
-+                                           parameter, value, value_size);
-+}
-+
-+static int set_wb_gains(struct bcm2835_isp_node *node)
-+{
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+      struct mmal_parameter_awbgains gains = {
-+              .r_gain = { dev->r_gain, 1000 },
-+              .b_gain = { dev->b_gain, 1000 }
-+      };
-+
-+      return set_isp_param(node, MMAL_PARAMETER_CUSTOM_AWB_GAINS,
-+                           &gains, sizeof(gains));
-+}
-+
-+static int set_digital_gain(struct bcm2835_isp_node *node, uint32_t gain)
-+{
-+      struct mmal_parameter_rational digital_gain = {
-+              .num = gain,
-+              .den = 1000
-+      };
-+
-+      return set_isp_param(node, MMAL_PARAMETER_DIGITAL_GAIN,
-+                           &digital_gain, sizeof(digital_gain));
-+}
-+
-+static const struct bcm2835_isp_fmt *get_fmt(u32 mmal_fmt)
-+{
-+      unsigned int i;
-+
-+      for (i = 0; i < ARRAY_SIZE(supported_formats); i++) {
-+              if (supported_formats[i].mmal_fmt == mmal_fmt)
-+                      return &supported_formats[i];
-+      }
-+      return NULL;
-+}
-+
-+static struct bcm2835_isp_fmt *find_format(struct v4l2_format *f,
-+                                         struct bcm2835_isp_node *node)
-+{
-+      struct bcm2835_isp_fmt_list *fmts = &node->supported_fmts;
-+      struct bcm2835_isp_fmt *fmt;
-+      unsigned int i;
-+
-+      for (i = 0; i < fmts->num_entries; i++) {
-+              fmt = &fmts->list[i];
-+              if (fmt->fourcc == (node_is_stats(node) ?
-+                                          f->fmt.meta.dataformat :
-+                                          f->fmt.pix.pixelformat))
-+                      return fmt;
-+      }
-+
-+      return NULL;
-+}
-+
-+/* vb2_to_mmal_buffer() - converts vb2 buffer header to MMAL
-+ *
-+ * Copies all the required fields from a VB2 buffer to the MMAL buffer header,
-+ * ready for sending to the VPU.
-+ */
-+static void vb2_to_mmal_buffer(struct mmal_buffer *buf,
-+                             struct vb2_v4l2_buffer *vb2)
-+{
-+      u64 pts;
-+
-+      buf->mmal_flags = 0;
-+      if (vb2->flags & V4L2_BUF_FLAG_KEYFRAME)
-+              buf->mmal_flags |= MMAL_BUFFER_HEADER_FLAG_KEYFRAME;
-+
-+      /* Data must be framed correctly as one frame per buffer. */
-+      buf->mmal_flags |= MMAL_BUFFER_HEADER_FLAG_FRAME_END;
-+
-+      buf->length = vb2->vb2_buf.planes[0].bytesused;
-+      /*
-+       * Minor ambiguity in the V4L2 spec as to whether passing in a 0 length
-+       * buffer, or one with V4L2_BUF_FLAG_LAST set denotes end of stream.
-+       * Handle either.
-+       */
-+      if (!buf->length || vb2->flags & V4L2_BUF_FLAG_LAST)
-+              buf->mmal_flags |= MMAL_BUFFER_HEADER_FLAG_EOS;
-+
-+      /* vb2 timestamps in nsecs, mmal in usecs */
-+      pts = vb2->vb2_buf.timestamp;
-+      do_div(pts, 1000);
-+      buf->pts = pts;
-+      buf->dts = MMAL_TIME_UNKNOWN;
-+}
-+
-+static void mmal_buffer_cb(struct vchiq_mmal_instance *instance,
-+                         struct vchiq_mmal_port *port, int status,
-+                         struct mmal_buffer *mmal_buf)
-+{
-+      struct bcm2835_isp_buffer *q_buf;
-+      struct bcm2835_isp_node *node = port->cb_ctx;
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+      struct vb2_v4l2_buffer *vb2;
-+
-+      q_buf = container_of(mmal_buf, struct bcm2835_isp_buffer, mmal);
-+      vb2 = &q_buf->vb;
-+      v4l2_dbg(2, debug, &dev->v4l2_dev,
-+               "%s: port:%s[%d], status:%d, buf:%p, dmabuf:%p, length:%lu, flags %u, pts %lld\n",
-+               __func__, node_is_output(node) ? "input" : "output", node->id,
-+               status, mmal_buf, mmal_buf->dma_buf, mmal_buf->length,
-+               mmal_buf->mmal_flags, mmal_buf->pts);
-+
-+      if (mmal_buf->cmd)
-+              v4l2_err(&dev->v4l2_dev,
-+                       "%s: Unexpected event on output callback - %08x\n",
-+                       __func__, mmal_buf->cmd);
-+
-+      if (status) {
-+              /* error in transfer */
-+              if (vb2) {
-+                      /* there was a buffer with the error so return it */
-+                      vb2_buffer_done(&vb2->vb2_buf, VB2_BUF_STATE_ERROR);
-+              }
-+              return;
-+      }
-+
-+      /* vb2 timestamps in nsecs, mmal in usecs */
-+      vb2->vb2_buf.timestamp = mmal_buf->pts * 1000;
-+      vb2->sequence = node->sequence++;
-+      vb2_set_plane_payload(&vb2->vb2_buf, 0, mmal_buf->length);
-+      vb2_buffer_done(&vb2->vb2_buf, VB2_BUF_STATE_DONE);
-+
-+      if (!port->enabled)
-+              complete(&dev->frame_cmplt);
-+}
-+
-+static void setup_mmal_port_format(struct bcm2835_isp_node *node,
-+                                 struct vchiq_mmal_port *port)
-+{
-+      struct bcm2835_isp_q_data *q_data = &node->q_data;
-+
-+      port->format.encoding = q_data->fmt->mmal_fmt;
-+      /* Raw image format - set width/height */
-+      port->es.video.width = (q_data->bytesperline << 3) / q_data->fmt->depth;
-+      port->es.video.height = q_data->height;
-+      port->es.video.crop.width = q_data->width;
-+      port->es.video.crop.height = q_data->height;
-+      port->es.video.crop.x = 0;
-+      port->es.video.crop.y = 0;
-+};
-+
-+static int setup_mmal_port(struct bcm2835_isp_node *node)
-+{
-+      struct vchiq_mmal_port *port = get_port_data(node);
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+      unsigned int enable = 1;
-+      int ret;
-+
-+      v4l2_dbg(2, debug, &dev->v4l2_dev, "%s: setup %s[%d]\n", __func__,
-+               node->name, node->id);
-+
-+      vchiq_mmal_port_parameter_set(dev->mmal_instance, port,
-+                                    MMAL_PARAMETER_ZERO_COPY, &enable,
-+                                    sizeof(enable));
-+      setup_mmal_port_format(node, port);
-+      ret = vchiq_mmal_port_set_format(dev->mmal_instance, port);
-+      if (ret < 0) {
-+              v4l2_dbg(1, debug, &dev->v4l2_dev,
-+                       "%s: vchiq_mmal_port_set_format failed\n",
-+                       __func__);
-+              return ret;
-+      }
-+
-+      if (node->q_data.sizeimage < port->minimum_buffer.size) {
-+              v4l2_err(&dev->v4l2_dev,
-+                       "buffer size mismatch sizeimage %u < min size %u\n",
-+                       node->q_data.sizeimage, port->minimum_buffer.size);
-+              return -EINVAL;
-+      }
-+
-+      return 0;
-+}
-+
-+static int bcm2835_isp_mmal_buf_cleanup(struct mmal_buffer *mmal_buf)
-+{
-+      mmal_vchi_buffer_cleanup(mmal_buf);
-+
-+      if (mmal_buf->dma_buf) {
-+              dma_buf_put(mmal_buf->dma_buf);
-+              mmal_buf->dma_buf = NULL;
-+      }
-+
-+      return 0;
-+}
-+
-+static int bcm2835_isp_node_queue_setup(struct vb2_queue *q,
-+                                      unsigned int *nbuffers,
-+                                      unsigned int *nplanes,
-+                                      unsigned int sizes[],
-+                                      struct device *alloc_devs[])
-+{
-+      struct bcm2835_isp_node *node = vb2_get_drv_priv(q);
-+      struct vchiq_mmal_port *port;
-+      unsigned int size;
-+
-+      if (setup_mmal_port(node))
-+              return -EINVAL;
-+
-+      size = node->q_data.sizeimage;
-+      if (size == 0) {
-+              v4l2_info(&node_get_dev(node)->v4l2_dev,
-+                        "%s: Image size unset in queue_setup for node %s[%d]\n",
-+                        __func__, node->name, node->id);
-+              return -EINVAL;
-+      }
-+
-+      if (*nplanes)
-+              return sizes[0] < size ? -EINVAL : 0;
-+
-+      *nplanes = 1;
-+      sizes[0] = size;
-+
-+      port = get_port_data(node);
-+      port->current_buffer.size = size;
-+
-+      if (*nbuffers < port->minimum_buffer.num)
-+              *nbuffers = port->minimum_buffer.num;
-+
-+      port->current_buffer.num = *nbuffers;
-+
-+      v4l2_dbg(2, debug, &node_get_dev(node)->v4l2_dev,
-+               "%s: Image size %u, nbuffers %u for node %s[%d]\n",
-+               __func__, sizes[0], *nbuffers, node->name, node->id);
-+      return 0;
-+}
-+
-+static int bcm2835_isp_buf_init(struct vb2_buffer *vb)
-+{
-+      struct bcm2835_isp_node *node = vb2_get_drv_priv(vb->vb2_queue);
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+      struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
-+      struct bcm2835_isp_buffer *buf =
-+              container_of(vb2, struct bcm2835_isp_buffer, vb);
-+
-+      v4l2_dbg(3, debug, &dev->v4l2_dev, "%s: vb %p\n", __func__, vb);
-+
-+      buf->mmal.buffer = vb2_plane_vaddr(&buf->vb.vb2_buf, 0);
-+      buf->mmal.buffer_size = vb2_plane_size(&buf->vb.vb2_buf, 0);
-+      mmal_vchi_buffer_init(dev->mmal_instance, &buf->mmal);
-+      return 0;
-+}
-+
-+static int bcm2835_isp_buf_prepare(struct vb2_buffer *vb)
-+{
-+      struct bcm2835_isp_node *node = vb2_get_drv_priv(vb->vb2_queue);
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+      struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
-+      struct bcm2835_isp_buffer *buf =
-+              container_of(vb2, struct bcm2835_isp_buffer, vb);
-+      struct dma_buf *dma_buf;
-+      int ret;
-+
-+      v4l2_dbg(3, debug, &dev->v4l2_dev, "%s: type: %d ptr %p\n",
-+               __func__, vb->vb2_queue->type, vb);
-+
-+      if (V4L2_TYPE_IS_OUTPUT(vb->vb2_queue->type)) {
-+              if (vb2->field == V4L2_FIELD_ANY)
-+                      vb2->field = V4L2_FIELD_NONE;
-+              if (vb2->field != V4L2_FIELD_NONE) {
-+                      v4l2_err(&dev->v4l2_dev,
-+                               "%s field isn't supported\n", __func__);
-+                      return -EINVAL;
-+              }
-+      }
-+
-+      if (vb2_plane_size(vb, 0) < node->q_data.sizeimage) {
-+              v4l2_err(&dev->v4l2_dev,
-+                       "%s data will not fit into plane (%lu < %lu)\n",
-+                       __func__, vb2_plane_size(vb, 0),
-+                       (long)node->q_data.sizeimage);
-+              return -EINVAL;
-+      }
-+
-+      if (!V4L2_TYPE_IS_OUTPUT(vb->vb2_queue->type))
-+              vb2_set_plane_payload(vb, 0, node->q_data.sizeimage);
-+
-+      switch (vb->memory) {
-+      case VB2_MEMORY_DMABUF:
-+              dma_buf = dma_buf_get(vb->planes[0].m.fd);
-+
-+              if (dma_buf != buf->mmal.dma_buf) {
-+                      /*
-+                       * dmabuf either hasn't already been mapped, or it has
-+                       * changed.
-+                       */
-+                      if (buf->mmal.dma_buf) {
-+                              v4l2_err(&dev->v4l2_dev,
-+                                       "%s Buffer changed - why did the core not call cleanup?\n",
-+                                       __func__);
-+                              bcm2835_isp_mmal_buf_cleanup(&buf->mmal);
-+                      }
-+
-+                      buf->mmal.dma_buf = dma_buf;
-+              } else {
-+                      /*
-+                       * Already have a reference to the buffer, so release it
-+                       * here.
-+                       */
-+                      dma_buf_put(dma_buf);
-+              }
-+              ret = 0;
-+              break;
-+      case VB2_MEMORY_MMAP:
-+              /*
-+               * We want to do this at init, but vb2_core_expbuf checks that
-+               * the index < q->num_buffers, and q->num_buffers only gets
-+               * updated once all the buffers are allocated.
-+               */
-+              if (!buf->mmal.dma_buf) {
-+                      ret = vb2_core_expbuf_dmabuf(vb->vb2_queue,
-+                                                   vb->vb2_queue->type,
-+                                                   vb->index, 0, O_CLOEXEC,
-+                                                   &buf->mmal.dma_buf);
-+                      v4l2_dbg(3, debug, &dev->v4l2_dev,
-+                               "%s: exporting ptr %p to dmabuf %p\n",
-+                               __func__, vb, buf->mmal.dma_buf);
-+                      if (ret)
-+                              v4l2_err(&dev->v4l2_dev,
-+                                       "%s: Failed to expbuf idx %d, ret %d\n",
-+                                       __func__, vb->index, ret);
-+              } else {
-+                      ret = 0;
-+              }
-+              break;
-+      default:
-+              ret = -EINVAL;
-+              break;
-+      }
-+
-+      return ret;
-+}
-+
-+static void bcm2835_isp_node_buffer_queue(struct vb2_buffer *buf)
-+{
-+      struct bcm2835_isp_node *node = vb2_get_drv_priv(buf->vb2_queue);
-+      struct vb2_v4l2_buffer *vbuf =
-+              container_of(buf, struct vb2_v4l2_buffer, vb2_buf);
-+      struct bcm2835_isp_buffer *buffer =
-+              container_of(vbuf, struct bcm2835_isp_buffer, vb);
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+
-+      v4l2_dbg(3, debug, &dev->v4l2_dev, "%s: node %s[%d], buffer %p\n",
-+               __func__, node->name, node->id, buffer);
-+
-+      vb2_to_mmal_buffer(&buffer->mmal, &buffer->vb);
-+      v4l2_dbg(3, debug, &dev->v4l2_dev,
-+               "%s: node %s[%d] - submitting  mmal dmabuf %p\n", __func__,
-+               node->name, node->id, buffer->mmal.dma_buf);
-+      vchiq_mmal_submit_buffer(dev->mmal_instance, get_port_data(node),
-+                               &buffer->mmal);
-+}
-+
-+static void bcm2835_isp_buffer_cleanup(struct vb2_buffer *vb)
-+{
-+      struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(vb);
-+      struct bcm2835_isp_buffer *buffer =
-+              container_of(vb2, struct bcm2835_isp_buffer, vb);
-+
-+      bcm2835_isp_mmal_buf_cleanup(&buffer->mmal);
-+}
-+
-+static int bcm2835_isp_node_start_streaming(struct vb2_queue *q,
-+                                          unsigned int count)
-+{
-+      struct bcm2835_isp_node *node = vb2_get_drv_priv(q);
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+      struct vchiq_mmal_port *port = get_port_data(node);
-+      int ret;
-+
-+      v4l2_dbg(1, debug, &dev->v4l2_dev, "%s: node %s[%d] (count %u)\n",
-+               __func__, node->name, node->id, count);
-+
-+      ret = vchiq_mmal_component_enable(dev->mmal_instance, dev->component);
-+      if (ret) {
-+              v4l2_err(&dev->v4l2_dev, "%s: Failed enabling component, ret %d\n",
-+                       __func__, ret);
-+              return -EIO;
-+      }
-+
-+      node->sequence = 0;
-+      port->cb_ctx = node;
-+      ret = vchiq_mmal_port_enable(dev->mmal_instance, port,
-+                                   mmal_buffer_cb);
-+      if (!ret)
-+              atomic_inc(&dev->num_streaming);
-+      else
-+              v4l2_err(&dev->v4l2_dev,
-+                       "%s: Failed enabling port, ret %d\n", __func__, ret);
-+
-+      return ret;
-+}
-+
-+static void bcm2835_isp_node_stop_streaming(struct vb2_queue *q)
-+{
-+      struct bcm2835_isp_node *node = vb2_get_drv_priv(q);
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+      struct vchiq_mmal_port *port = get_port_data(node);
-+      unsigned int i;
-+      int ret;
-+
-+      v4l2_dbg(1, debug, &dev->v4l2_dev, "%s: node %s[%d], mmal port %p\n",
-+               __func__, node->name, node->id, port);
-+
-+      init_completion(&dev->frame_cmplt);
-+
-+      /* Disable MMAL port - this will flush buffers back */
-+      ret = vchiq_mmal_port_disable(dev->mmal_instance, port);
-+      if (ret)
-+              v4l2_err(&dev->v4l2_dev,
-+                       "%s: Failed disabling %s port, ret %d\n", __func__,
-+                       node_is_output(node) ? "i/p" : "o/p",
-+                       ret);
-+
-+      while (atomic_read(&port->buffers_with_vpu)) {
-+              v4l2_dbg(1, debug, &dev->v4l2_dev,
-+                       "%s: Waiting for buffers to be returned - %d outstanding\n",
-+                       __func__, atomic_read(&port->buffers_with_vpu));
-+              ret = wait_for_completion_timeout(&dev->frame_cmplt, HZ);
-+              if (ret <= 0) {
-+                      v4l2_err(&dev->v4l2_dev,
-+                               "%s: Timeout waiting for buffers to be returned - %d outstanding\n",
-+                               __func__,
-+                               atomic_read(&port->buffers_with_vpu));
-+                      break;
-+              }
-+      }
-+
-+      /* Release the VCSM handle here to release the associated dmabuf */
-+      for (i = 0; i < q->num_buffers; i++) {
-+              struct vb2_v4l2_buffer *vb2 = to_vb2_v4l2_buffer(q->bufs[i]);
-+              struct bcm2835_isp_buffer *buf =
-+                      container_of(vb2, struct bcm2835_isp_buffer, vb);
-+              bcm2835_isp_mmal_buf_cleanup(&buf->mmal);
-+      }
-+
-+      atomic_dec(&dev->num_streaming);
-+      /* If all ports disabled, then disable the component */
-+      if (atomic_read(&dev->num_streaming) == 0) {
-+              ret = vchiq_mmal_component_disable(dev->mmal_instance,
-+                                                 dev->component);
-+              if (ret) {
-+                      v4l2_err(&dev->v4l2_dev,
-+                               "%s: Failed disabling component, ret %d\n",
-+                               __func__, ret);
-+              }
-+      }
-+
-+      /*
-+       * Simply wait for any vb2 buffers to finish. We could take steps to
-+       * make them complete more quickly if we care, or even return them
-+       * ourselves.
-+       */
-+      vb2_wait_for_all_buffers(&node->queue);
-+}
-+
-+static const struct vb2_ops bcm2835_isp_node_queue_ops = {
-+      .queue_setup            = bcm2835_isp_node_queue_setup,
-+      .buf_init               = bcm2835_isp_buf_init,
-+      .buf_prepare            = bcm2835_isp_buf_prepare,
-+      .buf_queue              = bcm2835_isp_node_buffer_queue,
-+      .buf_cleanup            = bcm2835_isp_buffer_cleanup,
-+      .start_streaming        = bcm2835_isp_node_start_streaming,
-+      .stop_streaming         = bcm2835_isp_node_stop_streaming,
-+};
-+
-+static struct bcm2835_isp_fmt *get_default_format(struct bcm2835_isp_node *node)
-+{
-+      return &node->supported_fmts.list[0];
-+}
-+
-+static inline unsigned int get_bytesperline(int width,
-+                                          struct bcm2835_isp_fmt *fmt)
-+{
-+      return ALIGN((width * fmt->depth) >> 3, fmt->bytesperline_align);
-+}
-+
-+static inline unsigned int get_sizeimage(int bpl, int width, int height,
-+                                       struct bcm2835_isp_fmt *fmt)
-+{
-+      return (bpl * height * fmt->size_multiplier_x2) >> 1;
-+}
-+
-+static int bcm2835_isp_s_ctrl(struct v4l2_ctrl *ctrl)
-+{
-+      struct bcm2835_isp_dev *dev =
-+            container_of(ctrl->handler, struct bcm2835_isp_dev, ctrl_handler);
-+      struct bcm2835_isp_node *node = &dev->node[0];
-+      int ret = 0;
-+
-+      /*
-+       * The ISP firmware driver will ensure these settings are applied on
-+       * a frame boundary, so we are safe to write them as they come in.
-+       *
-+       * Note that the bcm2835_isp_* param structures are identical to the
-+       * mmal-parameters.h definitions.  This avoids the need for unnecessary
-+       * field-by-field copying between structures.
-+       */
-+      switch (ctrl->id) {
-+      case V4L2_CID_RED_BALANCE:
-+              dev->r_gain = ctrl->val;
-+              ret = set_wb_gains(node);
-+              break;
-+      case V4L2_CID_BLUE_BALANCE:
-+              dev->b_gain = ctrl->val;
-+              ret = set_wb_gains(node);
-+              break;
-+      case V4L2_CID_DIGITAL_GAIN:
-+              ret = set_digital_gain(node, ctrl->val);
-+              break;
-+      case V4L2_CID_USER_BCM2835_ISP_CC_MATRIX:
-+              ret = set_isp_param(node, MMAL_PARAMETER_CUSTOM_CCM,
-+                                  ctrl->p_new.p_u8,
-+                                  sizeof(struct bcm2835_isp_custom_ccm));
-+              break;
-+      case V4L2_CID_USER_BCM2835_ISP_LENS_SHADING:
-+              ret = set_isp_param(node, MMAL_PARAMETER_LENS_SHADING_OVERRIDE,
-+                                  ctrl->p_new.p_u8,
-+                                  sizeof(struct bcm2835_isp_lens_shading));
-+              break;
-+      case V4L2_CID_USER_BCM2835_ISP_BLACK_LEVEL:
-+              ret = set_isp_param(node, MMAL_PARAMETER_BLACK_LEVEL,
-+                                  ctrl->p_new.p_u8,
-+                                  sizeof(struct bcm2835_isp_black_level));
-+              break;
-+      case V4L2_CID_USER_BCM2835_ISP_GEQ:
-+              ret = set_isp_param(node, MMAL_PARAMETER_GEQ,
-+                                  ctrl->p_new.p_u8,
-+                                  sizeof(struct bcm2835_isp_geq));
-+              break;
-+      case V4L2_CID_USER_BCM2835_ISP_GAMMA:
-+              ret = set_isp_param(node, MMAL_PARAMETER_GAMMA,
-+                                  ctrl->p_new.p_u8,
-+                                  sizeof(struct bcm2835_isp_gamma));
-+              break;
-+      case V4L2_CID_USER_BCM2835_ISP_DENOISE:
-+              ret = set_isp_param(node, MMAL_PARAMETER_DENOISE,
-+                                  ctrl->p_new.p_u8,
-+                                  sizeof(struct bcm2835_isp_denoise));
-+              break;
-+      case V4L2_CID_USER_BCM2835_ISP_SHARPEN:
-+              ret = set_isp_param(node, MMAL_PARAMETER_SHARPEN,
-+                                  ctrl->p_new.p_u8,
-+                                  sizeof(struct bcm2835_isp_sharpen));
-+              break;
-+      case V4L2_CID_USER_BCM2835_ISP_DPC:
-+              ret = set_isp_param(node, MMAL_PARAMETER_DPC,
-+                                  ctrl->p_new.p_u8,
-+                                  sizeof(struct bcm2835_isp_dpc));
-+              break;
-+      default:
-+              v4l2_info(&dev->v4l2_dev, "Unrecognised control\n");
-+              ret = -EINVAL;
-+      }
-+
-+      if (ret) {
-+              v4l2_err(&dev->v4l2_dev, "%s: Failed setting ctrl \"%s\" (%08x), err %d\n",
-+                       __func__, ctrl->name, ctrl->id, ret);
-+              ret = -EIO;
-+      }
-+
-+      return ret;
-+}
-+
-+static const struct v4l2_ctrl_ops bcm2835_isp_ctrl_ops = {
-+      .s_ctrl = bcm2835_isp_s_ctrl,
-+};
-+
-+static const struct v4l2_file_operations bcm2835_isp_fops = {
-+      .owner          = THIS_MODULE,
-+      .open           = v4l2_fh_open,
-+      .release        = vb2_fop_release,
-+      .poll           = vb2_fop_poll,
-+      .unlocked_ioctl = video_ioctl2,
-+      .mmap           = vb2_fop_mmap
-+};
-+
-+static int populate_qdata_fmt(struct v4l2_format *f,
-+                            struct bcm2835_isp_node *node)
-+{
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+      struct bcm2835_isp_q_data *q_data = &node->q_data;
-+      struct vchiq_mmal_port *port;
-+      int ret;
-+
-+      if (!node_is_stats(node)) {
-+              v4l2_dbg(1, debug, &dev->v4l2_dev,
-+                       "%s: Setting pix format for type %d, wxh: %ux%u, fmt: %08x, size %u\n",
-+                       __func__, f->type, f->fmt.pix.width, f->fmt.pix.height,
-+                       f->fmt.pix.pixelformat, f->fmt.pix.sizeimage);
-+
-+              q_data->fmt = find_format(f, node);
-+              q_data->width = f->fmt.pix.width;
-+              q_data->height = f->fmt.pix.height;
-+              q_data->height = f->fmt.pix.height;
-+
-+              /* All parameters should have been set correctly by try_fmt */
-+              q_data->bytesperline = f->fmt.pix.bytesperline;
-+              q_data->sizeimage = f->fmt.pix.sizeimage;
-+      } else {
-+              v4l2_dbg(1, debug, &dev->v4l2_dev,
-+                       "%s: Setting meta format for fmt: %08x, size %u\n",
-+                       __func__, f->fmt.meta.dataformat,
-+                       f->fmt.meta.buffersize);
-+
-+              q_data->fmt = find_format(f, node);
-+              q_data->width = 0;
-+              q_data->height = 0;
-+              q_data->bytesperline = 0;
-+              q_data->sizeimage = f->fmt.meta.buffersize;
-+      }
-+
-+      v4l2_dbg(1, debug, &dev->v4l2_dev,
-+               "%s: Calculated bpl as %u, size %u\n", __func__,
-+               q_data->bytesperline, q_data->sizeimage);
-+
-+      /* If we have a component then setup the port as well */
-+      port = get_port_data(node);
-+      setup_mmal_port_format(node, port);
-+      ret = vchiq_mmal_port_set_format(dev->mmal_instance, port);
-+      if (ret) {
-+              v4l2_err(&dev->v4l2_dev,
-+                       "%s: Failed vchiq_mmal_port_set_format on port, ret %d\n",
-+                       __func__, ret);
-+              ret = -EINVAL;
-+      }
-+
-+      if (q_data->sizeimage < port->minimum_buffer.size) {
-+              v4l2_err(&dev->v4l2_dev,
-+                       "%s: Current buffer size of %u < min buf size %u - driver mismatch to MMAL\n",
-+                       __func__,
-+                       q_data->sizeimage,
-+                       port->minimum_buffer.size);
-+      }
-+
-+      v4l2_dbg(1, debug, &dev->v4l2_dev,
-+               "%s: Set format for type %d, wxh: %dx%d, fmt: %08x, size %u\n",
-+               __func__, f->type, q_data->width, q_data->height,
-+               q_data->fmt->fourcc, q_data->sizeimage);
-+
-+      return ret;
-+}
-+
-+static int bcm2835_isp_node_querycap(struct file *file, void *priv,
-+                                   struct v4l2_capability *cap)
-+{
-+      strscpy(cap->driver, BCM2835_ISP_NAME, sizeof(cap->driver));
-+      strscpy(cap->card, BCM2835_ISP_NAME, sizeof(cap->card));
-+      snprintf(cap->bus_info, sizeof(cap->bus_info), "platform:%s",
-+               BCM2835_ISP_NAME);
-+
-+      return 0;
-+}
-+
-+static int bcm2835_isp_node_g_fmt(struct file *file, void *priv,
-+                                struct v4l2_format *f)
-+{
-+      struct bcm2835_isp_node *node = video_drvdata(file);
-+
-+      if (f->type != node->queue.type)
-+              return -EINVAL;
-+
-+      if (node_is_stats(node)) {
-+              f->fmt.meta.dataformat = V4L2_META_FMT_BCM2835_ISP_STATS;
-+              f->fmt.meta.buffersize =
-+                      get_port_data(node)->minimum_buffer.size;
-+      } else {
-+              struct bcm2835_isp_q_data *q_data = &node->q_data;
-+
-+              f->fmt.pix.width = q_data->width;
-+              f->fmt.pix.height = q_data->height;
-+              f->fmt.pix.field = V4L2_FIELD_NONE;
-+              f->fmt.pix.pixelformat = q_data->fmt->fourcc;
-+              f->fmt.pix.bytesperline = q_data->bytesperline;
-+              f->fmt.pix.sizeimage = q_data->sizeimage;
-+              f->fmt.pix.colorspace = q_data->fmt->colorspace;
-+      }
-+
-+      return 0;
-+}
-+
-+static int bcm2835_isp_node_enum_fmt(struct file *file, void  *priv,
-+                                   struct v4l2_fmtdesc *f)
-+{
-+      struct bcm2835_isp_node *node = video_drvdata(file);
-+      struct bcm2835_isp_fmt_list *fmts = &node->supported_fmts;
-+
-+      if (f->type != node->queue.type)
-+              return -EINVAL;
-+
-+      if (f->index < fmts->num_entries) {
-+              /* Format found */
-+              f->pixelformat = fmts->list[f->index].fourcc;
-+              f->flags = fmts->list[f->index].flags;
-+              return 0;
-+      }
-+
-+      return -EINVAL;
-+}
-+
-+static int bcm2835_isp_node_try_fmt(struct file *file, void *priv,
-+                                  struct v4l2_format *f)
-+{
-+      struct bcm2835_isp_node *node = video_drvdata(file);
-+      struct bcm2835_isp_fmt *fmt;
-+
-+      if (f->type != node->queue.type)
-+              return -EINVAL;
-+
-+      fmt = find_format(f, node);
-+      if (!fmt)
-+              fmt = get_default_format(node);
-+
-+      if (!node_is_stats(node)) {
-+              f->fmt.pix.width = max(min(f->fmt.pix.width, MAX_DIM),
-+                                     MIN_DIM);
-+              f->fmt.pix.height = max(min(f->fmt.pix.height, MAX_DIM),
-+                                      MIN_DIM);
-+
-+              f->fmt.pix.pixelformat = fmt->fourcc;
-+              f->fmt.pix.colorspace = fmt->colorspace;
-+              f->fmt.pix.bytesperline = get_bytesperline(f->fmt.pix.width,
-+                                                         fmt);
-+              f->fmt.pix.field = V4L2_FIELD_NONE;
-+              f->fmt.pix.sizeimage =
-+                      get_sizeimage(f->fmt.pix.bytesperline, f->fmt.pix.width,
-+                                    f->fmt.pix.height, fmt);
-+      } else {
-+              f->fmt.meta.dataformat = fmt->fourcc;
-+              f->fmt.meta.buffersize =
-+                              get_port_data(node)->minimum_buffer.size;
-+      }
-+
-+      return 0;
-+}
-+
-+static int bcm2835_isp_node_s_fmt(struct file *file, void *priv,
-+                                struct v4l2_format *f)
-+{
-+      struct bcm2835_isp_node *node = video_drvdata(file);
-+      int ret;
-+
-+      if (f->type != node->queue.type)
-+              return -EINVAL;
-+
-+      ret = bcm2835_isp_node_try_fmt(file, priv, f);
-+      if (ret)
-+              return ret;
-+
-+      v4l2_dbg(1, debug, &node_get_dev(node)->v4l2_dev,
-+               "%s: Set format for node %s[%d]\n",
-+               __func__, node->name, node->id);
-+
-+      return populate_qdata_fmt(f, node);
-+}
-+
-+static int bcm2835_isp_node_s_selection(struct file *file, void *fh,
-+                                      struct v4l2_selection *s)
-+{
-+      struct mmal_parameter_crop crop;
-+      struct bcm2835_isp_node *node = video_drvdata(file);
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+      struct vchiq_mmal_port *port = get_port_data(node);
-+
-+      /* This return value is required fro V4L2 compliance. */
-+      if (node_is_stats(node))
-+              return -ENOTTY;
-+
-+      if (!s->r.width || !s->r.height)
-+              return -EINVAL;
-+
-+      /* Adjust the crop window if goes outside the frame dimensions. */
-+      s->r.left = min((unsigned int)max(s->r.left, 0),
-+                      node->q_data.width - MIN_DIM);
-+      s->r.top = min((unsigned int)max(s->r.top, 0),
-+                     node->q_data.height - MIN_DIM);
-+      s->r.width = max(min(s->r.width, node->q_data.width - s->r.left),
-+                       MIN_DIM);
-+      s->r.height = max(min(s->r.height, node->q_data.height - s->r.top),
-+                        MIN_DIM);
-+
-+      crop.rect.x = s->r.left;
-+      crop.rect.y = s->r.top;
-+      crop.rect.width = s->r.width;
-+      crop.rect.height = s->r.height;
-+
-+      return vchiq_mmal_port_parameter_set(dev->mmal_instance, port,
-+                                           MMAL_PARAMETER_CROP,
-+                                           &crop, sizeof(crop));
-+}
-+
-+static int bcm2835_isp_node_g_selection(struct file *file, void *fh,
-+                                      struct v4l2_selection *s)
-+{
-+      struct bcm2835_isp_node *node = video_drvdata(file);
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+      struct vchiq_mmal_port *port = get_port_data(node);
-+      struct mmal_parameter_crop crop;
-+      u32 crop_size = sizeof(crop);
-+      int ret;
-+
-+      /* This return value is required for V4L2 compliance. */
-+      if (node_is_stats(node))
-+              return -ENOTTY;
-+
-+      /* We can only return out an input crop. */
-+      if (s->target != V4L2_SEL_TGT_CROP)
-+              return -EINVAL;
-+
-+      ret = vchiq_mmal_port_parameter_get(dev->mmal_instance, port,
-+                                          MMAL_PARAMETER_CROP,
-+                                          &crop, &crop_size);
-+      if (!ret)
-+              return -EINVAL;
-+
-+      s->r.left = crop.rect.x;
-+      s->r.top = crop.rect.y;
-+      s->r.width = crop.rect.width;
-+      s->r.height = crop.rect.height;
-+
-+      return 0;
-+}
-+
-+static int bcm3285_isp_subscribe_event(struct v4l2_fh *fh,
-+                                     const struct v4l2_event_subscription *s)
-+{
-+      switch (s->type) {
-+      /* Cannot change source parameters dynamically at runtime. */
-+      case V4L2_EVENT_SOURCE_CHANGE:
-+              return -EINVAL;
-+      case V4L2_EVENT_CTRL:
-+              return v4l2_ctrl_subscribe_event(fh, s);
-+      default:
-+              return v4l2_event_subscribe(fh, s, 4, NULL);
-+      }
-+}
-+
-+static const struct v4l2_ioctl_ops bcm2835_isp_node_ioctl_ops = {
-+      .vidioc_querycap                = bcm2835_isp_node_querycap,
-+      .vidioc_g_fmt_vid_cap           = bcm2835_isp_node_g_fmt,
-+      .vidioc_g_fmt_vid_out           = bcm2835_isp_node_g_fmt,
-+      .vidioc_g_fmt_meta_cap          = bcm2835_isp_node_g_fmt,
-+      .vidioc_s_fmt_vid_cap           = bcm2835_isp_node_s_fmt,
-+      .vidioc_s_fmt_vid_out           = bcm2835_isp_node_s_fmt,
-+      .vidioc_s_fmt_meta_cap          = bcm2835_isp_node_s_fmt,
-+      .vidioc_try_fmt_vid_cap         = bcm2835_isp_node_try_fmt,
-+      .vidioc_try_fmt_vid_out         = bcm2835_isp_node_try_fmt,
-+      .vidioc_try_fmt_meta_cap        = bcm2835_isp_node_try_fmt,
-+      .vidioc_s_selection             = bcm2835_isp_node_s_selection,
-+      .vidioc_g_selection             = bcm2835_isp_node_g_selection,
-+
-+      .vidioc_enum_fmt_vid_cap        = bcm2835_isp_node_enum_fmt,
-+      .vidioc_enum_fmt_vid_out        = bcm2835_isp_node_enum_fmt,
-+      .vidioc_enum_fmt_meta_cap       = bcm2835_isp_node_enum_fmt,
-+
-+      .vidioc_reqbufs                 = vb2_ioctl_reqbufs,
-+      .vidioc_querybuf                = vb2_ioctl_querybuf,
-+      .vidioc_qbuf                    = vb2_ioctl_qbuf,
-+      .vidioc_dqbuf                   = vb2_ioctl_dqbuf,
-+      .vidioc_expbuf                  = vb2_ioctl_expbuf,
-+      .vidioc_create_bufs             = vb2_ioctl_create_bufs,
-+      .vidioc_prepare_buf             = vb2_ioctl_prepare_buf,
-+
-+      .vidioc_streamon                = vb2_ioctl_streamon,
-+      .vidioc_streamoff               = vb2_ioctl_streamoff,
-+
-+      .vidioc_subscribe_event         = bcm3285_isp_subscribe_event,
-+      .vidioc_unsubscribe_event       = v4l2_event_unsubscribe,
-+};
-+
-+/*
-+ * Size of the array to provide to the VPU when asking for the list of supported
-+ * formats.
-+ *
-+ * The ISP component currently advertises 33 input formats, so add a small
-+ * overhead on that.
-+ */
-+#define MAX_SUPPORTED_ENCODINGS 40
-+
-+/* Populate node->supported_fmts with the formats supported by those ports. */
-+static int bcm2835_isp_get_supported_fmts(struct bcm2835_isp_node *node)
-+{
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+      struct bcm2835_isp_fmt *list;
-+      unsigned int i, j, num_encodings;
-+      u32 fourccs[MAX_SUPPORTED_ENCODINGS];
-+      u32 param_size = sizeof(fourccs);
-+      int ret;
-+
-+      ret = vchiq_mmal_port_parameter_get(dev->mmal_instance,
-+                                          get_port_data(node),
-+                                          MMAL_PARAMETER_SUPPORTED_ENCODINGS,
-+                                          &fourccs, &param_size);
-+
-+      if (ret) {
-+              if (ret == MMAL_MSG_STATUS_ENOSPC) {
-+                      v4l2_err(&dev->v4l2_dev,
-+                               "%s: port has more encoding than we provided space for. Some are dropped.\n",
-+                               __func__);
-+                      num_encodings = MAX_SUPPORTED_ENCODINGS;
-+              } else {
-+                      v4l2_err(&dev->v4l2_dev, "%s: get_param ret %u.\n",
-+                               __func__, ret);
-+                      return -EINVAL;
-+              }
-+      } else {
-+              num_encodings = param_size / sizeof(u32);
-+      }
-+
-+      /*
-+       * Assume at this stage that all encodings will be supported in V4L2.
-+       * Any that aren't supported will waste a very small amount of memory.
-+       */
-+      list = devm_kzalloc(dev->dev,
-+                          sizeof(struct bcm2835_isp_fmt) * num_encodings,
-+                          GFP_KERNEL);
-+      if (!list)
-+              return -ENOMEM;
-+      node->supported_fmts.list = list;
-+
-+      for (i = 0, j = 0; i < num_encodings; i++) {
-+              const struct bcm2835_isp_fmt *fmt = get_fmt(fourccs[i]);
-+
-+              if (fmt) {
-+                      list[j] = *fmt;
-+                      j++;
-+              }
-+      }
-+      node->supported_fmts.num_entries = j;
-+
-+      param_size = sizeof(fourccs);
-+      ret = vchiq_mmal_port_parameter_get(dev->mmal_instance,
-+                                          get_port_data(node),
-+                                          MMAL_PARAMETER_SUPPORTED_ENCODINGS,
-+                                          &fourccs, &param_size);
-+
-+      if (ret) {
-+              if (ret == MMAL_MSG_STATUS_ENOSPC) {
-+                      v4l2_err(&dev->v4l2_dev,
-+                               "%s: port has more encoding than we provided space for. Some are dropped.\n",
-+                               __func__);
-+                      num_encodings = MAX_SUPPORTED_ENCODINGS;
-+              } else {
-+                      return -EINVAL;
-+              }
-+      } else {
-+              num_encodings = param_size / sizeof(u32);
-+      }
-+      /* Assume at this stage that all encodings will be supported in V4L2. */
-+      list = devm_kzalloc(dev->dev,
-+                          sizeof(struct bcm2835_isp_fmt) * num_encodings,
-+                          GFP_KERNEL);
-+      if (!list)
-+              return -ENOMEM;
-+      node->supported_fmts.list = list;
-+
-+      for (i = 0, j = 0; i < num_encodings; i++) {
-+              const struct bcm2835_isp_fmt *fmt = get_fmt(fourccs[i]);
-+
-+              if (fmt) {
-+                      list[j] = *fmt;
-+                      j++;
-+              }
-+      }
-+      node->supported_fmts.num_entries = j;
-+      return 0;
-+}
-+
-+/*
-+ * Register a device node /dev/video<N> to go along with one of the ISP's input
-+ * or output nodes.
-+ */
-+static int register_node(struct bcm2835_isp_dev *dev,
-+                       struct bcm2835_isp_node *node,
-+                       int index)
-+{
-+      struct video_device *vfd;
-+      struct vb2_queue *queue;
-+      int ret;
-+
-+      mutex_init(&node->lock);
-+
-+      node->dev = dev;
-+      vfd = &node->vfd;
-+      queue = &node->queue;
-+      queue->type = index_to_queue_type(index);
-+      /*
-+       * Setup the node type-specific params.
-+       *
-+       * Only the OUTPUT node can set controls and crop windows. However,
-+       * we must allow the s/g_selection ioctl on the stats node as v4l2
-+       * compliance expects it to return a -ENOTTY, and the framework
-+       * does not handle it if the ioctl is disabled.
-+       */
-+      switch (queue->type) {
-+      case V4L2_BUF_TYPE_VIDEO_OUTPUT:
-+              vfd->device_caps = V4L2_CAP_VIDEO_OUTPUT | V4L2_CAP_STREAMING;
-+              node->id = index;
-+              node->vfl_dir = VFL_DIR_TX;
-+              node->name = "output";
-+              break;
-+      case V4L2_BUF_TYPE_VIDEO_CAPTURE:
-+              vfd->device_caps = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING;
-+              /* First Capture node starts at id 0, etc. */
-+              node->id = index - BCM2835_ISP_NUM_OUTPUTS;
-+              node->vfl_dir = VFL_DIR_RX;
-+              node->name = "capture";
-+              v4l2_disable_ioctl(&node->vfd, VIDIOC_S_CTRL);
-+              v4l2_disable_ioctl(&node->vfd, VIDIOC_S_SELECTION);
-+              v4l2_disable_ioctl(&node->vfd, VIDIOC_G_SELECTION);
-+              break;
-+      case V4L2_BUF_TYPE_META_CAPTURE:
-+              vfd->device_caps = V4L2_CAP_META_CAPTURE | V4L2_CAP_STREAMING;
-+              node->id = index - BCM2835_ISP_NUM_OUTPUTS;
-+              node->vfl_dir = VFL_DIR_RX;
-+              node->name = "stats";
-+              v4l2_disable_ioctl(&node->vfd, VIDIOC_S_CTRL);
-+              break;
-+      }
-+
-+      /* We use the selection API instead of the old crop API. */
-+      v4l2_disable_ioctl(vfd, VIDIOC_CROPCAP);
-+      v4l2_disable_ioctl(vfd, VIDIOC_G_CROP);
-+      v4l2_disable_ioctl(vfd, VIDIOC_S_CROP);
-+
-+      ret = bcm2835_isp_get_supported_fmts(node);
-+      if (ret)
-+              return ret;
-+
-+      /* Initialise the the video node. */
-+      vfd->vfl_type   = VFL_TYPE_GRABBER;
-+      vfd->fops       = &bcm2835_isp_fops,
-+      vfd->ioctl_ops  = &bcm2835_isp_node_ioctl_ops,
-+      vfd->minor      = -1,
-+      vfd->release    = video_device_release_empty,
-+      vfd->queue      = &node->queue;
-+      vfd->lock       = &node->lock;
-+      vfd->v4l2_dev   = &dev->v4l2_dev;
-+      vfd->vfl_dir    = node->vfl_dir;
-+
-+      node->q_data.fmt = get_default_format(node);
-+      node->q_data.width = DEFAULT_DIM;
-+      node->q_data.height = DEFAULT_DIM;
-+      node->q_data.bytesperline =
-+              get_bytesperline(DEFAULT_DIM, node->q_data.fmt);
-+      node->q_data.sizeimage = node_is_stats(node) ?
-+                               get_port_data(node)->recommended_buffer.size :
-+                               get_sizeimage(node->q_data.bytesperline,
-+                                             node->q_data.width,
-+                                             node->q_data.height,
-+                                             node->q_data.fmt);
-+
-+      queue->io_modes = VB2_MMAP | VB2_DMABUF;
-+      queue->drv_priv = node;
-+      queue->ops = &bcm2835_isp_node_queue_ops;
-+      queue->mem_ops = &vb2_dma_contig_memops;
-+      queue->buf_struct_size = sizeof(struct bcm2835_isp_buffer);
-+      queue->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_COPY;
-+      queue->dev = dev->dev;
-+      queue->lock = &node->queue_lock;
-+
-+      ret = vb2_queue_init(queue);
-+      if (ret < 0) {
-+              v4l2_info(&dev->v4l2_dev, "vb2_queue_init failed\n");
-+              return ret;
-+      }
-+      node->queue_init = true;
-+
-+      /* Define the device names */
-+      snprintf(vfd->name, sizeof(node->vfd.name), "%s-%s%d", BCM2835_ISP_NAME,
-+               node->name, node->id);
-+
-+      ret = video_register_device(vfd, VFL_TYPE_GRABBER, video_nr + index);
-+      if (ret) {
-+              v4l2_err(&dev->v4l2_dev,
-+                       "Failed to register video %s[%d] device node\n",
-+                       node->name, node->id);
-+              return ret;
-+      }
-+
-+      node->registered = true;
-+      video_set_drvdata(vfd, node);
-+
-+      /* Set some controls and defaults, but only on the VIDEO_OUTPUT node. */
-+      if (node_is_output(node)) {
-+              unsigned int i;
-+
-+              /* Use this ctrl template to assign all out ISP custom ctrls. */
-+              struct v4l2_ctrl_config ctrl_template = {
-+                      .ops            = &bcm2835_isp_ctrl_ops,
-+                      .type           = V4L2_CTRL_TYPE_U8,
-+                      .def            = 0,
-+                      .min            = 0x00,
-+                      .max            = 0xff,
-+                      .step           = 1,
-+              };
-+
-+              v4l2_ctrl_handler_init(&dev->ctrl_handler, 4);
-+
-+              dev->r_gain = 1000;
-+              dev->b_gain = 1000;
-+
-+              v4l2_ctrl_new_std(&dev->ctrl_handler,  &bcm2835_isp_ctrl_ops,
-+                                V4L2_CID_RED_BALANCE, 1, 0xffff, 1,
-+                                dev->r_gain);
-+
-+              v4l2_ctrl_new_std(&dev->ctrl_handler, &bcm2835_isp_ctrl_ops,
-+                                V4L2_CID_BLUE_BALANCE, 1, 0xffff, 1,
-+                                dev->b_gain);
-+
-+              v4l2_ctrl_new_std(&dev->ctrl_handler, &bcm2835_isp_ctrl_ops,
-+                                V4L2_CID_DIGITAL_GAIN, 1, 0xffff, 1, 1000);
-+
-+              for (i = 0; i < ARRAY_SIZE(custom_ctrls); i++) {
-+                      ctrl_template.name = custom_ctrls[i].name;
-+                      ctrl_template.id = custom_ctrls[i].id;
-+                      ctrl_template.dims[0] = custom_ctrls[i].size;
-+                      ctrl_template.flags = custom_ctrls[i].flags;
-+                      v4l2_ctrl_new_custom(&dev->ctrl_handler,
-+                                           &ctrl_template, NULL);
-+              }
-+
-+              node->vfd.ctrl_handler = &dev->ctrl_handler;
-+      }
-+
-+      v4l2_info(&dev->v4l2_dev,
-+                "Device node %s[%d] registered as /dev/video%d\n",
-+                node->name, node->id, vfd->num);
-+
-+      return 0;
-+}
-+
-+/* Unregister one of the /dev/video<N> nodes associated with the ISP. */
-+static void unregister_node(struct bcm2835_isp_node *node)
-+{
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+
-+      v4l2_info(&dev->v4l2_dev,
-+                "Unregistering node %s[%d] device node /dev/video%d\n",
-+                node->name, node->id, node->vfd.num);
-+
-+      if (node->queue_init)
-+              vb2_queue_release(&node->queue);
-+
-+      if (node->registered) {
-+              video_unregister_device(&node->vfd);
-+              if (node_is_output(node))
-+                      v4l2_ctrl_handler_free(&dev->ctrl_handler);
-+      }
-+
-+      /*
-+       * node->supported_fmts.list is free'd automatically
-+       * as a managed resource.
-+       */
-+      node->supported_fmts.list = NULL;
-+      node->supported_fmts.num_entries = 0;
-+      node->vfd.ctrl_handler = NULL;
-+      node->registered = false;
-+      node->queue_init = false;
-+}
-+
-+static void media_controller_unregister(struct bcm2835_isp_dev *dev)
-+{
-+      unsigned int i;
-+
-+      v4l2_info(&dev->v4l2_dev, "Unregister from media controller\n");
-+
-+      if (dev->media_device_registered) {
-+              media_device_unregister(&dev->mdev);
-+              media_device_cleanup(&dev->mdev);
-+              dev->media_device_registered = false;
-+      }
-+
-+      kfree(dev->entity.name);
-+      dev->entity.name = NULL;
-+
-+      if (dev->media_entity_registered) {
-+              media_device_unregister_entity(&dev->entity);
-+              dev->media_entity_registered = false;
-+      }
-+
-+      for (i = 0; i < BCM2835_ISP_NUM_NODES; i++) {
-+              struct bcm2835_isp_node *node = &dev->node[i];
-+
-+              if (node->media_node_registered) {
-+                      media_remove_intf_links(node->intf_link->intf);
-+                      media_entity_remove_links(&dev->node[i].vfd.entity);
-+                      media_devnode_remove(node->intf_devnode);
-+                      media_device_unregister_entity(&node->vfd.entity);
-+                      kfree(node->vfd.entity.name);
-+              }
-+              node->media_node_registered = false;
-+      }
-+
-+      dev->v4l2_dev.mdev = NULL;
-+}
-+
-+static int media_controller_register_node(struct bcm2835_isp_dev *dev, int num)
-+{
-+      struct bcm2835_isp_node *node = &dev->node[num];
-+      struct media_entity *entity = &node->vfd.entity;
-+      int output = node_is_output(node);
-+      char *name;
-+      int ret;
-+
-+      v4l2_info(&dev->v4l2_dev,
-+                "Register %s node %d with media controller\n",
-+                output ? "output" : "capture", num);
-+      entity->obj_type = MEDIA_ENTITY_TYPE_VIDEO_DEVICE;
-+      entity->function = MEDIA_ENT_F_IO_V4L;
-+      entity->info.dev.major = VIDEO_MAJOR;
-+      entity->info.dev.minor = node->vfd.minor;
-+      name = kmalloc(BCM2835_ISP_ENTITY_NAME_LEN, GFP_KERNEL);
-+      if (!name) {
-+              ret = -ENOMEM;
-+              goto error_no_mem;
-+      }
-+      snprintf(name, BCM2835_ISP_ENTITY_NAME_LEN, "%s0-%s%d",
-+               BCM2835_ISP_NAME, output ? "output" : "capture", num);
-+      entity->name = name;
-+      node->pad.flags = output ? MEDIA_PAD_FL_SOURCE : MEDIA_PAD_FL_SINK;
-+      ret = media_entity_pads_init(entity, 1, &node->pad);
-+      if (ret)
-+              goto error_pads_init;
-+      ret = media_device_register_entity(&dev->mdev, entity);
-+      if (ret)
-+              goto error_register_entity;
-+
-+      node->intf_devnode = media_devnode_create(&dev->mdev,
-+                                                MEDIA_INTF_T_V4L_VIDEO, 0,
-+                                                VIDEO_MAJOR, node->vfd.minor);
-+      if (!node->intf_devnode) {
-+              ret = -ENOMEM;
-+              goto error_devnode_create;
-+      }
-+
-+      node->intf_link = media_create_intf_link(entity,
-+                                               &node->intf_devnode->intf,
-+                                               MEDIA_LNK_FL_IMMUTABLE |
-+                                               MEDIA_LNK_FL_ENABLED);
-+      if (!node->intf_link) {
-+              ret = -ENOMEM;
-+              goto error_create_intf_link;
-+      }
-+
-+      if (output)
-+              ret = media_create_pad_link(entity, 0, &dev->entity, num,
-+                                          MEDIA_LNK_FL_IMMUTABLE |
-+                                                  MEDIA_LNK_FL_ENABLED);
-+      else
-+              ret = media_create_pad_link(&dev->entity, num, entity, 0,
-+                                          MEDIA_LNK_FL_IMMUTABLE |
-+                                          MEDIA_LNK_FL_ENABLED);
-+      if (ret)
-+              goto error_create_pad_link;
-+
-+      dev->node[num].media_node_registered = true;
-+      return 0;
-+
-+error_create_pad_link:
-+      media_remove_intf_links(&node->intf_devnode->intf);
-+error_create_intf_link:
-+      media_devnode_remove(node->intf_devnode);
-+error_devnode_create:
-+      media_device_unregister_entity(&node->vfd.entity);
-+error_register_entity:
-+error_pads_init:
-+      kfree(entity->name);
-+      entity->name = NULL;
-+error_no_mem:
-+      if (ret)
-+              v4l2_info(&dev->v4l2_dev, "Error registering node\n");
-+
-+      return ret;
-+}
-+
-+static int media_controller_register(struct bcm2835_isp_dev *dev)
-+{
-+      char *name;
-+      unsigned int i;
-+      int ret;
-+
-+      v4l2_dbg(2, debug, &dev->v4l2_dev, "Registering with media controller\n");
-+      dev->mdev.dev = dev->dev;
-+      strscpy(dev->mdev.model, "bcm2835-isp",
-+              sizeof(dev->mdev.model));
-+      strscpy(dev->mdev.bus_info, "platform:bcm2835-isp",
-+              sizeof(dev->mdev.bus_info));
-+      media_device_init(&dev->mdev);
-+      dev->v4l2_dev.mdev = &dev->mdev;
-+
-+      v4l2_dbg(2, debug, &dev->v4l2_dev, "Register entity for nodes\n");
-+
-+      name = kmalloc(BCM2835_ISP_ENTITY_NAME_LEN, GFP_KERNEL);
-+      if (!name) {
-+              ret = -ENOMEM;
-+              goto done;
-+      }
-+      snprintf(name, BCM2835_ISP_ENTITY_NAME_LEN, "bcm2835_isp0");
-+      dev->entity.name = name;
-+      dev->entity.obj_type = MEDIA_ENTITY_TYPE_BASE;
-+      dev->entity.function = MEDIA_ENT_F_PROC_VIDEO_SCALER;
-+
-+      for (i = 0; i < BCM2835_ISP_NUM_NODES; i++) {
-+              dev->pad[i].flags = node_is_output(&dev->node[i]) ?
-+                                      MEDIA_PAD_FL_SINK : MEDIA_PAD_FL_SOURCE;
-+      }
-+
-+      ret = media_entity_pads_init(&dev->entity, BCM2835_ISP_NUM_NODES,
-+                                   dev->pad);
-+      if (ret)
-+              goto done;
-+
-+      ret = media_device_register_entity(&dev->mdev, &dev->entity);
-+      if (ret)
-+              goto done;
-+
-+      dev->media_entity_registered = true;
-+      for (i = 0; i < BCM2835_ISP_NUM_NODES; i++) {
-+              ret = media_controller_register_node(dev, i);
-+              if (ret)
-+                      goto done;
-+      }
-+
-+      ret = media_device_register(&dev->mdev);
-+      if (!ret)
-+              dev->media_device_registered = true;
-+done:
-+      return ret;
-+}
-+
-+static int bcm2835_isp_remove(struct platform_device *pdev)
-+{
-+      struct bcm2835_isp_dev *dev = platform_get_drvdata(pdev);
-+      unsigned int i;
-+
-+      media_controller_unregister(dev);
-+
-+      for (i = 0; i < BCM2835_ISP_NUM_NODES; i++)
-+              unregister_node(&dev->node[i]);
-+
-+      v4l2_device_unregister(&dev->v4l2_dev);
-+
-+      if (dev->component)
-+              vchiq_mmal_component_finalise(dev->mmal_instance,
-+                                            dev->component);
-+
-+      vchiq_mmal_finalise(dev->mmal_instance);
-+
-+      return 0;
-+}
-+
-+static int bcm2835_isp_probe(struct platform_device *pdev)
-+{
-+      struct bcm2835_isp_dev *dev;
-+      unsigned int i;
-+      int ret;
-+
-+      dev = devm_kzalloc(&pdev->dev, sizeof(*dev), GFP_KERNEL);
-+      if (!dev)
-+              return -ENOMEM;
-+
-+      dev->dev = &pdev->dev;
-+
-+      ret = v4l2_device_register(&pdev->dev, &dev->v4l2_dev);
-+      if (ret)
-+              return ret;
-+
-+      ret = vchiq_mmal_init(&dev->mmal_instance);
-+      if (ret) {
-+              v4l2_device_unregister(&dev->v4l2_dev);
-+              return ret;
-+      }
-+
-+      ret = vchiq_mmal_component_init(dev->mmal_instance, "ril.isp",
-+                                      &dev->component);
-+      if (ret) {
-+              v4l2_err(&dev->v4l2_dev,
-+                       "%s: failed to create ril.isp component\n", __func__);
-+              goto error;
-+      }
-+
-+      if ((dev->component->inputs != BCM2835_ISP_NUM_OUTPUTS) ||
-+          (dev->component->outputs != BCM2835_ISP_NUM_CAPTURES +
-+                                      BCM2835_ISP_NUM_METADATA)) {
-+              v4l2_err(&dev->v4l2_dev,
-+                       "%s: ril.isp returned %d i/p (%d expected), %d o/p (%d expected) ports\n",
-+                        __func__, dev->component->inputs,
-+                        BCM2835_ISP_NUM_OUTPUTS,
-+                        dev->component->outputs,
-+                        BCM2835_ISP_NUM_CAPTURES + BCM2835_ISP_NUM_METADATA);
-+              goto error;
-+      }
-+
-+      atomic_set(&dev->num_streaming, 0);
-+
-+      for (i = 0; i < BCM2835_ISP_NUM_NODES; i++) {
-+              struct bcm2835_isp_node *node = &dev->node[i];
-+
-+              ret = register_node(dev, node, i);
-+              if (ret)
-+                      goto error;
-+      }
-+
-+      ret = media_controller_register(dev);
-+      if (ret)
-+              goto error;
-+
-+      platform_set_drvdata(pdev, dev);
-+      v4l2_info(&dev->v4l2_dev, "Loaded V4L2 %s\n", BCM2835_ISP_NAME);
-+      return 0;
-+
-+error:
-+      bcm2835_isp_remove(pdev);
-+
-+      return ret;
-+}
-+
-+static struct platform_driver bcm2835_isp_pdrv = {
-+      .probe = bcm2835_isp_probe,
-+      .remove = bcm2835_isp_remove,
-+      .driver = {
-+                      .name = BCM2835_ISP_NAME,
-+                },
-+};
-+
-+module_platform_driver(bcm2835_isp_pdrv);
-+
-+MODULE_DESCRIPTION("BCM2835 ISP driver");
-+MODULE_AUTHOR("Naushir Patuck <naush@raspberrypi.com>");
-+MODULE_LICENSE("GPL");
-+MODULE_VERSION("1.0");
-+MODULE_ALIAS("platform:bcm2835-isp");
---- /dev/null
-+++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_ctrls.h
-@@ -0,0 +1,67 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Broadcom BCM2835 ISP driver
-+ *
-+ * Copyright © 2019-2020 Raspberry Pi (Trading) Ltd.
-+ *
-+ * Author: Naushir Patuck (naush@raspberrypi.com)
-+ *
-+ */
-+
-+#ifndef BCM2835_ISP_CTRLS
-+#define BCM2835_ISP_CTRLS
-+
-+#include <linux/bcm2835-isp.h>
-+
-+struct bcm2835_isp_custom_ctrl {
-+      const char *name;
-+      u32 id;
-+      u32 size;
-+      u32 flags;
-+};
-+
-+static const struct bcm2835_isp_custom_ctrl custom_ctrls[] = {
-+      {
-+              .name   = "Colour Correction Matrix",
-+              .id     = V4L2_CID_USER_BCM2835_ISP_CC_MATRIX,
-+              .size   = sizeof(struct bcm2835_isp_custom_ccm),
-+              .flags  = 0
-+      }, {
-+              .name   = "Lens Shading",
-+              .id     = V4L2_CID_USER_BCM2835_ISP_LENS_SHADING,
-+              .size   = sizeof(struct bcm2835_isp_lens_shading),
-+              .flags  = V4L2_CTRL_FLAG_EXECUTE_ON_WRITE
-+      }, {
-+              .name   = "Black Level",
-+              .id     = V4L2_CID_USER_BCM2835_ISP_BLACK_LEVEL,
-+              .size   = sizeof(struct bcm2835_isp_black_level),
-+              .flags  = 0
-+      }, {
-+              .name   = "Green Equalisation",
-+              .id     = V4L2_CID_USER_BCM2835_ISP_GEQ,
-+              .size   = sizeof(struct bcm2835_isp_geq),
-+              .flags  = 0
-+      }, {
-+              .name   = "Gamma",
-+              .id     = V4L2_CID_USER_BCM2835_ISP_GAMMA,
-+              .size   = sizeof(struct bcm2835_isp_gamma),
-+              .flags  = 0
-+      }, {
-+              .name   = "Sharpen",
-+              .id     = V4L2_CID_USER_BCM2835_ISP_SHARPEN,
-+              .size   = sizeof(struct bcm2835_isp_sharpen),
-+              .flags  = 0
-+      }, {
-+              .name   = "Denoise",
-+              .id     = V4L2_CID_USER_BCM2835_ISP_DENOISE,
-+              .size   = sizeof(struct bcm2835_isp_denoise),
-+              .flags  = 0
-+      }, {
-+              .name   = "Defective Pixel Correction",
-+              .id     = V4L2_CID_USER_BCM2835_ISP_DPC,
-+              .size   = sizeof(struct bcm2835_isp_dpc),
-+              .flags  = 0
-+      }
-+};
-+
-+#endif
---- /dev/null
-+++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
-@@ -0,0 +1,272 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * Broadcom BCM2835 ISP driver
-+ *
-+ * Copyright © 2019-2020 Raspberry Pi (Trading) Ltd.
-+ *
-+ * Author: Naushir Patuck (naush@raspberrypi.com)
-+ *
-+ */
-+
-+#ifndef BCM2835_ISP_FMTS
-+#define BCM2835_ISP_FMTS
-+
-+#include <linux/videodev2.h>
-+#include "vchiq-mmal/mmal-encodings.h"
-+
-+struct bcm2835_isp_fmt {
-+      u32 fourcc;
-+      int depth;
-+      int bytesperline_align;
-+      u32 flags;
-+      u32 mmal_fmt;
-+      int size_multiplier_x2;
-+      enum v4l2_colorspace colorspace;
-+};
-+
-+struct bcm2835_isp_fmt_list {
-+      struct bcm2835_isp_fmt *list;
-+      unsigned int num_entries;
-+};
-+
-+static const struct bcm2835_isp_fmt supported_formats[] = {
-+      {
-+              /* YUV formats */
-+              .fourcc             = V4L2_PIX_FMT_YUV420,
-+              .depth              = 8,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_I420,
-+              .size_multiplier_x2 = 3,
-+              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_YVU420,
-+              .depth              = 8,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_YV12,
-+              .size_multiplier_x2 = 3,
-+              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_NV12,
-+              .depth              = 8,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_NV12,
-+              .size_multiplier_x2 = 3,
-+              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_NV21,
-+              .depth              = 8,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_NV21,
-+              .size_multiplier_x2 = 3,
-+              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_YUYV,
-+              .depth              = 16,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_YUYV,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_UYVY,
-+              .depth              = 16,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_UYVY,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_YVYU,
-+              .depth              = 16,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_YVYU,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_VYUY,
-+              .depth              = 16,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_VYUY,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+      }, {
-+              /* RGB formats */
-+              .fourcc             = V4L2_PIX_FMT_RGB24,
-+              .depth              = 24,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_RGB24,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_SRGB,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_RGB565,
-+              .depth              = 16,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_RGB16,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_SRGB,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_BGR24,
-+              .depth              = 24,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BGR24,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_SRGB,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_ABGR32,
-+              .depth              = 32,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BGRA,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_SRGB,
-+      }, {
-+              /* Bayer formats */
-+              /* 8 bit */
-+              .fourcc             = V4L2_PIX_FMT_SRGGB8,
-+              .depth              = 8,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB8,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_SBGGR8,
-+              .depth              = 8,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR8,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_SGRBG8,
-+              .depth              = 8,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG8,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_SGBRG8,
-+              .depth              = 8,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG8,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              /* 10 bit */
-+              .fourcc             = V4L2_PIX_FMT_SRGGB10P,
-+              .depth              = 10,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB10P,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_SBGGR10P,
-+              .depth              = 10,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR10P,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_SGRBG10P,
-+              .depth              = 10,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG10P,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_SGBRG10P,
-+              .depth              = 10,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG10P,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              /* 12 bit */
-+              .fourcc             = V4L2_PIX_FMT_SRGGB12P,
-+              .depth              = 12,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB12P,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_SBGGR12P,
-+              .depth              = 12,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR12P,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_SGRBG12P,
-+              .depth              = 12,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG12P,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_SGBRG12P,
-+              .depth              = 12,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG12P,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              /* 16 bit */
-+              .fourcc             = V4L2_PIX_FMT_SRGGB16,
-+              .depth              = 16,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB16,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_SBGGR16,
-+              .depth              = 16,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR16,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_SGRBG16,
-+              .depth              = 16,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG16,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              .fourcc             = V4L2_PIX_FMT_SGBRG16,
-+              .depth              = 16,
-+              .bytesperline_align = 32,
-+              .flags              = 0,
-+              .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG16,
-+              .size_multiplier_x2 = 2,
-+              .colorspace         = V4L2_COLORSPACE_RAW,
-+      }, {
-+              /* ISP statistics format */
-+              .fourcc             = V4L2_META_FMT_BCM2835_ISP_STATS,
-+              .mmal_fmt           = MMAL_ENCODING_BRCM_STATS,
-+              /* The rest are not valid fields for stats. */
-+      }
-+};
-+
-+#endif
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
-@@ -100,6 +100,10 @@
-  */
- #define MMAL_ENCODING_EGL_IMAGE        MMAL_FOURCC('E', 'G', 'L', 'I')
-+/** ISP image statistics format
-+ */
-+#define MMAL_ENCODING_BRCM_STATS       MMAL_FOURCC('S', 'T', 'A', 'T')
-+
- /* }@ */
- /** \name Pre-defined audio encodings */
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
-@@ -221,6 +221,62 @@ enum mmal_parameter_camera_type {
-       MMAL_PARAMETER_SHUTTER_SPEED,
-               /**< Takes a @ref MMAL_PARAMETER_AWB_GAINS_T */
-       MMAL_PARAMETER_CUSTOM_AWB_GAINS,
-+              /**< Takes a @ref MMAL_PARAMETER_CAMERA_SETTINGS_T */
-+      MMAL_PARAMETER_CAMERA_SETTINGS,
-+              /**< Takes a @ref MMAL_PARAMETER_PRIVACY_INDICATOR_T */
-+      MMAL_PARAMETER_PRIVACY_INDICATOR,
-+              /**< Takes a @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_VIDEO_DENOISE,
-+              /**< Takes a @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_STILLS_DENOISE,
-+              /**< Takes a @ref MMAL_PARAMETER_CAMERA_ANNOTATE_T */
-+      MMAL_PARAMETER_ANNOTATE,
-+              /**< Takes a @ref MMAL_PARAMETER_STEREOSCOPIC_MODE_T */
-+      MMAL_PARAMETER_STEREOSCOPIC_MODE,
-+              /**< Takes a @ref MMAL_PARAMETER_CAMERA_INTERFACE_T */
-+      MMAL_PARAMETER_CAMERA_INTERFACE,
-+              /**< Takes a @ref MMAL_PARAMETER_CAMERA_CLOCKING_MODE_T */
-+      MMAL_PARAMETER_CAMERA_CLOCKING_MODE,
-+              /**< Takes a @ref MMAL_PARAMETER_CAMERA_RX_CONFIG_T */
-+      MMAL_PARAMETER_CAMERA_RX_CONFIG,
-+              /**< Takes a @ref MMAL_PARAMETER_CAMERA_RX_TIMING_T */
-+      MMAL_PARAMETER_CAMERA_RX_TIMING,
-+              /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_DPF_CONFIG,
-+
-+      /* 0x50 */
-+              /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_JPEG_RESTART_INTERVAL,
-+              /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_CAMERA_ISP_BLOCK_OVERRIDE,
-+              /**< Takes a @ref MMAL_PARAMETER_LENS_SHADING_T */
-+      MMAL_PARAMETER_LENS_SHADING_OVERRIDE,
-+              /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
-+      MMAL_PARAMETER_BLACK_LEVEL,
-+              /**< Takes a @ref MMAL_PARAMETER_RESIZE_T */
-+      MMAL_PARAMETER_RESIZE_PARAMS,
-+              /**< Takes a @ref MMAL_PARAMETER_CROP_T */
-+      MMAL_PARAMETER_CROP,
-+              /**< Takes a @ref MMAL_PARAMETER_INT32_T */
-+      MMAL_PARAMETER_OUTPUT_SHIFT,
-+              /**< Takes a @ref MMAL_PARAMETER_INT32_T */
-+      MMAL_PARAMETER_CCM_SHIFT,
-+              /**< Takes a @ref MMAL_PARAMETER_CUSTOM_CCM_T */
-+      MMAL_PARAMETER_CUSTOM_CCM,
-+              /**< Takes a @ref MMAL_PARAMETER_RATIONAL_T */
-+      MMAL_PARAMETER_ANALOG_GAIN,
-+              /**< Takes a @ref MMAL_PARAMETER_RATIONAL_T */
-+      MMAL_PARAMETER_DIGITAL_GAIN,
-+              /**< Takes a @ref MMAL_PARAMETER_DENOISE_T */
-+      MMAL_PARAMETER_DENOISE,
-+              /**< Takes a @ref MMAL_PARAMETER_SHARPEN_T */
-+      MMAL_PARAMETER_SHARPEN,
-+              /**< Takes a @ref MMAL_PARAMETER_GEQ_T */
-+      MMAL_PARAMETER_GEQ,
-+              /**< Tales a @ref MMAP_PARAMETER_DPC_T */
-+      MMAL_PARAMETER_DPC,
-+              /**< Tales a @ref MMAP_PARAMETER_GAMMA_T */
-+      MMAL_PARAMETER_GAMMA,
- };
- struct mmal_parameter_rational {
-@@ -780,7 +836,102 @@ struct mmal_parameter_camera_info {
-       struct mmal_parameter_camera_info_camera
-               cameras[MMAL_PARAMETER_CAMERA_INFO_MAX_CAMERAS];
-       struct mmal_parameter_camera_info_flash
--                              flashes[MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES];
-+              flashes[MMAL_PARAMETER_CAMERA_INFO_MAX_FLASHES];
-+};
-+
-+struct mmal_parameter_ccm {
-+      struct mmal_parameter_rational ccm[3][3];
-+      s32 offsets[3];
-+};
-+
-+struct mmal_parameter_custom_ccm {
-+      u32 enabled; /**< Enable the custom CCM. */
-+      struct mmal_parameter_ccm ccm; /**< CCM to be used. */
-+};
-+
-+struct mmal_parameter_lens_shading {
-+      u32 enabled;
-+      u32 grid_cell_size;
-+      u32 grid_width;
-+      u32 grid_stride;
-+      u32 grid_height;
-+      u32 mem_handle_table;
-+      u32 ref_transform;
-+};
-+
-+enum mmal_parameter_ls_gain_format_type {
-+      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U0P8_1 = 0,
-+      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U1P7_0 = 1,
-+      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U1P7_1 = 2,
-+      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U2P6_0 = 3,
-+      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U2P6_1 = 4,
-+      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U3P5_0 = 5,
-+      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U3P5_1 = 6,
-+      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_U4P10  = 7,
-+      MMAL_PARAMETER_LS_GAIN_FORMAT_TYPE_DUMMY  = 0x7FFFFFFF
-+};
-+
-+struct mmal_parameter_lens_shading_v2 {
-+      u32 enabled;
-+      u32 grid_cell_size;
-+      u32 grid_width;
-+      u32 grid_stride;
-+      u32 grid_height;
-+      u32 mem_handle_table;
-+      u32 ref_transform;
-+      u32 corner_sampled;
-+      enum mmal_parameter_ls_gain_format_type gain_format;
-+};
-+
-+struct mmal_parameter_black_level {
-+      u32 enabled;
-+      u16 black_level_r;
-+      u16 black_level_g;
-+      u16 black_level_b;
-+      u8 pad_[2]; /* Unused */
-+};
-+
-+struct mmal_parameter_geq {
-+      u32 enabled;
-+      u32 offset;
-+      struct mmal_parameter_rational slope;
-+};
-+
-+#define MMAL_NUM_GAMMA_PTS 33
-+struct mmal_parameter_gamma {
-+      u32 enabled;
-+      u16 x[MMAL_NUM_GAMMA_PTS];
-+      u16 y[MMAL_NUM_GAMMA_PTS];
-+};
-+
-+struct mmal_parameter_denoise {
-+      u32 enabled;
-+      u32 constant;
-+      struct mmal_parameter_rational slope;
-+      struct mmal_parameter_rational strength;
-+};
-+
-+struct mmal_parameter_sharpen {
-+      u32 enabled;
-+      struct mmal_parameter_rational threshold;
-+      struct mmal_parameter_rational strength;
-+      struct mmal_parameter_rational limit;
-+};
-+
-+enum mmal_dpc_mode {
-+      MMAL_DPC_MODE_OFF = 0,
-+      MMAL_DPC_MODE_NORMAL = 1,
-+      MMAL_DPC_MODE_STRONG = 2,
-+      MMAL_DPC_MODE_MAX = 0x7FFFFFFF,
-+};
-+
-+struct mmal_parameter_dpc {
-+      u32 enabled;
-+      u32 strength;
-+};
-+
-+struct mmal_parameter_crop {
-+      struct vchiq_mmal_rect rect;
- };
- #endif
diff --git a/target/linux/bcm27xx/patches-5.4/950-0680-media-i2c-ov5647-Set-V4L2_SUBDEV_FL_HAS_EVENTS-flag.patch b/target/linux/bcm27xx/patches-5.4/950-0680-media-i2c-ov5647-Set-V4L2_SUBDEV_FL_HAS_EVENTS-flag.patch
new file mode 100644 (file)
index 0000000..b7a3f19
--- /dev/null
@@ -0,0 +1,143 @@
+From 0e864ac98ffc97d0bb5fc343ca62d860fbe8da09 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 29 Apr 2020 17:25:56 +0100
+Subject: [PATCH] media: i2c: ov5647: Set V4L2_SUBDEV_FL_HAS_EVENTS
+ flag
+
+The ov5647 subdev can generate control events, therefore set
+the V4L2_SUBDEV_FL_HAS_EVENTS flag.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/ov5647.c | 29 +++++++++++++++++++++++++++--
+ 1 file changed, 27 insertions(+), 2 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -90,6 +90,8 @@ struct ov5647_mode {
+       struct v4l2_rect crop;
+       u64 pixel_rate;
++      /* HTS as defined in the register set (0x380C/0x380D) */
++      int hts;
+       struct regval_list              *reg_list;
+       unsigned int                    num_regs;
+@@ -106,6 +108,7 @@ struct ov5647 {
+       unsigned int                    flags;
+       struct v4l2_ctrl_handler        ctrls;
+       struct v4l2_ctrl                *pixel_rate;
++      struct v4l2_ctrl                *hblank;
+       bool                            write_mode_regs;
+ };
+@@ -605,6 +608,7 @@ static struct ov5647_mode supported_mode
+                       .height = 960,
+               },
+               .pixel_rate = 77291670,
++              .hts = 1896,
+               ov5647_640x480_8bit,
+               ARRAY_SIZE(ov5647_640x480_8bit)
+       },
+@@ -629,6 +633,7 @@ static struct ov5647_mode supported_mode
+                       .height = 1944
+               },
+               .pixel_rate = 87500000,
++              .hts = 2844,
+               ov5647_2592x1944_10bit,
+               ARRAY_SIZE(ov5647_2592x1944_10bit)
+       },
+@@ -651,6 +656,7 @@ static struct ov5647_mode supported_mode
+                       .height = 1080,
+               },
+               .pixel_rate = 81666700,
++              .hts = 2416,
+               ov5647_1080p30_10bit,
+               ARRAY_SIZE(ov5647_1080p30_10bit)
+       },
+@@ -672,6 +678,7 @@ static struct ov5647_mode supported_mode
+                       .height = 1944,
+               },
+               .pixel_rate = 81666700,
++              .hts = 1896,
+               ov5647_2x2binned_10bit,
+               ARRAY_SIZE(ov5647_2x2binned_10bit)
+       },
+@@ -694,6 +701,7 @@ static struct ov5647_mode supported_mode
+                       .height = 1920,
+               },
+               .pixel_rate = 55000000,
++              .hts = 1852,
+               ov5647_640x480_10bit,
+               ARRAY_SIZE(ov5647_640x480_10bit)
+       },
+@@ -1168,6 +1176,8 @@ static int ov5647_set_fmt(struct v4l2_su
+                * If we have changed modes, write the I2C register list on
+                * a stream_on().
+                */
++              int hblank;
++
+               if (state->mode != mode)
+                       state->write_mode_regs = true;
+               state->mode = mode;
+@@ -1176,6 +1186,9 @@ static int ov5647_set_fmt(struct v4l2_su
+                                        mode->pixel_rate,
+                                        mode->pixel_rate, 1,
+                                        mode->pixel_rate);
++              hblank = mode->hts - mode->format.width;
++              __v4l2_ctrl_modify_range(state->hblank, hblank, hblank, 1,
++                                       hblank);
+       }
+       mutex_unlock(&state->lock);
+@@ -1395,6 +1408,9 @@ static int ov5647_s_ctrl(struct v4l2_ctr
+       case V4L2_CID_PIXEL_RATE:
+               /* Read-only, but we adjust it based on mode. */
+               break;
++      case V4L2_CID_HBLANK:
++              /* Read-only, but we adjust it based on mode. */
++              break;
+       default:
+               dev_info(&client->dev,
+                        "ctrl(id:0x%x,val:0x%x) is not handled\n",
+@@ -1419,6 +1435,7 @@ static int ov5647_probe(struct i2c_clien
+       struct device_node *np = client->dev.of_node;
+       u32 xclk_freq;
+       struct v4l2_ctrl *ctrl;
++      int hblank;
+       sensor = devm_kzalloc(dev, sizeof(*sensor), GFP_KERNEL);
+       if (!sensor)
+@@ -1452,7 +1469,7 @@ static int ov5647_probe(struct i2c_clien
+       mutex_init(&sensor->lock);
+       /* Initialise controls. */
+-      v4l2_ctrl_handler_init(&sensor->ctrls, 6);
++      v4l2_ctrl_handler_init(&sensor->ctrls, 7);
+       v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
+                         V4L2_CID_AUTOGAIN,
+                         0,  /* min */
+@@ -1495,6 +1512,13 @@ static int ov5647_probe(struct i2c_clien
+                                              sensor->mode->pixel_rate, 1,
+                                              sensor->mode->pixel_rate);
++      /* By default, HBLANK is read only, but it does change per mode */
++      hblank = sensor->mode->hts - sensor->mode->format.width;
++      sensor->hblank = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
++                                         V4L2_CID_HBLANK, hblank, hblank, 1,
++                                         hblank);
++      sensor->hblank->flags |= V4L2_CTRL_FLAG_READ_ONLY;
++
+       if (sensor->ctrls.error) {
+               ret = sensor->ctrls.error;
+               dev_err(&client->dev, "%s control init failed (%d)\n",
+@@ -1509,7 +1533,8 @@ static int ov5647_probe(struct i2c_clien
+       sd = &sensor->sd;
+       v4l2_i2c_subdev_init(sd, client, &ov5647_subdev_ops);
+       sensor->sd.internal_ops = &ov5647_subdev_internal_ops;
+-      sensor->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
++      sensor->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE |
++                          V4L2_SUBDEV_FL_HAS_EVENTS;
+       sensor->pad.flags = MEDIA_PAD_FL_SOURCE;
+       sd->entity.function = MEDIA_ENT_F_CAM_SENSOR;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0680-staging-vchiq-Load-bcm2835_isp-driver-from-vchiq.patch b/target/linux/bcm27xx/patches-5.4/950-0680-staging-vchiq-Load-bcm2835_isp-driver-from-vchiq.patch
deleted file mode 100644 (file)
index 70fe392..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-From 7f2f9b54862f7df5cdef95b85234fad83b6b3480 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Wed, 22 Apr 2020 08:32:32 +0100
-Subject: [PATCH] staging: vchiq: Load bcm2835_isp driver from vchiq
-
-bcmn2835_isp is a platform driver dependent on vchiq,
-therefore add the load/unload functions for it to vchiq.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c | 3 +++
- 1 file changed, 3 insertions(+)
-
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-@@ -142,6 +142,7 @@ static struct platform_device *bcm2835_c
- static struct platform_device *bcm2835_audio;
- static struct platform_device *bcm2835_codec;
- static struct platform_device *vcsm_cma;
-+static struct platform_device *bcm2835_isp;
- static struct vchiq_drvdata bcm2835_drvdata = {
-       .cache_line_size = 32,
-@@ -3281,6 +3282,7 @@ static int vchiq_probe(struct platform_d
-       bcm2835_codec = vchiq_register_child(pdev, "bcm2835-codec");
-       bcm2835_camera = vchiq_register_child(pdev, "bcm2835-camera");
-       bcm2835_audio = vchiq_register_child(pdev, "bcm2835_audio");
-+      bcm2835_isp = vchiq_register_child(pdev, "bcm2835-isp");
-       return 0;
-@@ -3293,6 +3295,7 @@ failed_platform_init:
- static int vchiq_remove(struct platform_device *pdev)
- {
-+      platform_device_unregister(bcm2835_isp);
-       platform_device_unregister(bcm2835_audio);
-       platform_device_unregister(bcm2835_camera);
-       platform_device_unregister(bcm2835_codec);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0681-media-i2c-ov5647-Add-support-for-V4L2_CID_VBLANK.patch b/target/linux/bcm27xx/patches-5.4/950-0681-media-i2c-ov5647-Add-support-for-V4L2_CID_VBLANK.patch
new file mode 100644 (file)
index 0000000..85d63cf
--- /dev/null
@@ -0,0 +1,205 @@
+From fbb943e35b519549eac8ee17bf20d651388a27dd Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 29 Apr 2020 21:39:58 +0100
+Subject: [PATCH] media: i2c: ov5647: Add support for V4L2_CID_VBLANK
+
+Adds vblank control to allow for frame rate control.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/ov5647.c | 65 ++++++++++++++++++++++++++++++++------
+ 1 file changed, 55 insertions(+), 10 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -61,6 +61,8 @@
+ #define OV5647_REG_AEC_AGC            0x3503
+ #define OV5647_REG_GAIN_HI            0x350A
+ #define OV5647_REG_GAIN_LO            0x350B
++#define OV5647_REG_VTS_HI             0x380e
++#define OV5647_REG_VTS_LO             0x380f
+ #define OV5647_REG_FRAME_OFF_NUMBER   0x4202
+ #define OV5647_REG_MIPI_CTRL00                0x4800
+ #define OV5647_REG_MIPI_CTRL14                0x4814
+@@ -79,6 +81,9 @@
+ #define OV5647_PIXEL_ARRAY_WIDTH      2592U
+ #define OV5647_PIXEL_ARRAY_HEIGHT     1944U
++#define OV5647_VBLANK_MIN             4
++#define OV5647_VTS_MAX                        32767
++
+ struct regval_list {
+       u16 addr;
+       u8 data;
+@@ -92,6 +97,8 @@ struct ov5647_mode {
+       u64 pixel_rate;
+       /* HTS as defined in the register set (0x380C/0x380D) */
+       int hts;
++      /* Default VTS value for this mode */
++      int vts_def;
+       struct regval_list              *reg_list;
+       unsigned int                    num_regs;
+@@ -109,6 +116,7 @@ struct ov5647 {
+       struct v4l2_ctrl_handler        ctrls;
+       struct v4l2_ctrl                *pixel_rate;
+       struct v4l2_ctrl                *hblank;
++      struct v4l2_ctrl                *vblank;
+       bool                            write_mode_regs;
+ };
+@@ -161,8 +169,6 @@ static struct regval_list ov5647_640x480
+       {0x3b07, 0x0c},
+       {0x380c, 0x07},
+       {0x380d, 0x68},
+-      {0x380e, 0x03},
+-      {0x380f, 0xd8},
+       {0x3814, 0x31},
+       {0x3815, 0x31},
+       {0x3708, 0x64},
+@@ -251,8 +257,6 @@ static struct regval_list ov5647_2592x19
+       {0x3b07, 0x0c},
+       {0x380c, 0x0b},
+       {0x380d, 0x1c},
+-      {0x380e, 0x07},
+-      {0x380f, 0xb0},
+       {0x3814, 0x11},
+       {0x3815, 0x11},
+       {0x3708, 0x64},
+@@ -342,8 +346,6 @@ static struct regval_list ov5647_1080p30
+       {0x3b07, 0x0c},
+       {0x380c, 0x09},
+       {0x380d, 0x70},
+-      {0x380e, 0x04},
+-      {0x380f, 0x50},
+       {0x3814, 0x11},
+       {0x3815, 0x11},
+       {0x3708, 0x64},
+@@ -485,8 +487,6 @@ static struct regval_list ov5647_2x2binn
+       {0x3503, 0x03},
+       {0x3820, 0x41},
+       {0x3821, 0x07},
+-      {0x380E, 0x05},
+-      {0x380F, 0x9B},
+       {0x350A, 0x00},
+       {0x350B, 0x10},
+       {0x3500, 0x00},
+@@ -520,8 +520,6 @@ static struct regval_list ov5647_640x480
+       {0x3b07, 0x0c},
+       {0x380c, 0x07},
+       {0x380d, 0x3c},
+-      {0x380e, 0x01},
+-      {0x380f, 0xf8},
+       {0x3814, 0x35},
+       {0x3815, 0x35},
+       {0x3708, 0x64},
+@@ -609,6 +607,7 @@ static struct ov5647_mode supported_mode
+               },
+               .pixel_rate = 77291670,
+               .hts = 1896,
++              .vts_def = 0x3d8,
+               ov5647_640x480_8bit,
+               ARRAY_SIZE(ov5647_640x480_8bit)
+       },
+@@ -634,6 +633,7 @@ static struct ov5647_mode supported_mode
+               },
+               .pixel_rate = 87500000,
+               .hts = 2844,
++              .vts_def = 0x7b0,
+               ov5647_2592x1944_10bit,
+               ARRAY_SIZE(ov5647_2592x1944_10bit)
+       },
+@@ -657,6 +657,7 @@ static struct ov5647_mode supported_mode
+               },
+               .pixel_rate = 81666700,
+               .hts = 2416,
++              .vts_def = 0x450,
+               ov5647_1080p30_10bit,
+               ARRAY_SIZE(ov5647_1080p30_10bit)
+       },
+@@ -679,6 +680,7 @@ static struct ov5647_mode supported_mode
+               },
+               .pixel_rate = 81666700,
+               .hts = 1896,
++              .vts_def = 0x59b,
+               ov5647_2x2binned_10bit,
+               ARRAY_SIZE(ov5647_2x2binned_10bit)
+       },
+@@ -702,6 +704,7 @@ static struct ov5647_mode supported_mode
+               },
+               .pixel_rate = 55000000,
+               .hts = 1852,
++              .vts_def = 0x1f8,
+               ov5647_640x480_10bit,
+               ARRAY_SIZE(ov5647_640x480_10bit)
+       },
+@@ -710,6 +713,29 @@ static struct ov5647_mode supported_mode
+ /* Use 2x2 binned 10-bit mode as default. */
+ #define OV5647_DEFAULT_MODE (&supported_modes_10bit[2])
++static int ov5647_write16(struct v4l2_subdev *sd, u16 reg, u16 val)
++{
++      int ret;
++      unsigned char data[4] = { reg >> 8, reg & 0xff, val >> 8, val & 0xff};
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
++
++      ret = i2c_master_send(client, data, 4);
++      /*
++       * Writing the wrong number of bytes also needs to be flagged as an
++       * error. Success needs to produce a 0 return code.
++       */
++      if (ret == 4) {
++              ret = 0;
++      } else {
++              dev_dbg(&client->dev, "%s: i2c write error, reg: %x\n",
++                      __func__, reg);
++              if (ret >= 0)
++                      ret = -EINVAL;
++      }
++
++      return ret;
++}
++
+ static int ov5647_write(struct v4l2_subdev *sd, u16 reg, u8 val)
+ {
+       int ret;
+@@ -1189,6 +1215,14 @@ static int ov5647_set_fmt(struct v4l2_su
+               hblank = mode->hts - mode->format.width;
+               __v4l2_ctrl_modify_range(state->hblank, hblank, hblank, 1,
+                                        hblank);
++
++              __v4l2_ctrl_modify_range(state->vblank,
++                                       OV5647_VBLANK_MIN,
++                                       OV5647_VTS_MAX - mode->format.height,
++                                       1,
++                                       mode->vts_def - mode->format.height);
++              __v4l2_ctrl_s_ctrl(state->vblank,
++                                 mode->vts_def - mode->format.height);
+       }
+       mutex_unlock(&state->lock);
+@@ -1411,6 +1445,10 @@ static int ov5647_s_ctrl(struct v4l2_ctr
+       case V4L2_CID_HBLANK:
+               /* Read-only, but we adjust it based on mode. */
+               break;
++      case V4L2_CID_VBLANK:
++              ret = ov5647_write16(sd, OV5647_REG_VTS_HI,
++                                   state->mode->format.height + ctrl->val);
++              break;
+       default:
+               dev_info(&client->dev,
+                        "ctrl(id:0x%x,val:0x%x) is not handled\n",
+@@ -1519,6 +1557,13 @@ static int ov5647_probe(struct i2c_clien
+                                          hblank);
+       sensor->hblank->flags |= V4L2_CTRL_FLAG_READ_ONLY;
++      sensor->vblank = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
++                                         V4L2_CID_VBLANK, OV5647_VBLANK_MIN,
++                                         OV5647_VTS_MAX -
++                                              sensor->mode->format.height, 1,
++                                         sensor->mode->vts_def -
++                                              sensor->mode->format.height);
++
+       if (sensor->ctrls.error) {
+               ret = sensor->ctrls.error;
+               dev_err(&client->dev, "%s control init failed (%d)\n",
diff --git a/target/linux/bcm27xx/patches-5.4/950-0681-vc4_hvs-Mark-core-clock-as-optional.patch b/target/linux/bcm27xx/patches-5.4/950-0681-vc4_hvs-Mark-core-clock-as-optional.patch
deleted file mode 100644 (file)
index 816ca3c..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-From 41b2f1242ff3f90c88de2de93dbec1f5734b45fd Mon Sep 17 00:00:00 2001
-From: popcornmix <popcornmix@gmail.com>
-Date: Tue, 28 Apr 2020 17:35:07 +0100
-Subject: [PATCH] vc4_hvs: Mark core clock as optional
-
-This isn't required on Pi3, so don't treat as an error
-
-Signed-off-by: popcornmix <popcornmix@gmail.com>
----
- drivers/gpu/drm/vc4/vc4_hvs.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hvs.c
-+++ b/drivers/gpu/drm/vc4/vc4_hvs.c
-@@ -240,7 +240,7 @@ static int vc4_hvs_bind(struct device *d
-       hvs->regset.regs = hvs_regs;
-       hvs->regset.nregs = ARRAY_SIZE(hvs_regs);
--      hvs->core_clk = devm_clk_get(&pdev->dev, NULL);
-+      hvs->core_clk = devm_clk_get_optional(&pdev->dev, NULL);
-       if (IS_ERR(hvs->core_clk)) {
-               dev_err(&pdev->dev, "Couldn't get core clock\n");
-               return PTR_ERR(hvs->regs);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0682-media-i2c-ov5647-Neither-analogue-gain-nor-exposure-.patch b/target/linux/bcm27xx/patches-5.4/950-0682-media-i2c-ov5647-Neither-analogue-gain-nor-exposure-.patch
new file mode 100644 (file)
index 0000000..039809e
--- /dev/null
@@ -0,0 +1,58 @@
+From ea0b801a818e837e657c53687f03d62805e2e586 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 29 Apr 2020 21:47:25 +0100
+Subject: [PATCH] media: i2c: ov5647: Neither analogue gain nor
+ exposure need EXECUTE_ON_WRITE
+
+The controls for analogue gain and exposure were defined with
+V4L2_CTRL_FLAG_EXECUTE_ON_WRITE. This is not required as we only need
+to send changes to the sensor.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/ov5647.c | 27 ++++++++++++---------------
+ 1 file changed, 12 insertions(+), 15 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -1472,7 +1472,6 @@ static int ov5647_probe(struct i2c_clien
+       struct v4l2_subdev *sd;
+       struct device_node *np = client->dev.of_node;
+       u32 xclk_freq;
+-      struct v4l2_ctrl *ctrl;
+       int hblank;
+       sensor = devm_kzalloc(dev, sizeof(*sensor), GFP_KERNEL);
+@@ -1525,20 +1524,18 @@ static int ov5647_probe(struct i2c_clien
+                              V4L2_EXPOSURE_MANUAL,  /* max */
+                              0,                     /* skip_mask */
+                              V4L2_EXPOSURE_MANUAL); /* default */
+-      ctrl = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
+-                               V4L2_CID_EXPOSURE,
+-                               4,     /* min lines */
+-                               65535, /* max lines (4+8+4 bits)*/
+-                               1,     /* step */
+-                               1000); /* default number of lines */
+-      ctrl->flags |= V4L2_CTRL_FLAG_EXECUTE_ON_WRITE;
+-      ctrl = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
+-                               V4L2_CID_ANALOGUE_GAIN,
+-                               16,   /* min, 16 = 1.0x */
+-                               1023, /* max (10 bits) */
+-                               1,    /* step */
+-                               32);  /* default, 32 = 2.0x */
+-      ctrl->flags |= V4L2_CTRL_FLAG_EXECUTE_ON_WRITE;
++      v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
++                        V4L2_CID_EXPOSURE,
++                        4,     /* min lines */
++                        65535, /* max lines (4+8+4 bits)*/
++                        1,     /* step */
++                        1000); /* default number of lines */
++      v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
++                        V4L2_CID_ANALOGUE_GAIN,
++                        16,   /* min, 16 = 1.0x */
++                        1023, /* max (10 bits) */
++                        1,    /* step */
++                        32);  /* default, 32 = 2.0x */
+       /* Set the default mode before we init the subdev */
+       sensor->mode = OV5647_DEFAULT_MODE;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0682-vc4_hdmi-BCM2835-requires-a-fixed-hsm-clock-for-CEC-.patch b/target/linux/bcm27xx/patches-5.4/950-0682-vc4_hdmi-BCM2835-requires-a-fixed-hsm-clock-for-CEC-.patch
deleted file mode 100644 (file)
index e5ffc3e..0000000
+++ /dev/null
@@ -1,93 +0,0 @@
-From af3f381a59c10f6bd49d86a5ff2325b6ebeb79e9 Mon Sep 17 00:00:00 2001
-From: popcornmix <popcornmix@gmail.com>
-Date: Mon, 27 Apr 2020 19:07:50 +0100
-Subject: [PATCH] vc4_hdmi: BCM2835 requires a fixed hsm clock for CEC
- to work
-
-Signed-off-by: popcornmix <popcornmix@gmail.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 32 ++++++++++++++++++++++++++------
- drivers/gpu/drm/vc4/vc4_hdmi.h |  3 +++
- 2 files changed, 29 insertions(+), 6 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -580,12 +580,7 @@ static void vc4_hdmi_encoder_enable(stru
-               return;
-       }
--      /*
--       * The HSM rate needs to be slightly greater than the pixel clock, with
--       * a minimum of 108MHz.
--       * Use 101% as this is what the firmware uses.
--       */
--      hsm_rate = max_t(unsigned long, 108000000, (pixel_rate / 100) * 101);
-+      hsm_rate = vc4_hdmi->variant->calc_hsm_clock(vc4_hdmi, pixel_rate);
-       ret = clk_set_rate(vc4_hdmi->hsm_clock, hsm_rate);
-       if (ret) {
-               DRM_ERROR("Failed to set HSM clock rate: %d\n", ret);
-@@ -753,6 +748,28 @@ static u32 vc5_hdmi_get_hsm_clock(struct
-       return 108000000;
- }
-+static u32 vc4_hdmi_calc_hsm_clock(struct vc4_hdmi *vc4_hdmi, unsigned long pixel_rate)
-+{
-+      /*
-+       * This is the rate that is set by the firmware.  The number
-+       * needs to be a bit higher than the pixel clock rate
-+       * (generally 148.5Mhz).
-+       */
-+
-+      return 163682864;
-+}
-+
-+static u32 vc5_hdmi_calc_hsm_clock(struct vc4_hdmi *vc4_hdmi, unsigned long pixel_rate)
-+{
-+      /*
-+       * The HSM rate needs to be slightly greater than the pixel clock, with
-+       * a minimum of 108MHz.
-+       * Use 101% as this is what the firmware uses.
-+       */
-+
-+      return max_t(unsigned long, 108000000, (pixel_rate / 100) * 101);
-+}
-+
- static u32 vc4_hdmi_channel_map(struct vc4_hdmi *vc4_hdmi, u32 channel_mask)
- {
-       int i;
-@@ -1749,6 +1766,7 @@ static const struct vc4_hdmi_variant bcm
-       .phy_rng_enable         = vc4_hdmi_phy_rng_enable,
-       .phy_rng_disable        = vc4_hdmi_phy_rng_disable,
-       .get_hsm_clock          = vc4_hdmi_get_hsm_clock,
-+      .calc_hsm_clock         = vc4_hdmi_calc_hsm_clock,
-       .channel_map            = vc4_hdmi_channel_map,
- };
-@@ -1773,6 +1791,7 @@ static const struct vc4_hdmi_variant bcm
-       .phy_rng_enable         = vc5_hdmi_phy_rng_enable,
-       .phy_rng_disable        = vc5_hdmi_phy_rng_disable,
-       .get_hsm_clock          = vc5_hdmi_get_hsm_clock,
-+      .calc_hsm_clock         = vc5_hdmi_calc_hsm_clock,
-       .channel_map            = vc5_hdmi_channel_map,
- };
-@@ -1797,6 +1816,7 @@ static const struct vc4_hdmi_variant bcm
-       .phy_rng_enable         = vc5_hdmi_phy_rng_enable,
-       .phy_rng_disable        = vc5_hdmi_phy_rng_disable,
-       .get_hsm_clock          = vc5_hdmi_get_hsm_clock,
-+      .calc_hsm_clock         = vc5_hdmi_calc_hsm_clock,
-       .channel_map            = vc5_hdmi_channel_map,
- };
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -92,6 +92,9 @@ struct vc4_hdmi_variant {
-       /* Callback to get hsm clock */
-       u32 (*get_hsm_clock)(struct vc4_hdmi *vc4_hdmi);
-+      /* Callback to get hsm clock */
-+      u32 (*calc_hsm_clock)(struct vc4_hdmi *vc4_hdmi, unsigned long pixel_rate);
-+
-       /* Callback to get channel map */
-       u32 (*channel_map)(struct vc4_hdmi *vc4_hdmi, u32 channel_mask);
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0683-media-i2c-imx219-Implement-get_selection.patch b/target/linux/bcm27xx/patches-5.4/950-0683-media-i2c-imx219-Implement-get_selection.patch
deleted file mode 100644 (file)
index cb7a0af..0000000
+++ /dev/null
@@ -1,181 +0,0 @@
-From f479cf37ccda2be7204a964fe2747dfcb4b56bf6 Mon Sep 17 00:00:00 2001
-From: Jacopo Mondi <jacopo@jmondi.org>
-Date: Wed, 29 Apr 2020 11:50:38 +0200
-Subject: [PATCH] media: i2c: imx219: Implement get_selection
-
-Implement the get_selection pad operation for the IMX219 sensor driver.
-The supported targets report the sensor's native size, the crop default
-rectangle and the crop rectangle.
-
-Reviewed-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
-Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
----
- drivers/media/i2c/imx219.c | 94 ++++++++++++++++++++++++++++++++++++++
- 1 file changed, 94 insertions(+)
-
---- a/drivers/media/i2c/imx219.c
-+++ b/drivers/media/i2c/imx219.c
-@@ -122,6 +122,14 @@ enum pad_types {
-       NUM_PADS
- };
-+/* IMX219 native and active pixel array size. */
-+#define IMX219_NATIVE_WIDTH           3296U
-+#define IMX219_NATIVE_HEIGHT          2480U
-+#define IMX219_PIXEL_ARRAY_LEFT               8U
-+#define IMX219_PIXEL_ARRAY_TOP                8U
-+#define IMX219_PIXEL_ARRAY_WIDTH      3280U
-+#define IMX219_PIXEL_ARRAY_HEIGHT     2464U
-+
- struct imx219_reg {
-       u16 address;
-       u8 val;
-@@ -139,6 +147,9 @@ struct imx219_mode {
-       /* Frame height */
-       unsigned int height;
-+      /* Analog crop rectangle. */
-+      struct v4l2_rect crop;
-+
-       /* V-timing */
-       unsigned int vts_def;
-@@ -473,6 +484,12 @@ static const struct imx219_mode supporte
-               /* 8MPix 15fps mode */
-               .width = 3280,
-               .height = 2464,
-+              .crop = {
-+                      .left = 0,
-+                      .top = 0,
-+                      .width = 3280,
-+                      .height = 2464
-+              },
-               .vts_def = IMX219_VTS_15FPS,
-               .reg_list = {
-                       .num_of_regs = ARRAY_SIZE(mode_3280x2464_regs),
-@@ -483,6 +500,12 @@ static const struct imx219_mode supporte
-               /* 1080P 30fps cropped */
-               .width = 1920,
-               .height = 1080,
-+              .crop = {
-+                      .left = 680,
-+                      .top = 692,
-+                      .width = 1920,
-+                      .height = 1080
-+              },
-               .vts_def = IMX219_VTS_30FPS_1080P,
-               .reg_list = {
-                       .num_of_regs = ARRAY_SIZE(mode_1920_1080_regs),
-@@ -493,6 +516,12 @@ static const struct imx219_mode supporte
-               /* 2x2 binned 30fps mode */
-               .width = 1640,
-               .height = 1232,
-+              .crop = {
-+                      .left = 0,
-+                      .top = 0,
-+                      .width = 3280,
-+                      .height = 2464
-+              },
-               .vts_def = IMX219_VTS_30FPS_BINNED,
-               .reg_list = {
-                       .num_of_regs = ARRAY_SIZE(mode_1640_1232_regs),
-@@ -503,6 +532,12 @@ static const struct imx219_mode supporte
-               /* 640x480 30fps mode */
-               .width = 640,
-               .height = 480,
-+              .crop = {
-+                      .left = 1000,
-+                      .top = 752,
-+                      .width = 1280,
-+                      .height = 960
-+              },
-               .vts_def = IMX219_VTS_30FPS_640x480,
-               .reg_list = {
-                       .num_of_regs = ARRAY_SIZE(mode_640_480_regs),
-@@ -666,6 +701,7 @@ static int imx219_open(struct v4l2_subde
-               v4l2_subdev_get_try_format(sd, fh->pad, IMAGE_PAD);
-       struct v4l2_mbus_framefmt *try_fmt_meta =
-               v4l2_subdev_get_try_format(sd, fh->pad, METADATA_PAD);
-+      struct v4l2_rect *try_crop;
-       mutex_lock(&imx219->mutex);
-@@ -682,6 +718,13 @@ static int imx219_open(struct v4l2_subde
-       try_fmt_meta->code = MEDIA_BUS_FMT_SENSOR_DATA;
-       try_fmt_meta->field = V4L2_FIELD_NONE;
-+      /* Initialize try_crop rectangle. */
-+      try_crop = v4l2_subdev_get_try_crop(sd, fh->pad, 0);
-+      try_crop->top = IMX219_PIXEL_ARRAY_TOP;
-+      try_crop->left = IMX219_PIXEL_ARRAY_LEFT;
-+      try_crop->width = IMX219_PIXEL_ARRAY_WIDTH;
-+      try_crop->height = IMX219_PIXEL_ARRAY_HEIGHT;
-+
-       mutex_unlock(&imx219->mutex);
-       return 0;
-@@ -1011,6 +1054,56 @@ static int imx219_set_framefmt(struct im
-       return -EINVAL;
- }
-+static const struct v4l2_rect *
-+__imx219_get_pad_crop(struct imx219 *imx219, struct v4l2_subdev_pad_config *cfg,
-+                    unsigned int pad, enum v4l2_subdev_format_whence which)
-+{
-+      switch (which) {
-+      case V4L2_SUBDEV_FORMAT_TRY:
-+              return v4l2_subdev_get_try_crop(&imx219->sd, cfg, pad);
-+      case V4L2_SUBDEV_FORMAT_ACTIVE:
-+              return &imx219->mode->crop;
-+      }
-+
-+      return NULL;
-+}
-+
-+static int imx219_get_selection(struct v4l2_subdev *sd,
-+                              struct v4l2_subdev_pad_config *cfg,
-+                              struct v4l2_subdev_selection *sel)
-+{
-+      switch (sel->target) {
-+      case V4L2_SEL_TGT_CROP: {
-+              struct imx219 *imx219 = to_imx219(sd);
-+
-+              mutex_lock(&imx219->mutex);
-+              sel->r = *__imx219_get_pad_crop(imx219, cfg, sel->pad,
-+                                              sel->which);
-+              mutex_unlock(&imx219->mutex);
-+
-+              return 0;
-+      }
-+
-+      case V4L2_SEL_TGT_NATIVE_SIZE:
-+              sel->r.top = 0;
-+              sel->r.left = 0;
-+              sel->r.width = IMX219_NATIVE_WIDTH;
-+              sel->r.height = IMX219_NATIVE_HEIGHT;
-+
-+              return 0;
-+
-+      case V4L2_SEL_TGT_CROP_DEFAULT:
-+              sel->r.top = IMX219_PIXEL_ARRAY_TOP;
-+              sel->r.left = IMX219_PIXEL_ARRAY_LEFT;
-+              sel->r.width = IMX219_PIXEL_ARRAY_WIDTH;
-+              sel->r.height = IMX219_PIXEL_ARRAY_HEIGHT;
-+
-+              return 0;
-+      }
-+
-+      return -EINVAL;
-+}
-+
- static int imx219_start_streaming(struct imx219 *imx219)
- {
-       struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
-@@ -1235,6 +1328,7 @@ static const struct v4l2_subdev_pad_ops
-       .enum_mbus_code = imx219_enum_mbus_code,
-       .get_fmt = imx219_get_pad_format,
-       .set_fmt = imx219_set_pad_format,
-+      .get_selection = imx219_get_selection,
-       .enum_frame_size = imx219_enum_frame_size,
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0683-media-i2c-ov5647-Use-member-names-in-mode-tables.patch b/target/linux/bcm27xx/patches-5.4/950-0683-media-i2c-ov5647-Use-member-names-in-mode-tables.patch
new file mode 100644 (file)
index 0000000..d8ef700
--- /dev/null
@@ -0,0 +1,111 @@
+From 95b6a6fea10497ca8f583768522d80317f8d700e Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 29 Apr 2020 22:11:01 +0100
+Subject: [PATCH] media: i2c: ov5647: Use member names in mode tables
+
+To make adding new members to the mode structures easier, use
+the member names in the initialisers.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/ov5647.c | 30 +++++++++++++++---------------
+ 1 file changed, 15 insertions(+), 15 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -592,7 +592,7 @@ static struct ov5647_mode supported_mode
+        * Uncentred crop (top left quarter) from 2x2 binned 1296x972 image.
+        */
+       {
+-              {
++              .format = {
+                       .code = MEDIA_BUS_FMT_SBGGR8_1X8,
+                       .colorspace = V4L2_COLORSPACE_SRGB,
+                       .field = V4L2_FIELD_NONE,
+@@ -608,8 +608,8 @@ static struct ov5647_mode supported_mode
+               .pixel_rate = 77291670,
+               .hts = 1896,
+               .vts_def = 0x3d8,
+-              ov5647_640x480_8bit,
+-              ARRAY_SIZE(ov5647_640x480_8bit)
++              .reg_list = ov5647_640x480_8bit,
++              .num_regs = ARRAY_SIZE(ov5647_640x480_8bit)
+       },
+ };
+@@ -618,7 +618,7 @@ static struct ov5647_mode supported_mode
+        * MODE 0: 2592x1944 full resolution full FOV 10-bit mode.
+        */
+       {
+-              {
++              .format = {
+                       .code = MEDIA_BUS_FMT_SBGGR10_1X10,
+                       .colorspace = V4L2_COLORSPACE_SRGB,
+                       .field = V4L2_FIELD_NONE,
+@@ -634,15 +634,15 @@ static struct ov5647_mode supported_mode
+               .pixel_rate = 87500000,
+               .hts = 2844,
+               .vts_def = 0x7b0,
+-              ov5647_2592x1944_10bit,
+-              ARRAY_SIZE(ov5647_2592x1944_10bit)
++              .reg_list = ov5647_2592x1944_10bit,
++              .num_regs = ARRAY_SIZE(ov5647_2592x1944_10bit)
+       },
+       /*
+        * MODE 1: 1080p30 10-bit mode.
+        * Full resolution centre-cropped down to 1080p.
+        */
+       {
+-              {
++              .format = {
+                       .code = MEDIA_BUS_FMT_SBGGR10_1X10,
+                       .colorspace = V4L2_COLORSPACE_SRGB,
+                       .field = V4L2_FIELD_NONE,
+@@ -658,14 +658,14 @@ static struct ov5647_mode supported_mode
+               .pixel_rate = 81666700,
+               .hts = 2416,
+               .vts_def = 0x450,
+-              ov5647_1080p30_10bit,
+-              ARRAY_SIZE(ov5647_1080p30_10bit)
++              .reg_list = ov5647_1080p30_10bit,
++              .num_regs = ARRAY_SIZE(ov5647_1080p30_10bit)
+       },
+       /*
+        * MODE 2: 2x2 binned full FOV 10-bit mode.
+        */
+       {
+-              {
++              .format = {
+                       .code = MEDIA_BUS_FMT_SBGGR10_1X10,
+                       .colorspace = V4L2_COLORSPACE_SRGB,
+                       .field = V4L2_FIELD_NONE,
+@@ -681,15 +681,15 @@ static struct ov5647_mode supported_mode
+               .pixel_rate = 81666700,
+               .hts = 1896,
+               .vts_def = 0x59b,
+-              ov5647_2x2binned_10bit,
+-              ARRAY_SIZE(ov5647_2x2binned_10bit)
++              .reg_list = ov5647_2x2binned_10bit,
++              .num_regs = ARRAY_SIZE(ov5647_2x2binned_10bit)
+       },
+       /*
+        * MODE 3: 10-bit VGA full FOV mode 60fps.
+        * 2x2 binned and subsampled down to VGA.
+        */
+       {
+-              {
++              .format = {
+                       .code = MEDIA_BUS_FMT_SBGGR10_1X10,
+                       .colorspace = V4L2_COLORSPACE_SRGB,
+                       .field = V4L2_FIELD_NONE,
+@@ -705,8 +705,8 @@ static struct ov5647_mode supported_mode
+               .pixel_rate = 55000000,
+               .hts = 1852,
+               .vts_def = 0x1f8,
+-              ov5647_640x480_10bit,
+-              ARRAY_SIZE(ov5647_640x480_10bit)
++              .reg_list = ov5647_640x480_10bit,
++              .num_regs = ARRAY_SIZE(ov5647_640x480_10bit)
+       },
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0684-media-i2c-ov5647-Add-support-for-g_selection-to-refl.patch b/target/linux/bcm27xx/patches-5.4/950-0684-media-i2c-ov5647-Add-support-for-g_selection-to-refl.patch
deleted file mode 100644 (file)
index 66715a1..0000000
+++ /dev/null
@@ -1,206 +0,0 @@
-From 940cac315aaeca33483bffcf09a235195e3f5272 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 29 Apr 2020 11:46:07 +0100
-Subject: [PATCH] media: i2c: ov5647: Add support for g_selection to
- reflect cropping/binning
-
-In order to apply lens shading correctly the client needs to know how
-each mode crops or scales the image compared to the full sensor array.
-Implement this (based on the imx219 equivalent).
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/media/i2c/ov5647.c | 119 ++++++++++++++++++++++++++++++-------
- 1 file changed, 96 insertions(+), 23 deletions(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -70,25 +70,14 @@
- #define VAL_TERM 0xfe
- #define REG_DLY  0xffff
--#define OV5647_ROW_START              0x01
--#define OV5647_ROW_START_MIN          0
--#define OV5647_ROW_START_MAX          2004
--#define OV5647_ROW_START_DEF          54
--
--#define OV5647_COLUMN_START           0x02
--#define OV5647_COLUMN_START_MIN               0
--#define OV5647_COLUMN_START_MAX               2750
--#define OV5647_COLUMN_START_DEF               16
--
--#define OV5647_WINDOW_HEIGHT          0x03
--#define OV5647_WINDOW_HEIGHT_MIN      2
--#define OV5647_WINDOW_HEIGHT_MAX      2006
--#define OV5647_WINDOW_HEIGHT_DEF      1944
--
--#define OV5647_WINDOW_WIDTH           0x04
--#define OV5647_WINDOW_WIDTH_MIN               2
--#define OV5647_WINDOW_WIDTH_MAX               2752
--#define OV5647_WINDOW_WIDTH_DEF               2592
-+/* OV5647 native and active pixel array size */
-+#define OV5647_NATIVE_WIDTH           2624U
-+#define OV5647_NATIVE_HEIGHT          1956U
-+
-+#define OV5647_PIXEL_ARRAY_LEFT               16U
-+#define OV5647_PIXEL_ARRAY_TOP                16U
-+#define OV5647_PIXEL_ARRAY_WIDTH      2592U
-+#define OV5647_PIXEL_ARRAY_HEIGHT     1944U
- struct regval_list {
-       u16 addr;
-@@ -97,6 +86,9 @@ struct regval_list {
- struct ov5647_mode {
-       struct v4l2_mbus_framefmt       format;
-+      /* Analog crop rectangle. */
-+      struct v4l2_rect crop;
-+
-       struct regval_list              *reg_list;
-       unsigned int                    num_regs;
- };
-@@ -603,6 +595,12 @@ static struct ov5647_mode supported_mode
-                       .width = 640,
-                       .height = 480
-               },
-+              .crop = {
-+                      .left = 0,
-+                      .top = 0,
-+                      .width = 1280,
-+                      .height = 960,
-+              },
-               ov5647_640x480_8bit,
-               ARRAY_SIZE(ov5647_640x480_8bit)
-       },
-@@ -620,6 +618,12 @@ static struct ov5647_mode supported_mode
-                       .width = 2592,
-                       .height = 1944
-               },
-+              .crop = {
-+                      .left = 0,
-+                      .top = 0,
-+                      .width = 2592,
-+                      .height = 1944
-+              },
-               ov5647_2592x1944_10bit,
-               ARRAY_SIZE(ov5647_2592x1944_10bit)
-       },
-@@ -635,6 +639,12 @@ static struct ov5647_mode supported_mode
-                       .width = 1920,
-                       .height = 1080
-               },
-+              .crop = {
-+                      .left = 348,
-+                      .top = 434,
-+                      .width = 1928,
-+                      .height = 1080,
-+              },
-               ov5647_1080p30_10bit,
-               ARRAY_SIZE(ov5647_1080p30_10bit)
-       },
-@@ -649,6 +659,12 @@ static struct ov5647_mode supported_mode
-                       .width = 1296,
-                       .height = 972
-               },
-+              .crop = {
-+                      .left = 0,
-+                      .top = 0,
-+                      .width = 2592,
-+                      .height = 1944,
-+              },
-               ov5647_2x2binned_10bit,
-               ARRAY_SIZE(ov5647_2x2binned_10bit)
-       },
-@@ -664,6 +680,12 @@ static struct ov5647_mode supported_mode
-                       .width = 640,
-                       .height = 480
-               },
-+              .crop = {
-+                      .left = 16,
-+                      .top = 0,
-+                      .width = 2560,
-+                      .height = 1920,
-+              },
-               ov5647_640x480_10bit,
-               ARRAY_SIZE(ov5647_640x480_10bit)
-       },
-@@ -971,6 +993,56 @@ static const struct v4l2_subdev_core_ops
- #endif
- };
-+static const struct v4l2_rect *
-+__ov5647_get_pad_crop(struct ov5647 *ov5647, struct v4l2_subdev_pad_config *cfg,
-+                    unsigned int pad, enum v4l2_subdev_format_whence which)
-+{
-+      switch (which) {
-+      case V4L2_SUBDEV_FORMAT_TRY:
-+              return v4l2_subdev_get_try_crop(&ov5647->sd, cfg, pad);
-+      case V4L2_SUBDEV_FORMAT_ACTIVE:
-+              return &ov5647->mode->crop;
-+      }
-+
-+      return NULL;
-+}
-+
-+static int ov5647_get_selection(struct v4l2_subdev *sd,
-+                              struct v4l2_subdev_pad_config *cfg,
-+                              struct v4l2_subdev_selection *sel)
-+{
-+      switch (sel->target) {
-+      case V4L2_SEL_TGT_CROP: {
-+              struct ov5647 *state = to_state(sd);
-+
-+              mutex_lock(&state->lock);
-+              sel->r = *__ov5647_get_pad_crop(state, cfg, sel->pad,
-+                                              sel->which);
-+              mutex_unlock(&state->lock);
-+
-+              return 0;
-+      }
-+
-+      case V4L2_SEL_TGT_NATIVE_SIZE:
-+              sel->r.top = 0;
-+              sel->r.left = 0;
-+              sel->r.width = OV5647_NATIVE_WIDTH;
-+              sel->r.height = OV5647_NATIVE_HEIGHT;
-+
-+              return 0;
-+
-+      case V4L2_SEL_TGT_CROP_DEFAULT:
-+              sel->r.top = OV5647_PIXEL_ARRAY_TOP;
-+              sel->r.left = OV5647_PIXEL_ARRAY_LEFT;
-+              sel->r.width = OV5647_PIXEL_ARRAY_WIDTH;
-+              sel->r.height = OV5647_PIXEL_ARRAY_HEIGHT;
-+
-+              return 0;
-+      }
-+
-+      return -EINVAL;
-+}
-+
- static int ov5647_s_stream(struct v4l2_subdev *sd, int enable)
- {
-       struct ov5647 *state = to_state(sd);
-@@ -1122,6 +1194,7 @@ static const struct v4l2_subdev_pad_ops
-       .enum_mbus_code = ov5647_enum_mbus_code,
-       .set_fmt =        ov5647_set_fmt,
-       .get_fmt =        ov5647_get_fmt,
-+      .get_selection =  ov5647_get_selection,
-       .enum_frame_size = ov5647_enum_frame_size,
- };
-@@ -1170,10 +1243,10 @@ static int ov5647_open(struct v4l2_subde
-                               v4l2_subdev_get_try_crop(sd, fh->pad, 0);
-       struct ov5647 *state = to_state(sd);
--      crop->left = OV5647_COLUMN_START_DEF;
--      crop->top = OV5647_ROW_START_DEF;
--      crop->width = OV5647_WINDOW_WIDTH_DEF;
--      crop->height = OV5647_WINDOW_HEIGHT_DEF;
-+      crop->left = OV5647_PIXEL_ARRAY_LEFT;
-+      crop->top = OV5647_PIXEL_ARRAY_TOP;
-+      crop->width = OV5647_PIXEL_ARRAY_WIDTH;
-+      crop->height = OV5647_PIXEL_ARRAY_HEIGHT;
-       /* Set the default format to the same as the sensor. */
-       *format = state->mode->format;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0684-media-i2c-ov5647-Advertise-the-correct-exposure-rang.patch b/target/linux/bcm27xx/patches-5.4/950-0684-media-i2c-ov5647-Advertise-the-correct-exposure-rang.patch
new file mode 100644 (file)
index 0000000..9b87448
--- /dev/null
@@ -0,0 +1,119 @@
+From c92b64465d1f6dbfaf189dbf68128ec52fcb0521 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 30 Apr 2020 11:03:00 +0100
+Subject: [PATCH] media: i2c: ov5647: Advertise the correct exposure
+ range
+
+Exposure is clipped by the VTS of the mode, so needs to be updated as
+and when this is changed.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/ov5647.c | 47 +++++++++++++++++++++++++++++++-------
+ 1 file changed, 39 insertions(+), 8 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -84,6 +84,11 @@
+ #define OV5647_VBLANK_MIN             4
+ #define OV5647_VTS_MAX                        32767
++#define OV5647_EXPOSURE_MIN           4
++#define OV5647_EXPOSURE_STEP          1
++#define OV5647_EXPOSURE_DEFAULT               1000
++#define OV5647_EXPOSURE_MAX           65535
++
+ struct regval_list {
+       u16 addr;
+       u8 data;
+@@ -117,6 +122,7 @@ struct ov5647 {
+       struct v4l2_ctrl                *pixel_rate;
+       struct v4l2_ctrl                *hblank;
+       struct v4l2_ctrl                *vblank;
++      struct v4l2_ctrl                *exposure;
+       bool                            write_mode_regs;
+ };
+@@ -1202,7 +1208,7 @@ static int ov5647_set_fmt(struct v4l2_su
+                * If we have changed modes, write the I2C register list on
+                * a stream_on().
+                */
+-              int hblank;
++              int exposure_max, exposure_def, hblank;
+               if (state->mode != mode)
+                       state->write_mode_regs = true;
+@@ -1223,6 +1229,15 @@ static int ov5647_set_fmt(struct v4l2_su
+                                        mode->vts_def - mode->format.height);
+               __v4l2_ctrl_s_ctrl(state->vblank,
+                                  mode->vts_def - mode->format.height);
++
++              exposure_max = mode->vts_def - 4;
++              exposure_def = (exposure_max < OV5647_EXPOSURE_DEFAULT) ?
++                                      exposure_max : OV5647_EXPOSURE_DEFAULT;
++              __v4l2_ctrl_modify_range(state->exposure,
++                                       state->exposure->minimum,
++                                       exposure_max,
++                                       state->exposure->step,
++                                       exposure_def);
+       }
+       mutex_unlock(&state->lock);
+@@ -1415,6 +1430,19 @@ static int ov5647_s_ctrl(struct v4l2_ctr
+       /* v4l2_ctrl_lock() locks our own mutex */
++      if (ctrl->id == V4L2_CID_VBLANK) {
++              int exposure_max, exposure_def;
++
++              /* Update max exposure while meeting expected vblanking */
++              exposure_max = state->mode->format.height + ctrl->val - 4;
++              exposure_def = (exposure_max < OV5647_EXPOSURE_DEFAULT) ?
++                      exposure_max : OV5647_EXPOSURE_DEFAULT;
++              __v4l2_ctrl_modify_range(state->exposure,
++                                       state->exposure->minimum,
++                                       exposure_max, state->exposure->step,
++                                       exposure_def);
++      }
++
+       /*
+        * If the device is not powered up by the host driver do
+        * not apply any controls to H/W at this time. Instead
+@@ -1472,7 +1500,7 @@ static int ov5647_probe(struct i2c_clien
+       struct v4l2_subdev *sd;
+       struct device_node *np = client->dev.of_node;
+       u32 xclk_freq;
+-      int hblank;
++      int hblank, exposure_max, exposure_def;
+       sensor = devm_kzalloc(dev, sizeof(*sensor), GFP_KERNEL);
+       if (!sensor)
+@@ -1525,12 +1553,6 @@ static int ov5647_probe(struct i2c_clien
+                              0,                     /* skip_mask */
+                              V4L2_EXPOSURE_MANUAL); /* default */
+       v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
+-                        V4L2_CID_EXPOSURE,
+-                        4,     /* min lines */
+-                        65535, /* max lines (4+8+4 bits)*/
+-                        1,     /* step */
+-                        1000); /* default number of lines */
+-      v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
+                         V4L2_CID_ANALOGUE_GAIN,
+                         16,   /* min, 16 = 1.0x */
+                         1023, /* max (10 bits) */
+@@ -1540,6 +1562,15 @@ static int ov5647_probe(struct i2c_clien
+       /* Set the default mode before we init the subdev */
+       sensor->mode = OV5647_DEFAULT_MODE;
++      exposure_max = sensor->mode->vts_def - 4;
++      exposure_def = (exposure_max < OV5647_EXPOSURE_DEFAULT) ?
++              exposure_max : OV5647_EXPOSURE_DEFAULT;
++      sensor->exposure = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
++                                           V4L2_CID_EXPOSURE,
++                                           OV5647_EXPOSURE_MIN, exposure_max,
++                                           OV5647_EXPOSURE_STEP,
++                                           exposure_def);
++
+       /* By default, PIXEL_RATE is read only, but it does change per mode */
+       sensor->pixel_rate = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
+                                              V4L2_CID_PIXEL_RATE,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0685-media-i2c-imx219-Declare-that-the-driver-can-create-.patch b/target/linux/bcm27xx/patches-5.4/950-0685-media-i2c-imx219-Declare-that-the-driver-can-create-.patch
new file mode 100644 (file)
index 0000000..fa700a8
--- /dev/null
@@ -0,0 +1,27 @@
+From 58694d6b23e4138640042fd779df95c425be825b Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Mon, 20 Apr 2020 11:01:21 +0100
+Subject: [PATCH] media: i2c: imx219: Declare that the driver can
+ create events
+
+The flag V4L2_SUBDEV_FL_HAS_EVENTS is required if the subdev can
+generate events. It can create events from the ctrl handler, therefore
+this is required.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/imx219.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/media/i2c/imx219.c
++++ b/drivers/media/i2c/imx219.c
+@@ -1573,7 +1573,8 @@ static int imx219_probe(struct i2c_clien
+       /* Initialize subdev */
+       imx219->sd.internal_ops = &imx219_internal_ops;
+-      imx219->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
++      imx219->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE |
++                          V4L2_SUBDEV_FL_HAS_EVENTS;
+       imx219->sd.entity.function = MEDIA_ENT_F_CAM_SENSOR;
+       /* Initialize source pads */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0685-media-i2c-ov5467-Fixup-error-path-to-release-mutex.patch b/target/linux/bcm27xx/patches-5.4/950-0685-media-i2c-ov5467-Fixup-error-path-to-release-mutex.patch
deleted file mode 100644 (file)
index d8a0104..0000000
+++ /dev/null
@@ -1,29 +0,0 @@
-From 0c447a38b9d561a80d78ffd7f4533fef75cd1393 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 29 Apr 2020 11:50:52 +0100
-Subject: [PATCH] media: i2c: ov5467: Fixup error path to release mutex
-
-"87f3ab9 media: ov5647: Add basic support for multiple sensor modes."
-added a return path ov5647_set_fmt that didn't release the device
-mutex that it had claimed.
-Release the mutex.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/media/i2c/ov5647.c | 4 +++-
- 1 file changed, 3 insertions(+), 1 deletion(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -1146,8 +1146,10 @@ static int ov5647_set_fmt(struct v4l2_su
-       else
-               mode = mode_8bit;
--      if (!mode)
-+      if (!mode) {
-+              mutex_unlock(&state->lock);
-               return -EINVAL;
-+      }
-       *fmt = mode->format;
-       if (format->which == V4L2_SUBDEV_FORMAT_TRY) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0686-media-bcm2835-unicam-Add-support-for-VIDIOC_-S-G-_SE.patch b/target/linux/bcm27xx/patches-5.4/950-0686-media-bcm2835-unicam-Add-support-for-VIDIOC_-S-G-_SE.patch
new file mode 100644 (file)
index 0000000..b30d106
--- /dev/null
@@ -0,0 +1,82 @@
+From 40aaca6ed160e67e518c512908cf49efb4cbed8b Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 29 Apr 2020 16:45:02 +0100
+Subject: [PATCH] media: bcm2835-unicam: Add support for
+ VIDIOC_[S|G]_SELECTION
+
+Sensors are now reflecting cropping and scaling parameters through
+the selection API, therefore Unicam needs to forward the requests
+through to the subdev.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../media/platform/bcm2835/bcm2835-unicam.c   | 44 +++++++++++++++++++
+ 1 file changed, 44 insertions(+)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -1898,6 +1898,39 @@ static int unicam_g_edid(struct file *fi
+       return v4l2_subdev_call(dev->sensor, pad, get_edid, edid);
+ }
++static int unicam_s_selection(struct file *file, void *priv,
++                            struct v4l2_selection *sel)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      struct v4l2_subdev_selection sdsel = {
++              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++              .target = sel->target,
++              .flags = sel->flags,
++              .r = sel->r,
++      };
++
++      return v4l2_subdev_call(dev->sensor, pad, set_selection, NULL, &sdsel);
++}
++
++static int unicam_g_selection(struct file *file, void *priv,
++                            struct v4l2_selection *sel)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      struct v4l2_subdev_selection sdsel = {
++              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++              .target = sel->target,
++      };
++      int ret;
++
++      ret = v4l2_subdev_call(dev->sensor, pad, get_selection, NULL, &sdsel);
++      if (!ret)
++              sel->r = sdsel.r;
++
++      return ret;
++}
++
+ static int unicam_enum_framesizes(struct file *file, void *priv,
+                                 struct v4l2_frmsizeenum *fsize)
+ {
+@@ -2218,6 +2251,9 @@ static const struct v4l2_ioctl_ops unica
+       .vidioc_enum_framesizes         = unicam_enum_framesizes,
+       .vidioc_enum_frameintervals     = unicam_enum_frameintervals,
++      .vidioc_g_selection             = unicam_g_selection,
++      .vidioc_s_selection             = unicam_s_selection,
++
+       .vidioc_g_parm                  = unicam_g_parm,
+       .vidioc_s_parm                  = unicam_s_parm,
+@@ -2446,6 +2482,14 @@ static int register_node(struct unicam_d
+           !v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_size))
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_FRAMESIZES);
++      if (node->pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, pad, set_selection))
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_SELECTION);
++
++      if (node->pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, pad, get_selection))
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_SELECTION);
++
+       ret = video_register_device(vdev, VFL_TYPE_GRABBER, -1);
+       if (ret) {
+               unicam_err(unicam, "Unable to register video device.\n");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0686-media-i2c-ov5647-Support-V4L2_CID_PIXEL_RATE.patch b/target/linux/bcm27xx/patches-5.4/950-0686-media-i2c-ov5647-Support-V4L2_CID_PIXEL_RATE.patch
deleted file mode 100644 (file)
index ce16832..0000000
+++ /dev/null
@@ -1,131 +0,0 @@
-From e947a531a5c6a61fc568dc6a502543e1145efc29 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 29 Apr 2020 12:25:13 +0100
-Subject: [PATCH] media: i2c: ov5647: Support V4L2_CID_PIXEL_RATE
-
-Clients need to know the pixel rate in order to compute exposure
-and frame rate values.
-Advertise it.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/media/i2c/ov5647.c | 31 +++++++++++++++++++++++++++----
- 1 file changed, 27 insertions(+), 4 deletions(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -89,6 +89,8 @@ struct ov5647_mode {
-       /* Analog crop rectangle. */
-       struct v4l2_rect crop;
-+      u64 pixel_rate;
-+
-       struct regval_list              *reg_list;
-       unsigned int                    num_regs;
- };
-@@ -103,6 +105,7 @@ struct ov5647 {
-       struct gpio_desc                *pwdn;
-       unsigned int                    flags;
-       struct v4l2_ctrl_handler        ctrls;
-+      struct v4l2_ctrl                *pixel_rate;
-       bool                            write_mode_regs;
- };
-@@ -601,6 +604,7 @@ static struct ov5647_mode supported_mode
-                       .width = 1280,
-                       .height = 960,
-               },
-+              .pixel_rate = 77291670,
-               ov5647_640x480_8bit,
-               ARRAY_SIZE(ov5647_640x480_8bit)
-       },
-@@ -624,6 +628,7 @@ static struct ov5647_mode supported_mode
-                       .width = 2592,
-                       .height = 1944
-               },
-+              .pixel_rate = 87500000,
-               ov5647_2592x1944_10bit,
-               ARRAY_SIZE(ov5647_2592x1944_10bit)
-       },
-@@ -645,6 +650,7 @@ static struct ov5647_mode supported_mode
-                       .width = 1928,
-                       .height = 1080,
-               },
-+              .pixel_rate = 81666700,
-               ov5647_1080p30_10bit,
-               ARRAY_SIZE(ov5647_1080p30_10bit)
-       },
-@@ -665,6 +671,7 @@ static struct ov5647_mode supported_mode
-                       .width = 2592,
-                       .height = 1944,
-               },
-+              .pixel_rate = 81666700,
-               ov5647_2x2binned_10bit,
-               ARRAY_SIZE(ov5647_2x2binned_10bit)
-       },
-@@ -686,6 +693,7 @@ static struct ov5647_mode supported_mode
-                       .width = 2560,
-                       .height = 1920,
-               },
-+              .pixel_rate = 55000000,
-               ov5647_640x480_10bit,
-               ARRAY_SIZE(ov5647_640x480_10bit)
-       },
-@@ -1163,6 +1171,11 @@ static int ov5647_set_fmt(struct v4l2_su
-               if (state->mode != mode)
-                       state->write_mode_regs = true;
-               state->mode = mode;
-+
-+              __v4l2_ctrl_modify_range(state->pixel_rate,
-+                                       mode->pixel_rate,
-+                                       mode->pixel_rate, 1,
-+                                       mode->pixel_rate);
-       }
-       mutex_unlock(&state->lock);
-@@ -1379,6 +1392,9 @@ static int ov5647_s_ctrl(struct v4l2_ctr
-       case V4L2_CID_EXPOSURE:
-               ret = ov5647_s_exposure(sd, ctrl->val);
-               break;
-+      case V4L2_CID_PIXEL_RATE:
-+              /* Read-only, but we adjust it based on mode. */
-+              break;
-       default:
-               dev_info(&client->dev,
-                        "ctrl(id:0x%x,val:0x%x) is not handled\n",
-@@ -1436,7 +1452,7 @@ static int ov5647_probe(struct i2c_clien
-       mutex_init(&sensor->lock);
-       /* Initialise controls. */
--      v4l2_ctrl_handler_init(&sensor->ctrls, 3);
-+      v4l2_ctrl_handler_init(&sensor->ctrls, 6);
-       v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-                         V4L2_CID_AUTOGAIN,
-                         0,  /* min */
-@@ -1469,6 +1485,16 @@ static int ov5647_probe(struct i2c_clien
-                                32);  /* default, 32 = 2.0x */
-       ctrl->flags |= V4L2_CTRL_FLAG_EXECUTE_ON_WRITE;
-+      /* Set the default mode before we init the subdev */
-+      sensor->mode = OV5647_DEFAULT_MODE;
-+
-+      /* By default, PIXEL_RATE is read only, but it does change per mode */
-+      sensor->pixel_rate = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-+                                             V4L2_CID_PIXEL_RATE,
-+                                             sensor->mode->pixel_rate,
-+                                             sensor->mode->pixel_rate, 1,
-+                                             sensor->mode->pixel_rate);
-+
-       if (sensor->ctrls.error) {
-               ret = sensor->ctrls.error;
-               dev_err(&client->dev, "%s control init failed (%d)\n",
-@@ -1477,9 +1503,6 @@ static int ov5647_probe(struct i2c_clien
-       }
-       sensor->sd.ctrl_handler = &sensor->ctrls;
--      /* Set the default mode before we init the subdev */
--      sensor->mode = OV5647_DEFAULT_MODE;
--
-       /* Write out the register set over I2C on stream-on. */
-       sensor->write_mode_regs = true;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0687-media-bcm2835-unicam-Do-not-stop-streaming-in-unicam.patch b/target/linux/bcm27xx/patches-5.4/950-0687-media-bcm2835-unicam-Do-not-stop-streaming-in-unicam.patch
new file mode 100644 (file)
index 0000000..74abba4
--- /dev/null
@@ -0,0 +1,28 @@
+From 37e9677654a48cfa748c1d22fbf782920ab2cb23 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 29 Apr 2020 22:05:09 +0100
+Subject: [PATCH] media: bcm2835-unicam: Do not stop streaming in
+ unicam_release
+
+unicam_release calls _vb2_fop_release, which will call stop_streaming
+if that particular node was streaming. Calling it unconditionally (as
+the code was) means that if a second handle was opened eg to alter
+a setting, on closing that connection it also stopped Unicam.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 3 ---
+ 1 file changed, 3 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -2204,9 +2204,6 @@ static int unicam_release(struct file *f
+       if (fh_singular)
+               v4l2_subdev_call(sd, core, s_power, 0);
+-      if (node->streaming)
+-              unicam_stop_streaming(&node->buffer_queue);
+-
+       node->open--;
+       mutex_unlock(&node->lock);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0687-media-i2c-ov5647-Set-V4L2_SUBDEV_FL_HAS_EVENTS-flag.patch b/target/linux/bcm27xx/patches-5.4/950-0687-media-i2c-ov5647-Set-V4L2_SUBDEV_FL_HAS_EVENTS-flag.patch
deleted file mode 100644 (file)
index b7a3f19..0000000
+++ /dev/null
@@ -1,143 +0,0 @@
-From 0e864ac98ffc97d0bb5fc343ca62d860fbe8da09 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 29 Apr 2020 17:25:56 +0100
-Subject: [PATCH] media: i2c: ov5647: Set V4L2_SUBDEV_FL_HAS_EVENTS
- flag
-
-The ov5647 subdev can generate control events, therefore set
-the V4L2_SUBDEV_FL_HAS_EVENTS flag.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/media/i2c/ov5647.c | 29 +++++++++++++++++++++++++++--
- 1 file changed, 27 insertions(+), 2 deletions(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -90,6 +90,8 @@ struct ov5647_mode {
-       struct v4l2_rect crop;
-       u64 pixel_rate;
-+      /* HTS as defined in the register set (0x380C/0x380D) */
-+      int hts;
-       struct regval_list              *reg_list;
-       unsigned int                    num_regs;
-@@ -106,6 +108,7 @@ struct ov5647 {
-       unsigned int                    flags;
-       struct v4l2_ctrl_handler        ctrls;
-       struct v4l2_ctrl                *pixel_rate;
-+      struct v4l2_ctrl                *hblank;
-       bool                            write_mode_regs;
- };
-@@ -605,6 +608,7 @@ static struct ov5647_mode supported_mode
-                       .height = 960,
-               },
-               .pixel_rate = 77291670,
-+              .hts = 1896,
-               ov5647_640x480_8bit,
-               ARRAY_SIZE(ov5647_640x480_8bit)
-       },
-@@ -629,6 +633,7 @@ static struct ov5647_mode supported_mode
-                       .height = 1944
-               },
-               .pixel_rate = 87500000,
-+              .hts = 2844,
-               ov5647_2592x1944_10bit,
-               ARRAY_SIZE(ov5647_2592x1944_10bit)
-       },
-@@ -651,6 +656,7 @@ static struct ov5647_mode supported_mode
-                       .height = 1080,
-               },
-               .pixel_rate = 81666700,
-+              .hts = 2416,
-               ov5647_1080p30_10bit,
-               ARRAY_SIZE(ov5647_1080p30_10bit)
-       },
-@@ -672,6 +678,7 @@ static struct ov5647_mode supported_mode
-                       .height = 1944,
-               },
-               .pixel_rate = 81666700,
-+              .hts = 1896,
-               ov5647_2x2binned_10bit,
-               ARRAY_SIZE(ov5647_2x2binned_10bit)
-       },
-@@ -694,6 +701,7 @@ static struct ov5647_mode supported_mode
-                       .height = 1920,
-               },
-               .pixel_rate = 55000000,
-+              .hts = 1852,
-               ov5647_640x480_10bit,
-               ARRAY_SIZE(ov5647_640x480_10bit)
-       },
-@@ -1168,6 +1176,8 @@ static int ov5647_set_fmt(struct v4l2_su
-                * If we have changed modes, write the I2C register list on
-                * a stream_on().
-                */
-+              int hblank;
-+
-               if (state->mode != mode)
-                       state->write_mode_regs = true;
-               state->mode = mode;
-@@ -1176,6 +1186,9 @@ static int ov5647_set_fmt(struct v4l2_su
-                                        mode->pixel_rate,
-                                        mode->pixel_rate, 1,
-                                        mode->pixel_rate);
-+              hblank = mode->hts - mode->format.width;
-+              __v4l2_ctrl_modify_range(state->hblank, hblank, hblank, 1,
-+                                       hblank);
-       }
-       mutex_unlock(&state->lock);
-@@ -1395,6 +1408,9 @@ static int ov5647_s_ctrl(struct v4l2_ctr
-       case V4L2_CID_PIXEL_RATE:
-               /* Read-only, but we adjust it based on mode. */
-               break;
-+      case V4L2_CID_HBLANK:
-+              /* Read-only, but we adjust it based on mode. */
-+              break;
-       default:
-               dev_info(&client->dev,
-                        "ctrl(id:0x%x,val:0x%x) is not handled\n",
-@@ -1419,6 +1435,7 @@ static int ov5647_probe(struct i2c_clien
-       struct device_node *np = client->dev.of_node;
-       u32 xclk_freq;
-       struct v4l2_ctrl *ctrl;
-+      int hblank;
-       sensor = devm_kzalloc(dev, sizeof(*sensor), GFP_KERNEL);
-       if (!sensor)
-@@ -1452,7 +1469,7 @@ static int ov5647_probe(struct i2c_clien
-       mutex_init(&sensor->lock);
-       /* Initialise controls. */
--      v4l2_ctrl_handler_init(&sensor->ctrls, 6);
-+      v4l2_ctrl_handler_init(&sensor->ctrls, 7);
-       v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-                         V4L2_CID_AUTOGAIN,
-                         0,  /* min */
-@@ -1495,6 +1512,13 @@ static int ov5647_probe(struct i2c_clien
-                                              sensor->mode->pixel_rate, 1,
-                                              sensor->mode->pixel_rate);
-+      /* By default, HBLANK is read only, but it does change per mode */
-+      hblank = sensor->mode->hts - sensor->mode->format.width;
-+      sensor->hblank = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-+                                         V4L2_CID_HBLANK, hblank, hblank, 1,
-+                                         hblank);
-+      sensor->hblank->flags |= V4L2_CTRL_FLAG_READ_ONLY;
-+
-       if (sensor->ctrls.error) {
-               ret = sensor->ctrls.error;
-               dev_err(&client->dev, "%s control init failed (%d)\n",
-@@ -1509,7 +1533,8 @@ static int ov5647_probe(struct i2c_clien
-       sd = &sensor->sd;
-       v4l2_i2c_subdev_init(sd, client, &ov5647_subdev_ops);
-       sensor->sd.internal_ops = &ov5647_subdev_internal_ops;
--      sensor->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
-+      sensor->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE |
-+                          V4L2_SUBDEV_FL_HAS_EVENTS;
-       sensor->pad.flags = MEDIA_PAD_FL_SOURCE;
-       sd->entity.function = MEDIA_ENT_F_CAM_SENSOR;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0688-media-bcm2835-unicam-Fix-reference-counting-in-unica.patch b/target/linux/bcm27xx/patches-5.4/950-0688-media-bcm2835-unicam-Fix-reference-counting-in-unica.patch
new file mode 100644 (file)
index 0000000..ba97963
--- /dev/null
@@ -0,0 +1,38 @@
+From 3681c556d79797f132e18973611a14681ed47f76 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 30 Apr 2020 09:52:50 +0100
+Subject: [PATCH] media: bcm2835-unicam: Fix reference counting in
+ unicam_open
+
+The reference counting of node->open was only incremented after
+a check that the node was v4l2_fh_is_singular_file, which resulted
+in the counting going wrong and s_power not being called at an
+appropriate time.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 4 +++-
+ 1 file changed, 3 insertions(+), 1 deletion(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -2170,16 +2170,18 @@ static int unicam_open(struct file *file
+               goto unlock;
+       }
++      node->open++;
++
+       if (!v4l2_fh_is_singular_file(file))
+               goto unlock;
+       ret = v4l2_subdev_call(dev->sensor, core, s_power, 1);
+       if (ret < 0 && ret != -ENOIOCTLCMD) {
+               v4l2_fh_release(file);
++              node->open--;
+               goto unlock;
+       }
+-      node->open++;
+       ret = 0;
+ unlock:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0688-media-i2c-ov5647-Add-support-for-V4L2_CID_VBLANK.patch b/target/linux/bcm27xx/patches-5.4/950-0688-media-i2c-ov5647-Add-support-for-V4L2_CID_VBLANK.patch
deleted file mode 100644 (file)
index 85d63cf..0000000
+++ /dev/null
@@ -1,205 +0,0 @@
-From fbb943e35b519549eac8ee17bf20d651388a27dd Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 29 Apr 2020 21:39:58 +0100
-Subject: [PATCH] media: i2c: ov5647: Add support for V4L2_CID_VBLANK
-
-Adds vblank control to allow for frame rate control.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/media/i2c/ov5647.c | 65 ++++++++++++++++++++++++++++++++------
- 1 file changed, 55 insertions(+), 10 deletions(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -61,6 +61,8 @@
- #define OV5647_REG_AEC_AGC            0x3503
- #define OV5647_REG_GAIN_HI            0x350A
- #define OV5647_REG_GAIN_LO            0x350B
-+#define OV5647_REG_VTS_HI             0x380e
-+#define OV5647_REG_VTS_LO             0x380f
- #define OV5647_REG_FRAME_OFF_NUMBER   0x4202
- #define OV5647_REG_MIPI_CTRL00                0x4800
- #define OV5647_REG_MIPI_CTRL14                0x4814
-@@ -79,6 +81,9 @@
- #define OV5647_PIXEL_ARRAY_WIDTH      2592U
- #define OV5647_PIXEL_ARRAY_HEIGHT     1944U
-+#define OV5647_VBLANK_MIN             4
-+#define OV5647_VTS_MAX                        32767
-+
- struct regval_list {
-       u16 addr;
-       u8 data;
-@@ -92,6 +97,8 @@ struct ov5647_mode {
-       u64 pixel_rate;
-       /* HTS as defined in the register set (0x380C/0x380D) */
-       int hts;
-+      /* Default VTS value for this mode */
-+      int vts_def;
-       struct regval_list              *reg_list;
-       unsigned int                    num_regs;
-@@ -109,6 +116,7 @@ struct ov5647 {
-       struct v4l2_ctrl_handler        ctrls;
-       struct v4l2_ctrl                *pixel_rate;
-       struct v4l2_ctrl                *hblank;
-+      struct v4l2_ctrl                *vblank;
-       bool                            write_mode_regs;
- };
-@@ -161,8 +169,6 @@ static struct regval_list ov5647_640x480
-       {0x3b07, 0x0c},
-       {0x380c, 0x07},
-       {0x380d, 0x68},
--      {0x380e, 0x03},
--      {0x380f, 0xd8},
-       {0x3814, 0x31},
-       {0x3815, 0x31},
-       {0x3708, 0x64},
-@@ -251,8 +257,6 @@ static struct regval_list ov5647_2592x19
-       {0x3b07, 0x0c},
-       {0x380c, 0x0b},
-       {0x380d, 0x1c},
--      {0x380e, 0x07},
--      {0x380f, 0xb0},
-       {0x3814, 0x11},
-       {0x3815, 0x11},
-       {0x3708, 0x64},
-@@ -342,8 +346,6 @@ static struct regval_list ov5647_1080p30
-       {0x3b07, 0x0c},
-       {0x380c, 0x09},
-       {0x380d, 0x70},
--      {0x380e, 0x04},
--      {0x380f, 0x50},
-       {0x3814, 0x11},
-       {0x3815, 0x11},
-       {0x3708, 0x64},
-@@ -485,8 +487,6 @@ static struct regval_list ov5647_2x2binn
-       {0x3503, 0x03},
-       {0x3820, 0x41},
-       {0x3821, 0x07},
--      {0x380E, 0x05},
--      {0x380F, 0x9B},
-       {0x350A, 0x00},
-       {0x350B, 0x10},
-       {0x3500, 0x00},
-@@ -520,8 +520,6 @@ static struct regval_list ov5647_640x480
-       {0x3b07, 0x0c},
-       {0x380c, 0x07},
-       {0x380d, 0x3c},
--      {0x380e, 0x01},
--      {0x380f, 0xf8},
-       {0x3814, 0x35},
-       {0x3815, 0x35},
-       {0x3708, 0x64},
-@@ -609,6 +607,7 @@ static struct ov5647_mode supported_mode
-               },
-               .pixel_rate = 77291670,
-               .hts = 1896,
-+              .vts_def = 0x3d8,
-               ov5647_640x480_8bit,
-               ARRAY_SIZE(ov5647_640x480_8bit)
-       },
-@@ -634,6 +633,7 @@ static struct ov5647_mode supported_mode
-               },
-               .pixel_rate = 87500000,
-               .hts = 2844,
-+              .vts_def = 0x7b0,
-               ov5647_2592x1944_10bit,
-               ARRAY_SIZE(ov5647_2592x1944_10bit)
-       },
-@@ -657,6 +657,7 @@ static struct ov5647_mode supported_mode
-               },
-               .pixel_rate = 81666700,
-               .hts = 2416,
-+              .vts_def = 0x450,
-               ov5647_1080p30_10bit,
-               ARRAY_SIZE(ov5647_1080p30_10bit)
-       },
-@@ -679,6 +680,7 @@ static struct ov5647_mode supported_mode
-               },
-               .pixel_rate = 81666700,
-               .hts = 1896,
-+              .vts_def = 0x59b,
-               ov5647_2x2binned_10bit,
-               ARRAY_SIZE(ov5647_2x2binned_10bit)
-       },
-@@ -702,6 +704,7 @@ static struct ov5647_mode supported_mode
-               },
-               .pixel_rate = 55000000,
-               .hts = 1852,
-+              .vts_def = 0x1f8,
-               ov5647_640x480_10bit,
-               ARRAY_SIZE(ov5647_640x480_10bit)
-       },
-@@ -710,6 +713,29 @@ static struct ov5647_mode supported_mode
- /* Use 2x2 binned 10-bit mode as default. */
- #define OV5647_DEFAULT_MODE (&supported_modes_10bit[2])
-+static int ov5647_write16(struct v4l2_subdev *sd, u16 reg, u16 val)
-+{
-+      int ret;
-+      unsigned char data[4] = { reg >> 8, reg & 0xff, val >> 8, val & 0xff};
-+      struct i2c_client *client = v4l2_get_subdevdata(sd);
-+
-+      ret = i2c_master_send(client, data, 4);
-+      /*
-+       * Writing the wrong number of bytes also needs to be flagged as an
-+       * error. Success needs to produce a 0 return code.
-+       */
-+      if (ret == 4) {
-+              ret = 0;
-+      } else {
-+              dev_dbg(&client->dev, "%s: i2c write error, reg: %x\n",
-+                      __func__, reg);
-+              if (ret >= 0)
-+                      ret = -EINVAL;
-+      }
-+
-+      return ret;
-+}
-+
- static int ov5647_write(struct v4l2_subdev *sd, u16 reg, u8 val)
- {
-       int ret;
-@@ -1189,6 +1215,14 @@ static int ov5647_set_fmt(struct v4l2_su
-               hblank = mode->hts - mode->format.width;
-               __v4l2_ctrl_modify_range(state->hblank, hblank, hblank, 1,
-                                        hblank);
-+
-+              __v4l2_ctrl_modify_range(state->vblank,
-+                                       OV5647_VBLANK_MIN,
-+                                       OV5647_VTS_MAX - mode->format.height,
-+                                       1,
-+                                       mode->vts_def - mode->format.height);
-+              __v4l2_ctrl_s_ctrl(state->vblank,
-+                                 mode->vts_def - mode->format.height);
-       }
-       mutex_unlock(&state->lock);
-@@ -1411,6 +1445,10 @@ static int ov5647_s_ctrl(struct v4l2_ctr
-       case V4L2_CID_HBLANK:
-               /* Read-only, but we adjust it based on mode. */
-               break;
-+      case V4L2_CID_VBLANK:
-+              ret = ov5647_write16(sd, OV5647_REG_VTS_HI,
-+                                   state->mode->format.height + ctrl->val);
-+              break;
-       default:
-               dev_info(&client->dev,
-                        "ctrl(id:0x%x,val:0x%x) is not handled\n",
-@@ -1519,6 +1557,13 @@ static int ov5647_probe(struct i2c_clien
-                                          hblank);
-       sensor->hblank->flags |= V4L2_CTRL_FLAG_READ_ONLY;
-+      sensor->vblank = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-+                                         V4L2_CID_VBLANK, OV5647_VBLANK_MIN,
-+                                         OV5647_VTS_MAX -
-+                                              sensor->mode->format.height, 1,
-+                                         sensor->mode->vts_def -
-+                                              sensor->mode->format.height);
-+
-       if (sensor->ctrls.error) {
-               ret = sensor->ctrls.error;
-               dev_err(&client->dev, "%s control init failed (%d)\n",
diff --git a/target/linux/bcm27xx/patches-5.4/950-0689-media-i2c-ov5647-Neither-analogue-gain-nor-exposure-.patch b/target/linux/bcm27xx/patches-5.4/950-0689-media-i2c-ov5647-Neither-analogue-gain-nor-exposure-.patch
deleted file mode 100644 (file)
index 039809e..0000000
+++ /dev/null
@@ -1,58 +0,0 @@
-From ea0b801a818e837e657c53687f03d62805e2e586 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 29 Apr 2020 21:47:25 +0100
-Subject: [PATCH] media: i2c: ov5647: Neither analogue gain nor
- exposure need EXECUTE_ON_WRITE
-
-The controls for analogue gain and exposure were defined with
-V4L2_CTRL_FLAG_EXECUTE_ON_WRITE. This is not required as we only need
-to send changes to the sensor.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/media/i2c/ov5647.c | 27 ++++++++++++---------------
- 1 file changed, 12 insertions(+), 15 deletions(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -1472,7 +1472,6 @@ static int ov5647_probe(struct i2c_clien
-       struct v4l2_subdev *sd;
-       struct device_node *np = client->dev.of_node;
-       u32 xclk_freq;
--      struct v4l2_ctrl *ctrl;
-       int hblank;
-       sensor = devm_kzalloc(dev, sizeof(*sensor), GFP_KERNEL);
-@@ -1525,20 +1524,18 @@ static int ov5647_probe(struct i2c_clien
-                              V4L2_EXPOSURE_MANUAL,  /* max */
-                              0,                     /* skip_mask */
-                              V4L2_EXPOSURE_MANUAL); /* default */
--      ctrl = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
--                               V4L2_CID_EXPOSURE,
--                               4,     /* min lines */
--                               65535, /* max lines (4+8+4 bits)*/
--                               1,     /* step */
--                               1000); /* default number of lines */
--      ctrl->flags |= V4L2_CTRL_FLAG_EXECUTE_ON_WRITE;
--      ctrl = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
--                               V4L2_CID_ANALOGUE_GAIN,
--                               16,   /* min, 16 = 1.0x */
--                               1023, /* max (10 bits) */
--                               1,    /* step */
--                               32);  /* default, 32 = 2.0x */
--      ctrl->flags |= V4L2_CTRL_FLAG_EXECUTE_ON_WRITE;
-+      v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-+                        V4L2_CID_EXPOSURE,
-+                        4,     /* min lines */
-+                        65535, /* max lines (4+8+4 bits)*/
-+                        1,     /* step */
-+                        1000); /* default number of lines */
-+      v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-+                        V4L2_CID_ANALOGUE_GAIN,
-+                        16,   /* min, 16 = 1.0x */
-+                        1023, /* max (10 bits) */
-+                        1,    /* step */
-+                        32);  /* default, 32 = 2.0x */
-       /* Set the default mode before we init the subdev */
-       sensor->mode = OV5647_DEFAULT_MODE;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0689-staging-vc04_services-ISP-Add-enum_framesizes-ioctl.patch b/target/linux/bcm27xx/patches-5.4/950-0689-staging-vc04_services-ISP-Add-enum_framesizes-ioctl.patch
new file mode 100644 (file)
index 0000000..1673bde
--- /dev/null
@@ -0,0 +1,333 @@
+From 01a1601512893ce9a3353e1686a95a9861f3d685 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Fri, 1 May 2020 14:15:24 +0100
+Subject: [PATCH] staging: vc04_services: ISP: Add enum_framesizes
+ ioctl
+
+This is used to enumerate available frame sizes on all nodes
+apart from statistics output.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ .../bcm2835-isp/bcm2835-v4l2-isp.c            | 48 +++++++++++++++++--
+ .../bcm2835-isp/bcm2835_isp_fmts.h            | 29 +++++++++++
+ 2 files changed, 72 insertions(+), 5 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
+@@ -227,8 +227,9 @@ static const struct bcm2835_isp_fmt *get
+       return NULL;
+ }
+-static struct bcm2835_isp_fmt *find_format(struct v4l2_format *f,
+-                                         struct bcm2835_isp_node *node)
++static const
++struct bcm2835_isp_fmt *find_format_by_fourcc(unsigned int fourcc,
++                                            struct bcm2835_isp_node *node)
+ {
+       struct bcm2835_isp_fmt_list *fmts = &node->supported_fmts;
+       struct bcm2835_isp_fmt *fmt;
+@@ -236,15 +237,22 @@ static struct bcm2835_isp_fmt *find_form
+       for (i = 0; i < fmts->num_entries; i++) {
+               fmt = &fmts->list[i];
+-              if (fmt->fourcc == (node_is_stats(node) ?
+-                                          f->fmt.meta.dataformat :
+-                                          f->fmt.pix.pixelformat))
++              if (fmt->fourcc == fourcc)
+                       return fmt;
+       }
+       return NULL;
+ }
++static struct bcm2835_isp_fmt *find_format(struct v4l2_format *f,
++                                         struct bcm2835_isp_node *node)
++{
++      return find_format_by_fourcc(node_is_stats(node) ?
++                                   f->fmt.meta.dataformat :
++                                   f->fmt.pix.pixelformat,
++                                   node);
++}
++
+ /* vb2_to_mmal_buffer() - converts vb2 buffer header to MMAL
+  *
+  * Copies all the required fields from a VB2 buffer to the MMAL buffer header,
+@@ -892,6 +900,35 @@ static int bcm2835_isp_node_enum_fmt(str
+       return -EINVAL;
+ }
++static int bcm2835_isp_enum_framesizes(struct file *file, void *priv,
++                                     struct v4l2_frmsizeenum *fsize)
++{
++      struct bcm2835_isp_node *node = video_drvdata(file);
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
++      struct bcm2835_isp_fmt *fmt;
++
++      if (node_is_stats(node) || fsize->index)
++              return -EINVAL;
++
++      fmt = find_format_by_fourcc(fsize->pixel_format, node);
++      if (!fmt) {
++              v4l2_err(&dev->v4l2_dev, "Invalid pixel code: %x\n",
++                       fsize->pixel_format);
++              return -EINVAL;
++      }
++
++      fsize->type = V4L2_FRMSIZE_TYPE_STEPWISE;
++      fsize->stepwise.min_width = MIN_DIM;
++      fsize->stepwise.max_width = MAX_DIM;
++      fsize->stepwise.step_width = fmt->step_size;
++
++      fsize->stepwise.min_height = MIN_DIM;
++      fsize->stepwise.max_height = MAX_DIM;
++      fsize->stepwise.step_height = fmt->step_size;
++
++      return 0;
++}
++
+ static int bcm2835_isp_node_try_fmt(struct file *file, void *priv,
+                                   struct v4l2_format *f)
+ {
+@@ -1046,6 +1083,7 @@ static const struct v4l2_ioctl_ops bcm28
+       .vidioc_enum_fmt_vid_cap        = bcm2835_isp_node_enum_fmt,
+       .vidioc_enum_fmt_vid_out        = bcm2835_isp_node_enum_fmt,
+       .vidioc_enum_fmt_meta_cap       = bcm2835_isp_node_enum_fmt,
++      .vidioc_enum_framesizes         = bcm2835_isp_enum_framesizes,
+       .vidioc_reqbufs                 = vb2_ioctl_reqbufs,
+       .vidioc_querybuf                = vb2_ioctl_querybuf,
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
+@@ -22,6 +22,7 @@ struct bcm2835_isp_fmt {
+       u32 mmal_fmt;
+       int size_multiplier_x2;
+       enum v4l2_colorspace colorspace;
++      unsigned int step_size;
+ };
+ struct bcm2835_isp_fmt_list {
+@@ -39,6 +40,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_I420,
+               .size_multiplier_x2 = 3,
+               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_YVU420,
+               .depth              = 8,
+@@ -47,6 +49,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_YV12,
+               .size_multiplier_x2 = 3,
+               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_NV12,
+               .depth              = 8,
+@@ -55,6 +58,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_NV12,
+               .size_multiplier_x2 = 3,
+               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_NV21,
+               .depth              = 8,
+@@ -63,6 +67,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_NV21,
+               .size_multiplier_x2 = 3,
+               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_YUYV,
+               .depth              = 16,
+@@ -71,6 +76,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_YUYV,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_UYVY,
+               .depth              = 16,
+@@ -79,6 +85,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_UYVY,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_YVYU,
+               .depth              = 16,
+@@ -87,6 +94,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_YVYU,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_VYUY,
+               .depth              = 16,
+@@ -95,6 +103,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_VYUY,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
++              .step_size          = 2,
+       }, {
+               /* RGB formats */
+               .fourcc             = V4L2_PIX_FMT_RGB24,
+@@ -104,6 +113,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_RGB24,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_SRGB,
++              .step_size          = 1,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_RGB565,
+               .depth              = 16,
+@@ -112,6 +122,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_RGB16,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_SRGB,
++              .step_size          = 1,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_BGR24,
+               .depth              = 24,
+@@ -120,6 +131,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BGR24,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_SRGB,
++              .step_size          = 1,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_ABGR32,
+               .depth              = 32,
+@@ -128,6 +140,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BGRA,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_SRGB,
++              .step_size          = 1,
+       }, {
+               /* Bayer formats */
+               /* 8 bit */
+@@ -138,6 +151,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB8,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_SBGGR8,
+               .depth              = 8,
+@@ -146,6 +160,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR8,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_SGRBG8,
+               .depth              = 8,
+@@ -154,6 +169,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG8,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_SGBRG8,
+               .depth              = 8,
+@@ -162,6 +178,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG8,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               /* 10 bit */
+               .fourcc             = V4L2_PIX_FMT_SRGGB10P,
+@@ -171,6 +188,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB10P,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_SBGGR10P,
+               .depth              = 10,
+@@ -179,6 +197,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR10P,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_SGRBG10P,
+               .depth              = 10,
+@@ -187,6 +206,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG10P,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_SGBRG10P,
+               .depth              = 10,
+@@ -195,6 +215,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG10P,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               /* 12 bit */
+               .fourcc             = V4L2_PIX_FMT_SRGGB12P,
+@@ -204,6 +225,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB12P,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_SBGGR12P,
+               .depth              = 12,
+@@ -212,6 +234,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR12P,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_SGRBG12P,
+               .depth              = 12,
+@@ -220,6 +243,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG12P,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_SGBRG12P,
+               .depth              = 12,
+@@ -228,6 +252,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG12P,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               /* 16 bit */
+               .fourcc             = V4L2_PIX_FMT_SRGGB16,
+@@ -237,6 +262,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB16,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_SBGGR16,
+               .depth              = 16,
+@@ -245,6 +271,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR16,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_SGRBG16,
+               .depth              = 16,
+@@ -253,6 +280,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG16,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               .fourcc             = V4L2_PIX_FMT_SGBRG16,
+               .depth              = 16,
+@@ -261,6 +289,7 @@ static const struct bcm2835_isp_fmt supp
+               .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG16,
+               .size_multiplier_x2 = 2,
+               .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
+       }, {
+               /* ISP statistics format */
+               .fourcc             = V4L2_META_FMT_BCM2835_ISP_STATS,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0690-SQUASH-spi-Demote-SPI_CS_HIGH-warning-to-KERN_DEBUG.patch b/target/linux/bcm27xx/patches-5.4/950-0690-SQUASH-spi-Demote-SPI_CS_HIGH-warning-to-KERN_DEBUG.patch
new file mode 100644 (file)
index 0000000..e4b2e7e
--- /dev/null
@@ -0,0 +1,28 @@
+From 4172a6bd7e4afada99911947a335d47e94802be5 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 1 May 2020 14:58:23 +0100
+Subject: [PATCH] SQUASH: spi: Demote SPI_CS_HIGH warning to KERN_DEBUG
+
+This warning is unavoidable from a client's perspective and
+doesn't indicate anything wrong (just surprising).
+
+SQUASH with "spi: use_gpio_descriptor fixup moved to spi_setup"
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/spi/spi.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/spi/spi.c
++++ b/drivers/spi/spi.c
+@@ -3127,8 +3127,8 @@ int spi_setup(struct spi_device *spi)
+       if (ctlr->use_gpio_descriptors && ctlr->cs_gpiods &&
+           ctlr->cs_gpiods[spi->chip_select] && !(spi->mode & SPI_CS_HIGH)) {
+-              dev_warn(&spi->dev,
+-                       "setup: forcing CS_HIGH (use_gpio_descriptors)\n");
++              dev_dbg(&spi->dev,
++                      "setup: forcing CS_HIGH (use_gpio_descriptors)\n");
+               spi->mode |= SPI_CS_HIGH;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0690-media-i2c-ov5647-Use-member-names-in-mode-tables.patch b/target/linux/bcm27xx/patches-5.4/950-0690-media-i2c-ov5647-Use-member-names-in-mode-tables.patch
deleted file mode 100644 (file)
index d8ef700..0000000
+++ /dev/null
@@ -1,111 +0,0 @@
-From 95b6a6fea10497ca8f583768522d80317f8d700e Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 29 Apr 2020 22:11:01 +0100
-Subject: [PATCH] media: i2c: ov5647: Use member names in mode tables
-
-To make adding new members to the mode structures easier, use
-the member names in the initialisers.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/media/i2c/ov5647.c | 30 +++++++++++++++---------------
- 1 file changed, 15 insertions(+), 15 deletions(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -592,7 +592,7 @@ static struct ov5647_mode supported_mode
-        * Uncentred crop (top left quarter) from 2x2 binned 1296x972 image.
-        */
-       {
--              {
-+              .format = {
-                       .code = MEDIA_BUS_FMT_SBGGR8_1X8,
-                       .colorspace = V4L2_COLORSPACE_SRGB,
-                       .field = V4L2_FIELD_NONE,
-@@ -608,8 +608,8 @@ static struct ov5647_mode supported_mode
-               .pixel_rate = 77291670,
-               .hts = 1896,
-               .vts_def = 0x3d8,
--              ov5647_640x480_8bit,
--              ARRAY_SIZE(ov5647_640x480_8bit)
-+              .reg_list = ov5647_640x480_8bit,
-+              .num_regs = ARRAY_SIZE(ov5647_640x480_8bit)
-       },
- };
-@@ -618,7 +618,7 @@ static struct ov5647_mode supported_mode
-        * MODE 0: 2592x1944 full resolution full FOV 10-bit mode.
-        */
-       {
--              {
-+              .format = {
-                       .code = MEDIA_BUS_FMT_SBGGR10_1X10,
-                       .colorspace = V4L2_COLORSPACE_SRGB,
-                       .field = V4L2_FIELD_NONE,
-@@ -634,15 +634,15 @@ static struct ov5647_mode supported_mode
-               .pixel_rate = 87500000,
-               .hts = 2844,
-               .vts_def = 0x7b0,
--              ov5647_2592x1944_10bit,
--              ARRAY_SIZE(ov5647_2592x1944_10bit)
-+              .reg_list = ov5647_2592x1944_10bit,
-+              .num_regs = ARRAY_SIZE(ov5647_2592x1944_10bit)
-       },
-       /*
-        * MODE 1: 1080p30 10-bit mode.
-        * Full resolution centre-cropped down to 1080p.
-        */
-       {
--              {
-+              .format = {
-                       .code = MEDIA_BUS_FMT_SBGGR10_1X10,
-                       .colorspace = V4L2_COLORSPACE_SRGB,
-                       .field = V4L2_FIELD_NONE,
-@@ -658,14 +658,14 @@ static struct ov5647_mode supported_mode
-               .pixel_rate = 81666700,
-               .hts = 2416,
-               .vts_def = 0x450,
--              ov5647_1080p30_10bit,
--              ARRAY_SIZE(ov5647_1080p30_10bit)
-+              .reg_list = ov5647_1080p30_10bit,
-+              .num_regs = ARRAY_SIZE(ov5647_1080p30_10bit)
-       },
-       /*
-        * MODE 2: 2x2 binned full FOV 10-bit mode.
-        */
-       {
--              {
-+              .format = {
-                       .code = MEDIA_BUS_FMT_SBGGR10_1X10,
-                       .colorspace = V4L2_COLORSPACE_SRGB,
-                       .field = V4L2_FIELD_NONE,
-@@ -681,15 +681,15 @@ static struct ov5647_mode supported_mode
-               .pixel_rate = 81666700,
-               .hts = 1896,
-               .vts_def = 0x59b,
--              ov5647_2x2binned_10bit,
--              ARRAY_SIZE(ov5647_2x2binned_10bit)
-+              .reg_list = ov5647_2x2binned_10bit,
-+              .num_regs = ARRAY_SIZE(ov5647_2x2binned_10bit)
-       },
-       /*
-        * MODE 3: 10-bit VGA full FOV mode 60fps.
-        * 2x2 binned and subsampled down to VGA.
-        */
-       {
--              {
-+              .format = {
-                       .code = MEDIA_BUS_FMT_SBGGR10_1X10,
-                       .colorspace = V4L2_COLORSPACE_SRGB,
-                       .field = V4L2_FIELD_NONE,
-@@ -705,8 +705,8 @@ static struct ov5647_mode supported_mode
-               .pixel_rate = 55000000,
-               .hts = 1852,
-               .vts_def = 0x1f8,
--              ov5647_640x480_10bit,
--              ARRAY_SIZE(ov5647_640x480_10bit)
-+              .reg_list = ov5647_640x480_10bit,
-+              .num_regs = ARRAY_SIZE(ov5647_640x480_10bit)
-       },
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0691-bcm2835-dma-Add-proper-40-bit-DMA-support.patch b/target/linux/bcm27xx/patches-5.4/950-0691-bcm2835-dma-Add-proper-40-bit-DMA-support.patch
new file mode 100644 (file)
index 0000000..3fb4758
--- /dev/null
@@ -0,0 +1,801 @@
+From a2f673d1aa39752608e5f4838ed9656b38cbc4b9 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.org>
+Date: Thu, 4 Apr 2019 13:33:47 +0100
+Subject: [PATCH] bcm2835-dma: Add proper 40-bit DMA support
+
+BCM2711 has 4 DMA channels with a 40-bit address range, allowing them
+to access the full 4GB of memory on a Pi 4.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.org>
+---
+ drivers/dma/bcm2835-dma.c | 485 ++++++++++++++++++++++++++++++++------
+ 1 file changed, 412 insertions(+), 73 deletions(-)
+
+--- a/drivers/dma/bcm2835-dma.c
++++ b/drivers/dma/bcm2835-dma.c
+@@ -38,6 +38,11 @@
+ #define BCM2835_DMA_MAX_DMA_CHAN_SUPPORTED 14
+ #define BCM2835_DMA_CHAN_NAME_SIZE 8
+ #define BCM2835_DMA_BULK_MASK  BIT(0)
++#define BCM2711_DMA_MEMCPY_CHAN 14
++
++struct bcm2835_dma_cfg_data {
++      u32     chan_40bit_mask;
++};
+ /**
+  * struct bcm2835_dmadev - BCM2835 DMA controller
+@@ -52,6 +57,7 @@ struct bcm2835_dmadev {
+       void __iomem *base;
+       struct device_dma_parameters dma_parms;
+       dma_addr_t zero_page;
++      const struct bcm2835_dma_cfg_data *cfg_data;
+ };
+ struct bcm2835_dma_cb {
+@@ -64,6 +70,17 @@ struct bcm2835_dma_cb {
+       uint32_t pad[2];
+ };
++struct bcm2711_dma40_scb {
++      uint32_t ti;
++      uint32_t src;
++      uint32_t srci;
++      uint32_t dst;
++      uint32_t dsti;
++      uint32_t len;
++      uint32_t next_cb;
++      uint32_t rsvd;
++};
++
+ struct bcm2835_cb_entry {
+       struct bcm2835_dma_cb *cb;
+       dma_addr_t paddr;
+@@ -84,6 +101,7 @@ struct bcm2835_chan {
+       unsigned int irq_flags;
+       bool is_lite_channel;
++      bool is_40bit_channel;
+ };
+ struct bcm2835_desc {
+@@ -173,13 +191,118 @@ struct bcm2835_desc {
+ #define BCM2835_DMA_DATA_TYPE_S128    16
+ /* Valid only for channels 0 - 14, 15 has its own base address */
+-#define BCM2835_DMA_CHAN(n)   ((n) << 8) /* Base address */
++#define BCM2835_DMA_CHAN_SIZE 0x100
++#define BCM2835_DMA_CHAN(n)   ((n) * BCM2835_DMA_CHAN_SIZE) /* Base address */
+ #define BCM2835_DMA_CHANIO(base, n) ((base) + BCM2835_DMA_CHAN(n))
+ /* the max dma length for different channels */
+ #define MAX_DMA_LEN SZ_1G
+ #define MAX_LITE_DMA_LEN (SZ_64K - 4)
++/* 40-bit DMA support */
++#define BCM2711_DMA40_CS      0x00
++#define BCM2711_DMA40_CB      0x04
++#define BCM2711_DMA40_DEBUG   0x0c
++#define BCM2711_DMA40_TI      0x10
++#define BCM2711_DMA40_SRC     0x14
++#define BCM2711_DMA40_SRCI    0x18
++#define BCM2711_DMA40_DEST    0x1c
++#define BCM2711_DMA40_DESTI   0x20
++#define BCM2711_DMA40_LEN     0x24
++#define BCM2711_DMA40_NEXT_CB 0x28
++#define BCM2711_DMA40_DEBUG2  0x2c
++
++#define BCM2711_DMA40_ACTIVE          BIT(0)
++#define BCM2711_DMA40_END             BIT(1)
++#define BCM2711_DMA40_INT             BIT(2)
++#define BCM2711_DMA40_DREQ            BIT(3)  /* DREQ state */
++#define BCM2711_DMA40_RD_PAUSED               BIT(4)  /* Reading is paused */
++#define BCM2711_DMA40_WR_PAUSED               BIT(5)  /* Writing is paused */
++#define BCM2711_DMA40_DREQ_PAUSED     BIT(6)  /* Is paused by DREQ flow control */
++#define BCM2711_DMA40_WAITING_FOR_WRITES BIT(7)  /* Waiting for last write */
++#define BCM2711_DMA40_ERR             BIT(10)
++#define BCM2711_DMA40_QOS(x)          (((x) & 0x1f) << 16)
++#define BCM2711_DMA40_PANIC_QOS(x)    (((x) & 0x1f) << 20)
++#define BCM2711_DMA40_WAIT_FOR_WRITES BIT(28)
++#define BCM2711_DMA40_DISDEBUG                BIT(29)
++#define BCM2711_DMA40_ABORT           BIT(30)
++#define BCM2711_DMA40_HALT            BIT(31)
++#define BCM2711_DMA40_CS_FLAGS(x) (x & (BCM2711_DMA40_QOS(15) | \
++                                      BCM2711_DMA40_PANIC_QOS(15) | \
++                                      BCM2711_DMA40_WAIT_FOR_WRITES | \
++                                      BCM2711_DMA40_DISDEBUG))
++
++/* Transfer information bits */
++#define BCM2711_DMA40_INTEN           BIT(0)
++#define BCM2711_DMA40_TDMODE          BIT(1) /* 2D-Mode */
++#define BCM2711_DMA40_WAIT_RESP               BIT(2) /* wait for AXI write to be acked */
++#define BCM2711_DMA40_WAIT_RD_RESP    BIT(3) /* wait for AXI read to complete */
++#define BCM2711_DMA40_PER_MAP(x)      ((x & 31) << 9) /* REQ source */
++#define BCM2711_DMA40_S_DREQ          BIT(14) /* enable SREQ for source */
++#define BCM2711_DMA40_D_DREQ          BIT(15) /* enable DREQ for destination */
++#define BCM2711_DMA40_S_WAIT(x)               ((x & 0xff) << 16) /* add DMA read-wait cycles */
++#define BCM2711_DMA40_D_WAIT(x)               ((x & 0xff) << 24) /* add DMA write-wait cycles */
++
++/* debug register bits */
++#define BCM2711_DMA40_DEBUG_WRITE_ERR         BIT(0)
++#define BCM2711_DMA40_DEBUG_FIFO_ERR          BIT(1)
++#define BCM2711_DMA40_DEBUG_READ_ERR          BIT(2)
++#define BCM2711_DMA40_DEBUG_READ_CB_ERR               BIT(3)
++#define BCM2711_DMA40_DEBUG_IN_ON_ERR         BIT(8)
++#define BCM2711_DMA40_DEBUG_ABORT_ON_ERR      BIT(9)
++#define BCM2711_DMA40_DEBUG_HALT_ON_ERR               BIT(10)
++#define BCM2711_DMA40_DEBUG_DISABLE_CLK_GATE  BIT(11)
++#define BCM2711_DMA40_DEBUG_RSTATE_SHIFT      14
++#define BCM2711_DMA40_DEBUG_RSTATE_BITS               4
++#define BCM2711_DMA40_DEBUG_WSTATE_SHIFT      18
++#define BCM2711_DMA40_DEBUG_WSTATE_BITS               4
++#define BCM2711_DMA40_DEBUG_RESET             BIT(23)
++#define BCM2711_DMA40_DEBUG_ID_SHIFT          24
++#define BCM2711_DMA40_DEBUG_ID_BITS           4
++#define BCM2711_DMA40_DEBUG_VERSION_SHIFT     28
++#define BCM2711_DMA40_DEBUG_VERSION_BITS      4
++
++/* Valid only for channels 0 - 3 (11 - 14) */
++#define BCM2711_DMA40_CHAN(n) (((n) + 11) << 8) /* Base address */
++#define BCM2711_DMA40_CHANIO(base, n) ((base) + BCM2711_DMA_CHAN(n))
++
++/* the max dma length for different channels */
++#define MAX_DMA40_LEN SZ_1G
++
++#define BCM2711_DMA40_BURST_LEN(x)    ((min(x,16) - 1) << 8)
++#define BCM2711_DMA40_INC             BIT(12)
++#define BCM2711_DMA40_SIZE_32         (0 << 13)
++#define BCM2711_DMA40_SIZE_64         (1 << 13)
++#define BCM2711_DMA40_SIZE_128                (2 << 13)
++#define BCM2711_DMA40_SIZE_256                (3 << 13)
++#define BCM2711_DMA40_IGNORE          BIT(15)
++#define BCM2711_DMA40_STRIDE(x)               ((x) << 16) /* For 2D mode */
++
++#define BCM2711_DMA40_MEMCPY_FLAGS \
++      (BCM2711_DMA40_QOS(0) | \
++       BCM2711_DMA40_PANIC_QOS(0) | \
++       BCM2711_DMA40_WAIT_FOR_WRITES | \
++       BCM2711_DMA40_DISDEBUG)
++
++#define BCM2711_DMA40_MEMCPY_XFER_INFO \
++      (BCM2711_DMA40_SIZE_128 | \
++       BCM2711_DMA40_INC | \
++       BCM2711_DMA40_BURST_LEN(16))
++
++struct bcm2835_dmadev *memcpy_parent;
++static void __iomem *memcpy_chan;
++static struct bcm2711_dma40_scb *memcpy_scb;
++static dma_addr_t memcpy_scb_dma;
++DEFINE_SPINLOCK(memcpy_lock);
++
++static const struct bcm2835_dma_cfg_data bcm2835_dma_cfg = {
++      .chan_40bit_mask = 0,
++};
++
++static const struct bcm2835_dma_cfg_data bcm2711_dma_cfg = {
++      .chan_40bit_mask = BIT(11) | BIT(12) | BIT(13) | BIT(14),
++};
++
+ static inline size_t bcm2835_dma_max_frame_length(struct bcm2835_chan *c)
+ {
+       /* lite and normal channels have different max frame length */
+@@ -209,6 +332,32 @@ static inline struct bcm2835_desc *to_bc
+       return container_of(t, struct bcm2835_desc, vd.tx);
+ }
++static inline uint32_t to_bcm2711_ti(uint32_t info)
++{
++      return ((info & BCM2835_DMA_INT_EN) ? BCM2711_DMA40_INTEN : 0) |
++              ((info & BCM2835_DMA_WAIT_RESP) ? BCM2711_DMA40_WAIT_RESP : 0) |
++              ((info & BCM2835_DMA_S_DREQ) ?
++               (BCM2711_DMA40_S_DREQ | BCM2711_DMA40_WAIT_RD_RESP) : 0) |
++              ((info & BCM2835_DMA_D_DREQ) ? BCM2711_DMA40_D_DREQ : 0) |
++              BCM2711_DMA40_PER_MAP((info >> 16) & 0x1f);
++}
++
++static inline uint32_t to_bcm2711_srci(uint32_t info)
++{
++      return ((info & BCM2835_DMA_S_INC) ? BCM2711_DMA40_INC : 0);
++}
++
++static inline uint32_t to_bcm2711_dsti(uint32_t info)
++{
++      return ((info & BCM2835_DMA_D_INC) ? BCM2711_DMA40_INC : 0);
++}
++
++static inline uint32_t to_bcm2711_cbaddr(dma_addr_t addr)
++{
++      BUG_ON(addr & 0x1f);
++      return (addr >> 5);
++}
++
+ static void bcm2835_dma_free_cb_chain(struct bcm2835_desc *desc)
+ {
+       size_t i;
+@@ -227,45 +376,53 @@ static void bcm2835_dma_desc_free(struct
+ }
+ static void bcm2835_dma_create_cb_set_length(
+-      struct bcm2835_chan *chan,
++      struct bcm2835_chan *c,
+       struct bcm2835_dma_cb *control_block,
+       size_t len,
+       size_t period_len,
+       size_t *total_len,
+       u32 finalextrainfo)
+ {
+-      size_t max_len = bcm2835_dma_max_frame_length(chan);
++      size_t max_len = bcm2835_dma_max_frame_length(c);
++      uint32_t cb_len;
+       /* set the length taking lite-channel limitations into account */
+-      control_block->length = min_t(u32, len, max_len);
++      cb_len = min_t(u32, len, max_len);
+-      /* finished if we have no period_length */
+-      if (!period_len)
+-              return;
++      if (period_len) {
++              /*
++               * period_len means: that we need to generate
++               * transfers that are terminating at every
++               * multiple of period_len - this is typically
++               * used to set the interrupt flag in info
++               * which is required during cyclic transfers
++               */
+-      /*
+-       * period_len means: that we need to generate
+-       * transfers that are terminating at every
+-       * multiple of period_len - this is typically
+-       * used to set the interrupt flag in info
+-       * which is required during cyclic transfers
+-       */
++              /* have we filled in period_length yet? */
++              if (*total_len + cb_len < period_len) {
++                      /* update number of bytes in this period so far */
++                      *total_len += cb_len;
++              } else {
++                      /* calculate the length that remains to reach period_len */
++                      cb_len = period_len - *total_len;
+-      /* have we filled in period_length yet? */
+-      if (*total_len + control_block->length < period_len) {
+-              /* update number of bytes in this period so far */
+-              *total_len += control_block->length;
+-              return;
++                      /* reset total_length for next period */
++                      *total_len = 0;
++              }
+       }
+-      /* calculate the length that remains to reach period_length */
+-      control_block->length = period_len - *total_len;
+-
+-      /* reset total_length for next period */
+-      *total_len = 0;
+-
+-      /* add extrainfo bits in info */
+-      control_block->info |= finalextrainfo;
++      if (c->is_40bit_channel) {
++              struct bcm2711_dma40_scb *scb =
++                      (struct bcm2711_dma40_scb *)control_block;
++
++              scb->len = cb_len;
++              /* add extrainfo bits to ti */
++              scb->ti |= to_bcm2711_ti(finalextrainfo);
++      } else {
++              control_block->length = cb_len;
++              /* add extrainfo bits to info */
++              control_block->info |= finalextrainfo;
++      }
+ }
+ static inline size_t bcm2835_dma_count_frames_for_sg(
+@@ -288,7 +445,7 @@ static inline size_t bcm2835_dma_count_f
+ /**
+  * bcm2835_dma_create_cb_chain - create a control block and fills data in
+  *
+- * @chan:           the @dma_chan for which we run this
++ * @c:              the @bcm2835_chan for which we run this
+  * @direction:      the direction in which we transfer
+  * @cyclic:         it is a cyclic transfer
+  * @info:           the default info bits to apply per controlblock
+@@ -306,12 +463,11 @@ static inline size_t bcm2835_dma_count_f
+  * @gfp:            the GFP flag to use for allocation
+  */
+ static struct bcm2835_desc *bcm2835_dma_create_cb_chain(
+-      struct dma_chan *chan, enum dma_transfer_direction direction,
++      struct bcm2835_chan *c, enum dma_transfer_direction direction,
+       bool cyclic, u32 info, u32 finalextrainfo, size_t frames,
+       dma_addr_t src, dma_addr_t dst, size_t buf_len,
+       size_t period_len, gfp_t gfp)
+ {
+-      struct bcm2835_chan *c = to_bcm2835_dma_chan(chan);
+       size_t len = buf_len, total_len;
+       size_t frame;
+       struct bcm2835_desc *d;
+@@ -343,11 +499,23 @@ static struct bcm2835_desc *bcm2835_dma_
+               /* fill in the control block */
+               control_block = cb_entry->cb;
+-              control_block->info = info;
+-              control_block->src = src;
+-              control_block->dst = dst;
+-              control_block->stride = 0;
+-              control_block->next = 0;
++              if (c->is_40bit_channel) {
++                      struct bcm2711_dma40_scb *scb =
++                              (struct bcm2711_dma40_scb *)control_block;
++                      scb->ti = to_bcm2711_ti(info);
++                      scb->src = lower_32_bits(src);
++                      scb->srci= upper_32_bits(src) | to_bcm2711_srci(info);
++                      scb->dst = lower_32_bits(dst);
++                      scb->dsti = upper_32_bits(dst) | to_bcm2711_dsti(info);
++                      scb->next_cb = 0;
++              } else {
++                      control_block->info = info;
++                      control_block->src = src;
++                      control_block->dst = dst;
++                      control_block->stride = 0;
++                      control_block->next = 0;
++              }
++
+               /* set up length in control_block if requested */
+               if (buf_len) {
+                       /* calculate length honoring period_length */
+@@ -361,7 +529,11 @@ static struct bcm2835_desc *bcm2835_dma_
+               }
+               /* link this the last controlblock */
+-              if (frame)
++              if (frame && c->is_40bit_channel)
++                      ((struct bcm2711_dma40_scb *)
++                       d->cb_list[frame - 1].cb)->next_cb =
++                              to_bcm2711_cbaddr(cb_entry->paddr);
++              if (frame && !c->is_40bit_channel)
+                       d->cb_list[frame - 1].cb->next = cb_entry->paddr;
+               /* update src and dst and length */
+@@ -371,11 +543,21 @@ static struct bcm2835_desc *bcm2835_dma_
+                       dst += control_block->length;
+               /* Length of total transfer */
+-              d->size += control_block->length;
++              if (c->is_40bit_channel)
++                      d->size += ((struct bcm2711_dma40_scb *)control_block)->len;
++              else
++                      d->size += control_block->length;
+       }
+       /* the last frame requires extra flags */
+-      d->cb_list[d->frames - 1].cb->info |= finalextrainfo;
++      if (c->is_40bit_channel) {
++              struct bcm2711_dma40_scb *scb =
++                      (struct bcm2711_dma40_scb *)d->cb_list[d->frames-1].cb;
++
++              scb->ti |= to_bcm2711_ti(finalextrainfo);
++      } else {
++              d->cb_list[d->frames - 1].cb->info |= finalextrainfo;
++      }
+       /* detect a size missmatch */
+       if (buf_len && (d->size != buf_len))
+@@ -389,13 +571,12 @@ error_cb:
+ }
+ static void bcm2835_dma_fill_cb_chain_with_sg(
+-      struct dma_chan *chan,
++      struct bcm2835_chan *c,
+       enum dma_transfer_direction direction,
+       struct bcm2835_cb_entry *cb,
+       struct scatterlist *sgl,
+       unsigned int sg_len)
+ {
+-      struct bcm2835_chan *c = to_bcm2835_dma_chan(chan);
+       size_t len, max_len;
+       unsigned int i;
+       dma_addr_t addr;
+@@ -403,14 +584,35 @@ static void bcm2835_dma_fill_cb_chain_wi
+       max_len = bcm2835_dma_max_frame_length(c);
+       for_each_sg(sgl, sgent, sg_len, i) {
+-              for (addr = sg_dma_address(sgent), len = sg_dma_len(sgent);
+-                   len > 0;
+-                   addr += cb->cb->length, len -= cb->cb->length, cb++) {
+-                      if (direction == DMA_DEV_TO_MEM)
+-                              cb->cb->dst = addr;
+-                      else
+-                              cb->cb->src = addr;
+-                      cb->cb->length = min(len, max_len);
++              if (c->is_40bit_channel) {
++                      struct bcm2711_dma40_scb *scb;
++
++                      for (addr = sg_dma_address(sgent),
++                                   len = sg_dma_len(sgent);
++                                   len > 0;
++                           addr += scb->len, len -= scb->len, cb++) {
++                              scb = (struct bcm2711_dma40_scb *)cb->cb;
++                              if (direction == DMA_DEV_TO_MEM) {
++                                      scb->dst = lower_32_bits(addr);
++                                      scb->dsti = upper_32_bits(addr) | BCM2711_DMA40_INC;
++                              } else {
++                                      scb->src = lower_32_bits(addr);
++                                      scb->srci = upper_32_bits(addr) | BCM2711_DMA40_INC;
++                              }
++                              scb->len = min(len, max_len);
++                      }
++              } else {
++                      for (addr = sg_dma_address(sgent),
++                                   len = sg_dma_len(sgent);
++                           len > 0;
++                           addr += cb->cb->length, len -= cb->cb->length,
++                           cb++) {
++                              if (direction == DMA_DEV_TO_MEM)
++                                      cb->cb->dst = addr;
++                              else
++                                      cb->cb->src = addr;
++                              cb->cb->length = min(len, max_len);
++                      }
+               }
+       }
+ }
+@@ -419,6 +621,10 @@ static void bcm2835_dma_abort(struct bcm
+ {
+       void __iomem *chan_base = c->chan_base;
+       long int timeout = 10000;
++      u32 wait_mask = BCM2835_DMA_WAITING_FOR_WRITES;
++
++      if (c->is_40bit_channel)
++              wait_mask = BCM2711_DMA40_WAITING_FOR_WRITES;
+       /*
+        * A zero control block address means the channel is idle.
+@@ -431,8 +637,7 @@ static void bcm2835_dma_abort(struct bcm
+       writel(0, chan_base + BCM2835_DMA_CS);
+       /* Wait for any current AXI transfer to complete */
+-      while ((readl(chan_base + BCM2835_DMA_CS) &
+-              BCM2835_DMA_WAITING_FOR_WRITES) && --timeout)
++      while ((readl(chan_base + BCM2835_DMA_CS) & wait_mask) && --timeout)
+               cpu_relax();
+       /* Peripheral might be stuck and fail to signal AXI write responses */
+@@ -457,9 +662,16 @@ static void bcm2835_dma_start_desc(struc
+       c->desc = d = to_bcm2835_dma_desc(&vd->tx);
+-      writel(d->cb_list[0].paddr, c->chan_base + BCM2835_DMA_ADDR);
+-      writel(BCM2835_DMA_ACTIVE | BCM2835_DMA_CS_FLAGS(c->dreq),
+-             c->chan_base + BCM2835_DMA_CS);
++      if (c->is_40bit_channel) {
++              writel(to_bcm2711_cbaddr(d->cb_list[0].paddr),
++                     c->chan_base + BCM2711_DMA40_CB);
++              writel(BCM2711_DMA40_ACTIVE | BCM2711_DMA40_CS_FLAGS(c->dreq),
++                     c->chan_base + BCM2711_DMA40_CS);
++      } else {
++              writel(d->cb_list[0].paddr, c->chan_base + BCM2835_DMA_ADDR);
++              writel(BCM2835_DMA_ACTIVE | BCM2835_DMA_CS_FLAGS(c->dreq),
++                     c->chan_base + BCM2835_DMA_CS);
++      }
+ }
+ static irqreturn_t bcm2835_dma_callback(int irq, void *data)
+@@ -486,8 +698,7 @@ static irqreturn_t bcm2835_dma_callback(
+        * if this IRQ handler is threaded.) If the channel is finished, it
+        * will remain idle despite the ACTIVE flag being set.
+        */
+-      writel(BCM2835_DMA_INT | BCM2835_DMA_ACTIVE |
+-             BCM2835_DMA_CS_FLAGS(c->dreq),
++      writel(BCM2835_DMA_INT | BCM2835_DMA_ACTIVE,
+              c->chan_base + BCM2835_DMA_CS);
+       d = c->desc;
+@@ -590,9 +801,17 @@ static enum dma_status bcm2835_dma_tx_st
+               struct bcm2835_desc *d = c->desc;
+               dma_addr_t pos;
+-              if (d->dir == DMA_MEM_TO_DEV)
++              if (d->dir == DMA_MEM_TO_DEV && c->is_40bit_channel)
++                      pos = readl(c->chan_base + BCM2711_DMA40_SRC) +
++                              ((readl(c->chan_base + BCM2711_DMA40_SRCI) &
++                                0xff) << 8);
++              else if (d->dir == DMA_MEM_TO_DEV && !c->is_40bit_channel)
+                       pos = readl(c->chan_base + BCM2835_DMA_SOURCE_AD);
+-              else if (d->dir == DMA_DEV_TO_MEM)
++              else if (d->dir == DMA_DEV_TO_MEM && c->is_40bit_channel)
++                      pos = readl(c->chan_base + BCM2711_DMA40_DEST) +
++                              ((readl(c->chan_base + BCM2711_DMA40_DESTI) &
++                                0xff) << 8);
++              else if (d->dir == DMA_DEV_TO_MEM && !c->is_40bit_channel)
+                       pos = readl(c->chan_base + BCM2835_DMA_DEST_AD);
+               else
+                       pos = 0;
+@@ -638,7 +857,7 @@ static struct dma_async_tx_descriptor *b
+       frames = bcm2835_dma_frames_for_length(len, max_len);
+       /* allocate the CB chain - this also fills in the pointers */
+-      d = bcm2835_dma_create_cb_chain(chan, DMA_MEM_TO_MEM, false,
++      d = bcm2835_dma_create_cb_chain(c, DMA_MEM_TO_MEM, false,
+                                       info, extra, frames,
+                                       src, dst, len, 0, GFP_KERNEL);
+       if (!d)
+@@ -673,11 +892,21 @@ static struct dma_async_tx_descriptor *b
+               if (c->cfg.src_addr_width != DMA_SLAVE_BUSWIDTH_4_BYTES)
+                       return NULL;
+               src = c->cfg.src_addr;
++              /*
++               * One would think it ought to be possible to get the physical
++               * to dma address mapping information from the dma-ranges DT
++               * property, but I've not found a way yet that doesn't involve
++               * open-coding the whole thing.
++               */
++              if (c->is_40bit_channel)
++                  src |= 0x400000000ull;
+               info |= BCM2835_DMA_S_DREQ | BCM2835_DMA_D_INC;
+       } else {
+               if (c->cfg.dst_addr_width != DMA_SLAVE_BUSWIDTH_4_BYTES)
+                       return NULL;
+               dst = c->cfg.dst_addr;
++              if (c->is_40bit_channel)
++                  dst |= 0x400000000ull;
+               info |= BCM2835_DMA_D_DREQ | BCM2835_DMA_S_INC;
+       }
+@@ -685,7 +914,7 @@ static struct dma_async_tx_descriptor *b
+       frames = bcm2835_dma_count_frames_for_sg(c, sgl, sg_len);
+       /* allocate the CB chain */
+-      d = bcm2835_dma_create_cb_chain(chan, direction, false,
++      d = bcm2835_dma_create_cb_chain(c, direction, false,
+                                       info, extra,
+                                       frames, src, dst, 0, 0,
+                                       GFP_NOWAIT);
+@@ -693,7 +922,7 @@ static struct dma_async_tx_descriptor *b
+               return NULL;
+       /* fill in frames with scatterlist pointers */
+-      bcm2835_dma_fill_cb_chain_with_sg(chan, direction, d->cb_list,
++      bcm2835_dma_fill_cb_chain_with_sg(c, direction, d->cb_list,
+                                         sgl, sg_len);
+       return vchan_tx_prep(&c->vc, &d->vd, flags);
+@@ -747,12 +976,16 @@ static struct dma_async_tx_descriptor *b
+               if (c->cfg.src_addr_width != DMA_SLAVE_BUSWIDTH_4_BYTES)
+                       return NULL;
+               src = c->cfg.src_addr;
++              if (c->is_40bit_channel)
++                  src |= 0x400000000ull;
+               dst = buf_addr;
+               info |= BCM2835_DMA_S_DREQ | BCM2835_DMA_D_INC;
+       } else {
+               if (c->cfg.dst_addr_width != DMA_SLAVE_BUSWIDTH_4_BYTES)
+                       return NULL;
+               dst = c->cfg.dst_addr;
++              if (c->is_40bit_channel)
++                  dst |= 0x400000000ull;
+               src = buf_addr;
+               info |= BCM2835_DMA_D_DREQ | BCM2835_DMA_S_INC;
+@@ -772,7 +1005,7 @@ static struct dma_async_tx_descriptor *b
+        * note that we need to use GFP_NOWAIT, as the ALSA i2s dmaengine
+        * implementation calls prep_dma_cyclic with interrupts disabled.
+        */
+-      d = bcm2835_dma_create_cb_chain(chan, direction, true,
++      d = bcm2835_dma_create_cb_chain(c, direction, true,
+                                       info, extra,
+                                       frames, src, dst, buf_len,
+                                       period_len, GFP_NOWAIT);
+@@ -780,7 +1013,12 @@ static struct dma_async_tx_descriptor *b
+               return NULL;
+       /* wrap around into a loop */
+-      d->cb_list[d->frames - 1].cb->next = d->cb_list[0].paddr;
++      if (c->is_40bit_channel)
++              ((struct bcm2711_dma40_scb *)
++               d->cb_list[frames - 1].cb)->next_cb =
++                      to_bcm2711_cbaddr(d->cb_list[0].paddr);
++      else
++              d->cb_list[d->frames - 1].cb->next = d->cb_list[0].paddr;
+       return vchan_tx_prep(&c->vc, &d->vd, flags);
+ }
+@@ -844,9 +1082,11 @@ static int bcm2835_dma_chan_init(struct
+       c->irq_number = irq;
+       c->irq_flags = irq_flags;
+-      /* check in DEBUG register if this is a LITE channel */
+-      if (readl(c->chan_base + BCM2835_DMA_DEBUG) &
+-              BCM2835_DMA_DEBUG_LITE)
++      /* check for 40bit and lite channels */
++      if (d->cfg_data->chan_40bit_mask & BIT(chan_id))
++              c->is_40bit_channel = true;
++      else if (readl(c->chan_base + BCM2835_DMA_DEBUG) &
++               BCM2835_DMA_DEBUG_LITE)
+               c->is_lite_channel = true;
+       return 0;
+@@ -866,8 +1106,58 @@ static void bcm2835_dma_free(struct bcm2
+                            DMA_TO_DEVICE, DMA_ATTR_SKIP_CPU_SYNC);
+ }
++int bcm2711_dma40_memcpy_init(void)
++{
++      if (!memcpy_parent)
++              return -EPROBE_DEFER;
++
++      if (!memcpy_chan)
++              return -EINVAL;
++
++      if (!memcpy_scb)
++              return -ENOMEM;
++
++      return 0;
++}
++EXPORT_SYMBOL(bcm2711_dma40_memcpy_init);
++
++void bcm2711_dma40_memcpy(dma_addr_t dst, dma_addr_t src, size_t size)
++{
++      struct bcm2711_dma40_scb *scb = memcpy_scb;
++      unsigned long flags;
++
++      if (!scb) {
++              pr_err("bcm2711_dma40_memcpy not initialised!\n");
++              return;
++      }
++
++      spin_lock_irqsave(&memcpy_lock, flags);
++
++      scb->ti = 0;
++      scb->src = lower_32_bits(src);
++      scb->srci = upper_32_bits(src) | BCM2711_DMA40_MEMCPY_XFER_INFO;
++      scb->dst = lower_32_bits(dst);
++      scb->dsti = upper_32_bits(dst) | BCM2711_DMA40_MEMCPY_XFER_INFO;
++      scb->len = size;
++      scb->next_cb = 0;
++
++      writel((u32)(memcpy_scb_dma >> 5), memcpy_chan + BCM2711_DMA40_CB);
++      writel(BCM2711_DMA40_MEMCPY_FLAGS + BCM2711_DMA40_ACTIVE,
++             memcpy_chan + BCM2711_DMA40_CS);
++
++      /* Poll for completion */
++      while (!(readl(memcpy_chan + BCM2711_DMA40_CS) & BCM2711_DMA40_END))
++              cpu_relax();
++
++      writel(BCM2711_DMA40_END, memcpy_chan + BCM2711_DMA40_CS);
++
++      spin_unlock_irqrestore(&memcpy_lock, flags);
++}
++EXPORT_SYMBOL(bcm2711_dma40_memcpy);
++
+ static const struct of_device_id bcm2835_dma_of_match[] = {
+-      { .compatible = "brcm,bcm2835-dma", },
++      { .compatible = "brcm,bcm2835-dma", .data = &bcm2835_dma_cfg },
++      { .compatible = "brcm,bcm2711-dma", .data = &bcm2711_dma_cfg },
+       {},
+ };
+ MODULE_DEVICE_TABLE(of, bcm2835_dma_of_match);
+@@ -899,6 +1189,8 @@ static int bcm2835_dma_probe(struct plat
+       int irq_flags;
+       uint32_t chans_available;
+       char chan_name[BCM2835_DMA_CHAN_NAME_SIZE];
++      const struct of_device_id *of_id;
++      int chan_count, chan_start, chan_end;
+       if (!pdev->dev.dma_mask)
+               pdev->dev.dma_mask = &pdev->dev.coherent_dma_mask;
+@@ -920,9 +1212,13 @@ static int bcm2835_dma_probe(struct plat
+       base = devm_ioremap_resource(&pdev->dev, res);
+       if (IS_ERR(base))
+               return PTR_ERR(base);
+-      rc = bcm_dmaman_probe(pdev, base, BCM2835_DMA_BULK_MASK);
+-      if (rc)
+-              dev_err(&pdev->dev, "Failed to initialize the legacy API\n");
++
++      /* The set of channels can be split across multiple instances. */
++      chan_start = ((u32)(uintptr_t)base / BCM2835_DMA_CHAN_SIZE) & 0xf;
++      base -= BCM2835_DMA_CHAN(chan_start);
++      chan_count = resource_size(res) / BCM2835_DMA_CHAN_SIZE;
++      chan_end = min(chan_start + chan_count,
++                       BCM2835_DMA_MAX_DMA_CHAN_SUPPORTED + 1);
+       od->base = base;
+@@ -959,6 +1255,14 @@ static int bcm2835_dma_probe(struct plat
+               return -ENOMEM;
+       }
++      of_id = of_match_node(bcm2835_dma_of_match, pdev->dev.of_node);
++      if (!of_id) {
++              dev_err(&pdev->dev, "Failed to match compatible string\n");
++              return -EINVAL;
++      }
++
++      od->cfg_data = of_id->data;
++
+       /* Request DMA channel mask from device tree */
+       if (of_property_read_u32(pdev->dev.of_node,
+                       "brcm,dma-channel-mask",
+@@ -968,11 +1272,34 @@ static int bcm2835_dma_probe(struct plat
+               goto err_no_dma;
+       }
+-      /* Channel 0 is used by the legacy API */
+-      chans_available &= ~BCM2835_DMA_BULK_MASK;
++      /* One channel is reserved for the legacy API */
++      if (chans_available & BCM2835_DMA_BULK_MASK) {
++              rc = bcm_dmaman_probe(pdev, base,
++                                    chans_available & BCM2835_DMA_BULK_MASK);
++              if (rc)
++                      dev_err(&pdev->dev,
++                              "Failed to initialize the legacy API\n");
++
++              chans_available &= ~BCM2835_DMA_BULK_MASK;
++      }
++
++      /* And possibly one for the 40-bit DMA memcpy API */
++      if (chans_available & od->cfg_data->chan_40bit_mask &
++          BIT(BCM2711_DMA_MEMCPY_CHAN)) {
++              memcpy_parent = od;
++              memcpy_chan = BCM2835_DMA_CHANIO(base, BCM2711_DMA_MEMCPY_CHAN);
++              memcpy_scb = dma_alloc_coherent(memcpy_parent->ddev.dev,
++                                              sizeof(*memcpy_scb),
++                                              &memcpy_scb_dma, GFP_KERNEL);
++              if (!memcpy_scb)
++                      dev_warn(&pdev->dev,
++                               "Failed to allocated memcpy scb\n");
++
++              chans_available &= ~BIT(BCM2711_DMA_MEMCPY_CHAN);
++      }
+       /* get irqs for each channel that we support */
+-      for (i = 0; i <= BCM2835_DMA_MAX_DMA_CHAN_SUPPORTED; i++) {
++      for (i = chan_start; i < chan_end; i++) {
+               /* skip masked out channels */
+               if (!(chans_available & (1 << i))) {
+                       irq[i] = -1;
+@@ -995,13 +1322,17 @@ static int bcm2835_dma_probe(struct plat
+               irq[i] = platform_get_irq(pdev, i < 11 ? i : 11);
+       }
++      chan_count = 0;
++
+       /* get irqs for each channel */
+-      for (i = 0; i <= BCM2835_DMA_MAX_DMA_CHAN_SUPPORTED; i++) {
++      for (i = chan_start; i < chan_end; i++) {
+               /* skip channels without irq */
+               if (irq[i] < 0)
+                       continue;
+               /* check if there are other channels that also use this irq */
++              /* FIXME: This will fail if interrupts are shared across
++                 instances */
+               irq_flags = 0;
+               for (j = 0; j <= BCM2835_DMA_MAX_DMA_CHAN_SUPPORTED; j++)
+                       if ((i != j) && (irq[j] == irq[i])) {
+@@ -1013,9 +1344,10 @@ static int bcm2835_dma_probe(struct plat
+               rc = bcm2835_dma_chan_init(od, i, irq[i], irq_flags);
+               if (rc)
+                       goto err_no_dma;
++              chan_count++;
+       }
+-      dev_dbg(&pdev->dev, "Initialized %i DMA channels\n", i);
++      dev_dbg(&pdev->dev, "Initialized %i DMA channels\n", chan_count);
+       /* Device-tree DMA controller registration */
+       rc = of_dma_controller_register(pdev->dev.of_node,
+@@ -1047,6 +1379,13 @@ static int bcm2835_dma_remove(struct pla
+       bcm_dmaman_remove(pdev);
+       dma_async_device_unregister(&od->ddev);
++      if (memcpy_parent == od) {
++              dma_free_coherent(&pdev->dev, sizeof(*memcpy_scb), memcpy_scb,
++                                memcpy_scb_dma);
++              memcpy_parent = NULL;
++              memcpy_scb = NULL;
++              memcpy_chan = NULL;
++      }
+       bcm2835_dma_free(od);
+       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0691-media-i2c-ov5647-Advertise-the-correct-exposure-rang.patch b/target/linux/bcm27xx/patches-5.4/950-0691-media-i2c-ov5647-Advertise-the-correct-exposure-rang.patch
deleted file mode 100644 (file)
index 9b87448..0000000
+++ /dev/null
@@ -1,119 +0,0 @@
-From c92b64465d1f6dbfaf189dbf68128ec52fcb0521 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Thu, 30 Apr 2020 11:03:00 +0100
-Subject: [PATCH] media: i2c: ov5647: Advertise the correct exposure
- range
-
-Exposure is clipped by the VTS of the mode, so needs to be updated as
-and when this is changed.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/media/i2c/ov5647.c | 47 +++++++++++++++++++++++++++++++-------
- 1 file changed, 39 insertions(+), 8 deletions(-)
-
---- a/drivers/media/i2c/ov5647.c
-+++ b/drivers/media/i2c/ov5647.c
-@@ -84,6 +84,11 @@
- #define OV5647_VBLANK_MIN             4
- #define OV5647_VTS_MAX                        32767
-+#define OV5647_EXPOSURE_MIN           4
-+#define OV5647_EXPOSURE_STEP          1
-+#define OV5647_EXPOSURE_DEFAULT               1000
-+#define OV5647_EXPOSURE_MAX           65535
-+
- struct regval_list {
-       u16 addr;
-       u8 data;
-@@ -117,6 +122,7 @@ struct ov5647 {
-       struct v4l2_ctrl                *pixel_rate;
-       struct v4l2_ctrl                *hblank;
-       struct v4l2_ctrl                *vblank;
-+      struct v4l2_ctrl                *exposure;
-       bool                            write_mode_regs;
- };
-@@ -1202,7 +1208,7 @@ static int ov5647_set_fmt(struct v4l2_su
-                * If we have changed modes, write the I2C register list on
-                * a stream_on().
-                */
--              int hblank;
-+              int exposure_max, exposure_def, hblank;
-               if (state->mode != mode)
-                       state->write_mode_regs = true;
-@@ -1223,6 +1229,15 @@ static int ov5647_set_fmt(struct v4l2_su
-                                        mode->vts_def - mode->format.height);
-               __v4l2_ctrl_s_ctrl(state->vblank,
-                                  mode->vts_def - mode->format.height);
-+
-+              exposure_max = mode->vts_def - 4;
-+              exposure_def = (exposure_max < OV5647_EXPOSURE_DEFAULT) ?
-+                                      exposure_max : OV5647_EXPOSURE_DEFAULT;
-+              __v4l2_ctrl_modify_range(state->exposure,
-+                                       state->exposure->minimum,
-+                                       exposure_max,
-+                                       state->exposure->step,
-+                                       exposure_def);
-       }
-       mutex_unlock(&state->lock);
-@@ -1415,6 +1430,19 @@ static int ov5647_s_ctrl(struct v4l2_ctr
-       /* v4l2_ctrl_lock() locks our own mutex */
-+      if (ctrl->id == V4L2_CID_VBLANK) {
-+              int exposure_max, exposure_def;
-+
-+              /* Update max exposure while meeting expected vblanking */
-+              exposure_max = state->mode->format.height + ctrl->val - 4;
-+              exposure_def = (exposure_max < OV5647_EXPOSURE_DEFAULT) ?
-+                      exposure_max : OV5647_EXPOSURE_DEFAULT;
-+              __v4l2_ctrl_modify_range(state->exposure,
-+                                       state->exposure->minimum,
-+                                       exposure_max, state->exposure->step,
-+                                       exposure_def);
-+      }
-+
-       /*
-        * If the device is not powered up by the host driver do
-        * not apply any controls to H/W at this time. Instead
-@@ -1472,7 +1500,7 @@ static int ov5647_probe(struct i2c_clien
-       struct v4l2_subdev *sd;
-       struct device_node *np = client->dev.of_node;
-       u32 xclk_freq;
--      int hblank;
-+      int hblank, exposure_max, exposure_def;
-       sensor = devm_kzalloc(dev, sizeof(*sensor), GFP_KERNEL);
-       if (!sensor)
-@@ -1525,12 +1553,6 @@ static int ov5647_probe(struct i2c_clien
-                              0,                     /* skip_mask */
-                              V4L2_EXPOSURE_MANUAL); /* default */
-       v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
--                        V4L2_CID_EXPOSURE,
--                        4,     /* min lines */
--                        65535, /* max lines (4+8+4 bits)*/
--                        1,     /* step */
--                        1000); /* default number of lines */
--      v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-                         V4L2_CID_ANALOGUE_GAIN,
-                         16,   /* min, 16 = 1.0x */
-                         1023, /* max (10 bits) */
-@@ -1540,6 +1562,15 @@ static int ov5647_probe(struct i2c_clien
-       /* Set the default mode before we init the subdev */
-       sensor->mode = OV5647_DEFAULT_MODE;
-+      exposure_max = sensor->mode->vts_def - 4;
-+      exposure_def = (exposure_max < OV5647_EXPOSURE_DEFAULT) ?
-+              exposure_max : OV5647_EXPOSURE_DEFAULT;
-+      sensor->exposure = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-+                                           V4L2_CID_EXPOSURE,
-+                                           OV5647_EXPOSURE_MIN, exposure_max,
-+                                           OV5647_EXPOSURE_STEP,
-+                                           exposure_def);
-+
-       /* By default, PIXEL_RATE is read only, but it does change per mode */
-       sensor->pixel_rate = v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
-                                              V4L2_CID_PIXEL_RATE,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0692-ARM-dts-bcm2711-Allow-40-bit-DMA-for-SPI.patch b/target/linux/bcm27xx/patches-5.4/950-0692-ARM-dts-bcm2711-Allow-40-bit-DMA-for-SPI.patch
new file mode 100644 (file)
index 0000000..ac6543f
--- /dev/null
@@ -0,0 +1,40 @@
+From 0dcafa8ab800d1f534bb0cb51cd02a082cf34be6 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 30 Apr 2020 12:43:05 +0100
+Subject: [PATCH] ARM: dts: bcm2711: Allow 40-bit DMA for SPI
+
+Add the spi_dma4 DT parameter to enable use of the 40-bit DMA channels
+to drive SPI. Note that there are only 3-4 40-bit channels available,
+and using this parameter claims 2 of them.
+
+Usage: dtparam=spi_dma4
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 2 ++
+ arch/arm/boot/dts/overlays/README     | 4 ++++
+ 2 files changed, 6 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -554,5 +554,7 @@
+               eth_led0 = <&phy1>,"led-modes:0";
+               eth_led1 = <&phy1>,"led-modes:4";
++              spi_dma4 = <&spi0>, "dmas:0=", <&dma40>,
++                         <&spi0>, "dmas:8=", <&dma40>;
+       };
+ };
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -159,6 +159,10 @@ Params:
+         spi                     Set to "on" to enable the spi interfaces
+                                 (default "off")
++        spi_dma4                Use to enable 40-bit DMA on spi interfaces
++                                (the assigned value doesn't matter)
++                                (2711 only)
++
+         random                  Set to "on" to enable the hardware random
+                                 number generator (default "on")
diff --git a/target/linux/bcm27xx/patches-5.4/950-0692-media-i2c-imx219-Declare-that-the-driver-can-create-.patch b/target/linux/bcm27xx/patches-5.4/950-0692-media-i2c-imx219-Declare-that-the-driver-can-create-.patch
deleted file mode 100644 (file)
index fa700a8..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From 58694d6b23e4138640042fd779df95c425be825b Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Mon, 20 Apr 2020 11:01:21 +0100
-Subject: [PATCH] media: i2c: imx219: Declare that the driver can
- create events
-
-The flag V4L2_SUBDEV_FL_HAS_EVENTS is required if the subdev can
-generate events. It can create events from the ctrl handler, therefore
-this is required.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/media/i2c/imx219.c | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/drivers/media/i2c/imx219.c
-+++ b/drivers/media/i2c/imx219.c
-@@ -1573,7 +1573,8 @@ static int imx219_probe(struct i2c_clien
-       /* Initialize subdev */
-       imx219->sd.internal_ops = &imx219_internal_ops;
--      imx219->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
-+      imx219->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE |
-+                          V4L2_SUBDEV_FL_HAS_EVENTS;
-       imx219->sd.entity.function = MEDIA_ENT_F_CAM_SENSOR;
-       /* Initialize source pads */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0693-media-bcm2835-unicam-Add-support-for-VIDIOC_-S-G-_SE.patch b/target/linux/bcm27xx/patches-5.4/950-0693-media-bcm2835-unicam-Add-support-for-VIDIOC_-S-G-_SE.patch
deleted file mode 100644 (file)
index b30d106..0000000
+++ /dev/null
@@ -1,82 +0,0 @@
-From 40aaca6ed160e67e518c512908cf49efb4cbed8b Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 29 Apr 2020 16:45:02 +0100
-Subject: [PATCH] media: bcm2835-unicam: Add support for
- VIDIOC_[S|G]_SELECTION
-
-Sensors are now reflecting cropping and scaling parameters through
-the selection API, therefore Unicam needs to forward the requests
-through to the subdev.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- .../media/platform/bcm2835/bcm2835-unicam.c   | 44 +++++++++++++++++++
- 1 file changed, 44 insertions(+)
-
---- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -1898,6 +1898,39 @@ static int unicam_g_edid(struct file *fi
-       return v4l2_subdev_call(dev->sensor, pad, get_edid, edid);
- }
-+static int unicam_s_selection(struct file *file, void *priv,
-+                            struct v4l2_selection *sel)
-+{
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-+      struct v4l2_subdev_selection sdsel = {
-+              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
-+              .target = sel->target,
-+              .flags = sel->flags,
-+              .r = sel->r,
-+      };
-+
-+      return v4l2_subdev_call(dev->sensor, pad, set_selection, NULL, &sdsel);
-+}
-+
-+static int unicam_g_selection(struct file *file, void *priv,
-+                            struct v4l2_selection *sel)
-+{
-+      struct unicam_node *node = video_drvdata(file);
-+      struct unicam_device *dev = node->dev;
-+      struct v4l2_subdev_selection sdsel = {
-+              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
-+              .target = sel->target,
-+      };
-+      int ret;
-+
-+      ret = v4l2_subdev_call(dev->sensor, pad, get_selection, NULL, &sdsel);
-+      if (!ret)
-+              sel->r = sdsel.r;
-+
-+      return ret;
-+}
-+
- static int unicam_enum_framesizes(struct file *file, void *priv,
-                                 struct v4l2_frmsizeenum *fsize)
- {
-@@ -2218,6 +2251,9 @@ static const struct v4l2_ioctl_ops unica
-       .vidioc_enum_framesizes         = unicam_enum_framesizes,
-       .vidioc_enum_frameintervals     = unicam_enum_frameintervals,
-+      .vidioc_g_selection             = unicam_g_selection,
-+      .vidioc_s_selection             = unicam_s_selection,
-+
-       .vidioc_g_parm                  = unicam_g_parm,
-       .vidioc_s_parm                  = unicam_s_parm,
-@@ -2446,6 +2482,14 @@ static int register_node(struct unicam_d
-           !v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_size))
-               v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_FRAMESIZES);
-+      if (node->pad_id == METADATA_PAD ||
-+          !v4l2_subdev_has_op(unicam->sensor, pad, set_selection))
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_SELECTION);
-+
-+      if (node->pad_id == METADATA_PAD ||
-+          !v4l2_subdev_has_op(unicam->sensor, pad, get_selection))
-+              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_SELECTION);
-+
-       ret = video_register_device(vdev, VFL_TYPE_GRABBER, -1);
-       if (ret) {
-               unicam_err(unicam, "Unable to register video device.\n");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0693-overlays-Make-the-i2c-gpio-overlay-safe-again.patch b/target/linux/bcm27xx/patches-5.4/950-0693-overlays-Make-the-i2c-gpio-overlay-safe-again.patch
new file mode 100644 (file)
index 0000000..537ca5d
--- /dev/null
@@ -0,0 +1,32 @@
+From 3c0cbb59e068f13b2a12a98a5dac42afa8ccc639 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 1 May 2020 17:56:13 +0100
+Subject: [PATCH] overlays: Make the i2c-gpio overlay safe again
+
+Like many overlays, the i2c-gpio overlay goes to efforts to avoid
+generating warnings about #address-cells and #size-cells not
+being defined, which it does by defining them. Unfortunately this
+is fatal if they don't match what the system requires, and the
+recent switch to #size-cells = 2 on 2711 made i2c-gpio very
+dangerous.
+
+In the absence of the knowledge of a clean way to fix this, just delete
+the declarations and suffer the warnings.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts | 3 ---
+ 1 file changed, 3 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts
+@@ -9,9 +9,6 @@
+               target-path = "/";
+               __overlay__ {
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-
+                       i2c_gpio: i2c@0 {
+                               reg = <0xffffffff>;
+                               compatible = "i2c-gpio";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0694-media-bcm2835-unicam-Do-not-stop-streaming-in-unicam.patch b/target/linux/bcm27xx/patches-5.4/950-0694-media-bcm2835-unicam-Do-not-stop-streaming-in-unicam.patch
deleted file mode 100644 (file)
index 74abba4..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From 37e9677654a48cfa748c1d22fbf782920ab2cb23 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 29 Apr 2020 22:05:09 +0100
-Subject: [PATCH] media: bcm2835-unicam: Do not stop streaming in
- unicam_release
-
-unicam_release calls _vb2_fop_release, which will call stop_streaming
-if that particular node was streaming. Calling it unconditionally (as
-the code was) means that if a second handle was opened eg to alter
-a setting, on closing that connection it also stopped Unicam.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/media/platform/bcm2835/bcm2835-unicam.c | 3 ---
- 1 file changed, 3 deletions(-)
-
---- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -2204,9 +2204,6 @@ static int unicam_release(struct file *f
-       if (fh_singular)
-               v4l2_subdev_call(sd, core, s_power, 0);
--      if (node->streaming)
--              unicam_stop_streaming(&node->buffer_queue);
--
-       node->open--;
-       mutex_unlock(&node->lock);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0694-staging-vc04_services-isp-Remove-duplicated-initiali.patch b/target/linux/bcm27xx/patches-5.4/950-0694-staging-vc04_services-isp-Remove-duplicated-initiali.patch
new file mode 100644 (file)
index 0000000..d2b0bf1
--- /dev/null
@@ -0,0 +1,62 @@
+From 6a9cc90467f4b14d596a819c87d48764a4ba5282 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 1 May 2020 17:49:08 +0100
+Subject: [PATCH] staging: vc04_services: isp: Remove duplicated
+ initialisation
+
+With the codec code from which this was derived, the driver had to
+get the supported formats for both input and output ports.
+This had been copied across, however here we have independent nodes
+for each port, but the code had been left in to do the same thing
+twice.
+Remove the duplicate.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../bcm2835-isp/bcm2835-v4l2-isp.c            | 35 -------------------
+ 1 file changed, 35 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
+@@ -1160,41 +1160,6 @@ static int bcm2835_isp_get_supported_fmt
+       }
+       node->supported_fmts.num_entries = j;
+-      param_size = sizeof(fourccs);
+-      ret = vchiq_mmal_port_parameter_get(dev->mmal_instance,
+-                                          get_port_data(node),
+-                                          MMAL_PARAMETER_SUPPORTED_ENCODINGS,
+-                                          &fourccs, &param_size);
+-
+-      if (ret) {
+-              if (ret == MMAL_MSG_STATUS_ENOSPC) {
+-                      v4l2_err(&dev->v4l2_dev,
+-                               "%s: port has more encoding than we provided space for. Some are dropped.\n",
+-                               __func__);
+-                      num_encodings = MAX_SUPPORTED_ENCODINGS;
+-              } else {
+-                      return -EINVAL;
+-              }
+-      } else {
+-              num_encodings = param_size / sizeof(u32);
+-      }
+-      /* Assume at this stage that all encodings will be supported in V4L2. */
+-      list = devm_kzalloc(dev->dev,
+-                          sizeof(struct bcm2835_isp_fmt) * num_encodings,
+-                          GFP_KERNEL);
+-      if (!list)
+-              return -ENOMEM;
+-      node->supported_fmts.list = list;
+-
+-      for (i = 0, j = 0; i < num_encodings; i++) {
+-              const struct bcm2835_isp_fmt *fmt = get_fmt(fourccs[i]);
+-
+-              if (fmt) {
+-                      list[j] = *fmt;
+-                      j++;
+-              }
+-      }
+-      node->supported_fmts.num_entries = j;
+       return 0;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0695-media-bcm2835-unicam-Fix-reference-counting-in-unica.patch b/target/linux/bcm27xx/patches-5.4/950-0695-media-bcm2835-unicam-Fix-reference-counting-in-unica.patch
deleted file mode 100644 (file)
index ba97963..0000000
+++ /dev/null
@@ -1,38 +0,0 @@
-From 3681c556d79797f132e18973611a14681ed47f76 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Thu, 30 Apr 2020 09:52:50 +0100
-Subject: [PATCH] media: bcm2835-unicam: Fix reference counting in
- unicam_open
-
-The reference counting of node->open was only incremented after
-a check that the node was v4l2_fh_is_singular_file, which resulted
-in the counting going wrong and s_power not being called at an
-appropriate time.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/media/platform/bcm2835/bcm2835-unicam.c | 4 +++-
- 1 file changed, 3 insertions(+), 1 deletion(-)
-
---- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -2170,16 +2170,18 @@ static int unicam_open(struct file *file
-               goto unlock;
-       }
-+      node->open++;
-+
-       if (!v4l2_fh_is_singular_file(file))
-               goto unlock;
-       ret = v4l2_subdev_call(dev->sensor, core, s_power, 1);
-       if (ret < 0 && ret != -ENOIOCTLCMD) {
-               v4l2_fh_release(file);
-+              node->open--;
-               goto unlock;
-       }
--      node->open++;
-       ret = 0;
- unlock:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0695-staging-vc04_services-isp-Make-all-references-to-bcm.patch b/target/linux/bcm27xx/patches-5.4/950-0695-staging-vc04_services-isp-Make-all-references-to-bcm.patch
new file mode 100644 (file)
index 0000000..97ca47b
--- /dev/null
@@ -0,0 +1,148 @@
+From 9ecf10bfe3e501b10cc72d710a70150640a0b266 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 1 May 2020 16:54:20 +0100
+Subject: [PATCH] staging: vc04_services: isp: Make all references to
+ bcm2835_isp_fmt const
+
+The array of potential formats and their configuration should be const.
+Rework all accesses so that this is possible.
+
+The list of supported formats was taking a copy of entries from this table.
+This is unnecessary, therefore allocate an array of pointers instead of
+an array of entries.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../bcm2835-isp/bcm2835-v4l2-isp.c            | 34 ++++++++++---------
+ .../bcm2835-isp/bcm2835_isp_fmts.h            |  2 +-
+ 2 files changed, 19 insertions(+), 17 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
+@@ -67,7 +67,7 @@ struct bcm2835_isp_q_data {
+       unsigned int width;
+       unsigned int height;
+       unsigned int sizeimage;
+-      struct bcm2835_isp_fmt *fmt;
++      const struct bcm2835_isp_fmt *fmt;
+ };
+ /*
+@@ -232,11 +232,11 @@ struct bcm2835_isp_fmt *find_format_by_f
+                                             struct bcm2835_isp_node *node)
+ {
+       struct bcm2835_isp_fmt_list *fmts = &node->supported_fmts;
+-      struct bcm2835_isp_fmt *fmt;
++      const struct bcm2835_isp_fmt *fmt;
+       unsigned int i;
+       for (i = 0; i < fmts->num_entries; i++) {
+-              fmt = &fmts->list[i];
++              fmt = fmts->list[i];
+               if (fmt->fourcc == fourcc)
+                       return fmt;
+       }
+@@ -244,8 +244,9 @@ struct bcm2835_isp_fmt *find_format_by_f
+       return NULL;
+ }
+-static struct bcm2835_isp_fmt *find_format(struct v4l2_format *f,
+-                                         struct bcm2835_isp_node *node)
++static const
++struct bcm2835_isp_fmt *find_format(struct v4l2_format *f,
++                                  struct bcm2835_isp_node *node)
+ {
+       return find_format_by_fourcc(node_is_stats(node) ?
+                                    f->fmt.meta.dataformat :
+@@ -666,19 +667,20 @@ static const struct vb2_ops bcm2835_isp_
+       .stop_streaming         = bcm2835_isp_node_stop_streaming,
+ };
+-static struct bcm2835_isp_fmt *get_default_format(struct bcm2835_isp_node *node)
++static const
++struct bcm2835_isp_fmt *get_default_format(struct bcm2835_isp_node *node)
+ {
+-      return &node->supported_fmts.list[0];
++      return node->supported_fmts.list[0];
+ }
+ static inline unsigned int get_bytesperline(int width,
+-                                          struct bcm2835_isp_fmt *fmt)
++                                          const struct bcm2835_isp_fmt *fmt)
+ {
+       return ALIGN((width * fmt->depth) >> 3, fmt->bytesperline_align);
+ }
+ static inline unsigned int get_sizeimage(int bpl, int width, int height,
+-                                       struct bcm2835_isp_fmt *fmt)
++                                       const struct bcm2835_isp_fmt *fmt)
+ {
+       return (bpl * height * fmt->size_multiplier_x2) >> 1;
+ }
+@@ -892,8 +894,8 @@ static int bcm2835_isp_node_enum_fmt(str
+       if (f->index < fmts->num_entries) {
+               /* Format found */
+-              f->pixelformat = fmts->list[f->index].fourcc;
+-              f->flags = fmts->list[f->index].flags;
++              f->pixelformat = fmts->list[f->index]->fourcc;
++              f->flags = fmts->list[f->index]->flags;
+               return 0;
+       }
+@@ -905,7 +907,7 @@ static int bcm2835_isp_enum_framesizes(s
+ {
+       struct bcm2835_isp_node *node = video_drvdata(file);
+       struct bcm2835_isp_dev *dev = node_get_dev(node);
+-      struct bcm2835_isp_fmt *fmt;
++      const struct bcm2835_isp_fmt *fmt;
+       if (node_is_stats(node) || fsize->index)
+               return -EINVAL;
+@@ -933,7 +935,7 @@ static int bcm2835_isp_node_try_fmt(stru
+                                   struct v4l2_format *f)
+ {
+       struct bcm2835_isp_node *node = video_drvdata(file);
+-      struct bcm2835_isp_fmt *fmt;
++      const struct bcm2835_isp_fmt *fmt;
+       if (f->type != node->queue.type)
+               return -EINVAL;
+@@ -1113,7 +1115,7 @@ static const struct v4l2_ioctl_ops bcm28
+ static int bcm2835_isp_get_supported_fmts(struct bcm2835_isp_node *node)
+ {
+       struct bcm2835_isp_dev *dev = node_get_dev(node);
+-      struct bcm2835_isp_fmt *list;
++      struct bcm2835_isp_fmt const **list;
+       unsigned int i, j, num_encodings;
+       u32 fourccs[MAX_SUPPORTED_ENCODINGS];
+       u32 param_size = sizeof(fourccs);
+@@ -1144,7 +1146,7 @@ static int bcm2835_isp_get_supported_fmt
+        * Any that aren't supported will waste a very small amount of memory.
+        */
+       list = devm_kzalloc(dev->dev,
+-                          sizeof(struct bcm2835_isp_fmt) * num_encodings,
++                          sizeof(struct bcm2835_isp_fmt *) * num_encodings,
+                           GFP_KERNEL);
+       if (!list)
+               return -ENOMEM;
+@@ -1154,7 +1156,7 @@ static int bcm2835_isp_get_supported_fmt
+               const struct bcm2835_isp_fmt *fmt = get_fmt(fourccs[i]);
+               if (fmt) {
+-                      list[j] = *fmt;
++                      list[j] = fmt;
+                       j++;
+               }
+       }
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
+@@ -26,7 +26,7 @@ struct bcm2835_isp_fmt {
+ };
+ struct bcm2835_isp_fmt_list {
+-      struct bcm2835_isp_fmt *list;
++      struct bcm2835_isp_fmt const **list;
+       unsigned int num_entries;
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0696-staging-vc04_services-ISP-Add-enum_framesizes-ioctl.patch b/target/linux/bcm27xx/patches-5.4/950-0696-staging-vc04_services-ISP-Add-enum_framesizes-ioctl.patch
deleted file mode 100644 (file)
index 1673bde..0000000
+++ /dev/null
@@ -1,333 +0,0 @@
-From 01a1601512893ce9a3353e1686a95a9861f3d685 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Fri, 1 May 2020 14:15:24 +0100
-Subject: [PATCH] staging: vc04_services: ISP: Add enum_framesizes
- ioctl
-
-This is used to enumerate available frame sizes on all nodes
-apart from statistics output.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- .../bcm2835-isp/bcm2835-v4l2-isp.c            | 48 +++++++++++++++++--
- .../bcm2835-isp/bcm2835_isp_fmts.h            | 29 +++++++++++
- 2 files changed, 72 insertions(+), 5 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
-+++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
-@@ -227,8 +227,9 @@ static const struct bcm2835_isp_fmt *get
-       return NULL;
- }
--static struct bcm2835_isp_fmt *find_format(struct v4l2_format *f,
--                                         struct bcm2835_isp_node *node)
-+static const
-+struct bcm2835_isp_fmt *find_format_by_fourcc(unsigned int fourcc,
-+                                            struct bcm2835_isp_node *node)
- {
-       struct bcm2835_isp_fmt_list *fmts = &node->supported_fmts;
-       struct bcm2835_isp_fmt *fmt;
-@@ -236,15 +237,22 @@ static struct bcm2835_isp_fmt *find_form
-       for (i = 0; i < fmts->num_entries; i++) {
-               fmt = &fmts->list[i];
--              if (fmt->fourcc == (node_is_stats(node) ?
--                                          f->fmt.meta.dataformat :
--                                          f->fmt.pix.pixelformat))
-+              if (fmt->fourcc == fourcc)
-                       return fmt;
-       }
-       return NULL;
- }
-+static struct bcm2835_isp_fmt *find_format(struct v4l2_format *f,
-+                                         struct bcm2835_isp_node *node)
-+{
-+      return find_format_by_fourcc(node_is_stats(node) ?
-+                                   f->fmt.meta.dataformat :
-+                                   f->fmt.pix.pixelformat,
-+                                   node);
-+}
-+
- /* vb2_to_mmal_buffer() - converts vb2 buffer header to MMAL
-  *
-  * Copies all the required fields from a VB2 buffer to the MMAL buffer header,
-@@ -892,6 +900,35 @@ static int bcm2835_isp_node_enum_fmt(str
-       return -EINVAL;
- }
-+static int bcm2835_isp_enum_framesizes(struct file *file, void *priv,
-+                                     struct v4l2_frmsizeenum *fsize)
-+{
-+      struct bcm2835_isp_node *node = video_drvdata(file);
-+      struct bcm2835_isp_dev *dev = node_get_dev(node);
-+      struct bcm2835_isp_fmt *fmt;
-+
-+      if (node_is_stats(node) || fsize->index)
-+              return -EINVAL;
-+
-+      fmt = find_format_by_fourcc(fsize->pixel_format, node);
-+      if (!fmt) {
-+              v4l2_err(&dev->v4l2_dev, "Invalid pixel code: %x\n",
-+                       fsize->pixel_format);
-+              return -EINVAL;
-+      }
-+
-+      fsize->type = V4L2_FRMSIZE_TYPE_STEPWISE;
-+      fsize->stepwise.min_width = MIN_DIM;
-+      fsize->stepwise.max_width = MAX_DIM;
-+      fsize->stepwise.step_width = fmt->step_size;
-+
-+      fsize->stepwise.min_height = MIN_DIM;
-+      fsize->stepwise.max_height = MAX_DIM;
-+      fsize->stepwise.step_height = fmt->step_size;
-+
-+      return 0;
-+}
-+
- static int bcm2835_isp_node_try_fmt(struct file *file, void *priv,
-                                   struct v4l2_format *f)
- {
-@@ -1046,6 +1083,7 @@ static const struct v4l2_ioctl_ops bcm28
-       .vidioc_enum_fmt_vid_cap        = bcm2835_isp_node_enum_fmt,
-       .vidioc_enum_fmt_vid_out        = bcm2835_isp_node_enum_fmt,
-       .vidioc_enum_fmt_meta_cap       = bcm2835_isp_node_enum_fmt,
-+      .vidioc_enum_framesizes         = bcm2835_isp_enum_framesizes,
-       .vidioc_reqbufs                 = vb2_ioctl_reqbufs,
-       .vidioc_querybuf                = vb2_ioctl_querybuf,
---- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
-+++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
-@@ -22,6 +22,7 @@ struct bcm2835_isp_fmt {
-       u32 mmal_fmt;
-       int size_multiplier_x2;
-       enum v4l2_colorspace colorspace;
-+      unsigned int step_size;
- };
- struct bcm2835_isp_fmt_list {
-@@ -39,6 +40,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_I420,
-               .size_multiplier_x2 = 3,
-               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_YVU420,
-               .depth              = 8,
-@@ -47,6 +49,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_YV12,
-               .size_multiplier_x2 = 3,
-               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_NV12,
-               .depth              = 8,
-@@ -55,6 +58,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_NV12,
-               .size_multiplier_x2 = 3,
-               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_NV21,
-               .depth              = 8,
-@@ -63,6 +67,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_NV21,
-               .size_multiplier_x2 = 3,
-               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_YUYV,
-               .depth              = 16,
-@@ -71,6 +76,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_YUYV,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_UYVY,
-               .depth              = 16,
-@@ -79,6 +85,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_UYVY,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_YVYU,
-               .depth              = 16,
-@@ -87,6 +94,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_YVYU,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_VYUY,
-               .depth              = 16,
-@@ -95,6 +103,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_VYUY,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_SMPTE170M,
-+              .step_size          = 2,
-       }, {
-               /* RGB formats */
-               .fourcc             = V4L2_PIX_FMT_RGB24,
-@@ -104,6 +113,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_RGB24,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_SRGB,
-+              .step_size          = 1,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_RGB565,
-               .depth              = 16,
-@@ -112,6 +122,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_RGB16,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_SRGB,
-+              .step_size          = 1,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_BGR24,
-               .depth              = 24,
-@@ -120,6 +131,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BGR24,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_SRGB,
-+              .step_size          = 1,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_ABGR32,
-               .depth              = 32,
-@@ -128,6 +140,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BGRA,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_SRGB,
-+              .step_size          = 1,
-       }, {
-               /* Bayer formats */
-               /* 8 bit */
-@@ -138,6 +151,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB8,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_SBGGR8,
-               .depth              = 8,
-@@ -146,6 +160,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR8,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_SGRBG8,
-               .depth              = 8,
-@@ -154,6 +169,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG8,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_SGBRG8,
-               .depth              = 8,
-@@ -162,6 +178,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG8,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               /* 10 bit */
-               .fourcc             = V4L2_PIX_FMT_SRGGB10P,
-@@ -171,6 +188,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB10P,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_SBGGR10P,
-               .depth              = 10,
-@@ -179,6 +197,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR10P,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_SGRBG10P,
-               .depth              = 10,
-@@ -187,6 +206,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG10P,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_SGBRG10P,
-               .depth              = 10,
-@@ -195,6 +215,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG10P,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               /* 12 bit */
-               .fourcc             = V4L2_PIX_FMT_SRGGB12P,
-@@ -204,6 +225,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB12P,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_SBGGR12P,
-               .depth              = 12,
-@@ -212,6 +234,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR12P,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_SGRBG12P,
-               .depth              = 12,
-@@ -220,6 +243,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG12P,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_SGBRG12P,
-               .depth              = 12,
-@@ -228,6 +252,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG12P,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               /* 16 bit */
-               .fourcc             = V4L2_PIX_FMT_SRGGB16,
-@@ -237,6 +262,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB16,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_SBGGR16,
-               .depth              = 16,
-@@ -245,6 +271,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR16,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_SGRBG16,
-               .depth              = 16,
-@@ -253,6 +280,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG16,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               .fourcc             = V4L2_PIX_FMT_SGBRG16,
-               .depth              = 16,
-@@ -261,6 +289,7 @@ static const struct bcm2835_isp_fmt supp
-               .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG16,
-               .size_multiplier_x2 = 2,
-               .colorspace         = V4L2_COLORSPACE_RAW,
-+              .step_size          = 2,
-       }, {
-               /* ISP statistics format */
-               .fourcc             = V4L2_META_FMT_BCM2835_ISP_STATS,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0696-vc4_hdmi_phy-Fix-typo-in-phy_get_cp_current.patch b/target/linux/bcm27xx/patches-5.4/950-0696-vc4_hdmi_phy-Fix-typo-in-phy_get_cp_current.patch
new file mode 100644 (file)
index 0000000..45429d5
--- /dev/null
@@ -0,0 +1,23 @@
+From 6dc415bb238d7c515f40305248d03234be88cadf Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Mon, 6 Apr 2020 17:07:31 +0100
+Subject: [PATCH] vc4_hdmi_phy: Fix typo in phy_get_cp_current
+
+This is stored in a 6-bit register field which causes a WARN
+
+Signed-off-by: popcornmix <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi_phy.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
+@@ -182,7 +182,7 @@ static u8 phy_get_cp_current(unsigned lo
+       if (vco_freq < 3700000000ULL)
+               return 0x1c;
+-      return 0xc8;
++      return 0x18;
+ }
+ static u32 phy_get_rm_offset(unsigned long long vco_freq)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0697-SQUASH-spi-Demote-SPI_CS_HIGH-warning-to-KERN_DEBUG.patch b/target/linux/bcm27xx/patches-5.4/950-0697-SQUASH-spi-Demote-SPI_CS_HIGH-warning-to-KERN_DEBUG.patch
deleted file mode 100644 (file)
index e4b2e7e..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From 4172a6bd7e4afada99911947a335d47e94802be5 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Fri, 1 May 2020 14:58:23 +0100
-Subject: [PATCH] SQUASH: spi: Demote SPI_CS_HIGH warning to KERN_DEBUG
-
-This warning is unavoidable from a client's perspective and
-doesn't indicate anything wrong (just surprising).
-
-SQUASH with "spi: use_gpio_descriptor fixup moved to spi_setup"
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- drivers/spi/spi.c | 4 ++--
- 1 file changed, 2 insertions(+), 2 deletions(-)
-
---- a/drivers/spi/spi.c
-+++ b/drivers/spi/spi.c
-@@ -3127,8 +3127,8 @@ int spi_setup(struct spi_device *spi)
-       if (ctlr->use_gpio_descriptors && ctlr->cs_gpiods &&
-           ctlr->cs_gpiods[spi->chip_select] && !(spi->mode & SPI_CS_HIGH)) {
--              dev_warn(&spi->dev,
--                       "setup: forcing CS_HIGH (use_gpio_descriptors)\n");
-+              dev_dbg(&spi->dev,
-+                      "setup: forcing CS_HIGH (use_gpio_descriptors)\n");
-               spi->mode |= SPI_CS_HIGH;
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0697-overlays-Make-use-of-intra-overlay-fragments.patch b/target/linux/bcm27xx/patches-5.4/950-0697-overlays-Make-use-of-intra-overlay-fragments.patch
new file mode 100644 (file)
index 0000000..628bec3
--- /dev/null
@@ -0,0 +1,92 @@
+From 31bebbef0cce2ae68c25a2b0cbfc040f938791e9 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 4 May 2020 15:13:24 +0100
+Subject: [PATCH] overlays: Make use of intra-overlay fragments
+
+The firmware and runtime overlay support has recently been updated to
+correctly process fragments that target other fragments within the
+overlay. Make use of that ability and avoid the use of the awkward
+target-path = "<alias>/..." workaround and for better readability.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/ads1015-overlay.dts | 8 ++++----
+ arch/arm/boot/dts/overlays/ads1115-overlay.dts | 8 ++++----
+ 2 files changed, 8 insertions(+), 8 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/ads1015-overlay.dts
++++ b/arch/arm/boot/dts/overlays/ads1015-overlay.dts
+@@ -24,7 +24,7 @@
+     };
+     fragment@1 {
+-        target-path = "i2c_arm/ads1015";
++        target = <&ads1015>;
+         __overlay__ {
+             #address-cells = <1>;
+             #size-cells = <0>;
+@@ -37,7 +37,7 @@
+     };
+     fragment@2 {
+-        target-path = "i2c_arm/ads1015";
++        target = <&ads1015>;
+         __dormant__ {
+             #address-cells = <1>;
+             #size-cells = <0>;
+@@ -50,7 +50,7 @@
+     };
+     fragment@3 {
+-        target-path = "i2c_arm/ads1015";
++        target = <&ads1015>;
+         __dormant__ {
+             #address-cells = <1>;
+             #size-cells = <0>;
+@@ -63,7 +63,7 @@
+     };
+     fragment@4 {
+-        target-path = "i2c_arm/ads1015";
++        target = <&ads1015>;
+         __dormant__ {
+             #address-cells = <1>;
+             #size-cells = <0>;
+--- a/arch/arm/boot/dts/overlays/ads1115-overlay.dts
++++ b/arch/arm/boot/dts/overlays/ads1115-overlay.dts
+@@ -26,7 +26,7 @@
+       };
+       fragment@1 {
+-              target-path = "i2c_arm/ads1115";
++              target = <&ads1115>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+@@ -40,7 +40,7 @@
+       };
+       fragment@2 {
+-              target-path = "i2c_arm/ads1115";
++              target = <&ads1115>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+@@ -54,7 +54,7 @@
+       };
+       fragment@3 {
+-              target-path = "i2c_arm/ads1115";
++              target = <&ads1115>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+@@ -68,7 +68,7 @@
+       };
+       fragment@4 {
+-              target-path = "i2c_arm/ads1115";
++              target = <&ads1115>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0698-bcm2835-dma-Add-proper-40-bit-DMA-support.patch b/target/linux/bcm27xx/patches-5.4/950-0698-bcm2835-dma-Add-proper-40-bit-DMA-support.patch
deleted file mode 100644 (file)
index 3fb4758..0000000
+++ /dev/null
@@ -1,801 +0,0 @@
-From a2f673d1aa39752608e5f4838ed9656b38cbc4b9 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.org>
-Date: Thu, 4 Apr 2019 13:33:47 +0100
-Subject: [PATCH] bcm2835-dma: Add proper 40-bit DMA support
-
-BCM2711 has 4 DMA channels with a 40-bit address range, allowing them
-to access the full 4GB of memory on a Pi 4.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.org>
----
- drivers/dma/bcm2835-dma.c | 485 ++++++++++++++++++++++++++++++++------
- 1 file changed, 412 insertions(+), 73 deletions(-)
-
---- a/drivers/dma/bcm2835-dma.c
-+++ b/drivers/dma/bcm2835-dma.c
-@@ -38,6 +38,11 @@
- #define BCM2835_DMA_MAX_DMA_CHAN_SUPPORTED 14
- #define BCM2835_DMA_CHAN_NAME_SIZE 8
- #define BCM2835_DMA_BULK_MASK  BIT(0)
-+#define BCM2711_DMA_MEMCPY_CHAN 14
-+
-+struct bcm2835_dma_cfg_data {
-+      u32     chan_40bit_mask;
-+};
- /**
-  * struct bcm2835_dmadev - BCM2835 DMA controller
-@@ -52,6 +57,7 @@ struct bcm2835_dmadev {
-       void __iomem *base;
-       struct device_dma_parameters dma_parms;
-       dma_addr_t zero_page;
-+      const struct bcm2835_dma_cfg_data *cfg_data;
- };
- struct bcm2835_dma_cb {
-@@ -64,6 +70,17 @@ struct bcm2835_dma_cb {
-       uint32_t pad[2];
- };
-+struct bcm2711_dma40_scb {
-+      uint32_t ti;
-+      uint32_t src;
-+      uint32_t srci;
-+      uint32_t dst;
-+      uint32_t dsti;
-+      uint32_t len;
-+      uint32_t next_cb;
-+      uint32_t rsvd;
-+};
-+
- struct bcm2835_cb_entry {
-       struct bcm2835_dma_cb *cb;
-       dma_addr_t paddr;
-@@ -84,6 +101,7 @@ struct bcm2835_chan {
-       unsigned int irq_flags;
-       bool is_lite_channel;
-+      bool is_40bit_channel;
- };
- struct bcm2835_desc {
-@@ -173,13 +191,118 @@ struct bcm2835_desc {
- #define BCM2835_DMA_DATA_TYPE_S128    16
- /* Valid only for channels 0 - 14, 15 has its own base address */
--#define BCM2835_DMA_CHAN(n)   ((n) << 8) /* Base address */
-+#define BCM2835_DMA_CHAN_SIZE 0x100
-+#define BCM2835_DMA_CHAN(n)   ((n) * BCM2835_DMA_CHAN_SIZE) /* Base address */
- #define BCM2835_DMA_CHANIO(base, n) ((base) + BCM2835_DMA_CHAN(n))
- /* the max dma length for different channels */
- #define MAX_DMA_LEN SZ_1G
- #define MAX_LITE_DMA_LEN (SZ_64K - 4)
-+/* 40-bit DMA support */
-+#define BCM2711_DMA40_CS      0x00
-+#define BCM2711_DMA40_CB      0x04
-+#define BCM2711_DMA40_DEBUG   0x0c
-+#define BCM2711_DMA40_TI      0x10
-+#define BCM2711_DMA40_SRC     0x14
-+#define BCM2711_DMA40_SRCI    0x18
-+#define BCM2711_DMA40_DEST    0x1c
-+#define BCM2711_DMA40_DESTI   0x20
-+#define BCM2711_DMA40_LEN     0x24
-+#define BCM2711_DMA40_NEXT_CB 0x28
-+#define BCM2711_DMA40_DEBUG2  0x2c
-+
-+#define BCM2711_DMA40_ACTIVE          BIT(0)
-+#define BCM2711_DMA40_END             BIT(1)
-+#define BCM2711_DMA40_INT             BIT(2)
-+#define BCM2711_DMA40_DREQ            BIT(3)  /* DREQ state */
-+#define BCM2711_DMA40_RD_PAUSED               BIT(4)  /* Reading is paused */
-+#define BCM2711_DMA40_WR_PAUSED               BIT(5)  /* Writing is paused */
-+#define BCM2711_DMA40_DREQ_PAUSED     BIT(6)  /* Is paused by DREQ flow control */
-+#define BCM2711_DMA40_WAITING_FOR_WRITES BIT(7)  /* Waiting for last write */
-+#define BCM2711_DMA40_ERR             BIT(10)
-+#define BCM2711_DMA40_QOS(x)          (((x) & 0x1f) << 16)
-+#define BCM2711_DMA40_PANIC_QOS(x)    (((x) & 0x1f) << 20)
-+#define BCM2711_DMA40_WAIT_FOR_WRITES BIT(28)
-+#define BCM2711_DMA40_DISDEBUG                BIT(29)
-+#define BCM2711_DMA40_ABORT           BIT(30)
-+#define BCM2711_DMA40_HALT            BIT(31)
-+#define BCM2711_DMA40_CS_FLAGS(x) (x & (BCM2711_DMA40_QOS(15) | \
-+                                      BCM2711_DMA40_PANIC_QOS(15) | \
-+                                      BCM2711_DMA40_WAIT_FOR_WRITES | \
-+                                      BCM2711_DMA40_DISDEBUG))
-+
-+/* Transfer information bits */
-+#define BCM2711_DMA40_INTEN           BIT(0)
-+#define BCM2711_DMA40_TDMODE          BIT(1) /* 2D-Mode */
-+#define BCM2711_DMA40_WAIT_RESP               BIT(2) /* wait for AXI write to be acked */
-+#define BCM2711_DMA40_WAIT_RD_RESP    BIT(3) /* wait for AXI read to complete */
-+#define BCM2711_DMA40_PER_MAP(x)      ((x & 31) << 9) /* REQ source */
-+#define BCM2711_DMA40_S_DREQ          BIT(14) /* enable SREQ for source */
-+#define BCM2711_DMA40_D_DREQ          BIT(15) /* enable DREQ for destination */
-+#define BCM2711_DMA40_S_WAIT(x)               ((x & 0xff) << 16) /* add DMA read-wait cycles */
-+#define BCM2711_DMA40_D_WAIT(x)               ((x & 0xff) << 24) /* add DMA write-wait cycles */
-+
-+/* debug register bits */
-+#define BCM2711_DMA40_DEBUG_WRITE_ERR         BIT(0)
-+#define BCM2711_DMA40_DEBUG_FIFO_ERR          BIT(1)
-+#define BCM2711_DMA40_DEBUG_READ_ERR          BIT(2)
-+#define BCM2711_DMA40_DEBUG_READ_CB_ERR               BIT(3)
-+#define BCM2711_DMA40_DEBUG_IN_ON_ERR         BIT(8)
-+#define BCM2711_DMA40_DEBUG_ABORT_ON_ERR      BIT(9)
-+#define BCM2711_DMA40_DEBUG_HALT_ON_ERR               BIT(10)
-+#define BCM2711_DMA40_DEBUG_DISABLE_CLK_GATE  BIT(11)
-+#define BCM2711_DMA40_DEBUG_RSTATE_SHIFT      14
-+#define BCM2711_DMA40_DEBUG_RSTATE_BITS               4
-+#define BCM2711_DMA40_DEBUG_WSTATE_SHIFT      18
-+#define BCM2711_DMA40_DEBUG_WSTATE_BITS               4
-+#define BCM2711_DMA40_DEBUG_RESET             BIT(23)
-+#define BCM2711_DMA40_DEBUG_ID_SHIFT          24
-+#define BCM2711_DMA40_DEBUG_ID_BITS           4
-+#define BCM2711_DMA40_DEBUG_VERSION_SHIFT     28
-+#define BCM2711_DMA40_DEBUG_VERSION_BITS      4
-+
-+/* Valid only for channels 0 - 3 (11 - 14) */
-+#define BCM2711_DMA40_CHAN(n) (((n) + 11) << 8) /* Base address */
-+#define BCM2711_DMA40_CHANIO(base, n) ((base) + BCM2711_DMA_CHAN(n))
-+
-+/* the max dma length for different channels */
-+#define MAX_DMA40_LEN SZ_1G
-+
-+#define BCM2711_DMA40_BURST_LEN(x)    ((min(x,16) - 1) << 8)
-+#define BCM2711_DMA40_INC             BIT(12)
-+#define BCM2711_DMA40_SIZE_32         (0 << 13)
-+#define BCM2711_DMA40_SIZE_64         (1 << 13)
-+#define BCM2711_DMA40_SIZE_128                (2 << 13)
-+#define BCM2711_DMA40_SIZE_256                (3 << 13)
-+#define BCM2711_DMA40_IGNORE          BIT(15)
-+#define BCM2711_DMA40_STRIDE(x)               ((x) << 16) /* For 2D mode */
-+
-+#define BCM2711_DMA40_MEMCPY_FLAGS \
-+      (BCM2711_DMA40_QOS(0) | \
-+       BCM2711_DMA40_PANIC_QOS(0) | \
-+       BCM2711_DMA40_WAIT_FOR_WRITES | \
-+       BCM2711_DMA40_DISDEBUG)
-+
-+#define BCM2711_DMA40_MEMCPY_XFER_INFO \
-+      (BCM2711_DMA40_SIZE_128 | \
-+       BCM2711_DMA40_INC | \
-+       BCM2711_DMA40_BURST_LEN(16))
-+
-+struct bcm2835_dmadev *memcpy_parent;
-+static void __iomem *memcpy_chan;
-+static struct bcm2711_dma40_scb *memcpy_scb;
-+static dma_addr_t memcpy_scb_dma;
-+DEFINE_SPINLOCK(memcpy_lock);
-+
-+static const struct bcm2835_dma_cfg_data bcm2835_dma_cfg = {
-+      .chan_40bit_mask = 0,
-+};
-+
-+static const struct bcm2835_dma_cfg_data bcm2711_dma_cfg = {
-+      .chan_40bit_mask = BIT(11) | BIT(12) | BIT(13) | BIT(14),
-+};
-+
- static inline size_t bcm2835_dma_max_frame_length(struct bcm2835_chan *c)
- {
-       /* lite and normal channels have different max frame length */
-@@ -209,6 +332,32 @@ static inline struct bcm2835_desc *to_bc
-       return container_of(t, struct bcm2835_desc, vd.tx);
- }
-+static inline uint32_t to_bcm2711_ti(uint32_t info)
-+{
-+      return ((info & BCM2835_DMA_INT_EN) ? BCM2711_DMA40_INTEN : 0) |
-+              ((info & BCM2835_DMA_WAIT_RESP) ? BCM2711_DMA40_WAIT_RESP : 0) |
-+              ((info & BCM2835_DMA_S_DREQ) ?
-+               (BCM2711_DMA40_S_DREQ | BCM2711_DMA40_WAIT_RD_RESP) : 0) |
-+              ((info & BCM2835_DMA_D_DREQ) ? BCM2711_DMA40_D_DREQ : 0) |
-+              BCM2711_DMA40_PER_MAP((info >> 16) & 0x1f);
-+}
-+
-+static inline uint32_t to_bcm2711_srci(uint32_t info)
-+{
-+      return ((info & BCM2835_DMA_S_INC) ? BCM2711_DMA40_INC : 0);
-+}
-+
-+static inline uint32_t to_bcm2711_dsti(uint32_t info)
-+{
-+      return ((info & BCM2835_DMA_D_INC) ? BCM2711_DMA40_INC : 0);
-+}
-+
-+static inline uint32_t to_bcm2711_cbaddr(dma_addr_t addr)
-+{
-+      BUG_ON(addr & 0x1f);
-+      return (addr >> 5);
-+}
-+
- static void bcm2835_dma_free_cb_chain(struct bcm2835_desc *desc)
- {
-       size_t i;
-@@ -227,45 +376,53 @@ static void bcm2835_dma_desc_free(struct
- }
- static void bcm2835_dma_create_cb_set_length(
--      struct bcm2835_chan *chan,
-+      struct bcm2835_chan *c,
-       struct bcm2835_dma_cb *control_block,
-       size_t len,
-       size_t period_len,
-       size_t *total_len,
-       u32 finalextrainfo)
- {
--      size_t max_len = bcm2835_dma_max_frame_length(chan);
-+      size_t max_len = bcm2835_dma_max_frame_length(c);
-+      uint32_t cb_len;
-       /* set the length taking lite-channel limitations into account */
--      control_block->length = min_t(u32, len, max_len);
-+      cb_len = min_t(u32, len, max_len);
--      /* finished if we have no period_length */
--      if (!period_len)
--              return;
-+      if (period_len) {
-+              /*
-+               * period_len means: that we need to generate
-+               * transfers that are terminating at every
-+               * multiple of period_len - this is typically
-+               * used to set the interrupt flag in info
-+               * which is required during cyclic transfers
-+               */
--      /*
--       * period_len means: that we need to generate
--       * transfers that are terminating at every
--       * multiple of period_len - this is typically
--       * used to set the interrupt flag in info
--       * which is required during cyclic transfers
--       */
-+              /* have we filled in period_length yet? */
-+              if (*total_len + cb_len < period_len) {
-+                      /* update number of bytes in this period so far */
-+                      *total_len += cb_len;
-+              } else {
-+                      /* calculate the length that remains to reach period_len */
-+                      cb_len = period_len - *total_len;
--      /* have we filled in period_length yet? */
--      if (*total_len + control_block->length < period_len) {
--              /* update number of bytes in this period so far */
--              *total_len += control_block->length;
--              return;
-+                      /* reset total_length for next period */
-+                      *total_len = 0;
-+              }
-       }
--      /* calculate the length that remains to reach period_length */
--      control_block->length = period_len - *total_len;
--
--      /* reset total_length for next period */
--      *total_len = 0;
--
--      /* add extrainfo bits in info */
--      control_block->info |= finalextrainfo;
-+      if (c->is_40bit_channel) {
-+              struct bcm2711_dma40_scb *scb =
-+                      (struct bcm2711_dma40_scb *)control_block;
-+
-+              scb->len = cb_len;
-+              /* add extrainfo bits to ti */
-+              scb->ti |= to_bcm2711_ti(finalextrainfo);
-+      } else {
-+              control_block->length = cb_len;
-+              /* add extrainfo bits to info */
-+              control_block->info |= finalextrainfo;
-+      }
- }
- static inline size_t bcm2835_dma_count_frames_for_sg(
-@@ -288,7 +445,7 @@ static inline size_t bcm2835_dma_count_f
- /**
-  * bcm2835_dma_create_cb_chain - create a control block and fills data in
-  *
-- * @chan:           the @dma_chan for which we run this
-+ * @c:              the @bcm2835_chan for which we run this
-  * @direction:      the direction in which we transfer
-  * @cyclic:         it is a cyclic transfer
-  * @info:           the default info bits to apply per controlblock
-@@ -306,12 +463,11 @@ static inline size_t bcm2835_dma_count_f
-  * @gfp:            the GFP flag to use for allocation
-  */
- static struct bcm2835_desc *bcm2835_dma_create_cb_chain(
--      struct dma_chan *chan, enum dma_transfer_direction direction,
-+      struct bcm2835_chan *c, enum dma_transfer_direction direction,
-       bool cyclic, u32 info, u32 finalextrainfo, size_t frames,
-       dma_addr_t src, dma_addr_t dst, size_t buf_len,
-       size_t period_len, gfp_t gfp)
- {
--      struct bcm2835_chan *c = to_bcm2835_dma_chan(chan);
-       size_t len = buf_len, total_len;
-       size_t frame;
-       struct bcm2835_desc *d;
-@@ -343,11 +499,23 @@ static struct bcm2835_desc *bcm2835_dma_
-               /* fill in the control block */
-               control_block = cb_entry->cb;
--              control_block->info = info;
--              control_block->src = src;
--              control_block->dst = dst;
--              control_block->stride = 0;
--              control_block->next = 0;
-+              if (c->is_40bit_channel) {
-+                      struct bcm2711_dma40_scb *scb =
-+                              (struct bcm2711_dma40_scb *)control_block;
-+                      scb->ti = to_bcm2711_ti(info);
-+                      scb->src = lower_32_bits(src);
-+                      scb->srci= upper_32_bits(src) | to_bcm2711_srci(info);
-+                      scb->dst = lower_32_bits(dst);
-+                      scb->dsti = upper_32_bits(dst) | to_bcm2711_dsti(info);
-+                      scb->next_cb = 0;
-+              } else {
-+                      control_block->info = info;
-+                      control_block->src = src;
-+                      control_block->dst = dst;
-+                      control_block->stride = 0;
-+                      control_block->next = 0;
-+              }
-+
-               /* set up length in control_block if requested */
-               if (buf_len) {
-                       /* calculate length honoring period_length */
-@@ -361,7 +529,11 @@ static struct bcm2835_desc *bcm2835_dma_
-               }
-               /* link this the last controlblock */
--              if (frame)
-+              if (frame && c->is_40bit_channel)
-+                      ((struct bcm2711_dma40_scb *)
-+                       d->cb_list[frame - 1].cb)->next_cb =
-+                              to_bcm2711_cbaddr(cb_entry->paddr);
-+              if (frame && !c->is_40bit_channel)
-                       d->cb_list[frame - 1].cb->next = cb_entry->paddr;
-               /* update src and dst and length */
-@@ -371,11 +543,21 @@ static struct bcm2835_desc *bcm2835_dma_
-                       dst += control_block->length;
-               /* Length of total transfer */
--              d->size += control_block->length;
-+              if (c->is_40bit_channel)
-+                      d->size += ((struct bcm2711_dma40_scb *)control_block)->len;
-+              else
-+                      d->size += control_block->length;
-       }
-       /* the last frame requires extra flags */
--      d->cb_list[d->frames - 1].cb->info |= finalextrainfo;
-+      if (c->is_40bit_channel) {
-+              struct bcm2711_dma40_scb *scb =
-+                      (struct bcm2711_dma40_scb *)d->cb_list[d->frames-1].cb;
-+
-+              scb->ti |= to_bcm2711_ti(finalextrainfo);
-+      } else {
-+              d->cb_list[d->frames - 1].cb->info |= finalextrainfo;
-+      }
-       /* detect a size missmatch */
-       if (buf_len && (d->size != buf_len))
-@@ -389,13 +571,12 @@ error_cb:
- }
- static void bcm2835_dma_fill_cb_chain_with_sg(
--      struct dma_chan *chan,
-+      struct bcm2835_chan *c,
-       enum dma_transfer_direction direction,
-       struct bcm2835_cb_entry *cb,
-       struct scatterlist *sgl,
-       unsigned int sg_len)
- {
--      struct bcm2835_chan *c = to_bcm2835_dma_chan(chan);
-       size_t len, max_len;
-       unsigned int i;
-       dma_addr_t addr;
-@@ -403,14 +584,35 @@ static void bcm2835_dma_fill_cb_chain_wi
-       max_len = bcm2835_dma_max_frame_length(c);
-       for_each_sg(sgl, sgent, sg_len, i) {
--              for (addr = sg_dma_address(sgent), len = sg_dma_len(sgent);
--                   len > 0;
--                   addr += cb->cb->length, len -= cb->cb->length, cb++) {
--                      if (direction == DMA_DEV_TO_MEM)
--                              cb->cb->dst = addr;
--                      else
--                              cb->cb->src = addr;
--                      cb->cb->length = min(len, max_len);
-+              if (c->is_40bit_channel) {
-+                      struct bcm2711_dma40_scb *scb;
-+
-+                      for (addr = sg_dma_address(sgent),
-+                                   len = sg_dma_len(sgent);
-+                                   len > 0;
-+                           addr += scb->len, len -= scb->len, cb++) {
-+                              scb = (struct bcm2711_dma40_scb *)cb->cb;
-+                              if (direction == DMA_DEV_TO_MEM) {
-+                                      scb->dst = lower_32_bits(addr);
-+                                      scb->dsti = upper_32_bits(addr) | BCM2711_DMA40_INC;
-+                              } else {
-+                                      scb->src = lower_32_bits(addr);
-+                                      scb->srci = upper_32_bits(addr) | BCM2711_DMA40_INC;
-+                              }
-+                              scb->len = min(len, max_len);
-+                      }
-+              } else {
-+                      for (addr = sg_dma_address(sgent),
-+                                   len = sg_dma_len(sgent);
-+                           len > 0;
-+                           addr += cb->cb->length, len -= cb->cb->length,
-+                           cb++) {
-+                              if (direction == DMA_DEV_TO_MEM)
-+                                      cb->cb->dst = addr;
-+                              else
-+                                      cb->cb->src = addr;
-+                              cb->cb->length = min(len, max_len);
-+                      }
-               }
-       }
- }
-@@ -419,6 +621,10 @@ static void bcm2835_dma_abort(struct bcm
- {
-       void __iomem *chan_base = c->chan_base;
-       long int timeout = 10000;
-+      u32 wait_mask = BCM2835_DMA_WAITING_FOR_WRITES;
-+
-+      if (c->is_40bit_channel)
-+              wait_mask = BCM2711_DMA40_WAITING_FOR_WRITES;
-       /*
-        * A zero control block address means the channel is idle.
-@@ -431,8 +637,7 @@ static void bcm2835_dma_abort(struct bcm
-       writel(0, chan_base + BCM2835_DMA_CS);
-       /* Wait for any current AXI transfer to complete */
--      while ((readl(chan_base + BCM2835_DMA_CS) &
--              BCM2835_DMA_WAITING_FOR_WRITES) && --timeout)
-+      while ((readl(chan_base + BCM2835_DMA_CS) & wait_mask) && --timeout)
-               cpu_relax();
-       /* Peripheral might be stuck and fail to signal AXI write responses */
-@@ -457,9 +662,16 @@ static void bcm2835_dma_start_desc(struc
-       c->desc = d = to_bcm2835_dma_desc(&vd->tx);
--      writel(d->cb_list[0].paddr, c->chan_base + BCM2835_DMA_ADDR);
--      writel(BCM2835_DMA_ACTIVE | BCM2835_DMA_CS_FLAGS(c->dreq),
--             c->chan_base + BCM2835_DMA_CS);
-+      if (c->is_40bit_channel) {
-+              writel(to_bcm2711_cbaddr(d->cb_list[0].paddr),
-+                     c->chan_base + BCM2711_DMA40_CB);
-+              writel(BCM2711_DMA40_ACTIVE | BCM2711_DMA40_CS_FLAGS(c->dreq),
-+                     c->chan_base + BCM2711_DMA40_CS);
-+      } else {
-+              writel(d->cb_list[0].paddr, c->chan_base + BCM2835_DMA_ADDR);
-+              writel(BCM2835_DMA_ACTIVE | BCM2835_DMA_CS_FLAGS(c->dreq),
-+                     c->chan_base + BCM2835_DMA_CS);
-+      }
- }
- static irqreturn_t bcm2835_dma_callback(int irq, void *data)
-@@ -486,8 +698,7 @@ static irqreturn_t bcm2835_dma_callback(
-        * if this IRQ handler is threaded.) If the channel is finished, it
-        * will remain idle despite the ACTIVE flag being set.
-        */
--      writel(BCM2835_DMA_INT | BCM2835_DMA_ACTIVE |
--             BCM2835_DMA_CS_FLAGS(c->dreq),
-+      writel(BCM2835_DMA_INT | BCM2835_DMA_ACTIVE,
-              c->chan_base + BCM2835_DMA_CS);
-       d = c->desc;
-@@ -590,9 +801,17 @@ static enum dma_status bcm2835_dma_tx_st
-               struct bcm2835_desc *d = c->desc;
-               dma_addr_t pos;
--              if (d->dir == DMA_MEM_TO_DEV)
-+              if (d->dir == DMA_MEM_TO_DEV && c->is_40bit_channel)
-+                      pos = readl(c->chan_base + BCM2711_DMA40_SRC) +
-+                              ((readl(c->chan_base + BCM2711_DMA40_SRCI) &
-+                                0xff) << 8);
-+              else if (d->dir == DMA_MEM_TO_DEV && !c->is_40bit_channel)
-                       pos = readl(c->chan_base + BCM2835_DMA_SOURCE_AD);
--              else if (d->dir == DMA_DEV_TO_MEM)
-+              else if (d->dir == DMA_DEV_TO_MEM && c->is_40bit_channel)
-+                      pos = readl(c->chan_base + BCM2711_DMA40_DEST) +
-+                              ((readl(c->chan_base + BCM2711_DMA40_DESTI) &
-+                                0xff) << 8);
-+              else if (d->dir == DMA_DEV_TO_MEM && !c->is_40bit_channel)
-                       pos = readl(c->chan_base + BCM2835_DMA_DEST_AD);
-               else
-                       pos = 0;
-@@ -638,7 +857,7 @@ static struct dma_async_tx_descriptor *b
-       frames = bcm2835_dma_frames_for_length(len, max_len);
-       /* allocate the CB chain - this also fills in the pointers */
--      d = bcm2835_dma_create_cb_chain(chan, DMA_MEM_TO_MEM, false,
-+      d = bcm2835_dma_create_cb_chain(c, DMA_MEM_TO_MEM, false,
-                                       info, extra, frames,
-                                       src, dst, len, 0, GFP_KERNEL);
-       if (!d)
-@@ -673,11 +892,21 @@ static struct dma_async_tx_descriptor *b
-               if (c->cfg.src_addr_width != DMA_SLAVE_BUSWIDTH_4_BYTES)
-                       return NULL;
-               src = c->cfg.src_addr;
-+              /*
-+               * One would think it ought to be possible to get the physical
-+               * to dma address mapping information from the dma-ranges DT
-+               * property, but I've not found a way yet that doesn't involve
-+               * open-coding the whole thing.
-+               */
-+              if (c->is_40bit_channel)
-+                  src |= 0x400000000ull;
-               info |= BCM2835_DMA_S_DREQ | BCM2835_DMA_D_INC;
-       } else {
-               if (c->cfg.dst_addr_width != DMA_SLAVE_BUSWIDTH_4_BYTES)
-                       return NULL;
-               dst = c->cfg.dst_addr;
-+              if (c->is_40bit_channel)
-+                  dst |= 0x400000000ull;
-               info |= BCM2835_DMA_D_DREQ | BCM2835_DMA_S_INC;
-       }
-@@ -685,7 +914,7 @@ static struct dma_async_tx_descriptor *b
-       frames = bcm2835_dma_count_frames_for_sg(c, sgl, sg_len);
-       /* allocate the CB chain */
--      d = bcm2835_dma_create_cb_chain(chan, direction, false,
-+      d = bcm2835_dma_create_cb_chain(c, direction, false,
-                                       info, extra,
-                                       frames, src, dst, 0, 0,
-                                       GFP_NOWAIT);
-@@ -693,7 +922,7 @@ static struct dma_async_tx_descriptor *b
-               return NULL;
-       /* fill in frames with scatterlist pointers */
--      bcm2835_dma_fill_cb_chain_with_sg(chan, direction, d->cb_list,
-+      bcm2835_dma_fill_cb_chain_with_sg(c, direction, d->cb_list,
-                                         sgl, sg_len);
-       return vchan_tx_prep(&c->vc, &d->vd, flags);
-@@ -747,12 +976,16 @@ static struct dma_async_tx_descriptor *b
-               if (c->cfg.src_addr_width != DMA_SLAVE_BUSWIDTH_4_BYTES)
-                       return NULL;
-               src = c->cfg.src_addr;
-+              if (c->is_40bit_channel)
-+                  src |= 0x400000000ull;
-               dst = buf_addr;
-               info |= BCM2835_DMA_S_DREQ | BCM2835_DMA_D_INC;
-       } else {
-               if (c->cfg.dst_addr_width != DMA_SLAVE_BUSWIDTH_4_BYTES)
-                       return NULL;
-               dst = c->cfg.dst_addr;
-+              if (c->is_40bit_channel)
-+                  dst |= 0x400000000ull;
-               src = buf_addr;
-               info |= BCM2835_DMA_D_DREQ | BCM2835_DMA_S_INC;
-@@ -772,7 +1005,7 @@ static struct dma_async_tx_descriptor *b
-        * note that we need to use GFP_NOWAIT, as the ALSA i2s dmaengine
-        * implementation calls prep_dma_cyclic with interrupts disabled.
-        */
--      d = bcm2835_dma_create_cb_chain(chan, direction, true,
-+      d = bcm2835_dma_create_cb_chain(c, direction, true,
-                                       info, extra,
-                                       frames, src, dst, buf_len,
-                                       period_len, GFP_NOWAIT);
-@@ -780,7 +1013,12 @@ static struct dma_async_tx_descriptor *b
-               return NULL;
-       /* wrap around into a loop */
--      d->cb_list[d->frames - 1].cb->next = d->cb_list[0].paddr;
-+      if (c->is_40bit_channel)
-+              ((struct bcm2711_dma40_scb *)
-+               d->cb_list[frames - 1].cb)->next_cb =
-+                      to_bcm2711_cbaddr(d->cb_list[0].paddr);
-+      else
-+              d->cb_list[d->frames - 1].cb->next = d->cb_list[0].paddr;
-       return vchan_tx_prep(&c->vc, &d->vd, flags);
- }
-@@ -844,9 +1082,11 @@ static int bcm2835_dma_chan_init(struct
-       c->irq_number = irq;
-       c->irq_flags = irq_flags;
--      /* check in DEBUG register if this is a LITE channel */
--      if (readl(c->chan_base + BCM2835_DMA_DEBUG) &
--              BCM2835_DMA_DEBUG_LITE)
-+      /* check for 40bit and lite channels */
-+      if (d->cfg_data->chan_40bit_mask & BIT(chan_id))
-+              c->is_40bit_channel = true;
-+      else if (readl(c->chan_base + BCM2835_DMA_DEBUG) &
-+               BCM2835_DMA_DEBUG_LITE)
-               c->is_lite_channel = true;
-       return 0;
-@@ -866,8 +1106,58 @@ static void bcm2835_dma_free(struct bcm2
-                            DMA_TO_DEVICE, DMA_ATTR_SKIP_CPU_SYNC);
- }
-+int bcm2711_dma40_memcpy_init(void)
-+{
-+      if (!memcpy_parent)
-+              return -EPROBE_DEFER;
-+
-+      if (!memcpy_chan)
-+              return -EINVAL;
-+
-+      if (!memcpy_scb)
-+              return -ENOMEM;
-+
-+      return 0;
-+}
-+EXPORT_SYMBOL(bcm2711_dma40_memcpy_init);
-+
-+void bcm2711_dma40_memcpy(dma_addr_t dst, dma_addr_t src, size_t size)
-+{
-+      struct bcm2711_dma40_scb *scb = memcpy_scb;
-+      unsigned long flags;
-+
-+      if (!scb) {
-+              pr_err("bcm2711_dma40_memcpy not initialised!\n");
-+              return;
-+      }
-+
-+      spin_lock_irqsave(&memcpy_lock, flags);
-+
-+      scb->ti = 0;
-+      scb->src = lower_32_bits(src);
-+      scb->srci = upper_32_bits(src) | BCM2711_DMA40_MEMCPY_XFER_INFO;
-+      scb->dst = lower_32_bits(dst);
-+      scb->dsti = upper_32_bits(dst) | BCM2711_DMA40_MEMCPY_XFER_INFO;
-+      scb->len = size;
-+      scb->next_cb = 0;
-+
-+      writel((u32)(memcpy_scb_dma >> 5), memcpy_chan + BCM2711_DMA40_CB);
-+      writel(BCM2711_DMA40_MEMCPY_FLAGS + BCM2711_DMA40_ACTIVE,
-+             memcpy_chan + BCM2711_DMA40_CS);
-+
-+      /* Poll for completion */
-+      while (!(readl(memcpy_chan + BCM2711_DMA40_CS) & BCM2711_DMA40_END))
-+              cpu_relax();
-+
-+      writel(BCM2711_DMA40_END, memcpy_chan + BCM2711_DMA40_CS);
-+
-+      spin_unlock_irqrestore(&memcpy_lock, flags);
-+}
-+EXPORT_SYMBOL(bcm2711_dma40_memcpy);
-+
- static const struct of_device_id bcm2835_dma_of_match[] = {
--      { .compatible = "brcm,bcm2835-dma", },
-+      { .compatible = "brcm,bcm2835-dma", .data = &bcm2835_dma_cfg },
-+      { .compatible = "brcm,bcm2711-dma", .data = &bcm2711_dma_cfg },
-       {},
- };
- MODULE_DEVICE_TABLE(of, bcm2835_dma_of_match);
-@@ -899,6 +1189,8 @@ static int bcm2835_dma_probe(struct plat
-       int irq_flags;
-       uint32_t chans_available;
-       char chan_name[BCM2835_DMA_CHAN_NAME_SIZE];
-+      const struct of_device_id *of_id;
-+      int chan_count, chan_start, chan_end;
-       if (!pdev->dev.dma_mask)
-               pdev->dev.dma_mask = &pdev->dev.coherent_dma_mask;
-@@ -920,9 +1212,13 @@ static int bcm2835_dma_probe(struct plat
-       base = devm_ioremap_resource(&pdev->dev, res);
-       if (IS_ERR(base))
-               return PTR_ERR(base);
--      rc = bcm_dmaman_probe(pdev, base, BCM2835_DMA_BULK_MASK);
--      if (rc)
--              dev_err(&pdev->dev, "Failed to initialize the legacy API\n");
-+
-+      /* The set of channels can be split across multiple instances. */
-+      chan_start = ((u32)(uintptr_t)base / BCM2835_DMA_CHAN_SIZE) & 0xf;
-+      base -= BCM2835_DMA_CHAN(chan_start);
-+      chan_count = resource_size(res) / BCM2835_DMA_CHAN_SIZE;
-+      chan_end = min(chan_start + chan_count,
-+                       BCM2835_DMA_MAX_DMA_CHAN_SUPPORTED + 1);
-       od->base = base;
-@@ -959,6 +1255,14 @@ static int bcm2835_dma_probe(struct plat
-               return -ENOMEM;
-       }
-+      of_id = of_match_node(bcm2835_dma_of_match, pdev->dev.of_node);
-+      if (!of_id) {
-+              dev_err(&pdev->dev, "Failed to match compatible string\n");
-+              return -EINVAL;
-+      }
-+
-+      od->cfg_data = of_id->data;
-+
-       /* Request DMA channel mask from device tree */
-       if (of_property_read_u32(pdev->dev.of_node,
-                       "brcm,dma-channel-mask",
-@@ -968,11 +1272,34 @@ static int bcm2835_dma_probe(struct plat
-               goto err_no_dma;
-       }
--      /* Channel 0 is used by the legacy API */
--      chans_available &= ~BCM2835_DMA_BULK_MASK;
-+      /* One channel is reserved for the legacy API */
-+      if (chans_available & BCM2835_DMA_BULK_MASK) {
-+              rc = bcm_dmaman_probe(pdev, base,
-+                                    chans_available & BCM2835_DMA_BULK_MASK);
-+              if (rc)
-+                      dev_err(&pdev->dev,
-+                              "Failed to initialize the legacy API\n");
-+
-+              chans_available &= ~BCM2835_DMA_BULK_MASK;
-+      }
-+
-+      /* And possibly one for the 40-bit DMA memcpy API */
-+      if (chans_available & od->cfg_data->chan_40bit_mask &
-+          BIT(BCM2711_DMA_MEMCPY_CHAN)) {
-+              memcpy_parent = od;
-+              memcpy_chan = BCM2835_DMA_CHANIO(base, BCM2711_DMA_MEMCPY_CHAN);
-+              memcpy_scb = dma_alloc_coherent(memcpy_parent->ddev.dev,
-+                                              sizeof(*memcpy_scb),
-+                                              &memcpy_scb_dma, GFP_KERNEL);
-+              if (!memcpy_scb)
-+                      dev_warn(&pdev->dev,
-+                               "Failed to allocated memcpy scb\n");
-+
-+              chans_available &= ~BIT(BCM2711_DMA_MEMCPY_CHAN);
-+      }
-       /* get irqs for each channel that we support */
--      for (i = 0; i <= BCM2835_DMA_MAX_DMA_CHAN_SUPPORTED; i++) {
-+      for (i = chan_start; i < chan_end; i++) {
-               /* skip masked out channels */
-               if (!(chans_available & (1 << i))) {
-                       irq[i] = -1;
-@@ -995,13 +1322,17 @@ static int bcm2835_dma_probe(struct plat
-               irq[i] = platform_get_irq(pdev, i < 11 ? i : 11);
-       }
-+      chan_count = 0;
-+
-       /* get irqs for each channel */
--      for (i = 0; i <= BCM2835_DMA_MAX_DMA_CHAN_SUPPORTED; i++) {
-+      for (i = chan_start; i < chan_end; i++) {
-               /* skip channels without irq */
-               if (irq[i] < 0)
-                       continue;
-               /* check if there are other channels that also use this irq */
-+              /* FIXME: This will fail if interrupts are shared across
-+                 instances */
-               irq_flags = 0;
-               for (j = 0; j <= BCM2835_DMA_MAX_DMA_CHAN_SUPPORTED; j++)
-                       if ((i != j) && (irq[j] == irq[i])) {
-@@ -1013,9 +1344,10 @@ static int bcm2835_dma_probe(struct plat
-               rc = bcm2835_dma_chan_init(od, i, irq[i], irq_flags);
-               if (rc)
-                       goto err_no_dma;
-+              chan_count++;
-       }
--      dev_dbg(&pdev->dev, "Initialized %i DMA channels\n", i);
-+      dev_dbg(&pdev->dev, "Initialized %i DMA channels\n", chan_count);
-       /* Device-tree DMA controller registration */
-       rc = of_dma_controller_register(pdev->dev.of_node,
-@@ -1047,6 +1379,13 @@ static int bcm2835_dma_remove(struct pla
-       bcm_dmaman_remove(pdev);
-       dma_async_device_unregister(&od->ddev);
-+      if (memcpy_parent == od) {
-+              dma_free_coherent(&pdev->dev, sizeof(*memcpy_scb), memcpy_scb,
-+                                memcpy_scb_dma);
-+              memcpy_parent = NULL;
-+              memcpy_scb = NULL;
-+              memcpy_chan = NULL;
-+      }
-       bcm2835_dma_free(od);
-       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0698-media-i2c-tc358743-Fix-fallthrough-warning.patch b/target/linux/bcm27xx/patches-5.4/950-0698-media-i2c-tc358743-Fix-fallthrough-warning.patch
new file mode 100644 (file)
index 0000000..26c1f95
--- /dev/null
@@ -0,0 +1,20 @@
+From e09aaa8b2074bfa1656f1af09357b26c87e39988 Mon Sep 17 00:00:00 2001
+From: Jacko Dirks <jdirks.linuxdev@gmail.com>
+Date: Tue, 5 May 2020 14:28:14 +0200
+Subject: [PATCH] media: i2c: tc358743: Fix fallthrough warning
+
+Signed-off-by: Jacko Dirks <jdirks.linuxdev@gmail.com>
+---
+ drivers/media/i2c/tc358743.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/media/i2c/tc358743.c
++++ b/drivers/media/i2c/tc358743.c
+@@ -2004,6 +2004,7 @@ static int tc358743_probe_of(struct tc35
+       switch (bps_pr_lane) {
+       default:
+               dev_warn(dev, "untested bps per lane: %u bps\n", bps_pr_lane);
++              /* fall through */
+       case 594000000U:
+               state->pdata.lineinitcnt = 0xe80;
+               state->pdata.lptxtimecnt = 0x003;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0699-ARM-dts-bcm2711-Allow-40-bit-DMA-for-SPI.patch b/target/linux/bcm27xx/patches-5.4/950-0699-ARM-dts-bcm2711-Allow-40-bit-DMA-for-SPI.patch
deleted file mode 100644 (file)
index ac6543f..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-From 0dcafa8ab800d1f534bb0cb51cd02a082cf34be6 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Thu, 30 Apr 2020 12:43:05 +0100
-Subject: [PATCH] ARM: dts: bcm2711: Allow 40-bit DMA for SPI
-
-Add the spi_dma4 DT parameter to enable use of the 40-bit DMA channels
-to drive SPI. Note that there are only 3-4 40-bit channels available,
-and using this parameter claims 2 of them.
-
-Usage: dtparam=spi_dma4
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 2 ++
- arch/arm/boot/dts/overlays/README     | 4 ++++
- 2 files changed, 6 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -554,5 +554,7 @@
-               eth_led0 = <&phy1>,"led-modes:0";
-               eth_led1 = <&phy1>,"led-modes:4";
-+              spi_dma4 = <&spi0>, "dmas:0=", <&dma40>,
-+                         <&spi0>, "dmas:8=", <&dma40>;
-       };
- };
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -159,6 +159,10 @@ Params:
-         spi                     Set to "on" to enable the spi interfaces
-                                 (default "off")
-+        spi_dma4                Use to enable 40-bit DMA on spi interfaces
-+                                (the assigned value doesn't matter)
-+                                (2711 only)
-+
-         random                  Set to "on" to enable the hardware random
-                                 number generator (default "on")
diff --git a/target/linux/bcm27xx/patches-5.4/950-0699-media-bcm2835-unicam-Fix-uninitialized-warning.patch b/target/linux/bcm27xx/patches-5.4/950-0699-media-bcm2835-unicam-Fix-uninitialized-warning.patch
new file mode 100644 (file)
index 0000000..2186d7b
--- /dev/null
@@ -0,0 +1,21 @@
+From f73609479c8542bd974a6e9aaf8bffc8ed09eaca Mon Sep 17 00:00:00 2001
+From: Jacko Dirks <jdirks.linuxdev@gmail.com>
+Date: Tue, 5 May 2020 14:33:31 +0200
+Subject: [PATCH] media: bcm2835: unicam: Fix uninitialized warning
+
+Signed-off-by: Jacko Dirks <jdirks.linuxdev@gmail.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -1001,7 +1001,7 @@ const struct unicam_fmt *get_first_suppo
+ {
+       struct v4l2_subdev_mbus_code_enum mbus_code;
+       const struct unicam_fmt *fmt = NULL;
+-      int ret;
++      int ret = 0;
+       int j;
+       for (j = 0; ret != -EINVAL && ret != -ENOIOCTLCMD; ++j) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0700-overlays-Make-the-i2c-gpio-overlay-safe-again.patch b/target/linux/bcm27xx/patches-5.4/950-0700-overlays-Make-the-i2c-gpio-overlay-safe-again.patch
deleted file mode 100644 (file)
index 537ca5d..0000000
+++ /dev/null
@@ -1,32 +0,0 @@
-From 3c0cbb59e068f13b2a12a98a5dac42afa8ccc639 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Fri, 1 May 2020 17:56:13 +0100
-Subject: [PATCH] overlays: Make the i2c-gpio overlay safe again
-
-Like many overlays, the i2c-gpio overlay goes to efforts to avoid
-generating warnings about #address-cells and #size-cells not
-being defined, which it does by defining them. Unfortunately this
-is fatal if they don't match what the system requires, and the
-recent switch to #size-cells = 2 on 2711 made i2c-gpio very
-dangerous.
-
-In the absence of the knowledge of a clean way to fix this, just delete
-the declarations and suffer the warnings.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts | 3 ---
- 1 file changed, 3 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts
-@@ -9,9 +9,6 @@
-               target-path = "/";
-               __overlay__ {
--                      #address-cells = <1>;
--                      #size-cells = <0>;
--
-                       i2c_gpio: i2c@0 {
-                               reg = <0xffffffff>;
-                               compatible = "i2c-gpio";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0700-video-bcm2708_fb-Disable-FB-if-no-displays-found.patch b/target/linux/bcm27xx/patches-5.4/950-0700-video-bcm2708_fb-Disable-FB-if-no-displays-found.patch
new file mode 100644 (file)
index 0000000..90cb5e2
--- /dev/null
@@ -0,0 +1,34 @@
+From e005a4db95a48e8b14a2017bf56a0e3f3dccfa6d Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 5 May 2020 19:45:41 +0100
+Subject: [PATCH] video: bcm2708_fb: Disable FB if no displays found
+
+If the firmware hasn't detected a display, the driver would assume
+one display was available, but because it had failed to retrieve the
+display size it would try to allocate a zero-sized buffer.
+
+Avoid the allocation failure by bailing out early if no display is
+found.
+
+See: https://github.com/raspberrypi/linux/issues/3598
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/video/fbdev/bcm2708_fb.c | 5 ++---
+ 1 file changed, 2 insertions(+), 3 deletions(-)
+
+--- a/drivers/video/fbdev/bcm2708_fb.c
++++ b/drivers/video/fbdev/bcm2708_fb.c
+@@ -1104,10 +1104,9 @@ static int bcm2708_fb_probe(struct platf
+        * set one display
+        */
+       if (ret || num_displays == 0) {
+-              num_displays = 1;
+               dev_err(&dev->dev,
+-                      "Unable to determine number of FB's. Assuming 1\n");
+-              ret = 0;
++                      "Unable to determine number of FBs. Disabling driver.\n");
++              return -ENOENT;
+       } else {
+               fbdev->firmware_supports_multifb = 1;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0701-overlays-sc16is752-spi1-Add-xtal-parameter.patch b/target/linux/bcm27xx/patches-5.4/950-0701-overlays-sc16is752-spi1-Add-xtal-parameter.patch
new file mode 100644 (file)
index 0000000..582c32a
--- /dev/null
@@ -0,0 +1,38 @@
+From 48bf88ebb1abf55168f636d7a6edf2ca79be13c6 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 6 May 2020 14:25:20 +0100
+Subject: [PATCH] overlays: sc16is752-spi1: Add xtal parameter
+
+The other sc16is75x overlays have an xtal parameter to allow a
+different crystal frequency to be specified, but sc16is752-spi1
+doesn't. Fix this omission.
+
+See: https://www.raspberrypi.org/forums/viewtopic.php?f=107&t=273234
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README                     | 1 +
+ arch/arm/boot/dts/overlays/sc16is752-spi1-overlay.dts | 3 ++-
+ 2 files changed, 3 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2114,6 +2114,7 @@ Info:   Overlay for the NXP SC16IS752 Du
+ Load:   dtoverlay=sc16is752-spi1,<param>=<val>
+ Params: int_pin                 GPIO used for IRQ (default 24)
++        xtal                    On-board crystal frequency (default 14745600)
+ Name:   sdhost
+--- a/arch/arm/boot/dts/overlays/sc16is752-spi1-overlay.dts
++++ b/arch/arm/boot/dts/overlays/sc16is752-spi1-overlay.dts
+@@ -56,6 +56,7 @@
+       };
+     __overrides__ {
+-      int_pin = <&sc16is752>,"interrupts:0";
++              int_pin = <&sc16is752>,"interrupts:0";
++              xtal = <&sc16is752_clk>,"clock-frequency:0";
+     };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0701-staging-vc04_services-isp-Remove-duplicated-initiali.patch b/target/linux/bcm27xx/patches-5.4/950-0701-staging-vc04_services-isp-Remove-duplicated-initiali.patch
deleted file mode 100644 (file)
index d2b0bf1..0000000
+++ /dev/null
@@ -1,62 +0,0 @@
-From 6a9cc90467f4b14d596a819c87d48764a4ba5282 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Fri, 1 May 2020 17:49:08 +0100
-Subject: [PATCH] staging: vc04_services: isp: Remove duplicated
- initialisation
-
-With the codec code from which this was derived, the driver had to
-get the supported formats for both input and output ports.
-This had been copied across, however here we have independent nodes
-for each port, but the code had been left in to do the same thing
-twice.
-Remove the duplicate.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- .../bcm2835-isp/bcm2835-v4l2-isp.c            | 35 -------------------
- 1 file changed, 35 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
-+++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
-@@ -1160,41 +1160,6 @@ static int bcm2835_isp_get_supported_fmt
-       }
-       node->supported_fmts.num_entries = j;
--      param_size = sizeof(fourccs);
--      ret = vchiq_mmal_port_parameter_get(dev->mmal_instance,
--                                          get_port_data(node),
--                                          MMAL_PARAMETER_SUPPORTED_ENCODINGS,
--                                          &fourccs, &param_size);
--
--      if (ret) {
--              if (ret == MMAL_MSG_STATUS_ENOSPC) {
--                      v4l2_err(&dev->v4l2_dev,
--                               "%s: port has more encoding than we provided space for. Some are dropped.\n",
--                               __func__);
--                      num_encodings = MAX_SUPPORTED_ENCODINGS;
--              } else {
--                      return -EINVAL;
--              }
--      } else {
--              num_encodings = param_size / sizeof(u32);
--      }
--      /* Assume at this stage that all encodings will be supported in V4L2. */
--      list = devm_kzalloc(dev->dev,
--                          sizeof(struct bcm2835_isp_fmt) * num_encodings,
--                          GFP_KERNEL);
--      if (!list)
--              return -ENOMEM;
--      node->supported_fmts.list = list;
--
--      for (i = 0, j = 0; i < num_encodings; i++) {
--              const struct bcm2835_isp_fmt *fmt = get_fmt(fourccs[i]);
--
--              if (fmt) {
--                      list[j] = *fmt;
--                      j++;
--              }
--      }
--      node->supported_fmts.num_entries = j;
-       return 0;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0702-staging-vc04_services-isp-Make-all-references-to-bcm.patch b/target/linux/bcm27xx/patches-5.4/950-0702-staging-vc04_services-isp-Make-all-references-to-bcm.patch
deleted file mode 100644 (file)
index 97ca47b..0000000
+++ /dev/null
@@ -1,148 +0,0 @@
-From 9ecf10bfe3e501b10cc72d710a70150640a0b266 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Fri, 1 May 2020 16:54:20 +0100
-Subject: [PATCH] staging: vc04_services: isp: Make all references to
- bcm2835_isp_fmt const
-
-The array of potential formats and their configuration should be const.
-Rework all accesses so that this is possible.
-
-The list of supported formats was taking a copy of entries from this table.
-This is unnecessary, therefore allocate an array of pointers instead of
-an array of entries.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- .../bcm2835-isp/bcm2835-v4l2-isp.c            | 34 ++++++++++---------
- .../bcm2835-isp/bcm2835_isp_fmts.h            |  2 +-
- 2 files changed, 19 insertions(+), 17 deletions(-)
-
---- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
-+++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
-@@ -67,7 +67,7 @@ struct bcm2835_isp_q_data {
-       unsigned int width;
-       unsigned int height;
-       unsigned int sizeimage;
--      struct bcm2835_isp_fmt *fmt;
-+      const struct bcm2835_isp_fmt *fmt;
- };
- /*
-@@ -232,11 +232,11 @@ struct bcm2835_isp_fmt *find_format_by_f
-                                             struct bcm2835_isp_node *node)
- {
-       struct bcm2835_isp_fmt_list *fmts = &node->supported_fmts;
--      struct bcm2835_isp_fmt *fmt;
-+      const struct bcm2835_isp_fmt *fmt;
-       unsigned int i;
-       for (i = 0; i < fmts->num_entries; i++) {
--              fmt = &fmts->list[i];
-+              fmt = fmts->list[i];
-               if (fmt->fourcc == fourcc)
-                       return fmt;
-       }
-@@ -244,8 +244,9 @@ struct bcm2835_isp_fmt *find_format_by_f
-       return NULL;
- }
--static struct bcm2835_isp_fmt *find_format(struct v4l2_format *f,
--                                         struct bcm2835_isp_node *node)
-+static const
-+struct bcm2835_isp_fmt *find_format(struct v4l2_format *f,
-+                                  struct bcm2835_isp_node *node)
- {
-       return find_format_by_fourcc(node_is_stats(node) ?
-                                    f->fmt.meta.dataformat :
-@@ -666,19 +667,20 @@ static const struct vb2_ops bcm2835_isp_
-       .stop_streaming         = bcm2835_isp_node_stop_streaming,
- };
--static struct bcm2835_isp_fmt *get_default_format(struct bcm2835_isp_node *node)
-+static const
-+struct bcm2835_isp_fmt *get_default_format(struct bcm2835_isp_node *node)
- {
--      return &node->supported_fmts.list[0];
-+      return node->supported_fmts.list[0];
- }
- static inline unsigned int get_bytesperline(int width,
--                                          struct bcm2835_isp_fmt *fmt)
-+                                          const struct bcm2835_isp_fmt *fmt)
- {
-       return ALIGN((width * fmt->depth) >> 3, fmt->bytesperline_align);
- }
- static inline unsigned int get_sizeimage(int bpl, int width, int height,
--                                       struct bcm2835_isp_fmt *fmt)
-+                                       const struct bcm2835_isp_fmt *fmt)
- {
-       return (bpl * height * fmt->size_multiplier_x2) >> 1;
- }
-@@ -892,8 +894,8 @@ static int bcm2835_isp_node_enum_fmt(str
-       if (f->index < fmts->num_entries) {
-               /* Format found */
--              f->pixelformat = fmts->list[f->index].fourcc;
--              f->flags = fmts->list[f->index].flags;
-+              f->pixelformat = fmts->list[f->index]->fourcc;
-+              f->flags = fmts->list[f->index]->flags;
-               return 0;
-       }
-@@ -905,7 +907,7 @@ static int bcm2835_isp_enum_framesizes(s
- {
-       struct bcm2835_isp_node *node = video_drvdata(file);
-       struct bcm2835_isp_dev *dev = node_get_dev(node);
--      struct bcm2835_isp_fmt *fmt;
-+      const struct bcm2835_isp_fmt *fmt;
-       if (node_is_stats(node) || fsize->index)
-               return -EINVAL;
-@@ -933,7 +935,7 @@ static int bcm2835_isp_node_try_fmt(stru
-                                   struct v4l2_format *f)
- {
-       struct bcm2835_isp_node *node = video_drvdata(file);
--      struct bcm2835_isp_fmt *fmt;
-+      const struct bcm2835_isp_fmt *fmt;
-       if (f->type != node->queue.type)
-               return -EINVAL;
-@@ -1113,7 +1115,7 @@ static const struct v4l2_ioctl_ops bcm28
- static int bcm2835_isp_get_supported_fmts(struct bcm2835_isp_node *node)
- {
-       struct bcm2835_isp_dev *dev = node_get_dev(node);
--      struct bcm2835_isp_fmt *list;
-+      struct bcm2835_isp_fmt const **list;
-       unsigned int i, j, num_encodings;
-       u32 fourccs[MAX_SUPPORTED_ENCODINGS];
-       u32 param_size = sizeof(fourccs);
-@@ -1144,7 +1146,7 @@ static int bcm2835_isp_get_supported_fmt
-        * Any that aren't supported will waste a very small amount of memory.
-        */
-       list = devm_kzalloc(dev->dev,
--                          sizeof(struct bcm2835_isp_fmt) * num_encodings,
-+                          sizeof(struct bcm2835_isp_fmt *) * num_encodings,
-                           GFP_KERNEL);
-       if (!list)
-               return -ENOMEM;
-@@ -1154,7 +1156,7 @@ static int bcm2835_isp_get_supported_fmt
-               const struct bcm2835_isp_fmt *fmt = get_fmt(fourccs[i]);
-               if (fmt) {
--                      list[j] = *fmt;
-+                      list[j] = fmt;
-                       j++;
-               }
-       }
---- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
-+++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
-@@ -26,7 +26,7 @@ struct bcm2835_isp_fmt {
- };
- struct bcm2835_isp_fmt_list {
--      struct bcm2835_isp_fmt *list;
-+      struct bcm2835_isp_fmt const **list;
-       unsigned int num_entries;
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0702-vc4_hdmi-Fix-register-offset-when-sending-longer-CEC.patch b/target/linux/bcm27xx/patches-5.4/950-0702-vc4_hdmi-Fix-register-offset-when-sending-longer-CEC.patch
new file mode 100644 (file)
index 0000000..d192565
--- /dev/null
@@ -0,0 +1,42 @@
+From 602ec343e69479dbec368f67d09c9f3e3e5ac248 Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Thu, 7 May 2020 18:16:07 +0100
+Subject: [PATCH] vc4_hdmi: Fix register offset when sending longer CEC
+ messages
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 13 +++++++++++--
+ 1 file changed, 11 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1265,8 +1265,13 @@ static void vc4_cec_read_msg(struct vc4_
+       msg->len = 1 + ((cntrl1 & VC4_HDMI_CEC_REC_WRD_CNT_MASK) >>
+                                       VC4_HDMI_CEC_REC_WRD_CNT_SHIFT);
++
++      if (msg->len > 16) {
++              DRM_ERROR("Attempting to read too much data (%d)\n", msg->len);
++              return;
++      }
+       for (i = 0; i < msg->len; i += 4) {
+-              u32 val = HDMI_READ(HDMI_CEC_RX_DATA_1 + i);
++              u32 val = HDMI_READ(HDMI_CEC_RX_DATA_1 + (i>>2));
+               msg->msg[i] = val & 0xff;
+               msg->msg[i + 1] = (val >> 8) & 0xff;
+@@ -1362,8 +1367,12 @@ static int vc4_hdmi_cec_adap_transmit(st
+       u32 val;
+       unsigned int i;
++      if (msg->len > 16) {
++              DRM_ERROR("Attempting to transmit too much data (%d)\n", msg->len);
++              return -ENOMEM;
++      }
+       for (i = 0; i < msg->len; i += 4)
+-              HDMI_WRITE(HDMI_CEC_TX_DATA_1 + i,
++              HDMI_WRITE(HDMI_CEC_TX_DATA_1 + (i>>2),
+                          (msg->msg[i]) |
+                          (msg->msg[i + 1] << 8) |
+                          (msg->msg[i + 2] << 16) |
diff --git a/target/linux/bcm27xx/patches-5.4/950-0703-overlays-gpio-keys-Avoid-open-drain-warnings.patch b/target/linux/bcm27xx/patches-5.4/950-0703-overlays-gpio-keys-Avoid-open-drain-warnings.patch
deleted file mode 100644 (file)
index 579b3b6..0000000
+++ /dev/null
@@ -1,29 +0,0 @@
-From ae10c15867d9a5b85eefaf11333bd30473af4b2b Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Sat, 2 May 2020 13:43:06 +0100
-Subject: [PATCH] overlays: gpio-keys: Avoid open-drain warnings
-
-The i2c-gpio driver expects to use a GPIO in open-drain mode. Failure
-to configure it in that way causes alarming warnings in the kernel log.
-The BCM283x and BCM2711 GPIO blocks don't support open-drain mode,
-but i2c-gpio works anyway. Silence the warning by declaring that
-open-drain mode has been enabled by other means.
-
-See: https://github.com/raspberrypi/firmware/issues/1381
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts | 2 ++
- 1 file changed, 2 insertions(+)
-
---- a/arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts
-@@ -16,6 +16,8 @@
-                                        &gpio 24 0 /* scl */
-                                       >;
-                               i2c-gpio,delay-us = <2>;        /* ~100 kHz */
-+                              i2c-gpio,sda-open-drain;
-+                              i2c-gpio,scl-open-drain;
-                               #address-cells = <1>;
-                               #size-cells = <0>;
-                       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0703-vc4_hdmi-Fix-up-CEC-registers.patch b/target/linux/bcm27xx/patches-5.4/950-0703-vc4_hdmi-Fix-up-CEC-registers.patch
new file mode 100644 (file)
index 0000000..a00aee1
--- /dev/null
@@ -0,0 +1,43 @@
+From 25402f4978434949e5ea550c9b1b4a192e95fd83 Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Thu, 7 May 2020 18:16:07 +0100
+Subject: [PATCH] vc4_hdmi: Fix up CEC registers
+
+Fix an incorrect register address, add a
+missing one and reorder into address order
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi_regs.h | 10 ++++++----
+ 1 file changed, 6 insertions(+), 4 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
+@@ -33,11 +33,12 @@ enum vc4_hdmi_field {
+       HDMI_CEC_CNTRL_3,
+       HDMI_CEC_CNTRL_4,
+       HDMI_CEC_CNTRL_5,
++      HDMI_CEC_CPU_STATUS,
++      HDMI_CEC_CPU_SET,
+       HDMI_CEC_CPU_CLEAR,
+-      HDMI_CEC_CPU_MASK_CLEAR,
+-      HDMI_CEC_CPU_MASK_SET,
+       HDMI_CEC_CPU_MASK_STATUS,
+-      HDMI_CEC_CPU_STATUS,
++      HDMI_CEC_CPU_MASK_SET,
++      HDMI_CEC_CPU_MASK_CLEAR,
+       /*
+        * Transmit data, first byte is low byte of the 32-bit reg.
+@@ -205,9 +206,10 @@ static const struct vc4_hdmi_register vc
+       VC4_HDMI_REG(HDMI_TX_PHY_RESET_CTL, 0x02c0),
+       VC4_HDMI_REG(HDMI_TX_PHY_CTL_0, 0x02c4),
+       VC4_HDMI_REG(HDMI_CEC_CPU_STATUS, 0x0340),
++      VC4_HDMI_REG(HDMI_CEC_CPU_SET, 0x0344),
+       VC4_HDMI_REG(HDMI_CEC_CPU_CLEAR, 0x0348),
+       VC4_HDMI_REG(HDMI_CEC_CPU_MASK_STATUS, 0x034c),
+-      VC4_HDMI_REG(HDMI_CEC_CPU_MASK_SET, 0x034c),
++      VC4_HDMI_REG(HDMI_CEC_CPU_MASK_SET, 0x0350),
+       VC4_HDMI_REG(HDMI_CEC_CPU_MASK_CLEAR, 0x0354),
+       VC4_HDMI_REG(HDMI_RAM_PACKET_START, 0x0400),
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0704-vc4_hdmi_phy-Fix-typo-in-phy_get_cp_current.patch b/target/linux/bcm27xx/patches-5.4/950-0704-vc4_hdmi_phy-Fix-typo-in-phy_get_cp_current.patch
deleted file mode 100644 (file)
index 45429d5..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-From 6dc415bb238d7c515f40305248d03234be88cadf Mon Sep 17 00:00:00 2001
-From: popcornmix <popcornmix@gmail.com>
-Date: Mon, 6 Apr 2020 17:07:31 +0100
-Subject: [PATCH] vc4_hdmi_phy: Fix typo in phy_get_cp_current
-
-This is stored in a 6-bit register field which causes a WARN
-
-Signed-off-by: popcornmix <popcornmix@gmail.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi_phy.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi_phy.c
-@@ -182,7 +182,7 @@ static u8 phy_get_cp_current(unsigned lo
-       if (vco_freq < 3700000000ULL)
-               return 0x1c;
--      return 0xc8;
-+      return 0x18;
- }
- static u32 phy_get_rm_offset(unsigned long long vco_freq)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0704-vc4_hdmi_regs-Add-Intr2-register-block.patch b/target/linux/bcm27xx/patches-5.4/950-0704-vc4_hdmi_regs-Add-Intr2-register-block.patch
new file mode 100644 (file)
index 0000000..e3299ac
--- /dev/null
@@ -0,0 +1,151 @@
+From 2aa3a92e409ed4ad416eceacef998f0027016a81 Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Thu, 7 May 2020 18:16:07 +0100
+Subject: [PATCH] vc4_hdmi_regs: Add Intr2 register block
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ arch/arm/boot/dts/bcm2711.dtsi      | 14 ++++++++++----
+ drivers/gpu/drm/vc4/vc4_hdmi.c      |  8 ++++++++
+ drivers/gpu/drm/vc4/vc4_hdmi.h      |  2 ++
+ drivers/gpu/drm/vc4/vc4_hdmi_regs.h | 17 +++++++++++++++++
+ 4 files changed, 37 insertions(+), 4 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -316,7 +316,8 @@
+                             <0x7ef01f00 0x400>,
+                             <0x7ef00200 0x80>,
+                             <0x7ef04300 0x100>,
+-                            <0x7ef20000 0x100>;
++                            <0x7ef20000 0x100>,
++                            <0x7ef00100 0x30>;
+                       reg-names = "hdmi",
+                                   "dvp",
+                                   "phy",
+@@ -325,13 +326,15 @@
+                                   "metadata",
+                                   "csc",
+                                   "cec",
+-                                  "hd";
++                                  "hd",
++                                  "intr2";
+                       clocks = <&firmware_clocks 13>;
+                       clock-names = "hdmi";
+                       resets = <&dvp 0>;
+                       ddc = <&ddc0>;
+                       dmas = <&dma 10>;
+                       dma-names = "audio-rx";
++                      interrupts = <GIC_SPI 96 IRQ_TYPE_LEVEL_HIGH>;
+                       status = "disabled";
+               };
+@@ -353,7 +356,8 @@
+                             <0x7ef06f00 0x400>,
+                             <0x7ef00280 0x80>,
+                             <0x7ef09300 0x100>,
+-                            <0x7ef20000 0x100>;
++                            <0x7ef20000 0x100>,
++                            <0x7ef00100 0x30>;
+                       reg-names = "hdmi",
+                                   "dvp",
+                                   "phy",
+@@ -362,13 +366,15 @@
+                                   "metadata",
+                                   "csc",
+                                   "cec",
+-                                  "hd";
++                                  "hd",
++                                  "intr2";
+                       ddc = <&ddc1>;
+                       clocks = <&firmware_clocks 13>;
+                       clock-names = "hdmi";
+                       resets = <&dvp 1>;
+                       dmas = <&dma 17>;
+                       dma-names = "audio-rx";
++                      interrupts = <GIC_SPI 96 IRQ_TYPE_LEVEL_HIGH>;
+                       status = "disabled";
+               };
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1582,6 +1582,14 @@ static int vc5_hdmi_init_resources(struc
+       if (IS_ERR(vc4_hdmi->dvp_regs))
+               return PTR_ERR(vc4_hdmi->dvp_regs);
++      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "intr2");
++      if (!res)
++              return -ENODEV;
++
++      vc4_hdmi->intr2_regs = devm_ioremap(dev, res->start, resource_size(res));
++      if (IS_ERR(vc4_hdmi->intr2_regs))
++              return PTR_ERR(vc4_hdmi->intr2_regs);
++
+       res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "phy");
+       if (!res)
+               return -ENODEV;
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -140,6 +140,8 @@ struct vc4_hdmi {
+       void __iomem *ram_regs;
+       /* VC5 Only */
+       void __iomem *rm_regs;
++      /* VC5 Only */
++      void __iomem *intr2_regs;
+       int hpd_gpio;
+       bool hpd_active_low;
+--- a/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
+@@ -24,6 +24,7 @@ enum vc4_hdmi_regs {
+       VC5_PHY,
+       VC5_RAM,
+       VC5_RM,
++      VC5_INTR2,
+ };
+ enum vc4_hdmi_field {
+@@ -148,6 +149,7 @@ struct vc4_hdmi_register {
+ #define VC5_CEC_REG(reg, offset)      _VC4_REG(VC5_CEC, reg, offset)
+ #define VC5_CSC_REG(reg, offset)      _VC4_REG(VC5_CSC, reg, offset)
+ #define VC5_DVP_REG(reg, offset)      _VC4_REG(VC5_DVP, reg, offset)
++#define VC5_INTR2_REG(reg, offset)    _VC4_REG(VC5_INTR2, reg, offset)
+ #define VC5_PHY_REG(reg, offset)      _VC4_REG(VC5_PHY, reg, offset)
+ #define VC5_RAM_REG(reg, offset)      _VC4_REG(VC5_RAM, reg, offset)
+ #define VC5_RM_REG(reg, offset)               _VC4_REG(VC5_RM, reg, offset)
+@@ -280,6 +282,12 @@ static const struct vc4_hdmi_register vc
+       VC5_CEC_REG(HDMI_CEC_RX_DATA_2, 0x03c),
+       VC5_CEC_REG(HDMI_CEC_RX_DATA_3, 0x040),
+       VC5_CEC_REG(HDMI_CEC_RX_DATA_4, 0x044),
++      VC5_INTR2_REG(HDMI_CEC_CPU_STATUS, 0x0000),
++      VC5_INTR2_REG(HDMI_CEC_CPU_SET, 0x0004),
++      VC5_INTR2_REG(HDMI_CEC_CPU_CLEAR, 0x0008),
++      VC5_INTR2_REG(HDMI_CEC_CPU_MASK_STATUS, 0x000c),
++      VC5_INTR2_REG(HDMI_CEC_CPU_MASK_SET, 0x0010),
++      VC5_INTR2_REG(HDMI_CEC_CPU_MASK_CLEAR, 0x0014),
+       VC5_CSC_REG(HDMI_CSC_CTL, 0x000),
+       VC5_CSC_REG(HDMI_CSC_12_11, 0x004),
+@@ -356,6 +364,12 @@ static const struct vc4_hdmi_register vc
+       VC5_CEC_REG(HDMI_CEC_RX_DATA_2, 0x03c),
+       VC5_CEC_REG(HDMI_CEC_RX_DATA_3, 0x040),
+       VC5_CEC_REG(HDMI_CEC_RX_DATA_4, 0x044),
++      VC5_INTR2_REG(HDMI_CEC_CPU_STATUS, 0x0000),
++      VC5_INTR2_REG(HDMI_CEC_CPU_SET, 0x0004),
++      VC5_INTR2_REG(HDMI_CEC_CPU_CLEAR, 0x0008),
++      VC5_INTR2_REG(HDMI_CEC_CPU_MASK_STATUS, 0x000c),
++      VC5_INTR2_REG(HDMI_CEC_CPU_MASK_SET, 0x0010),
++      VC5_INTR2_REG(HDMI_CEC_CPU_MASK_CLEAR, 0x0014),
+       VC5_CSC_REG(HDMI_CSC_CTL, 0x000),
+       VC5_CSC_REG(HDMI_CSC_12_11, 0x004),
+@@ -386,6 +400,9 @@ void __iomem *__vc4_hdmi_get_field_base(
+       case VC5_DVP:
+               return hdmi->dvp_regs;
++      case VC5_INTR2:
++              return hdmi->intr2_regs;
++
+       case VC5_PHY:
+               return hdmi->phy_regs;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0705-overlays-Make-use-of-intra-overlay-fragments.patch b/target/linux/bcm27xx/patches-5.4/950-0705-overlays-Make-use-of-intra-overlay-fragments.patch
deleted file mode 100644 (file)
index 628bec3..0000000
+++ /dev/null
@@ -1,92 +0,0 @@
-From 31bebbef0cce2ae68c25a2b0cbfc040f938791e9 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Mon, 4 May 2020 15:13:24 +0100
-Subject: [PATCH] overlays: Make use of intra-overlay fragments
-
-The firmware and runtime overlay support has recently been updated to
-correctly process fragments that target other fragments within the
-overlay. Make use of that ability and avoid the use of the awkward
-target-path = "<alias>/..." workaround and for better readability.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/ads1015-overlay.dts | 8 ++++----
- arch/arm/boot/dts/overlays/ads1115-overlay.dts | 8 ++++----
- 2 files changed, 8 insertions(+), 8 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/ads1015-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/ads1015-overlay.dts
-@@ -24,7 +24,7 @@
-     };
-     fragment@1 {
--        target-path = "i2c_arm/ads1015";
-+        target = <&ads1015>;
-         __overlay__ {
-             #address-cells = <1>;
-             #size-cells = <0>;
-@@ -37,7 +37,7 @@
-     };
-     fragment@2 {
--        target-path = "i2c_arm/ads1015";
-+        target = <&ads1015>;
-         __dormant__ {
-             #address-cells = <1>;
-             #size-cells = <0>;
-@@ -50,7 +50,7 @@
-     };
-     fragment@3 {
--        target-path = "i2c_arm/ads1015";
-+        target = <&ads1015>;
-         __dormant__ {
-             #address-cells = <1>;
-             #size-cells = <0>;
-@@ -63,7 +63,7 @@
-     };
-     fragment@4 {
--        target-path = "i2c_arm/ads1015";
-+        target = <&ads1015>;
-         __dormant__ {
-             #address-cells = <1>;
-             #size-cells = <0>;
---- a/arch/arm/boot/dts/overlays/ads1115-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/ads1115-overlay.dts
-@@ -26,7 +26,7 @@
-       };
-       fragment@1 {
--              target-path = "i2c_arm/ads1115";
-+              target = <&ads1115>;
-               __dormant__ {
-                       #address-cells = <1>;
-                       #size-cells = <0>;
-@@ -40,7 +40,7 @@
-       };
-       fragment@2 {
--              target-path = "i2c_arm/ads1115";
-+              target = <&ads1115>;
-               __dormant__ {
-                       #address-cells = <1>;
-                       #size-cells = <0>;
-@@ -54,7 +54,7 @@
-       };
-       fragment@3 {
--              target-path = "i2c_arm/ads1115";
-+              target = <&ads1115>;
-               __dormant__ {
-                       #address-cells = <1>;
-                       #size-cells = <0>;
-@@ -68,7 +68,7 @@
-       };
-       fragment@4 {
--              target-path = "i2c_arm/ads1115";
-+              target = <&ads1115>;
-               __dormant__ {
-                       #address-cells = <1>;
-                       #size-cells = <0>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0705-vc4_hdmi_regs-Make-interrupt-mask-variant-specific.patch b/target/linux/bcm27xx/patches-5.4/950-0705-vc4_hdmi_regs-Make-interrupt-mask-variant-specific.patch
new file mode 100644 (file)
index 0000000..f2a30c6
--- /dev/null
@@ -0,0 +1,101 @@
+From 9a9f4303c95f18cc062569c9c5d5240d06ddd69b Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Thu, 7 May 2020 18:16:08 +0100
+Subject: [PATCH] vc4_hdmi_regs: Make interrupt mask variant specific
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 14 ++++++++++----
+ drivers/gpu/drm/vc4/vc4_hdmi.h |  3 +++
+ drivers/gpu/drm/vc4/vc4_regs.h |  9 +++++++++
+ 3 files changed, 22 insertions(+), 4 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1286,7 +1286,7 @@ static irqreturn_t vc4_cec_irq_handler(i
+       u32 stat = HDMI_READ(HDMI_CEC_CPU_STATUS);
+       u32 cntrl1, cntrl5;
+-      if (!(stat & VC4_HDMI_CPU_CEC))
++      if (!(stat & vc4_hdmi->variant->cec_mask))
+               return IRQ_NONE;
+       vc4_hdmi->cec_rx_msg.len = 0;
+       cntrl1 = HDMI_READ(HDMI_CEC_CNTRL_1);
+@@ -1302,7 +1302,7 @@ static irqreturn_t vc4_cec_irq_handler(i
+               cntrl1 &= ~VC4_HDMI_CEC_START_XMIT_BEGIN;
+       }
+       HDMI_WRITE(HDMI_CEC_CNTRL_1, cntrl1);
+-      HDMI_WRITE(HDMI_CEC_CPU_CLEAR, VC4_HDMI_CPU_CEC);
++      HDMI_WRITE(HDMI_CEC_CPU_CLEAR, vc4_hdmi->variant->cec_mask);
+       return IRQ_WAKE_THREAD;
+ }
+@@ -1341,9 +1341,9 @@ static int vc4_hdmi_cec_adap_enable(stru
+                        ((3600 / usecs) << VC4_HDMI_CEC_CNT_TO_3600_US_SHIFT) |
+                        ((3500 / usecs) << VC4_HDMI_CEC_CNT_TO_3500_US_SHIFT));
+-              HDMI_WRITE(HDMI_CEC_CPU_MASK_CLEAR, VC4_HDMI_CPU_CEC);
++              HDMI_WRITE(HDMI_CEC_CPU_MASK_CLEAR, vc4_hdmi->variant->cec_mask);
+       } else {
+-              HDMI_WRITE(HDMI_CEC_CPU_MASK_SET, VC4_HDMI_CPU_CEC);
++              HDMI_WRITE(HDMI_CEC_CPU_MASK_SET, vc4_hdmi->variant->cec_mask);
+               HDMI_WRITE(HDMI_CEC_CNTRL_5, val |
+                          VC4_HDMI_CEC_TX_SW_RESET | VC4_HDMI_CEC_RX_SW_RESET);
+       }
+@@ -1785,6 +1785,8 @@ static const struct vc4_hdmi_variant bcm
+       .get_hsm_clock          = vc4_hdmi_get_hsm_clock,
+       .calc_hsm_clock         = vc4_hdmi_calc_hsm_clock,
+       .channel_map            = vc4_hdmi_channel_map,
++
++      .cec_mask = VC4_HDMI_CPU_CEC,
+ };
+ static const struct vc4_hdmi_variant bcm2711_hdmi0_variant = {
+@@ -1810,6 +1812,8 @@ static const struct vc4_hdmi_variant bcm
+       .get_hsm_clock          = vc5_hdmi_get_hsm_clock,
+       .calc_hsm_clock         = vc5_hdmi_calc_hsm_clock,
+       .channel_map            = vc5_hdmi_channel_map,
++
++      .cec_mask = VC5_HDMI0_CPU_CEC_RX | VC5_HDMI0_CPU_CEC_TX,
+ };
+ static const struct vc4_hdmi_variant bcm2711_hdmi1_variant = {
+@@ -1835,6 +1839,8 @@ static const struct vc4_hdmi_variant bcm
+       .get_hsm_clock          = vc5_hdmi_get_hsm_clock,
+       .calc_hsm_clock         = vc5_hdmi_calc_hsm_clock,
+       .channel_map            = vc5_hdmi_channel_map,
++
++      .cec_mask = VC5_HDMI1_CPU_CEC_RX | VC5_HDMI1_CPU_CEC_TX,
+ };
+ static const struct of_device_id vc4_hdmi_dt_match[] = {
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -97,6 +97,9 @@ struct vc4_hdmi_variant {
+       /* Callback to get channel map */
+       u32 (*channel_map)(struct vc4_hdmi *vc4_hdmi, u32 channel_mask);
++
++      /* Bitmask for CEC events */
++      u32 cec_mask;
+ };
+ /* HDMI audio information */
+--- a/drivers/gpu/drm/vc4/vc4_regs.h
++++ b/drivers/gpu/drm/vc4/vc4_regs.h
+@@ -668,6 +668,15 @@
+ # define VC4_HDMI_CPU_CEC                     BIT(6)
+ # define VC4_HDMI_CPU_HOTPLUG                 BIT(0)
++# define VC5_HDMI0_CPU_CEC_RX                 BIT(1)
++# define VC5_HDMI0_CPU_CEC_TX                 BIT(0)
++# define VC5_HDMI0_CPU_HOTPLUG_CONN           BIT(4)
++# define VC5_HDMI0_CPU_HOTPLUG_REM            BIT(5)
++# define VC5_HDMI1_CPU_CEC_RX                 BIT(7)
++# define VC5_HDMI1_CPU_CEC_TX                 BIT(6)
++# define VC5_HDMI1_CPU_HOTPLUG_CONN           BIT(10)
++# define VC5_HDMI1_CPU_HOTPLUG_REM            BIT(11)
++
+ /* Debug: Current receive value on the CEC pad. */
+ # define VC4_HD_CECRXD                                BIT(9)
+ /* Debug: Override CEC output to 0. */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0706-media-i2c-tc358743-Fix-fallthrough-warning.patch b/target/linux/bcm27xx/patches-5.4/950-0706-media-i2c-tc358743-Fix-fallthrough-warning.patch
deleted file mode 100644 (file)
index 26c1f95..0000000
+++ /dev/null
@@ -1,20 +0,0 @@
-From e09aaa8b2074bfa1656f1af09357b26c87e39988 Mon Sep 17 00:00:00 2001
-From: Jacko Dirks <jdirks.linuxdev@gmail.com>
-Date: Tue, 5 May 2020 14:28:14 +0200
-Subject: [PATCH] media: i2c: tc358743: Fix fallthrough warning
-
-Signed-off-by: Jacko Dirks <jdirks.linuxdev@gmail.com>
----
- drivers/media/i2c/tc358743.c | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/drivers/media/i2c/tc358743.c
-+++ b/drivers/media/i2c/tc358743.c
-@@ -2004,6 +2004,7 @@ static int tc358743_probe_of(struct tc35
-       switch (bps_pr_lane) {
-       default:
-               dev_warn(dev, "untested bps per lane: %u bps\n", bps_pr_lane);
-+              /* fall through */
-       case 594000000U:
-               state->pdata.lineinitcnt = 0xe80;
-               state->pdata.lptxtimecnt = 0x003;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0706-vc4_hdmi-Make-irq-shared.patch b/target/linux/bcm27xx/patches-5.4/950-0706-vc4_hdmi-Make-irq-shared.patch
new file mode 100644 (file)
index 0000000..4238123
--- /dev/null
@@ -0,0 +1,22 @@
+From 45129a4714234396b4725d8898b14875add1874e Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Thu, 7 May 2020 18:16:08 +0100
+Subject: [PATCH] vc4_hdmi: Make irq shared
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1429,7 +1429,8 @@ static int vc4_hdmi_cec_init(struct vc4_
+       HDMI_WRITE(HDMI_CEC_CNTRL_1, value);
+       ret = devm_request_threaded_irq(&pdev->dev, platform_get_irq(pdev, 0),
+                                       vc4_cec_irq_handler,
+-                                      vc4_cec_irq_handler_thread, 0,
++                                      vc4_cec_irq_handler_thread,
++                                      IRQF_SHARED,
+                                       "vc4 hdmi cec", vc4_hdmi);
+       if (ret)
+               goto err_delete_cec_adap;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0707-media-bcm2835-unicam-Fix-uninitialized-warning.patch b/target/linux/bcm27xx/patches-5.4/950-0707-media-bcm2835-unicam-Fix-uninitialized-warning.patch
deleted file mode 100644 (file)
index 2186d7b..0000000
+++ /dev/null
@@ -1,21 +0,0 @@
-From f73609479c8542bd974a6e9aaf8bffc8ed09eaca Mon Sep 17 00:00:00 2001
-From: Jacko Dirks <jdirks.linuxdev@gmail.com>
-Date: Tue, 5 May 2020 14:33:31 +0200
-Subject: [PATCH] media: bcm2835: unicam: Fix uninitialized warning
-
-Signed-off-by: Jacko Dirks <jdirks.linuxdev@gmail.com>
----
- drivers/media/platform/bcm2835/bcm2835-unicam.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -1001,7 +1001,7 @@ const struct unicam_fmt *get_first_suppo
- {
-       struct v4l2_subdev_mbus_code_enum mbus_code;
-       const struct unicam_fmt *fmt = NULL;
--      int ret;
-+      int ret = 0;
-       int j;
-       for (j = 0; ret != -EINVAL && ret != -ENOIOCTLCMD; ++j) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0707-vc4_hdmi-Adjust-CEC-ref-clock-based-on-its-input-clo.patch b/target/linux/bcm27xx/patches-5.4/950-0707-vc4_hdmi-Adjust-CEC-ref-clock-based-on-its-input-clo.patch
new file mode 100644 (file)
index 0000000..f6145e4
--- /dev/null
@@ -0,0 +1,89 @@
+From 32e84f4f525e2a0d7dc021b5795df34407096b0e Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Thu, 7 May 2020 18:16:08 +0100
+Subject: [PATCH] vc4_hdmi: Adjust CEC ref clock based on its input
+ clock
+
+2711 uses a fixed 27MHz input, earlier models use the HSM clock
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 11 ++++++++---
+ drivers/gpu/drm/vc4/vc4_hdmi.h |  3 +++
+ 2 files changed, 11 insertions(+), 3 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -79,6 +79,7 @@
+ # define VC4_HD_M_ENABLE                      BIT(0)
+ #define CEC_CLOCK_FREQ 40000
++#define VC4_HSM_CLOCK 163682864
+ static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
+ {
+@@ -755,8 +756,7 @@ static u32 vc4_hdmi_calc_hsm_clock(struc
+        * needs to be a bit higher than the pixel clock rate
+        * (generally 148.5Mhz).
+        */
+-
+-      return 163682864;
++      return VC4_HSM_CLOCK;
+ }
+ static u32 vc5_hdmi_calc_hsm_clock(struct vc4_hdmi *vc4_hdmi, unsigned long pixel_rate)
+@@ -1400,6 +1400,7 @@ static int vc4_hdmi_cec_init(struct vc4_
+       struct cec_connector_info conn_info;
+       struct platform_device *pdev = vc4_hdmi->pdev;
+       u32 value;
++      u32 clk_cnt;
+       int ret;
+       if (!vc4_hdmi->variant->cec_available)
+@@ -1424,8 +1425,9 @@ static int vc4_hdmi_cec_init(struct vc4_
+        * divider: the hsm_clock rate and this divider setting will
+        * give a 40 kHz CEC clock.
+        */
++      clk_cnt = vc4_hdmi->variant->cec_input_clock / CEC_CLOCK_FREQ;
+       value |= VC4_HDMI_CEC_ADDR_MASK |
+-               (4091 << VC4_HDMI_CEC_DIV_CLK_CNT_SHIFT);
++               ((clk_cnt-1) << VC4_HDMI_CEC_DIV_CLK_CNT_SHIFT);
+       HDMI_WRITE(HDMI_CEC_CNTRL_1, value);
+       ret = devm_request_threaded_irq(&pdev->dev, platform_get_irq(pdev, 0),
+                                       vc4_cec_irq_handler,
+@@ -1770,6 +1772,7 @@ static int vc4_hdmi_dev_remove(struct pl
+ static const struct vc4_hdmi_variant bcm2835_variant = {
+       .max_pixel_clock        = 162000000,
++      .cec_input_clock        = VC4_HSM_CLOCK,
+       .audio_available        = true,
+       .cec_available          = true,
+       .registers              = vc4_hdmi_fields,
+@@ -1794,6 +1797,7 @@ static const struct vc4_hdmi_variant bcm
+       .id                     = 0,
+       .audio_available        = true,
+       .max_pixel_clock        = 297000000,
++      .cec_input_clock        = 27000000,
+       .registers              = vc5_hdmi_hdmi0_fields,
+       .num_registers          = ARRAY_SIZE(vc5_hdmi_hdmi0_fields),
+       .phy_lane_mapping       = {
+@@ -1821,6 +1825,7 @@ static const struct vc4_hdmi_variant bcm
+       .id                     = 1,
+       .audio_available        = true,
+       .max_pixel_clock        = 297000000,
++      .cec_input_clock        = 27000000,
+       .registers              = vc5_hdmi_hdmi1_fields,
+       .num_registers          = ARRAY_SIZE(vc5_hdmi_hdmi1_fields),
+       .phy_lane_mapping       = {
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -48,6 +48,9 @@ struct vc4_hdmi_variant {
+       /* Maximum pixel clock supported by the controller (in Hz) */
+       unsigned long long max_pixel_clock;
++      /* Input clock frequency of CEC block (in Hz) */
++      unsigned long cec_input_clock;
++
+       /* List of the registers available on that variant */
+       const struct vc4_hdmi_register *registers;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0708-vc4_hdmi-Remove-cec_available-flag-as-always-support.patch b/target/linux/bcm27xx/patches-5.4/950-0708-vc4_hdmi-Remove-cec_available-flag-as-always-support.patch
new file mode 100644 (file)
index 0000000..7713eed
--- /dev/null
@@ -0,0 +1,44 @@
+From c214fa3d1bc1142cb8f185f71deb3f14915fe55d Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Thu, 7 May 2020 18:16:09 +0100
+Subject: [PATCH] vc4_hdmi: Remove cec_available flag as always
+ supported
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 4 ----
+ drivers/gpu/drm/vc4/vc4_hdmi.h | 3 ---
+ 2 files changed, 7 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1403,9 +1403,6 @@ static int vc4_hdmi_cec_init(struct vc4_
+       u32 clk_cnt;
+       int ret;
+-      if (!vc4_hdmi->variant->cec_available)
+-              return 0;
+-
+       vc4_hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
+                                                 vc4_hdmi, "vc4",
+                                                 CEC_CAP_DEFAULTS |
+@@ -1774,7 +1771,6 @@ static const struct vc4_hdmi_variant bcm
+       .max_pixel_clock        = 162000000,
+       .cec_input_clock        = VC4_HSM_CLOCK,
+       .audio_available        = true,
+-      .cec_available          = true,
+       .registers              = vc4_hdmi_fields,
+       .num_registers          = ARRAY_SIZE(vc4_hdmi_fields),
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -42,9 +42,6 @@ struct vc4_hdmi_variant {
+       /* Set to true when the audio support is available */
+       bool audio_available;
+-      /* Set to true when the CEC support is available */
+-      bool cec_available;
+-
+       /* Maximum pixel clock supported by the controller (in Hz) */
+       unsigned long long max_pixel_clock;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0708-video-bcm2708_fb-Disable-FB-if-no-displays-found.patch b/target/linux/bcm27xx/patches-5.4/950-0708-video-bcm2708_fb-Disable-FB-if-no-displays-found.patch
deleted file mode 100644 (file)
index 90cb5e2..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From e005a4db95a48e8b14a2017bf56a0e3f3dccfa6d Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Tue, 5 May 2020 19:45:41 +0100
-Subject: [PATCH] video: bcm2708_fb: Disable FB if no displays found
-
-If the firmware hasn't detected a display, the driver would assume
-one display was available, but because it had failed to retrieve the
-display size it would try to allocate a zero-sized buffer.
-
-Avoid the allocation failure by bailing out early if no display is
-found.
-
-See: https://github.com/raspberrypi/linux/issues/3598
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- drivers/video/fbdev/bcm2708_fb.c | 5 ++---
- 1 file changed, 2 insertions(+), 3 deletions(-)
-
---- a/drivers/video/fbdev/bcm2708_fb.c
-+++ b/drivers/video/fbdev/bcm2708_fb.c
-@@ -1104,10 +1104,9 @@ static int bcm2708_fb_probe(struct platf
-        * set one display
-        */
-       if (ret || num_displays == 0) {
--              num_displays = 1;
-               dev_err(&dev->dev,
--                      "Unable to determine number of FB's. Assuming 1\n");
--              ret = 0;
-+                      "Unable to determine number of FBs. Disabling driver.\n");
-+              return -ENOENT;
-       } else {
-               fbdev->firmware_supports_multifb = 1;
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0709-overlays-sc16is752-spi1-Add-xtal-parameter.patch b/target/linux/bcm27xx/patches-5.4/950-0709-overlays-sc16is752-spi1-Add-xtal-parameter.patch
deleted file mode 100644 (file)
index 582c32a..0000000
+++ /dev/null
@@ -1,38 +0,0 @@
-From 48bf88ebb1abf55168f636d7a6edf2ca79be13c6 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Wed, 6 May 2020 14:25:20 +0100
-Subject: [PATCH] overlays: sc16is752-spi1: Add xtal parameter
-
-The other sc16is75x overlays have an xtal parameter to allow a
-different crystal frequency to be specified, but sc16is752-spi1
-doesn't. Fix this omission.
-
-See: https://www.raspberrypi.org/forums/viewtopic.php?f=107&t=273234
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/README                     | 1 +
- arch/arm/boot/dts/overlays/sc16is752-spi1-overlay.dts | 3 ++-
- 2 files changed, 3 insertions(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -2114,6 +2114,7 @@ Info:   Overlay for the NXP SC16IS752 Du
- Load:   dtoverlay=sc16is752-spi1,<param>=<val>
- Params: int_pin                 GPIO used for IRQ (default 24)
-+        xtal                    On-board crystal frequency (default 14745600)
- Name:   sdhost
---- a/arch/arm/boot/dts/overlays/sc16is752-spi1-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/sc16is752-spi1-overlay.dts
-@@ -56,6 +56,7 @@
-       };
-     __overrides__ {
--      int_pin = <&sc16is752>,"interrupts:0";
-+              int_pin = <&sc16is752>,"interrupts:0";
-+              xtal = <&sc16is752_clk>,"clock-frequency:0";
-     };
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0709-overlays-tc358743-Use-intra-overlay-fragments.patch b/target/linux/bcm27xx/patches-5.4/950-0709-overlays-tc358743-Use-intra-overlay-fragments.patch
new file mode 100644 (file)
index 0000000..5bfa6bc
--- /dev/null
@@ -0,0 +1,55 @@
+From adf5f2833517758152cbc9032dd93934a1e16ca1 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 11 May 2020 11:55:45 +0100
+Subject: [PATCH] overlays: tc358743: Use intra-overlay fragments
+
+The tc358743 overlay was written using a workaround to a problem with
+fragments that target other fragments, but this had the unfortunate
+side-effect of preventing the overlay from being applied at runtime
+(the kernel doesn't allow nodes to be overwritten by an overlay, only
+properties).
+
+The current firmware and dtoverlay/dtparam utilities include support
+for these "intra-overlay" fragments, so remove the workaround and do
+it properly.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ .../boot/dts/overlays/tc358743-overlay.dts    | 20 ++++---------------
+ 1 file changed, 4 insertions(+), 16 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/tc358743-overlay.dts
++++ b/arch/arm/boot/dts/overlays/tc358743-overlay.dts
+@@ -54,28 +54,16 @@
+       };
+       fragment@2 {
+-              target = <&i2c_csi_dsi>;
++              target = <&tc358743>;
+               __overlay__ {
+-                      tc358743@0f {
+-                              port {
+-                                      endpoint {
+-                                              data-lanes = <1 2>;
+-                                      };
+-                              };
+-                      };
++                      data-lanes = <1 2>;
+               };
+       };
+       fragment@3 {
+-              target = <&i2c_csi_dsi>;
++              target = <&tc358743>;
+               __dormant__ {
+-                      tc358743@0f {
+-                              port {
+-                                      endpoint {
+-                                              data-lanes = <1 2 3 4>;
+-                                      };
+-                              };
+-                      };
++                      data-lanes = <1 2 3 4>;
+               };
+       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0710-overlays-Move-fixed-clock-nodes-to-the-root.patch b/target/linux/bcm27xx/patches-5.4/950-0710-overlays-Move-fixed-clock-nodes-to-the-root.patch
new file mode 100644 (file)
index 0000000..aa19ca1
--- /dev/null
@@ -0,0 +1,326 @@
+From 805e008c18ec09c4115e4cec413642028cd9a8e2 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 11 May 2020 15:12:21 +0100
+Subject: [PATCH] overlays: Move "fixed-clock" nodes to the root
+
+Apart from some special cases, device objects are only created for
+nodes if they are children of a bus or the root node. "fixed-clock"
+is one of the exceptions that will be instantiated wherever it is
+found, but only during kernel initialisation - ruling out loading the
+overlay at runtime.
+
+Move most of the affected clocks to be children of the root, only
+leaving those in overlays that could be multiply instantiated, to avoid
+a potential name clash.
+
+See: https://github.com/raspberrypi/linux/issues/3602
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ .../dts/overlays/audiosense-pi-overlay.dts    | 14 ++++++-------
+ arch/arm/boot/dts/overlays/draws-overlay.dts  | 12 +++++------
+ .../boot/dts/overlays/fe-pi-audio-overlay.dts |  2 +-
+ arch/arm/boot/dts/overlays/imx219-overlay.dts | 12 +++++------
+ .../arm/boot/dts/overlays/irs1125-overlay.dts | 17 +++++++++------
+ .../dts/overlays/mcp2515-can0-overlay.dts     |  2 +-
+ .../dts/overlays/mcp2515-can1-overlay.dts     |  2 +-
+ .../boot/dts/overlays/midi-uart0-overlay.dts  |  2 +-
+ arch/arm/boot/dts/overlays/ov5647-overlay.dts | 17 +++++++++------
+ .../boot/dts/overlays/rpivid-v4l2-overlay.dts | 17 +++++++++------
+ .../dts/overlays/sc16is752-spi1-overlay.dts   | 21 ++++++++++++-------
+ .../boot/dts/overlays/tc358743-overlay.dts    | 17 +++++++++------
+ 12 files changed, 80 insertions(+), 55 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/audiosense-pi-overlay.dts
++++ b/arch/arm/boot/dts/overlays/audiosense-pi-overlay.dts
+@@ -24,6 +24,13 @@
+                               regulator-max-microvolt = <1800000>;
+                               regulator-always-on;
+                       };
++
++                      /* audio external oscillator */
++                      codec_osc: codec_osc {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <12000000>;   /* 12 MHz */
++                      };
+               };
+       };
+@@ -44,13 +51,6 @@
+                       #size-cells = <0>;
+                       status = "okay";
+-                      /* audio external oscillator */
+-                      codec_osc: codec_osc {
+-                              compatible = "fixed-clock";
+-                              #clock-cells = <0>;
+-                              clock-frequency = <12000000>;   /* 12 MHz */
+-                      };
+-
+                       codec: tlv320aic32x4@18 {
+                               #sound-dai-cells = <0>;
+                               compatible = "ti,tlv320aic32x4";
+--- a/arch/arm/boot/dts/overlays/draws-overlay.dts
++++ b/arch/arm/boot/dts/overlays/draws-overlay.dts
+@@ -30,6 +30,12 @@
+                     regulator-max-microvolt = <3300000>;
+                     regulator-always-on;
+                 };
++
++                sc16is752_clk: sc16is752_draws_clk {
++                    compatible = "fixed-clock";
++                    #clock-cells = <0>;
++                    clock-frequency = <1843200>;
++                };
+             };
+             pps: pps {
+@@ -78,12 +84,6 @@
+                 pinctrl-names = "default";
+                 pinctrl-0 = <&sc16is752_irq>;
+-
+-                sc16is752_clk: sc16is752_clk {
+-                    compatible = "fixed-clock";
+-                    #clock-cells = <0>;
+-                    clock-frequency = <1843200>;
+-                };
+             };
+             tla2024: tla2024@48 {
+--- a/arch/arm/boot/dts/overlays/fe-pi-audio-overlay.dts
++++ b/arch/arm/boot/dts/overlays/fe-pi-audio-overlay.dts
+@@ -6,7 +6,7 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target = <&clocks>;
++              target-path = "/";
+               __overlay__ {
+                       sgtl5000_mclk: sgtl5000_mclk {
+                               compatible = "fixed-clock";
+--- a/arch/arm/boot/dts/overlays/imx219-overlay.dts
++++ b/arch/arm/boot/dts/overlays/imx219-overlay.dts
+@@ -27,12 +27,6 @@
+                               VDIG-supply = <&imx219_vdig>;   /* 1.8v */
+                               VDDL-supply = <&imx219_vddl>;   /* 1.2v */
+-                              imx219_clk: camera-clk {
+-                                      compatible = "fixed-clock";
+-                                      #clock-cells = <0>;
+-                                      clock-frequency = <24000000>;
+-                              };
+-
+                               port {
+                                       imx219_0: endpoint {
+                                               remote-endpoint = <&csi1_ep>;
+@@ -90,6 +84,12 @@
+                               regulator-min-microvolt = <1200000>;
+                               regulator-max-microvolt = <1200000>;
+                       };
++
++                      imx219_clk: camera-clk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <24000000>;
++                      };
+               };
+       };
+--- a/arch/arm/boot/dts/overlays/irs1125-overlay.dts
++++ b/arch/arm/boot/dts/overlays/irs1125-overlay.dts
+@@ -21,12 +21,6 @@
+                               pwdn-gpios = <&gpio 5 0>;
+                               clocks = <&irs1125_clk>;
+-                              irs1125_clk: camera-clk {
+-                                      compatible = "fixed-clock";
+-                                      #clock-cells = <0>;
+-                                      clock-frequency = <26000000>;
+-                              };
+-
+                               port {
+                                       irs1125_0: endpoint {
+                                               remote-endpoint = <&csi1_ep>;
+@@ -75,4 +69,15 @@
+                       cam0-pwdn      = <&irs1125>,"pwdn-gpios:4";
+               };
+       };
++
++      fragment@5 {
++              target-path = "/";
++              __overlay__ {
++                      irs1125_clk: camera-clk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <26000000>;
++                      };
++              };
++      };
+ };
+--- a/arch/arm/boot/dts/overlays/mcp2515-can0-overlay.dts
++++ b/arch/arm/boot/dts/overlays/mcp2515-can0-overlay.dts
+@@ -35,7 +35,7 @@
+     /* the clock/oscillator of the can-controller */
+     fragment@3 {
+-        target-path = "/clocks";
++        target-path = "/";
+         __overlay__ {
+             /* external oscillator of mcp2515 on SPI0.0 */
+             can0_osc: can0_osc {
+--- a/arch/arm/boot/dts/overlays/mcp2515-can1-overlay.dts
++++ b/arch/arm/boot/dts/overlays/mcp2515-can1-overlay.dts
+@@ -35,7 +35,7 @@
+     /* the clock/oscillator of the can-controller */
+     fragment@3 {
+-        target-path = "/clocks";
++        target-path = "/";
+         __overlay__ {
+             /* external oscillator of mcp2515 on spi0.1 */
+             can1_osc: can1_osc {
+--- a/arch/arm/boot/dts/overlays/midi-uart0-overlay.dts
++++ b/arch/arm/boot/dts/overlays/midi-uart0-overlay.dts
+@@ -15,7 +15,7 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target-path = "/clocks";
++              target-path = "/";
+               __overlay__ {
+                       midi_clk: midi_clk {
+                               compatible = "fixed-clock";
+--- a/arch/arm/boot/dts/overlays/ov5647-overlay.dts
++++ b/arch/arm/boot/dts/overlays/ov5647-overlay.dts
+@@ -21,12 +21,6 @@
+                               pwdn-gpios = <&gpio 41 1>, <&gpio 32 1>;
+                               clocks = <&ov5647_clk>;
+-                              ov5647_clk: camera-clk {
+-                                      compatible = "fixed-clock";
+-                                      #clock-cells = <0>;
+-                                      clock-frequency = <25000000>;
+-                              };
+-
+                               port {
+                                       ov5647_0: endpoint {
+                                               remote-endpoint = <&csi1_ep>;
+@@ -77,4 +71,15 @@
+                       cam0-led       = <&ov5647>,"pwdn-gpios:16";
+               };
+       };
++
++      fragment@5 {
++              target-path = "/";
++              __overlay__ {
++                      ov5647_clk: camera-clk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <25000000>;
++                      };
++              };
++      };
+ };
+--- a/arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts
++++ b/arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts
+@@ -26,12 +26,6 @@
+                               clocks = <&hevc_clk>;
+                               clock-names = "hevc";
+-
+-                              hevc_clk: hevc_clk {
+-                                      compatible = "fixed-clock";
+-                                      #clock-cells = <0>;
+-                                      clock-frequency = <500000000>;
+-                              };
+                       };
+               };
+       };
+@@ -53,4 +47,15 @@
+                       };
+               };
+       };
++
++      fragment@2 {
++              target-path = "/";
++              __overlay__ {
++                      hevc_clk: hevc_clk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <500000000>;
++                      };
++              };
++      };
+ };
+--- a/arch/arm/boot/dts/overlays/sc16is752-spi1-overlay.dts
++++ b/arch/arm/boot/dts/overlays/sc16is752-spi1-overlay.dts
+@@ -38,12 +38,6 @@
+                               #gpio-controller;
+                               #gpio-cells = <2>;
+                               spi-max-frequency = <4000000>;
+-
+-                              sc16is752_clk: sc16is752_clk {
+-                                      compatible = "fixed-clock";
+-                                      #clock-cells = <0>;
+-                                      clock-frequency = <14745600>;
+-                              };
+                       };
+               };
+       };
+@@ -55,8 +49,19 @@
+               };
+       };
+-    __overrides__ {
++      fragment@3 {
++              target-path = "/";
++              __overlay__ {
++                      sc16is752_clk: sc16is752_spi1_clk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <14745600>;
++                      };
++              };
++      };
++
++      __overrides__ {
+               int_pin = <&sc16is752>,"interrupts:0";
+               xtal = <&sc16is752_clk>,"clock-frequency:0";
+-    };
++      };
+ };
+--- a/arch/arm/boot/dts/overlays/tc358743-overlay.dts
++++ b/arch/arm/boot/dts/overlays/tc358743-overlay.dts
+@@ -21,12 +21,6 @@
+                               clocks = <&tc358743_clk>;
+                               clock-names = "refclk";
+-                              tc358743_clk: bridge-clk {
+-                                      compatible = "fixed-clock";
+-                                      #clock-cells = <0>;
+-                                      clock-frequency = <27000000>;
+-                              };
+-
+                               port {
+                                       tc358743: endpoint {
+                                               remote-endpoint = <&csi1_ep>;
+@@ -81,6 +75,17 @@
+               };
+       };
++      fragment@6 {
++              target-path = "/";
++              __overlay__ {
++                      tc358743_clk: bridge-clk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <27000000>;
++                      };
++              };
++      };
++
+       __overrides__ {
+               4lane = <0>, "-2+3";
+               link-frequency = <&tc358743>,"link-frequencies#0";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0710-vc4_hdmi-Fix-register-offset-when-sending-longer-CEC.patch b/target/linux/bcm27xx/patches-5.4/950-0710-vc4_hdmi-Fix-register-offset-when-sending-longer-CEC.patch
deleted file mode 100644 (file)
index d192565..0000000
+++ /dev/null
@@ -1,42 +0,0 @@
-From 602ec343e69479dbec368f67d09c9f3e3e5ac248 Mon Sep 17 00:00:00 2001
-From: Dom Cobley <popcornmix@gmail.com>
-Date: Thu, 7 May 2020 18:16:07 +0100
-Subject: [PATCH] vc4_hdmi: Fix register offset when sending longer CEC
- messages
-
-Signed-off-by: Dom Cobley <popcornmix@gmail.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 13 +++++++++++--
- 1 file changed, 11 insertions(+), 2 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1265,8 +1265,13 @@ static void vc4_cec_read_msg(struct vc4_
-       msg->len = 1 + ((cntrl1 & VC4_HDMI_CEC_REC_WRD_CNT_MASK) >>
-                                       VC4_HDMI_CEC_REC_WRD_CNT_SHIFT);
-+
-+      if (msg->len > 16) {
-+              DRM_ERROR("Attempting to read too much data (%d)\n", msg->len);
-+              return;
-+      }
-       for (i = 0; i < msg->len; i += 4) {
--              u32 val = HDMI_READ(HDMI_CEC_RX_DATA_1 + i);
-+              u32 val = HDMI_READ(HDMI_CEC_RX_DATA_1 + (i>>2));
-               msg->msg[i] = val & 0xff;
-               msg->msg[i + 1] = (val >> 8) & 0xff;
-@@ -1362,8 +1367,12 @@ static int vc4_hdmi_cec_adap_transmit(st
-       u32 val;
-       unsigned int i;
-+      if (msg->len > 16) {
-+              DRM_ERROR("Attempting to transmit too much data (%d)\n", msg->len);
-+              return -ENOMEM;
-+      }
-       for (i = 0; i < msg->len; i += 4)
--              HDMI_WRITE(HDMI_CEC_TX_DATA_1 + i,
-+              HDMI_WRITE(HDMI_CEC_TX_DATA_1 + (i>>2),
-                          (msg->msg[i]) |
-                          (msg->msg[i + 1] << 8) |
-                          (msg->msg[i + 2] << 16) |
diff --git a/target/linux/bcm27xx/patches-5.4/950-0711-raspberrypi-dts-Switch-to-discrete-ALSA-devices.patch b/target/linux/bcm27xx/patches-5.4/950-0711-raspberrypi-dts-Switch-to-discrete-ALSA-devices.patch
new file mode 100644 (file)
index 0000000..c5c302f
--- /dev/null
@@ -0,0 +1,84 @@
+From c2b3b61053c2efd8fb96633c214d9f959c25aea3 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 12 May 2020 08:32:42 +0100
+Subject: [PATCH] raspberrypi: dts: Switch to discrete ALSA devices
+
+Add the command line options required to enable audio over discrete
+ALSA devices.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2708-rpi-zero-w.dts   | 2 +-
+ arch/arm/boot/dts/bcm2708-rpi-zero.dts     | 2 +-
+ arch/arm/boot/dts/bcm270x.dtsi             | 2 +-
+ arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts | 2 +-
+ arch/arm/boot/dts/bcm2710-rpi-3-b.dts      | 2 +-
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts      | 2 +-
+ 6 files changed, 6 insertions(+), 6 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
+@@ -10,7 +10,7 @@
+       model = "Raspberry Pi Zero W";
+       chosen {
+-              bootargs = "coherent_pool=1M 8250.nr_uarts=1";
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
+       };
+       aliases {
+--- a/arch/arm/boot/dts/bcm2708-rpi-zero.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-zero.dts
+@@ -10,7 +10,7 @@
+       model = "Raspberry Pi Zero";
+       chosen {
+-              bootargs = "coherent_pool=1M";
++              bootargs = "coherent_pool=1M snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
+       };
+ };
+--- a/arch/arm/boot/dts/bcm270x.dtsi
++++ b/arch/arm/boot/dts/bcm270x.dtsi
+@@ -3,7 +3,7 @@
+ / {
+       chosen {
+-              bootargs = "coherent_pool=1M";
++              bootargs = "coherent_pool=1M snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
+               /delete-property/ stdout-path;
+       };
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
+@@ -11,7 +11,7 @@
+       model = "Raspberry Pi 3 Model B+";
+       chosen {
+-              bootargs = "coherent_pool=1M 8250.nr_uarts=1";
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
+       };
+       aliases {
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
+@@ -11,7 +11,7 @@
+       model = "Raspberry Pi 3 Model B";
+       chosen {
+-              bootargs = "coherent_pool=1M 8250.nr_uarts=1";
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
+       };
+       aliases {
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -198,7 +198,7 @@
+ / {
+       chosen {
+-              bootargs = "coherent_pool=1M 8250.nr_uarts=1";
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
+       };
+       aliases {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0711-vc4_hdmi-Fix-up-CEC-registers.patch b/target/linux/bcm27xx/patches-5.4/950-0711-vc4_hdmi-Fix-up-CEC-registers.patch
deleted file mode 100644 (file)
index a00aee1..0000000
+++ /dev/null
@@ -1,43 +0,0 @@
-From 25402f4978434949e5ea550c9b1b4a192e95fd83 Mon Sep 17 00:00:00 2001
-From: Dom Cobley <popcornmix@gmail.com>
-Date: Thu, 7 May 2020 18:16:07 +0100
-Subject: [PATCH] vc4_hdmi: Fix up CEC registers
-
-Fix an incorrect register address, add a
-missing one and reorder into address order
-
-Signed-off-by: Dom Cobley <popcornmix@gmail.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi_regs.h | 10 ++++++----
- 1 file changed, 6 insertions(+), 4 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
-@@ -33,11 +33,12 @@ enum vc4_hdmi_field {
-       HDMI_CEC_CNTRL_3,
-       HDMI_CEC_CNTRL_4,
-       HDMI_CEC_CNTRL_5,
-+      HDMI_CEC_CPU_STATUS,
-+      HDMI_CEC_CPU_SET,
-       HDMI_CEC_CPU_CLEAR,
--      HDMI_CEC_CPU_MASK_CLEAR,
--      HDMI_CEC_CPU_MASK_SET,
-       HDMI_CEC_CPU_MASK_STATUS,
--      HDMI_CEC_CPU_STATUS,
-+      HDMI_CEC_CPU_MASK_SET,
-+      HDMI_CEC_CPU_MASK_CLEAR,
-       /*
-        * Transmit data, first byte is low byte of the 32-bit reg.
-@@ -205,9 +206,10 @@ static const struct vc4_hdmi_register vc
-       VC4_HDMI_REG(HDMI_TX_PHY_RESET_CTL, 0x02c0),
-       VC4_HDMI_REG(HDMI_TX_PHY_CTL_0, 0x02c4),
-       VC4_HDMI_REG(HDMI_CEC_CPU_STATUS, 0x0340),
-+      VC4_HDMI_REG(HDMI_CEC_CPU_SET, 0x0344),
-       VC4_HDMI_REG(HDMI_CEC_CPU_CLEAR, 0x0348),
-       VC4_HDMI_REG(HDMI_CEC_CPU_MASK_STATUS, 0x034c),
--      VC4_HDMI_REG(HDMI_CEC_CPU_MASK_SET, 0x034c),
-+      VC4_HDMI_REG(HDMI_CEC_CPU_MASK_SET, 0x0350),
-       VC4_HDMI_REG(HDMI_CEC_CPU_MASK_CLEAR, 0x0354),
-       VC4_HDMI_REG(HDMI_RAM_PACKET_START, 0x0400),
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0712-dt-bindings-media-i2c-Add-IMX477-CMOS-sensor-binding.patch b/target/linux/bcm27xx/patches-5.4/950-0712-dt-bindings-media-i2c-Add-IMX477-CMOS-sensor-binding.patch
new file mode 100644 (file)
index 0000000..eb5430b
--- /dev/null
@@ -0,0 +1,130 @@
+From 87038c8d2337bd2c79bd96ac1ef9e6471a782331 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Thu, 7 May 2020 15:50:54 +0100
+Subject: [PATCH] dt-bindings: media: i2c: Add IMX477 CMOS sensor
+ binding
+
+Add YAML device tree binding for IMX477 CMOS image sensor.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ .../devicetree/bindings/media/i2c/imx477.yaml | 113 ++++++++++++++++++
+ 1 file changed, 113 insertions(+)
+ create mode 100644 Documentation/devicetree/bindings/media/i2c/imx477.yaml
+
+--- /dev/null
++++ b/Documentation/devicetree/bindings/media/i2c/imx477.yaml
+@@ -0,0 +1,113 @@
++# SPDX-License-Identifier: (GPL-2.0-only OR BSD-2-Clause)
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/media/i2c/imx477.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Sony 1/2.3-Inch 12Mpixel CMOS Digital Image Sensor
++
++maintainers:
++  - Naushir Patuck <naush@raspberypi.com>
++
++description: |-
++  The Sony IMX477 is a 1/2.3-inch CMOS active pixel digital image sensor
++  with an active array size of 4056H x 3040V. It is programmable through
++  I2C interface. The I2C address is fixed to 0x1A as per sensor data sheet.
++  Image data is sent through MIPI CSI-2, which is configured as either 2 or
++  4 data lanes.
++
++properties:
++  compatible:
++    const: sony,imx477
++
++  reg:
++    description: I2C device address
++    maxItems: 1
++
++  clocks:
++    maxItems: 1
++
++  VDIG-supply:
++    description:
++      Digital I/O voltage supply, 1.05 volts
++
++  VANA-supply:
++    description:
++      Analog voltage supply, 2.8 volts
++
++  VDDL-supply:
++    description:
++      Digital core voltage supply, 1.8 volts
++
++  reset-gpios:
++    description: |-
++      Reference to the GPIO connected to the xclr pin, if any.
++      Must be released (set high) after all all supplies and INCK are applied.
++
++  # See ../video-interfaces.txt for more details
++  port:
++    type: object
++    properties:
++      endpoint:
++        type: object
++        properties:
++          data-lanes:
++            description: |-
++              The sensor supports either two-lane, or four-lane operation.
++              For two-lane operation the property must be set to <1 2>.
++            items:
++              - const: 1
++              - const: 2
++
++          clock-noncontinuous:
++            type: boolean
++            description: |-
++              MIPI CSI-2 clock is non-continuous if this property is present,
++              otherwise it's continuous.
++
++          link-frequencies:
++            allOf:
++              - $ref: /schemas/types.yaml#/definitions/uint64-array
++            description:
++              Allowed data bus frequencies.
++
++        required:
++          - link-frequencies
++
++required:
++  - compatible
++  - reg
++  - clocks
++  - VANA-supply
++  - VDIG-supply
++  - VDDL-supply
++  - port
++
++additionalProperties: false
++
++examples:
++  - |
++    i2c0 {
++        #address-cells = <1>;
++        #size-cells = <0>;
++
++        imx477: sensor@10 {
++            compatible = "sony,imx477";
++            reg = <0x1a>;
++            clocks = <&imx477_clk>;
++            VANA-supply = <&imx477_vana>;   /* 2.8v */
++            VDIG-supply = <&imx477_vdig>;   /* 1.05v */
++            VDDL-supply = <&imx477_vddl>;   /* 1.8v */
++
++            port {
++                imx477_0: endpoint {
++                    remote-endpoint = <&csi1_ep>;
++                    data-lanes = <1 2>;
++                    clock-noncontinuous;
++                    link-frequencies = /bits/ 64 <450000000>;
++                };
++            };
++        };
++    };
++
++...
diff --git a/target/linux/bcm27xx/patches-5.4/950-0712-vc4_hdmi_regs-Add-Intr2-register-block.patch b/target/linux/bcm27xx/patches-5.4/950-0712-vc4_hdmi_regs-Add-Intr2-register-block.patch
deleted file mode 100644 (file)
index e3299ac..0000000
+++ /dev/null
@@ -1,151 +0,0 @@
-From 2aa3a92e409ed4ad416eceacef998f0027016a81 Mon Sep 17 00:00:00 2001
-From: Dom Cobley <popcornmix@gmail.com>
-Date: Thu, 7 May 2020 18:16:07 +0100
-Subject: [PATCH] vc4_hdmi_regs: Add Intr2 register block
-
-Signed-off-by: Dom Cobley <popcornmix@gmail.com>
----
- arch/arm/boot/dts/bcm2711.dtsi      | 14 ++++++++++----
- drivers/gpu/drm/vc4/vc4_hdmi.c      |  8 ++++++++
- drivers/gpu/drm/vc4/vc4_hdmi.h      |  2 ++
- drivers/gpu/drm/vc4/vc4_hdmi_regs.h | 17 +++++++++++++++++
- 4 files changed, 37 insertions(+), 4 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -316,7 +316,8 @@
-                             <0x7ef01f00 0x400>,
-                             <0x7ef00200 0x80>,
-                             <0x7ef04300 0x100>,
--                            <0x7ef20000 0x100>;
-+                            <0x7ef20000 0x100>,
-+                            <0x7ef00100 0x30>;
-                       reg-names = "hdmi",
-                                   "dvp",
-                                   "phy",
-@@ -325,13 +326,15 @@
-                                   "metadata",
-                                   "csc",
-                                   "cec",
--                                  "hd";
-+                                  "hd",
-+                                  "intr2";
-                       clocks = <&firmware_clocks 13>;
-                       clock-names = "hdmi";
-                       resets = <&dvp 0>;
-                       ddc = <&ddc0>;
-                       dmas = <&dma 10>;
-                       dma-names = "audio-rx";
-+                      interrupts = <GIC_SPI 96 IRQ_TYPE_LEVEL_HIGH>;
-                       status = "disabled";
-               };
-@@ -353,7 +356,8 @@
-                             <0x7ef06f00 0x400>,
-                             <0x7ef00280 0x80>,
-                             <0x7ef09300 0x100>,
--                            <0x7ef20000 0x100>;
-+                            <0x7ef20000 0x100>,
-+                            <0x7ef00100 0x30>;
-                       reg-names = "hdmi",
-                                   "dvp",
-                                   "phy",
-@@ -362,13 +366,15 @@
-                                   "metadata",
-                                   "csc",
-                                   "cec",
--                                  "hd";
-+                                  "hd",
-+                                  "intr2";
-                       ddc = <&ddc1>;
-                       clocks = <&firmware_clocks 13>;
-                       clock-names = "hdmi";
-                       resets = <&dvp 1>;
-                       dmas = <&dma 17>;
-                       dma-names = "audio-rx";
-+                      interrupts = <GIC_SPI 96 IRQ_TYPE_LEVEL_HIGH>;
-                       status = "disabled";
-               };
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1582,6 +1582,14 @@ static int vc5_hdmi_init_resources(struc
-       if (IS_ERR(vc4_hdmi->dvp_regs))
-               return PTR_ERR(vc4_hdmi->dvp_regs);
-+      res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "intr2");
-+      if (!res)
-+              return -ENODEV;
-+
-+      vc4_hdmi->intr2_regs = devm_ioremap(dev, res->start, resource_size(res));
-+      if (IS_ERR(vc4_hdmi->intr2_regs))
-+              return PTR_ERR(vc4_hdmi->intr2_regs);
-+
-       res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "phy");
-       if (!res)
-               return -ENODEV;
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -140,6 +140,8 @@ struct vc4_hdmi {
-       void __iomem *ram_regs;
-       /* VC5 Only */
-       void __iomem *rm_regs;
-+      /* VC5 Only */
-+      void __iomem *intr2_regs;
-       int hpd_gpio;
-       bool hpd_active_low;
---- a/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi_regs.h
-@@ -24,6 +24,7 @@ enum vc4_hdmi_regs {
-       VC5_PHY,
-       VC5_RAM,
-       VC5_RM,
-+      VC5_INTR2,
- };
- enum vc4_hdmi_field {
-@@ -148,6 +149,7 @@ struct vc4_hdmi_register {
- #define VC5_CEC_REG(reg, offset)      _VC4_REG(VC5_CEC, reg, offset)
- #define VC5_CSC_REG(reg, offset)      _VC4_REG(VC5_CSC, reg, offset)
- #define VC5_DVP_REG(reg, offset)      _VC4_REG(VC5_DVP, reg, offset)
-+#define VC5_INTR2_REG(reg, offset)    _VC4_REG(VC5_INTR2, reg, offset)
- #define VC5_PHY_REG(reg, offset)      _VC4_REG(VC5_PHY, reg, offset)
- #define VC5_RAM_REG(reg, offset)      _VC4_REG(VC5_RAM, reg, offset)
- #define VC5_RM_REG(reg, offset)               _VC4_REG(VC5_RM, reg, offset)
-@@ -280,6 +282,12 @@ static const struct vc4_hdmi_register vc
-       VC5_CEC_REG(HDMI_CEC_RX_DATA_2, 0x03c),
-       VC5_CEC_REG(HDMI_CEC_RX_DATA_3, 0x040),
-       VC5_CEC_REG(HDMI_CEC_RX_DATA_4, 0x044),
-+      VC5_INTR2_REG(HDMI_CEC_CPU_STATUS, 0x0000),
-+      VC5_INTR2_REG(HDMI_CEC_CPU_SET, 0x0004),
-+      VC5_INTR2_REG(HDMI_CEC_CPU_CLEAR, 0x0008),
-+      VC5_INTR2_REG(HDMI_CEC_CPU_MASK_STATUS, 0x000c),
-+      VC5_INTR2_REG(HDMI_CEC_CPU_MASK_SET, 0x0010),
-+      VC5_INTR2_REG(HDMI_CEC_CPU_MASK_CLEAR, 0x0014),
-       VC5_CSC_REG(HDMI_CSC_CTL, 0x000),
-       VC5_CSC_REG(HDMI_CSC_12_11, 0x004),
-@@ -356,6 +364,12 @@ static const struct vc4_hdmi_register vc
-       VC5_CEC_REG(HDMI_CEC_RX_DATA_2, 0x03c),
-       VC5_CEC_REG(HDMI_CEC_RX_DATA_3, 0x040),
-       VC5_CEC_REG(HDMI_CEC_RX_DATA_4, 0x044),
-+      VC5_INTR2_REG(HDMI_CEC_CPU_STATUS, 0x0000),
-+      VC5_INTR2_REG(HDMI_CEC_CPU_SET, 0x0004),
-+      VC5_INTR2_REG(HDMI_CEC_CPU_CLEAR, 0x0008),
-+      VC5_INTR2_REG(HDMI_CEC_CPU_MASK_STATUS, 0x000c),
-+      VC5_INTR2_REG(HDMI_CEC_CPU_MASK_SET, 0x0010),
-+      VC5_INTR2_REG(HDMI_CEC_CPU_MASK_CLEAR, 0x0014),
-       VC5_CSC_REG(HDMI_CSC_CTL, 0x000),
-       VC5_CSC_REG(HDMI_CSC_12_11, 0x004),
-@@ -386,6 +400,9 @@ void __iomem *__vc4_hdmi_get_field_base(
-       case VC5_DVP:
-               return hdmi->dvp_regs;
-+      case VC5_INTR2:
-+              return hdmi->intr2_regs;
-+
-       case VC5_PHY:
-               return hdmi->phy_regs;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0713-dtoverlays-Add-IMX477-sensor-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0713-dtoverlays-Add-IMX477-sensor-overlay.patch
new file mode 100644 (file)
index 0000000..0d5ea3c
--- /dev/null
@@ -0,0 +1,156 @@
+From 738defbb964c5d2a34b08c24ac0e7fd4afb16173 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Thu, 7 May 2020 15:50:04 +0100
+Subject: [PATCH] dtoverlays: Add IMX477 sensor overlay
+
+Add an overlay for the Sony IMX477 CMOS sensor device.
+Also update overlay README and Makefile.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |   8 ++
+ arch/arm/boot/dts/overlays/imx477-overlay.dts | 110 ++++++++++++++++++
+ 3 files changed, 119 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/imx477-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -85,6 +85,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       i2s-gpio28-31.dtbo \
+       ilitek251x.dtbo \
+       imx219.dtbo \
++      imx477.dtbo \
+       iqaudio-codec.dtbo \
+       iqaudio-dac.dtbo \
+       iqaudio-dacplus.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1390,6 +1390,14 @@ Load:   dtoverlay=imx219
+ Params: <None>
++Name:   imx477
++Info:   Sony IMX477 camera module.
++        Uses Unicam 1, which is the standard camera connector on most Pi
++        variants.
++Load:   dtoverlay=imx477
++Params: <None>
++
++
+ Name:   iqaudio-codec
+ Info:   Configures the IQaudio Codec audio card
+ Load:   dtoverlay=iqaudio-codec
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/imx477-overlay.dts
+@@ -0,0 +1,110 @@
++// SPDX-License-Identifier: GPL-2.0-only
++// Definitions for IMX477 camera module on VC I2C bus
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/gpio/gpio.h>
++
++/{
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&i2c_csi_dsi>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      imx477: imx477@1a {
++                              compatible = "sony,imx477";
++                              reg = <0x1a>;
++                              status = "okay";
++
++                              clocks = <&imx477_clk>;
++                              clock-names = "xclk";
++
++                              VANA-supply = <&imx477_vana>;   /* 2.8v */
++                              VDIG-supply = <&imx477_vdig>;   /* 1.05v */
++                              VDDL-supply = <&imx477_vddl>;   /* 1.8v */
++
++                              port {
++                                      imx477_0: endpoint {
++                                              remote-endpoint = <&csi1_ep>;
++                                              clock-lanes = <0>;
++                                              data-lanes = <1 2>;
++                                              clock-noncontinuous;
++                                              link-frequencies =
++                                                      /bits/ 64 <450000000>;
++                                      };
++                              };
++                      };
++              };
++      };
++
++      fragment@1 {
++              target = <&csi1>;
++              __overlay__ {
++                      status = "okay";
++
++                      port {
++                              csi1_ep: endpoint {
++                                      remote-endpoint = <&imx477_0>;
++                              };
++                      };
++              };
++      };
++
++      fragment@2 {
++              target = <&i2c0if>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@3 {
++              target-path="/";
++              __overlay__ {
++                      imx477_vana: fixedregulator@0 {
++                              compatible = "regulator-fixed";
++                              regulator-name = "imx477_vana";
++                              regulator-min-microvolt = <2800000>;
++                              regulator-max-microvolt = <2800000>;
++                              gpio = <&gpio 41 GPIO_ACTIVE_HIGH>;
++                              enable-active-high;
++                              startup-delay-us = <300000>;
++                      };
++                      imx477_vdig: fixedregulator@1 {
++                              compatible = "regulator-fixed";
++                              regulator-name = "imx477_vdig";
++                              regulator-min-microvolt = <1050000>;
++                              regulator-max-microvolt = <1050000>;
++                      };
++                      imx477_vddl: fixedregulator@2 {
++                              compatible = "regulator-fixed";
++                              regulator-name = "imx477_vddl";
++                              regulator-min-microvolt = <1800000>;
++                              regulator-max-microvolt = <1800000>;
++                      };
++                      imx477_clk: camera-clk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <24000000>;
++                      };
++              };
++      };
++
++      fragment@4 {
++              target = <&i2c0mux>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@5 {
++              target-path="/__overrides__";
++              __overlay__ {
++                      cam0-pwdn-ctrl = <&imx477_vana>,"gpio:0";
++                      cam0-pwdn      = <&imx477_vana>,"gpio:4";
++              };
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0713-vc4_hdmi_regs-Make-interrupt-mask-variant-specific.patch b/target/linux/bcm27xx/patches-5.4/950-0713-vc4_hdmi_regs-Make-interrupt-mask-variant-specific.patch
deleted file mode 100644 (file)
index f2a30c6..0000000
+++ /dev/null
@@ -1,101 +0,0 @@
-From 9a9f4303c95f18cc062569c9c5d5240d06ddd69b Mon Sep 17 00:00:00 2001
-From: Dom Cobley <popcornmix@gmail.com>
-Date: Thu, 7 May 2020 18:16:08 +0100
-Subject: [PATCH] vc4_hdmi_regs: Make interrupt mask variant specific
-
-Signed-off-by: Dom Cobley <popcornmix@gmail.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 14 ++++++++++----
- drivers/gpu/drm/vc4/vc4_hdmi.h |  3 +++
- drivers/gpu/drm/vc4/vc4_regs.h |  9 +++++++++
- 3 files changed, 22 insertions(+), 4 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1286,7 +1286,7 @@ static irqreturn_t vc4_cec_irq_handler(i
-       u32 stat = HDMI_READ(HDMI_CEC_CPU_STATUS);
-       u32 cntrl1, cntrl5;
--      if (!(stat & VC4_HDMI_CPU_CEC))
-+      if (!(stat & vc4_hdmi->variant->cec_mask))
-               return IRQ_NONE;
-       vc4_hdmi->cec_rx_msg.len = 0;
-       cntrl1 = HDMI_READ(HDMI_CEC_CNTRL_1);
-@@ -1302,7 +1302,7 @@ static irqreturn_t vc4_cec_irq_handler(i
-               cntrl1 &= ~VC4_HDMI_CEC_START_XMIT_BEGIN;
-       }
-       HDMI_WRITE(HDMI_CEC_CNTRL_1, cntrl1);
--      HDMI_WRITE(HDMI_CEC_CPU_CLEAR, VC4_HDMI_CPU_CEC);
-+      HDMI_WRITE(HDMI_CEC_CPU_CLEAR, vc4_hdmi->variant->cec_mask);
-       return IRQ_WAKE_THREAD;
- }
-@@ -1341,9 +1341,9 @@ static int vc4_hdmi_cec_adap_enable(stru
-                        ((3600 / usecs) << VC4_HDMI_CEC_CNT_TO_3600_US_SHIFT) |
-                        ((3500 / usecs) << VC4_HDMI_CEC_CNT_TO_3500_US_SHIFT));
--              HDMI_WRITE(HDMI_CEC_CPU_MASK_CLEAR, VC4_HDMI_CPU_CEC);
-+              HDMI_WRITE(HDMI_CEC_CPU_MASK_CLEAR, vc4_hdmi->variant->cec_mask);
-       } else {
--              HDMI_WRITE(HDMI_CEC_CPU_MASK_SET, VC4_HDMI_CPU_CEC);
-+              HDMI_WRITE(HDMI_CEC_CPU_MASK_SET, vc4_hdmi->variant->cec_mask);
-               HDMI_WRITE(HDMI_CEC_CNTRL_5, val |
-                          VC4_HDMI_CEC_TX_SW_RESET | VC4_HDMI_CEC_RX_SW_RESET);
-       }
-@@ -1785,6 +1785,8 @@ static const struct vc4_hdmi_variant bcm
-       .get_hsm_clock          = vc4_hdmi_get_hsm_clock,
-       .calc_hsm_clock         = vc4_hdmi_calc_hsm_clock,
-       .channel_map            = vc4_hdmi_channel_map,
-+
-+      .cec_mask = VC4_HDMI_CPU_CEC,
- };
- static const struct vc4_hdmi_variant bcm2711_hdmi0_variant = {
-@@ -1810,6 +1812,8 @@ static const struct vc4_hdmi_variant bcm
-       .get_hsm_clock          = vc5_hdmi_get_hsm_clock,
-       .calc_hsm_clock         = vc5_hdmi_calc_hsm_clock,
-       .channel_map            = vc5_hdmi_channel_map,
-+
-+      .cec_mask = VC5_HDMI0_CPU_CEC_RX | VC5_HDMI0_CPU_CEC_TX,
- };
- static const struct vc4_hdmi_variant bcm2711_hdmi1_variant = {
-@@ -1835,6 +1839,8 @@ static const struct vc4_hdmi_variant bcm
-       .get_hsm_clock          = vc5_hdmi_get_hsm_clock,
-       .calc_hsm_clock         = vc5_hdmi_calc_hsm_clock,
-       .channel_map            = vc5_hdmi_channel_map,
-+
-+      .cec_mask = VC5_HDMI1_CPU_CEC_RX | VC5_HDMI1_CPU_CEC_TX,
- };
- static const struct of_device_id vc4_hdmi_dt_match[] = {
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -97,6 +97,9 @@ struct vc4_hdmi_variant {
-       /* Callback to get channel map */
-       u32 (*channel_map)(struct vc4_hdmi *vc4_hdmi, u32 channel_mask);
-+
-+      /* Bitmask for CEC events */
-+      u32 cec_mask;
- };
- /* HDMI audio information */
---- a/drivers/gpu/drm/vc4/vc4_regs.h
-+++ b/drivers/gpu/drm/vc4/vc4_regs.h
-@@ -668,6 +668,15 @@
- # define VC4_HDMI_CPU_CEC                     BIT(6)
- # define VC4_HDMI_CPU_HOTPLUG                 BIT(0)
-+# define VC5_HDMI0_CPU_CEC_RX                 BIT(1)
-+# define VC5_HDMI0_CPU_CEC_TX                 BIT(0)
-+# define VC5_HDMI0_CPU_HOTPLUG_CONN           BIT(4)
-+# define VC5_HDMI0_CPU_HOTPLUG_REM            BIT(5)
-+# define VC5_HDMI1_CPU_CEC_RX                 BIT(7)
-+# define VC5_HDMI1_CPU_CEC_TX                 BIT(6)
-+# define VC5_HDMI1_CPU_HOTPLUG_CONN           BIT(10)
-+# define VC5_HDMI1_CPU_HOTPLUG_REM            BIT(11)
-+
- /* Debug: Current receive value on the CEC pad. */
- # define VC4_HD_CECRXD                                BIT(9)
- /* Debug: Override CEC output to 0. */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0714-media-i2c-Add-driver-for-Sony-IMX477-sensor.patch b/target/linux/bcm27xx/patches-5.4/950-0714-media-i2c-Add-driver-for-Sony-IMX477-sensor.patch
new file mode 100644 (file)
index 0000000..f3e2052
--- /dev/null
@@ -0,0 +1,2266 @@
+From 58483dcbbb5feca6da79970665950b6b43928e60 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Fri, 8 May 2020 10:00:12 +0100
+Subject: [PATCH] media: i2c: Add driver for Sony IMX477 sensor
+
+Adds a driver for the 12MPix Sony IMX477 CSI2 sensor.
+Whilst the sensor supports 2 or 4 CSI2 data lanes, this driver
+currently only supports 2 lanes.
+
+The following Bayer modes are currently available:
+
+4056x3040 12-bit @ 10fps
+2028x1520 12-bit (binned) @ 40fps
+2028x1050 12-bit (cropped/binned) @ 50fps
+1012x760 10-bit (scaled) @ 120 fps
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ MAINTAINERS                |    8 +
+ drivers/media/i2c/Kconfig  |   11 +
+ drivers/media/i2c/Makefile |    1 +
+ drivers/media/i2c/imx477.c | 2191 ++++++++++++++++++++++++++++++++++++
+ 4 files changed, 2211 insertions(+)
+ create mode 100644 drivers/media/i2c/imx477.c
+
+--- a/MAINTAINERS
++++ b/MAINTAINERS
+@@ -15196,6 +15196,14 @@ T:    git git://linuxtv.org/media_tree.git
+ S:    Maintained
+ F:    drivers/media/i2c/imx355.c
++SONY IMX477 SENSOR DRIVER
++M:    Raspberry Pi Kernel Maintenance <kernel-list@raspberrypi.com>
++L:    linux-media@vger.kernel.org
++T:    git git://linuxtv.org/media_tree.git
++S:    Maintained
++F:    drivers/media/i2c/imx477.c
++F:    Documentation/devicetree/bindings/media/i2c/imx477.yaml
++
+ SONY MEMORYSTICK SUBSYSTEM
+ M:    Maxim Levitsky <maximlevitsky@gmail.com>
+ M:    Alex Dubov <oakad@yahoo.com>
+--- a/drivers/media/i2c/Kconfig
++++ b/drivers/media/i2c/Kconfig
+@@ -609,6 +609,17 @@ config VIDEO_IMX274
+         This is a V4L2 sensor driver for the Sony IMX274
+         CMOS image sensor.
++config VIDEO_IMX477
++      tristate "Sony IMX477 sensor support"
++      depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
++      depends on MEDIA_CAMERA_SUPPORT
++      help
++        This is a Video4Linux2 sensor driver for the Sony
++        IMX477 camera.
++
++        To compile this driver as a module, choose M here: the
++        module will be called imx477.
++
+ config VIDEO_IMX319
+       tristate "Sony IMX319 sensor support"
+       depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
+--- a/drivers/media/i2c/Makefile
++++ b/drivers/media/i2c/Makefile
+@@ -114,6 +114,7 @@ obj-$(CONFIG_VIDEO_IMX214) += imx214.o
+ obj-$(CONFIG_VIDEO_IMX219)    += imx219.o
+ obj-$(CONFIG_VIDEO_IMX258)    += imx258.o
+ obj-$(CONFIG_VIDEO_IMX274)    += imx274.o
++obj-$(CONFIG_VIDEO_IMX477)    += imx477.o
+ obj-$(CONFIG_VIDEO_IMX319)    += imx319.o
+ obj-$(CONFIG_VIDEO_IMX355)    += imx355.o
+ obj-$(CONFIG_VIDEO_ST_MIPID02) += st-mipid02.o
+--- /dev/null
++++ b/drivers/media/i2c/imx477.c
+@@ -0,0 +1,2191 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * A V4L2 driver for Sony IMX477 cameras.
++ * Copyright (C) 2020, Raspberry Pi (Trading) Ltd
++ *
++ * Based on Sony imx219 camera driver
++ * Copyright (C) 2019-2020 Raspberry Pi (Trading) Ltd
++ */
++#include <asm/unaligned.h>
++#include <linux/clk.h>
++#include <linux/delay.h>
++#include <linux/gpio/consumer.h>
++#include <linux/i2c.h>
++#include <linux/module.h>
++#include <linux/pm_runtime.h>
++#include <linux/regulator/consumer.h>
++#include <media/v4l2-ctrls.h>
++#include <media/v4l2-device.h>
++#include <media/v4l2-event.h>
++#include <media/v4l2-fwnode.h>
++#include <media/v4l2-mediabus.h>
++
++#define IMX477_REG_VALUE_08BIT                1
++#define IMX477_REG_VALUE_16BIT                2
++
++/* Chip ID */
++#define IMX477_REG_CHIP_ID            0x0016
++#define IMX477_CHIP_ID                        0x0477
++
++#define IMX477_REG_MODE_SELECT                0x0100
++#define IMX477_MODE_STANDBY           0x00
++#define IMX477_MODE_STREAMING         0x01
++
++#define IMX477_REG_ORIENTATION                0x101
++
++#define IMX477_XCLK_FREQ              24000000
++
++#define IMX477_DEFAULT_LINK_FREQ      450000000
++
++/* Pixel rate is fixed at 840MHz for all the modes */
++#define IMX477_PIXEL_RATE             840000000
++
++/* V_TIMING internal */
++#define IMX477_REG_FRAME_LENGTH               0x0340
++#define IMX477_FRAME_LENGTH_MAX               0xffdc
++
++/* Exposure control */
++#define IMX477_REG_EXPOSURE           0x0202
++#define IMX477_EXPOSURE_OFFSET                22
++#define IMX477_EXPOSURE_MIN           20
++#define IMX477_EXPOSURE_STEP          1
++#define IMX477_EXPOSURE_DEFAULT               0x640
++#define IMX477_EXPOSURE_MAX           (IMX477_FRAME_LENGTH_MAX - \
++                                       IMX477_EXPOSURE_OFFSET)
++
++/* Analog gain control */
++#define IMX477_REG_ANALOG_GAIN                0x0204
++#define IMX477_ANA_GAIN_MIN           0
++#define IMX477_ANA_GAIN_MAX           978
++#define IMX477_ANA_GAIN_STEP          1
++#define IMX477_ANA_GAIN_DEFAULT               0x0
++
++/* Digital gain control */
++#define IMX477_REG_DIGITAL_GAIN               0x020e
++#define IMX477_DGTL_GAIN_MIN          0x0100
++#define IMX477_DGTL_GAIN_MAX          0xffff
++#define IMX477_DGTL_GAIN_DEFAULT      0x0100
++#define IMX477_DGTL_GAIN_STEP         1
++
++/* Test Pattern Control */
++#define IMX477_REG_TEST_PATTERN               0x0600
++#define IMX477_TEST_PATTERN_DISABLE   0
++#define IMX477_TEST_PATTERN_SOLID_COLOR       1
++#define IMX477_TEST_PATTERN_COLOR_BARS        2
++#define IMX477_TEST_PATTERN_GREY_COLOR        3
++#define IMX477_TEST_PATTERN_PN9               4
++
++/* Test pattern colour components */
++#define IMX477_REG_TEST_PATTERN_R     0x0602
++#define IMX477_REG_TEST_PATTERN_GR    0x0604
++#define IMX477_REG_TEST_PATTERN_B     0x0606
++#define IMX477_REG_TEST_PATTERN_GB    0x0608
++#define IMX477_TEST_PATTERN_COLOUR_MIN        0
++#define IMX477_TEST_PATTERN_COLOUR_MAX        0x0fff
++#define IMX477_TEST_PATTERN_COLOUR_STEP       1
++#define IMX477_TEST_PATTERN_R_DEFAULT IMX477_TEST_PATTERN_COLOUR_MAX
++#define IMX477_TEST_PATTERN_GR_DEFAULT        0
++#define IMX477_TEST_PATTERN_B_DEFAULT 0
++#define IMX477_TEST_PATTERN_GB_DEFAULT        0
++
++/* Embedded metadata stream structure */
++#define IMX477_EMBEDDED_LINE_WIDTH 16384
++#define IMX477_NUM_EMBEDDED_LINES 1
++
++enum pad_types {
++      IMAGE_PAD,
++      METADATA_PAD,
++      NUM_PADS
++};
++
++/* IMX477 native and active pixel array size. */
++#define IMX477_NATIVE_WIDTH           4072U
++#define IMX477_NATIVE_HEIGHT          3176U
++#define IMX477_PIXEL_ARRAY_LEFT               8U
++#define IMX477_PIXEL_ARRAY_TOP                16U
++#define IMX477_PIXEL_ARRAY_WIDTH      4056U
++#define IMX477_PIXEL_ARRAY_HEIGHT     3040U
++
++struct imx477_reg {
++      u16 address;
++      u8 val;
++};
++
++struct imx477_reg_list {
++      unsigned int num_of_regs;
++      const struct imx477_reg *regs;
++};
++
++/* Mode : resolution and related config&values */
++struct imx477_mode {
++      /* Frame width */
++      unsigned int width;
++
++      /* Frame height */
++      unsigned int height;
++
++      /* H-timing in pixels */
++      unsigned int line_length_pix;
++
++      /* Analog crop rectangle. */
++      struct v4l2_rect crop;
++
++      /* Highest possible framerate. */
++      struct v4l2_fract timeperframe_min;
++
++      /* Default framerate. */
++      struct v4l2_fract timeperframe_default;
++
++      /* Default register values */
++      struct imx477_reg_list reg_list;
++};
++
++static const struct imx477_reg mode_common_regs[] = {
++      {0x0136, 0x18},
++      {0x0137, 0x00},
++      {0xe000, 0x00},
++      {0xe07a, 0x01},
++      {0x0808, 0x02},
++      {0x4ae9, 0x18},
++      {0x4aea, 0x08},
++      {0xf61c, 0x04},
++      {0xf61e, 0x04},
++      {0x4ae9, 0x21},
++      {0x4aea, 0x80},
++      {0x38a8, 0x1f},
++      {0x38a9, 0xff},
++      {0x38aa, 0x1f},
++      {0x38ab, 0xff},
++      {0x55d4, 0x00},
++      {0x55d5, 0x00},
++      {0x55d6, 0x07},
++      {0x55d7, 0xff},
++      {0x55e8, 0x07},
++      {0x55e9, 0xff},
++      {0x55ea, 0x00},
++      {0x55eb, 0x00},
++      {0x574c, 0x07},
++      {0x574d, 0xff},
++      {0x574e, 0x00},
++      {0x574f, 0x00},
++      {0x5754, 0x00},
++      {0x5755, 0x00},
++      {0x5756, 0x07},
++      {0x5757, 0xff},
++      {0x5973, 0x04},
++      {0x5974, 0x01},
++      {0x5d13, 0xc3},
++      {0x5d14, 0x58},
++      {0x5d15, 0xa3},
++      {0x5d16, 0x1d},
++      {0x5d17, 0x65},
++      {0x5d18, 0x8c},
++      {0x5d1a, 0x06},
++      {0x5d1b, 0xa9},
++      {0x5d1c, 0x45},
++      {0x5d1d, 0x3a},
++      {0x5d1e, 0xab},
++      {0x5d1f, 0x15},
++      {0x5d21, 0x0e},
++      {0x5d22, 0x52},
++      {0x5d23, 0xaa},
++      {0x5d24, 0x7d},
++      {0x5d25, 0x57},
++      {0x5d26, 0xa8},
++      {0x5d37, 0x5a},
++      {0x5d38, 0x5a},
++      {0x5d77, 0x7f},
++      {0x7b75, 0x0e},
++      {0x7b76, 0x0b},
++      {0x7b77, 0x08},
++      {0x7b78, 0x0a},
++      {0x7b79, 0x47},
++      {0x7b7c, 0x00},
++      {0x7b7d, 0x00},
++      {0x8d1f, 0x00},
++      {0x8d27, 0x00},
++      {0x9004, 0x03},
++      {0x9200, 0x50},
++      {0x9201, 0x6c},
++      {0x9202, 0x71},
++      {0x9203, 0x00},
++      {0x9204, 0x71},
++      {0x9205, 0x01},
++      {0x9371, 0x6a},
++      {0x9373, 0x6a},
++      {0x9375, 0x64},
++      {0x991a, 0x00},
++      {0x996b, 0x8c},
++      {0x996c, 0x64},
++      {0x996d, 0x50},
++      {0x9a4c, 0x0d},
++      {0x9a4d, 0x0d},
++      {0xa001, 0x0a},
++      {0xa003, 0x0a},
++      {0xa005, 0x0a},
++      {0xa006, 0x01},
++      {0xa007, 0xc0},
++      {0xa009, 0xc0},
++      {0x3d8a, 0x01},
++      {0x4421, 0x04},
++      {0x7b3b, 0x01},
++      {0x7b4c, 0x00},
++      {0x9905, 0x00},
++      {0x9907, 0x00},
++      {0x9909, 0x00},
++      {0x990b, 0x00},
++      {0x9944, 0x3c},
++      {0x9947, 0x3c},
++      {0x994a, 0x8c},
++      {0x994b, 0x50},
++      {0x994c, 0x1b},
++      {0x994d, 0x8c},
++      {0x994e, 0x50},
++      {0x994f, 0x1b},
++      {0x9950, 0x8c},
++      {0x9951, 0x1b},
++      {0x9952, 0x0a},
++      {0x9953, 0x8c},
++      {0x9954, 0x1b},
++      {0x9955, 0x0a},
++      {0x9a13, 0x04},
++      {0x9a14, 0x04},
++      {0x9a19, 0x00},
++      {0x9a1c, 0x04},
++      {0x9a1d, 0x04},
++      {0x9a26, 0x05},
++      {0x9a27, 0x05},
++      {0x9a2c, 0x01},
++      {0x9a2d, 0x03},
++      {0x9a2f, 0x05},
++      {0x9a30, 0x05},
++      {0x9a41, 0x00},
++      {0x9a46, 0x00},
++      {0x9a47, 0x00},
++      {0x9c17, 0x35},
++      {0x9c1d, 0x31},
++      {0x9c29, 0x50},
++      {0x9c3b, 0x2f},
++      {0x9c41, 0x6b},
++      {0x9c47, 0x2d},
++      {0x9c4d, 0x40},
++      {0x9c6b, 0x00},
++      {0x9c71, 0xc8},
++      {0x9c73, 0x32},
++      {0x9c75, 0x04},
++      {0x9c7d, 0x2d},
++      {0x9c83, 0x40},
++      {0x9c94, 0x3f},
++      {0x9c95, 0x3f},
++      {0x9c96, 0x3f},
++      {0x9c97, 0x00},
++      {0x9c98, 0x00},
++      {0x9c99, 0x00},
++      {0x9c9a, 0x3f},
++      {0x9c9b, 0x3f},
++      {0x9c9c, 0x3f},
++      {0x9ca0, 0x0f},
++      {0x9ca1, 0x0f},
++      {0x9ca2, 0x0f},
++      {0x9ca3, 0x00},
++      {0x9ca4, 0x00},
++      {0x9ca5, 0x00},
++      {0x9ca6, 0x1e},
++      {0x9ca7, 0x1e},
++      {0x9ca8, 0x1e},
++      {0x9ca9, 0x00},
++      {0x9caa, 0x00},
++      {0x9cab, 0x00},
++      {0x9cac, 0x09},
++      {0x9cad, 0x09},
++      {0x9cae, 0x09},
++      {0x9cbd, 0x50},
++      {0x9cbf, 0x50},
++      {0x9cc1, 0x50},
++      {0x9cc3, 0x40},
++      {0x9cc5, 0x40},
++      {0x9cc7, 0x40},
++      {0x9cc9, 0x0a},
++      {0x9ccb, 0x0a},
++      {0x9ccd, 0x0a},
++      {0x9d17, 0x35},
++      {0x9d1d, 0x31},
++      {0x9d29, 0x50},
++      {0x9d3b, 0x2f},
++      {0x9d41, 0x6b},
++      {0x9d47, 0x42},
++      {0x9d4d, 0x5a},
++      {0x9d6b, 0x00},
++      {0x9d71, 0xc8},
++      {0x9d73, 0x32},
++      {0x9d75, 0x04},
++      {0x9d7d, 0x42},
++      {0x9d83, 0x5a},
++      {0x9d94, 0x3f},
++      {0x9d95, 0x3f},
++      {0x9d96, 0x3f},
++      {0x9d97, 0x00},
++      {0x9d98, 0x00},
++      {0x9d99, 0x00},
++      {0x9d9a, 0x3f},
++      {0x9d9b, 0x3f},
++      {0x9d9c, 0x3f},
++      {0x9d9d, 0x1f},
++      {0x9d9e, 0x1f},
++      {0x9d9f, 0x1f},
++      {0x9da0, 0x0f},
++      {0x9da1, 0x0f},
++      {0x9da2, 0x0f},
++      {0x9da3, 0x00},
++      {0x9da4, 0x00},
++      {0x9da5, 0x00},
++      {0x9da6, 0x1e},
++      {0x9da7, 0x1e},
++      {0x9da8, 0x1e},
++      {0x9da9, 0x00},
++      {0x9daa, 0x00},
++      {0x9dab, 0x00},
++      {0x9dac, 0x09},
++      {0x9dad, 0x09},
++      {0x9dae, 0x09},
++      {0x9dc9, 0x0a},
++      {0x9dcb, 0x0a},
++      {0x9dcd, 0x0a},
++      {0x9e17, 0x35},
++      {0x9e1d, 0x31},
++      {0x9e29, 0x50},
++      {0x9e3b, 0x2f},
++      {0x9e41, 0x6b},
++      {0x9e47, 0x2d},
++      {0x9e4d, 0x40},
++      {0x9e6b, 0x00},
++      {0x9e71, 0xc8},
++      {0x9e73, 0x32},
++      {0x9e75, 0x04},
++      {0x9e94, 0x0f},
++      {0x9e95, 0x0f},
++      {0x9e96, 0x0f},
++      {0x9e97, 0x00},
++      {0x9e98, 0x00},
++      {0x9e99, 0x00},
++      {0x9ea0, 0x0f},
++      {0x9ea1, 0x0f},
++      {0x9ea2, 0x0f},
++      {0x9ea3, 0x00},
++      {0x9ea4, 0x00},
++      {0x9ea5, 0x00},
++      {0x9ea6, 0x3f},
++      {0x9ea7, 0x3f},
++      {0x9ea8, 0x3f},
++      {0x9ea9, 0x00},
++      {0x9eaa, 0x00},
++      {0x9eab, 0x00},
++      {0x9eac, 0x09},
++      {0x9ead, 0x09},
++      {0x9eae, 0x09},
++      {0x9ec9, 0x0a},
++      {0x9ecb, 0x0a},
++      {0x9ecd, 0x0a},
++      {0x9f17, 0x35},
++      {0x9f1d, 0x31},
++      {0x9f29, 0x50},
++      {0x9f3b, 0x2f},
++      {0x9f41, 0x6b},
++      {0x9f47, 0x42},
++      {0x9f4d, 0x5a},
++      {0x9f6b, 0x00},
++      {0x9f71, 0xc8},
++      {0x9f73, 0x32},
++      {0x9f75, 0x04},
++      {0x9f94, 0x0f},
++      {0x9f95, 0x0f},
++      {0x9f96, 0x0f},
++      {0x9f97, 0x00},
++      {0x9f98, 0x00},
++      {0x9f99, 0x00},
++      {0x9f9a, 0x2f},
++      {0x9f9b, 0x2f},
++      {0x9f9c, 0x2f},
++      {0x9f9d, 0x00},
++      {0x9f9e, 0x00},
++      {0x9f9f, 0x00},
++      {0x9fa0, 0x0f},
++      {0x9fa1, 0x0f},
++      {0x9fa2, 0x0f},
++      {0x9fa3, 0x00},
++      {0x9fa4, 0x00},
++      {0x9fa5, 0x00},
++      {0x9fa6, 0x1e},
++      {0x9fa7, 0x1e},
++      {0x9fa8, 0x1e},
++      {0x9fa9, 0x00},
++      {0x9faa, 0x00},
++      {0x9fab, 0x00},
++      {0x9fac, 0x09},
++      {0x9fad, 0x09},
++      {0x9fae, 0x09},
++      {0x9fc9, 0x0a},
++      {0x9fcb, 0x0a},
++      {0x9fcd, 0x0a},
++      {0xa14b, 0xff},
++      {0xa151, 0x0c},
++      {0xa153, 0x50},
++      {0xa155, 0x02},
++      {0xa157, 0x00},
++      {0xa1ad, 0xff},
++      {0xa1b3, 0x0c},
++      {0xa1b5, 0x50},
++      {0xa1b9, 0x00},
++      {0xa24b, 0xff},
++      {0xa257, 0x00},
++      {0xa2ad, 0xff},
++      {0xa2b9, 0x00},
++      {0xb21f, 0x04},
++      {0xb35c, 0x00},
++      {0xb35e, 0x08},
++      {0x0112, 0x0c},
++      {0x0113, 0x0c},
++      {0x0114, 0x01},
++      {0x0350, 0x00},
++      {0xbcf1, 0x02},
++      {0x3ff9, 0x01},
++};
++
++/* 12 mpix 10fps */
++static const struct imx477_reg mode_4056x3040_regs[] = {
++      {0x0342, 0x5d},
++      {0x0343, 0xc0},
++      {0x0344, 0x00},
++      {0x0345, 0x00},
++      {0x0346, 0x00},
++      {0x0347, 0x00},
++      {0x0348, 0x0f},
++      {0x0349, 0xd7},
++      {0x034a, 0x0b},
++      {0x034b, 0xdf},
++      {0x00e3, 0x00},
++      {0x00e4, 0x00},
++      {0x00fc, 0x0a},
++      {0x00fd, 0x0a},
++      {0x00fe, 0x0a},
++      {0x00ff, 0x0a},
++      {0x0220, 0x00},
++      {0x0221, 0x11},
++      {0x0381, 0x01},
++      {0x0383, 0x01},
++      {0x0385, 0x01},
++      {0x0387, 0x01},
++      {0x0900, 0x00},
++      {0x0901, 0x11},
++      {0x0902, 0x02},
++      {0x3140, 0x02},
++      {0x3c00, 0x00},
++      {0x3c01, 0x03},
++      {0x3c02, 0xa2},
++      {0x3f0d, 0x01},
++      {0x5748, 0x07},
++      {0x5749, 0xff},
++      {0x574a, 0x00},
++      {0x574b, 0x00},
++      {0x7b75, 0x0a},
++      {0x7b76, 0x0c},
++      {0x7b77, 0x07},
++      {0x7b78, 0x06},
++      {0x7b79, 0x3c},
++      {0x7b53, 0x01},
++      {0x9369, 0x5a},
++      {0x936b, 0x55},
++      {0x936d, 0x28},
++      {0x9304, 0x00},
++      {0x9305, 0x00},
++      {0x9e9a, 0x2f},
++      {0x9e9b, 0x2f},
++      {0x9e9c, 0x2f},
++      {0x9e9d, 0x00},
++      {0x9e9e, 0x00},
++      {0x9e9f, 0x00},
++      {0xa2a9, 0x60},
++      {0xa2b7, 0x00},
++      {0x0401, 0x00},
++      {0x0404, 0x00},
++      {0x0405, 0x10},
++      {0x0408, 0x00},
++      {0x0409, 0x00},
++      {0x040a, 0x00},
++      {0x040b, 0x00},
++      {0x040c, 0x0f},
++      {0x040d, 0xd8},
++      {0x040e, 0x0b},
++      {0x040f, 0xe0},
++      {0x034c, 0x0f},
++      {0x034d, 0xd8},
++      {0x034e, 0x0b},
++      {0x034f, 0xe0},
++      {0x0301, 0x05},
++      {0x0303, 0x02},
++      {0x0305, 0x04},
++      {0x0306, 0x01},
++      {0x0307, 0x5e},
++      {0x0309, 0x0c},
++      {0x030b, 0x02},
++      {0x030d, 0x02},
++      {0x030e, 0x00},
++      {0x030f, 0x96},
++      {0x0310, 0x01},
++      {0x0820, 0x07},
++      {0x0821, 0x08},
++      {0x0822, 0x00},
++      {0x0823, 0x00},
++      {0x080a, 0x00},
++      {0x080b, 0x7f},
++      {0x080c, 0x00},
++      {0x080d, 0x4f},
++      {0x080e, 0x00},
++      {0x080f, 0x77},
++      {0x0810, 0x00},
++      {0x0811, 0x5f},
++      {0x0812, 0x00},
++      {0x0813, 0x57},
++      {0x0814, 0x00},
++      {0x0815, 0x4f},
++      {0x0816, 0x01},
++      {0x0817, 0x27},
++      {0x0818, 0x00},
++      {0x0819, 0x3f},
++      {0xe04c, 0x00},
++      {0xe04d, 0x7f},
++      {0xe04e, 0x00},
++      {0xe04f, 0x1f},
++      {0x3e20, 0x01},
++      {0x3e37, 0x00},
++      {0x3f50, 0x00},
++      {0x3f56, 0x02},
++      {0x3f57, 0xae},
++};
++
++/* 2x2 binned. 40fps */
++static const struct imx477_reg mode_2028x1520_regs[] = {
++      {0x0342, 0x31},
++      {0x0343, 0xc4},
++      {0x0344, 0x00},
++      {0x0345, 0x00},
++      {0x0346, 0x00},
++      {0x0347, 0x00},
++      {0x0348, 0x0f},
++      {0x0349, 0xd7},
++      {0x034a, 0x0b},
++      {0x034b, 0xdf},
++      {0x0220, 0x00},
++      {0x0221, 0x11},
++      {0x0381, 0x01},
++      {0x0383, 0x01},
++      {0x0385, 0x01},
++      {0x0387, 0x01},
++      {0x0900, 0x01},
++      {0x0901, 0x12},
++      {0x0902, 0x02},
++      {0x3140, 0x02},
++      {0x3c00, 0x00},
++      {0x3c01, 0x03},
++      {0x3c02, 0xa2},
++      {0x3f0d, 0x01},
++      {0x5748, 0x07},
++      {0x5749, 0xff},
++      {0x574a, 0x00},
++      {0x574b, 0x00},
++      {0x7b53, 0x01},
++      {0x9369, 0x73},
++      {0x936b, 0x64},
++      {0x936d, 0x5f},
++      {0x9304, 0x00},
++      {0x9305, 0x00},
++      {0x9e9a, 0x2f},
++      {0x9e9b, 0x2f},
++      {0x9e9c, 0x2f},
++      {0x9e9d, 0x00},
++      {0x9e9e, 0x00},
++      {0x9e9f, 0x00},
++      {0xa2a9, 0x60},
++      {0xa2b7, 0x00},
++      {0x0401, 0x01},
++      {0x0404, 0x00},
++      {0x0405, 0x20},
++      {0x0408, 0x00},
++      {0x0409, 0x00},
++      {0x040a, 0x00},
++      {0x040b, 0x00},
++      {0x040c, 0x0f},
++      {0x040d, 0xd8},
++      {0x040e, 0x0b},
++      {0x040f, 0xe0},
++      {0x034c, 0x07},
++      {0x034d, 0xec},
++      {0x034e, 0x05},
++      {0x034f, 0xf0},
++      {0x0301, 0x05},
++      {0x0303, 0x02},
++      {0x0305, 0x04},
++      {0x0306, 0x01},
++      {0x0307, 0x5e},
++      {0x0309, 0x0c},
++      {0x030b, 0x02},
++      {0x030d, 0x02},
++      {0x030e, 0x00},
++      {0x030f, 0x96},
++      {0x0310, 0x01},
++      {0x0820, 0x07},
++      {0x0821, 0x08},
++      {0x0822, 0x00},
++      {0x0823, 0x00},
++      {0x080a, 0x00},
++      {0x080b, 0x7f},
++      {0x080c, 0x00},
++      {0x080d, 0x4f},
++      {0x080e, 0x00},
++      {0x080f, 0x77},
++      {0x0810, 0x00},
++      {0x0811, 0x5f},
++      {0x0812, 0x00},
++      {0x0813, 0x57},
++      {0x0814, 0x00},
++      {0x0815, 0x4f},
++      {0x0816, 0x01},
++      {0x0817, 0x27},
++      {0x0818, 0x00},
++      {0x0819, 0x3f},
++      {0xe04c, 0x00},
++      {0xe04d, 0x7f},
++      {0xe04e, 0x00},
++      {0xe04f, 0x1f},
++      {0x3e20, 0x01},
++      {0x3e37, 0x00},
++      {0x3f50, 0x00},
++      {0x3f56, 0x01},
++      {0x3f57, 0x6c},
++};
++
++/* 1080p cropped mode */
++static const struct imx477_reg mode_2028x1080_regs[] = {
++      {0x0342, 0x31},
++      {0x0343, 0xc4},
++      {0x0344, 0x00},
++      {0x0345, 0x00},
++      {0x0346, 0x01},
++      {0x0347, 0xb8},
++      {0x0348, 0x0f},
++      {0x0349, 0xd7},
++      {0x034a, 0x0a},
++      {0x034b, 0x27},
++      {0x0220, 0x00},
++      {0x0221, 0x11},
++      {0x0381, 0x01},
++      {0x0383, 0x01},
++      {0x0385, 0x01},
++      {0x0387, 0x01},
++      {0x0900, 0x01},
++      {0x0901, 0x12},
++      {0x0902, 0x02},
++      {0x3140, 0x02},
++      {0x3c00, 0x00},
++      {0x3c01, 0x03},
++      {0x3c02, 0xa2},
++      {0x3f0d, 0x01},
++      {0x5748, 0x07},
++      {0x5749, 0xff},
++      {0x574a, 0x00},
++      {0x574b, 0x00},
++      {0x7b53, 0x01},
++      {0x9369, 0x73},
++      {0x936b, 0x64},
++      {0x936d, 0x5f},
++      {0x9304, 0x00},
++      {0x9305, 0x00},
++      {0x9e9a, 0x2f},
++      {0x9e9b, 0x2f},
++      {0x9e9c, 0x2f},
++      {0x9e9d, 0x00},
++      {0x9e9e, 0x00},
++      {0x9e9f, 0x00},
++      {0xa2a9, 0x60},
++      {0xa2b7, 0x00},
++      {0x0401, 0x01},
++      {0x0404, 0x00},
++      {0x0405, 0x20},
++      {0x0408, 0x00},
++      {0x0409, 0x00},
++      {0x040a, 0x00},
++      {0x040b, 0x00},
++      {0x040c, 0x0f},
++      {0x040d, 0xd8},
++      {0x040e, 0x04},
++      {0x040f, 0x38},
++      {0x034c, 0x07},
++      {0x034d, 0xec},
++      {0x034e, 0x04},
++      {0x034f, 0x38},
++      {0x0301, 0x05},
++      {0x0303, 0x02},
++      {0x0305, 0x04},
++      {0x0306, 0x01},
++      {0x0307, 0x5e},
++      {0x0309, 0x0c},
++      {0x030b, 0x02},
++      {0x030d, 0x02},
++      {0x030e, 0x00},
++      {0x030f, 0x96},
++      {0x0310, 0x01},
++      {0x0820, 0x07},
++      {0x0821, 0x08},
++      {0x0822, 0x00},
++      {0x0823, 0x00},
++      {0x080a, 0x00},
++      {0x080b, 0x7f},
++      {0x080c, 0x00},
++      {0x080d, 0x4f},
++      {0x080e, 0x00},
++      {0x080f, 0x77},
++      {0x0810, 0x00},
++      {0x0811, 0x5f},
++      {0x0812, 0x00},
++      {0x0813, 0x57},
++      {0x0814, 0x00},
++      {0x0815, 0x4f},
++      {0x0816, 0x01},
++      {0x0817, 0x27},
++      {0x0818, 0x00},
++      {0x0819, 0x3f},
++      {0xe04c, 0x00},
++      {0xe04d, 0x7f},
++      {0xe04e, 0x00},
++      {0xe04f, 0x1f},
++      {0x3e20, 0x01},
++      {0x3e37, 0x00},
++      {0x3f50, 0x00},
++      {0x3f56, 0x01},
++      {0x3f57, 0x6c},
++};
++
++/* 4x4 binned. 120fps */
++static const struct imx477_reg mode_1012x760_regs[] = {
++      {0x420b, 0x01},
++      {0x990c, 0x00},
++      {0x990d, 0x08},
++      {0x9956, 0x8c},
++      {0x9957, 0x64},
++      {0x9958, 0x50},
++      {0x9a48, 0x06},
++      {0x9a49, 0x06},
++      {0x9a4a, 0x06},
++      {0x9a4b, 0x06},
++      {0x9a4c, 0x06},
++      {0x9a4d, 0x06},
++      {0x0112, 0x0a},
++      {0x0113, 0x0a},
++      {0x0114, 0x01},
++      {0x0342, 0x14},
++      {0x0343, 0x60},
++      {0x0344, 0x00},
++      {0x0345, 0x00},
++      {0x0346, 0x00},
++      {0x0347, 0x00},
++      {0x0348, 0x0f},
++      {0x0349, 0xd3},
++      {0x034a, 0x0b},
++      {0x034b, 0xdf},
++      {0x00e3, 0x00},
++      {0x00e4, 0x00},
++      {0x00fc, 0x0a},
++      {0x00fd, 0x0a},
++      {0x00fe, 0x0a},
++      {0x00ff, 0x0a},
++      {0x0220, 0x00},
++      {0x0221, 0x11},
++      {0x0381, 0x01},
++      {0x0383, 0x01},
++      {0x0385, 0x01},
++      {0x0387, 0x03},
++      {0x0900, 0x01},
++      {0x0901, 0x22},
++      {0x0902, 0x02},
++      {0x3140, 0x02},
++      {0x3c00, 0x00},
++      {0x3c01, 0x01},
++      {0x3c02, 0x9c},
++      {0x3f0d, 0x00},
++      {0x5748, 0x00},
++      {0x5749, 0x00},
++      {0x574a, 0x00},
++      {0x574b, 0xa4},
++      {0x7b75, 0x0e},
++      {0x7b76, 0x09},
++      {0x7b77, 0x08},
++      {0x7b78, 0x06},
++      {0x7b79, 0x34},
++      {0x7b53, 0x00},
++      {0x9369, 0x73},
++      {0x936b, 0x64},
++      {0x936d, 0x5f},
++      {0x9304, 0x03},
++      {0x9305, 0x80},
++      {0x9e9a, 0x3f},
++      {0x9e9b, 0x3f},
++      {0x9e9c, 0x3f},
++      {0x9e9d, 0x27},
++      {0x9e9e, 0x27},
++      {0x9e9f, 0x27},
++      {0xa2a9, 0x27},
++      {0xa2b7, 0x03},
++      {0x0401, 0x01},
++      {0x0404, 0x00},
++      {0x0405, 0x20},
++      {0x0408, 0x00},
++      {0x0409, 0x00},
++      {0x040a, 0x00},
++      {0x040b, 0x00},
++      {0x040c, 0x07},
++      {0x040d, 0xea},
++      {0x040e, 0x02},
++      {0x040f, 0xf8},
++      {0x034c, 0x03},
++      {0x034d, 0xf4},
++      {0x034e, 0x02},
++      {0x034f, 0xf8},
++      {0x0301, 0x05},
++      {0x0303, 0x02},
++      {0x0305, 0x02},
++      {0x0306, 0x00},
++      {0x0307, 0xaf},
++      {0x0309, 0x0a},
++      {0x030b, 0x02},
++      {0x030d, 0x02},
++      {0x030e, 0x00},
++      {0x030f, 0x96},
++      {0x0310, 0x01},
++      {0x0820, 0x07},
++      {0x0821, 0x08},
++      {0x0822, 0x00},
++      {0x0823, 0x00},
++      {0x080a, 0x00},
++      {0x080b, 0x6f},
++      {0x080c, 0x00},
++      {0x080d, 0x3f},
++      {0x080e, 0x00},
++      {0x080f, 0xff},
++      {0x0810, 0x00},
++      {0x0811, 0x4f},
++      {0x0812, 0x00},
++      {0x0813, 0x47},
++      {0x0814, 0x00},
++      {0x0815, 0x37},
++      {0x0816, 0x00},
++      {0x0817, 0xe7},
++      {0x0818, 0x00},
++      {0x0819, 0x2f},
++      {0xe04c, 0x00},
++      {0xe04d, 0x5f},
++      {0xe04e, 0x00},
++      {0xe04f, 0x1f},
++      {0x3e20, 0x01},
++      {0x3e37, 0x00},
++      {0x3f50, 0x00},
++      {0x3f56, 0x00},
++      {0x3f57, 0x96},
++};
++
++/* Mode configs */
++static const struct imx477_mode supported_modes_12bit[] = {
++      {
++              /* 12MPix 10fps mode */
++              .width = 4056,
++              .height = 3040,
++              .line_length_pix = 0x5dc0,
++              .crop = {
++                      .left = 0,
++                      .top = 0,
++                      .width = 4056,
++                      .height = 3040,
++              },
++              .timeperframe_min = {
++                      .numerator = 100,
++                      .denominator = 1000
++              },
++              .timeperframe_default = {
++                      .numerator = 100,
++                      .denominator = 1000
++              },
++              .reg_list = {
++                      .num_of_regs = ARRAY_SIZE(mode_4056x3040_regs),
++                      .regs = mode_4056x3040_regs,
++              },
++      },
++      {
++              /* 2x2 binned 40fps mode */
++              .width = 2028,
++              .height = 1520,
++              .line_length_pix = 0x31c4,
++              .crop = {
++                      .left = 0,
++                      .top = 0,
++                      .width = 4056,
++                      .height = 3040,
++              },
++              .timeperframe_min = {
++                      .numerator = 100,
++                      .denominator = 4000
++              },
++              .timeperframe_default = {
++                      .numerator = 100,
++                      .denominator = 3000
++              },
++              .reg_list = {
++                      .num_of_regs = ARRAY_SIZE(mode_2028x1520_regs),
++                      .regs = mode_2028x1520_regs,
++              },
++      },
++      {
++              /* 1080p 50fps cropped mode */
++              .width = 2028,
++              .height = 1080,
++              .line_length_pix = 0x31c4,
++              .crop = {
++                      .left = 0,
++                      .top = 440,
++                      .width = 4056,
++                      .height = 2600,
++              },
++              .timeperframe_min = {
++                      .numerator = 100,
++                      .denominator = 5000
++              },
++              .timeperframe_default = {
++                      .numerator = 100,
++                      .denominator = 3000
++              },
++              .reg_list = {
++                      .num_of_regs = ARRAY_SIZE(mode_2028x1080_regs),
++                      .regs = mode_2028x1080_regs,
++              },
++      }
++};
++
++static const struct imx477_mode supported_modes_10bit[] = {
++      {
++              /* 720P 120fps. 4x4 binned */
++              .width = 1012,
++              .height = 760,
++              .line_length_pix = 0x1460,
++              .crop = {
++                      /*
++                       * FIXME: the analog crop rectangle is actually
++                       * programmed with a horizontal displacement of 0
++                       * pixels, not 4. It gets shrunk after going through
++                       * the scaler. Move this information to the compose
++                       * rectangle once the driver is expanded to represent
++                       * its processing blocks with multiple subdevs.
++                       */
++                      .left = 4,
++                      .top = 0,
++                      .width = 4052,
++                      .height = 3040,
++              },
++              .timeperframe_min = {
++                      .numerator = 100,
++                      .denominator = 12000
++              },
++              .timeperframe_default = {
++                      .numerator = 100,
++                      .denominator = 60000
++              },
++              .reg_list = {
++                      .num_of_regs = ARRAY_SIZE(mode_1012x760_regs),
++                      .regs = mode_1012x760_regs,
++              }
++      }
++};
++
++/*
++ * The supported formats.
++ * This table MUST contain 4 entries per format, to cover the various flip
++ * combinations in the order
++ * - no flip
++ * - h flip
++ * - v flip
++ * - h&v flips
++ */
++static const u32 codes[] = {
++      /* 12-bit modes. */
++      MEDIA_BUS_FMT_SRGGB12_1X12,
++      MEDIA_BUS_FMT_SGRBG12_1X12,
++      MEDIA_BUS_FMT_SGBRG12_1X12,
++      MEDIA_BUS_FMT_SBGGR12_1X12,
++      /* 10-bit modes. */
++      MEDIA_BUS_FMT_SRGGB10_1X10,
++      MEDIA_BUS_FMT_SGRBG10_1X10,
++      MEDIA_BUS_FMT_SGBRG10_1X10,
++      MEDIA_BUS_FMT_SBGGR10_1X10,
++};
++
++static const char * const imx477_test_pattern_menu[] = {
++      "Disabled",
++      "Color Bars",
++      "Solid Color",
++      "Grey Color Bars",
++      "PN9"
++};
++
++static const int imx477_test_pattern_val[] = {
++      IMX477_TEST_PATTERN_DISABLE,
++      IMX477_TEST_PATTERN_COLOR_BARS,
++      IMX477_TEST_PATTERN_SOLID_COLOR,
++      IMX477_TEST_PATTERN_GREY_COLOR,
++      IMX477_TEST_PATTERN_PN9,
++};
++
++/* regulator supplies */
++static const char * const imx477_supply_name[] = {
++      /* Supplies can be enabled in any order */
++      "VANA",  /* Analog (2.8V) supply */
++      "VDIG",  /* Digital Core (1.05V) supply */
++      "VDDL",  /* IF (1.8V) supply */
++};
++
++#define IMX477_NUM_SUPPLIES ARRAY_SIZE(imx477_supply_name)
++
++/*
++ * Initialisation delay between XCLR low->high and the moment when the sensor
++ * can start capture (i.e. can leave software standby), given by T7 in the
++ * datasheet is 8ms.  This does include I2C setup time as well.
++ *
++ * Note, that delay between XCLR low->high and reading the CCI ID register (T6
++ * in the datasheet) is much smaller - 600us.
++ */
++#define IMX477_XCLR_MIN_DELAY_US      8000
++#define IMX477_XCLR_DELAY_RANGE_US    1000
++
++struct imx477 {
++      struct v4l2_subdev sd;
++      struct media_pad pad[NUM_PADS];
++
++      struct v4l2_mbus_framefmt fmt;
++
++      struct clk *xclk;
++      u32 xclk_freq;
++
++      struct gpio_desc *reset_gpio;
++      struct regulator_bulk_data supplies[IMX477_NUM_SUPPLIES];
++
++      struct v4l2_ctrl_handler ctrl_handler;
++      /* V4L2 Controls */
++      struct v4l2_ctrl *pixel_rate;
++      struct v4l2_ctrl *exposure;
++      struct v4l2_ctrl *vflip;
++      struct v4l2_ctrl *hflip;
++      struct v4l2_ctrl *vblank;
++      struct v4l2_ctrl *hblank;
++
++      /* Current mode */
++      const struct imx477_mode *mode;
++
++      /*
++       * Mutex for serialized access:
++       * Protect sensor module set pad format and start/stop streaming safely.
++       */
++      struct mutex mutex;
++
++      /* Streaming on/off */
++      bool streaming;
++
++      /* Rewrite common registers on stream on? */
++      bool common_regs_written;
++};
++
++static inline struct imx477 *to_imx477(struct v4l2_subdev *_sd)
++{
++      return container_of(_sd, struct imx477, sd);
++}
++
++static inline void get_mode_table(unsigned int code,
++                                const struct imx477_mode **mode_list,
++                                unsigned int *num_modes)
++{
++      switch (code) {
++      /* 12-bit */
++      case MEDIA_BUS_FMT_SRGGB12_1X12:
++      case MEDIA_BUS_FMT_SGRBG12_1X12:
++      case MEDIA_BUS_FMT_SGBRG12_1X12:
++      case MEDIA_BUS_FMT_SBGGR12_1X12:
++              *mode_list = supported_modes_12bit;
++              *num_modes = ARRAY_SIZE(supported_modes_12bit);
++              break;
++      /* 10-bit */
++      case MEDIA_BUS_FMT_SRGGB10_1X10:
++      case MEDIA_BUS_FMT_SGRBG10_1X10:
++      case MEDIA_BUS_FMT_SGBRG10_1X10:
++      case MEDIA_BUS_FMT_SBGGR10_1X10:
++              *mode_list = supported_modes_10bit;
++              *num_modes = ARRAY_SIZE(supported_modes_10bit);
++              break;
++      default:
++              *mode_list = NULL;
++              *num_modes = 0;
++      }
++}
++
++/* Read registers up to 2 at a time */
++static int imx477_read_reg(struct imx477 *imx477, u16 reg, u32 len, u32 *val)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
++      struct i2c_msg msgs[2];
++      u8 addr_buf[2] = { reg >> 8, reg & 0xff };
++      u8 data_buf[4] = { 0, };
++      int ret;
++
++      if (len > 4)
++              return -EINVAL;
++
++      /* Write register address */
++      msgs[0].addr = client->addr;
++      msgs[0].flags = 0;
++      msgs[0].len = ARRAY_SIZE(addr_buf);
++      msgs[0].buf = addr_buf;
++
++      /* Read data from register */
++      msgs[1].addr = client->addr;
++      msgs[1].flags = I2C_M_RD;
++      msgs[1].len = len;
++      msgs[1].buf = &data_buf[4 - len];
++
++      ret = i2c_transfer(client->adapter, msgs, ARRAY_SIZE(msgs));
++      if (ret != ARRAY_SIZE(msgs))
++              return -EIO;
++
++      *val = get_unaligned_be32(data_buf);
++
++      return 0;
++}
++
++/* Write registers up to 2 at a time */
++static int imx477_write_reg(struct imx477 *imx477, u16 reg, u32 len, u32 val)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
++      u8 buf[6];
++
++      if (len > 4)
++              return -EINVAL;
++
++      put_unaligned_be16(reg, buf);
++      put_unaligned_be32(val << (8 * (4 - len)), buf + 2);
++      if (i2c_master_send(client, buf, len + 2) != len + 2)
++              return -EIO;
++
++      return 0;
++}
++
++/* Write a list of registers */
++static int imx477_write_regs(struct imx477 *imx477,
++                           const struct imx477_reg *regs, u32 len)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
++      unsigned int i;
++      int ret;
++
++      for (i = 0; i < len; i++) {
++              ret = imx477_write_reg(imx477, regs[i].address, 1, regs[i].val);
++              if (ret) {
++                      dev_err_ratelimited(&client->dev,
++                                          "Failed to write reg 0x%4.4x. error = %d\n",
++                                          regs[i].address, ret);
++
++                      return ret;
++              }
++      }
++
++      return 0;
++}
++
++/* Get bayer order based on flip setting. */
++static u32 imx477_get_format_code(struct imx477 *imx477, u32 code)
++{
++      unsigned int i;
++
++      lockdep_assert_held(&imx477->mutex);
++
++      for (i = 0; i < ARRAY_SIZE(codes); i++)
++              if (codes[i] == code)
++                      break;
++
++      if (i >= ARRAY_SIZE(codes))
++              i = 0;
++
++      i = (i & ~3) | (imx477->vflip->val ? 2 : 0) |
++          (imx477->hflip->val ? 1 : 0);
++
++      return codes[i];
++}
++
++static void imx477_set_default_format(struct imx477 *imx477)
++{
++      struct v4l2_mbus_framefmt *fmt = &imx477->fmt;
++
++      /* Set default mode to max resolution */
++      imx477->mode = &supported_modes_12bit[0];
++
++      fmt->code = MEDIA_BUS_FMT_SRGGB12_1X12;
++      fmt->colorspace = V4L2_COLORSPACE_SRGB;
++      fmt->ycbcr_enc = V4L2_MAP_YCBCR_ENC_DEFAULT(fmt->colorspace);
++      fmt->quantization = V4L2_MAP_QUANTIZATION_DEFAULT(true,
++                                                        fmt->colorspace,
++                                                        fmt->ycbcr_enc);
++      fmt->xfer_func = V4L2_MAP_XFER_FUNC_DEFAULT(fmt->colorspace);
++      fmt->width = imx477->mode->width;
++      fmt->height = imx477->mode->height;
++      fmt->field = V4L2_FIELD_NONE;
++}
++
++static int imx477_open(struct v4l2_subdev *sd, struct v4l2_subdev_fh *fh)
++{
++      struct imx477 *imx477 = to_imx477(sd);
++      struct v4l2_mbus_framefmt *try_fmt_img =
++              v4l2_subdev_get_try_format(sd, fh->pad, IMAGE_PAD);
++      struct v4l2_mbus_framefmt *try_fmt_meta =
++              v4l2_subdev_get_try_format(sd, fh->pad, METADATA_PAD);
++      struct v4l2_rect *try_crop;
++
++      mutex_lock(&imx477->mutex);
++
++      /* Initialize try_fmt for the image pad */
++      try_fmt_img->width = supported_modes_12bit[0].width;
++      try_fmt_img->height = supported_modes_12bit[0].height;
++      try_fmt_img->code = imx477_get_format_code(imx477,
++                                                 MEDIA_BUS_FMT_SRGGB12_1X12);
++      try_fmt_img->field = V4L2_FIELD_NONE;
++
++      /* Initialize try_fmt for the embedded metadata pad */
++      try_fmt_meta->width = IMX477_EMBEDDED_LINE_WIDTH;
++      try_fmt_meta->height = IMX477_NUM_EMBEDDED_LINES;
++      try_fmt_meta->code = MEDIA_BUS_FMT_SENSOR_DATA;
++      try_fmt_meta->field = V4L2_FIELD_NONE;
++
++      /* Initialize try_crop */
++      try_crop = v4l2_subdev_get_try_crop(sd, fh->pad, IMAGE_PAD);
++      try_crop->left = IMX477_PIXEL_ARRAY_LEFT;
++      try_crop->top = IMX477_PIXEL_ARRAY_TOP;
++      try_crop->width = IMX477_PIXEL_ARRAY_WIDTH;
++      try_crop->height = IMX477_PIXEL_ARRAY_HEIGHT;
++
++      mutex_unlock(&imx477->mutex);
++
++      return 0;
++}
++
++static int imx477_set_ctrl(struct v4l2_ctrl *ctrl)
++{
++      struct imx477 *imx477 =
++              container_of(ctrl->handler, struct imx477, ctrl_handler);
++      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
++      int ret = 0;
++
++      if (ctrl->id == V4L2_CID_VBLANK) {
++              int exposure_max, exposure_def;
++
++              /* Update max exposure while meeting expected vblanking */
++              exposure_max = imx477->mode->height + ctrl->val -
++                                                      IMX477_EXPOSURE_OFFSET;
++              exposure_def = min(exposure_max, imx477->exposure->val);
++              __v4l2_ctrl_modify_range(imx477->exposure,
++                                       imx477->exposure->minimum,
++                                       exposure_max, imx477->exposure->step,
++                                       exposure_def);
++      }
++
++      /*
++       * Applying V4L2 control value only happens
++       * when power is up for streaming
++       */
++      if (pm_runtime_get_if_in_use(&client->dev) == 0)
++              return 0;
++
++      switch (ctrl->id) {
++      case V4L2_CID_ANALOGUE_GAIN:
++              ret = imx477_write_reg(imx477, IMX477_REG_ANALOG_GAIN,
++                                     IMX477_REG_VALUE_16BIT, ctrl->val);
++              break;
++      case V4L2_CID_EXPOSURE:
++              ret = imx477_write_reg(imx477, IMX477_REG_EXPOSURE,
++                                     IMX477_REG_VALUE_16BIT, ctrl->val);
++              break;
++      case V4L2_CID_DIGITAL_GAIN:
++              ret = imx477_write_reg(imx477, IMX477_REG_DIGITAL_GAIN,
++                                     IMX477_REG_VALUE_16BIT, ctrl->val);
++              break;
++      case V4L2_CID_TEST_PATTERN:
++              ret = imx477_write_reg(imx477, IMX477_REG_TEST_PATTERN,
++                                     IMX477_REG_VALUE_16BIT,
++                                     imx477_test_pattern_val[ctrl->val]);
++              break;
++      case V4L2_CID_TEST_PATTERN_RED:
++              ret = imx477_write_reg(imx477, IMX477_REG_TEST_PATTERN_R,
++                                     IMX477_REG_VALUE_16BIT, ctrl->val);
++              break;
++      case V4L2_CID_TEST_PATTERN_GREENR:
++              ret = imx477_write_reg(imx477, IMX477_REG_TEST_PATTERN_GR,
++                                     IMX477_REG_VALUE_16BIT, ctrl->val);
++              break;
++      case V4L2_CID_TEST_PATTERN_BLUE:
++              ret = imx477_write_reg(imx477, IMX477_REG_TEST_PATTERN_B,
++                                     IMX477_REG_VALUE_16BIT, ctrl->val);
++              break;
++      case V4L2_CID_TEST_PATTERN_GREENB:
++              ret = imx477_write_reg(imx477, IMX477_REG_TEST_PATTERN_GB,
++                                     IMX477_REG_VALUE_16BIT, ctrl->val);
++              break;
++      case V4L2_CID_HFLIP:
++      case V4L2_CID_VFLIP:
++              ret = imx477_write_reg(imx477, IMX477_REG_ORIENTATION, 1,
++                                     imx477->hflip->val |
++                                     imx477->vflip->val << 1);
++              break;
++      case V4L2_CID_VBLANK:
++              ret = imx477_write_reg(imx477, IMX477_REG_FRAME_LENGTH,
++                                     IMX477_REG_VALUE_16BIT,
++                                     imx477->mode->height + ctrl->val);
++              break;
++      default:
++              dev_info(&client->dev,
++                       "ctrl(id:0x%x,val:0x%x) is not handled\n",
++                       ctrl->id, ctrl->val);
++              ret = -EINVAL;
++              break;
++      }
++
++      pm_runtime_put(&client->dev);
++
++      return ret;
++}
++
++static const struct v4l2_ctrl_ops imx477_ctrl_ops = {
++      .s_ctrl = imx477_set_ctrl,
++};
++
++static int imx477_enum_mbus_code(struct v4l2_subdev *sd,
++                               struct v4l2_subdev_pad_config *cfg,
++                               struct v4l2_subdev_mbus_code_enum *code)
++{
++      struct imx477 *imx477 = to_imx477(sd);
++
++      if (code->pad >= NUM_PADS)
++              return -EINVAL;
++
++      if (code->pad == IMAGE_PAD) {
++              if (code->index >= (ARRAY_SIZE(codes) / 4))
++                      return -EINVAL;
++
++              code->code = imx477_get_format_code(imx477,
++                                                  codes[code->index * 4]);
++      } else {
++              if (code->index > 0)
++                      return -EINVAL;
++
++              code->code = MEDIA_BUS_FMT_SENSOR_DATA;
++      }
++
++      return 0;
++}
++
++static int imx477_enum_frame_size(struct v4l2_subdev *sd,
++                                struct v4l2_subdev_pad_config *cfg,
++                                struct v4l2_subdev_frame_size_enum *fse)
++{
++      struct imx477 *imx477 = to_imx477(sd);
++
++      if (fse->pad >= NUM_PADS)
++              return -EINVAL;
++
++      if (fse->pad == IMAGE_PAD) {
++              const struct imx477_mode *mode_list;
++              unsigned int num_modes;
++
++              get_mode_table(fse->code, &mode_list, &num_modes);
++
++              if (fse->index >= num_modes)
++                      return -EINVAL;
++
++              if (fse->code != imx477_get_format_code(imx477, fse->code))
++                      return -EINVAL;
++
++              fse->min_width = mode_list[fse->index].width;
++              fse->max_width = fse->min_width;
++              fse->min_height = mode_list[fse->index].height;
++              fse->max_height = fse->min_height;
++      } else {
++              if (fse->code != MEDIA_BUS_FMT_SENSOR_DATA || fse->index > 0)
++                      return -EINVAL;
++
++              fse->min_width = IMX477_EMBEDDED_LINE_WIDTH;
++              fse->max_width = fse->min_width;
++              fse->min_height = IMX477_NUM_EMBEDDED_LINES;
++              fse->max_height = fse->min_height;
++      }
++
++      return 0;
++}
++
++static void imx477_reset_colorspace(struct v4l2_mbus_framefmt *fmt)
++{
++      fmt->colorspace = V4L2_COLORSPACE_SRGB;
++      fmt->ycbcr_enc = V4L2_MAP_YCBCR_ENC_DEFAULT(fmt->colorspace);
++      fmt->quantization = V4L2_MAP_QUANTIZATION_DEFAULT(true,
++                                                        fmt->colorspace,
++                                                        fmt->ycbcr_enc);
++      fmt->xfer_func = V4L2_MAP_XFER_FUNC_DEFAULT(fmt->colorspace);
++}
++
++static void imx477_update_image_pad_format(struct imx477 *imx477,
++                                         const struct imx477_mode *mode,
++                                         struct v4l2_subdev_format *fmt)
++{
++      fmt->format.width = mode->width;
++      fmt->format.height = mode->height;
++      fmt->format.field = V4L2_FIELD_NONE;
++      imx477_reset_colorspace(&fmt->format);
++}
++
++static void imx477_update_metadata_pad_format(struct v4l2_subdev_format *fmt)
++{
++      fmt->format.width = IMX477_EMBEDDED_LINE_WIDTH;
++      fmt->format.height = IMX477_NUM_EMBEDDED_LINES;
++      fmt->format.code = MEDIA_BUS_FMT_SENSOR_DATA;
++      fmt->format.field = V4L2_FIELD_NONE;
++}
++
++static int imx477_get_pad_format(struct v4l2_subdev *sd,
++                               struct v4l2_subdev_pad_config *cfg,
++                               struct v4l2_subdev_format *fmt)
++{
++      struct imx477 *imx477 = to_imx477(sd);
++
++      if (fmt->pad >= NUM_PADS)
++              return -EINVAL;
++
++      mutex_lock(&imx477->mutex);
++
++      if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
++              struct v4l2_mbus_framefmt *try_fmt =
++                      v4l2_subdev_get_try_format(&imx477->sd, cfg, fmt->pad);
++              /* update the code which could change due to vflip or hflip: */
++              try_fmt->code = fmt->pad == IMAGE_PAD ?
++                              imx477_get_format_code(imx477, try_fmt->code) :
++                              MEDIA_BUS_FMT_SENSOR_DATA;
++              fmt->format = *try_fmt;
++      } else {
++              if (fmt->pad == IMAGE_PAD) {
++                      imx477_update_image_pad_format(imx477, imx477->mode,
++                                                     fmt);
++                      fmt->format.code =
++                             imx477_get_format_code(imx477, imx477->fmt.code);
++              } else {
++                      imx477_update_metadata_pad_format(fmt);
++              }
++      }
++
++      mutex_unlock(&imx477->mutex);
++      return 0;
++}
++
++static
++unsigned int imx477_get_frame_length(const struct imx477_mode *mode,
++                                   const struct v4l2_fract *timeperframe)
++{
++      u64 frame_length;
++
++      frame_length = (u64)timeperframe->numerator * IMX477_PIXEL_RATE;
++      do_div(frame_length,
++             (u64)timeperframe->denominator * mode->line_length_pix);
++
++      if (WARN_ON(frame_length > IMX477_FRAME_LENGTH_MAX))
++              frame_length = IMX477_FRAME_LENGTH_MAX;
++
++      return max_t(unsigned int, frame_length, mode->height);
++}
++
++static void imx477_set_framing_limits(struct imx477 *imx477)
++{
++      const struct imx477_mode *mode = imx477->mode;
++      unsigned int frm_length_min, frm_length_default;
++      unsigned int exposure_max, exposure_def, hblank;
++
++      frm_length_min = imx477_get_frame_length(mode, &mode->timeperframe_min);
++      frm_length_default =
++                   imx477_get_frame_length(mode, &mode->timeperframe_default);
++
++      /* Update limits and set FPS to default */
++      __v4l2_ctrl_modify_range(imx477->vblank, frm_length_min - mode->height,
++                               IMX477_FRAME_LENGTH_MAX - mode->height,
++                               1, frm_length_default - mode->height);
++      __v4l2_ctrl_s_ctrl(imx477->vblank, frm_length_default - mode->height);
++
++      /* Update max exposure while meeting expected vblanking */
++      exposure_max = IMX477_FRAME_LENGTH_MAX - IMX477_EXPOSURE_OFFSET;
++      exposure_def = frm_length_default - mode->height -
++                                          IMX477_EXPOSURE_OFFSET;
++      __v4l2_ctrl_modify_range(imx477->exposure, imx477->exposure->minimum,
++                               exposure_max, imx477->exposure->step,
++                               exposure_def);
++      /*
++       * Currently PPL is fixed to the mode specified value, so hblank
++       * depends on mode->width only, and is not changeable in any
++       * way other than changing the mode.
++       */
++      hblank = mode->line_length_pix - mode->width;
++      __v4l2_ctrl_modify_range(imx477->hblank, hblank, hblank, 1, hblank);
++}
++
++static int imx477_set_pad_format(struct v4l2_subdev *sd,
++                               struct v4l2_subdev_pad_config *cfg,
++                               struct v4l2_subdev_format *fmt)
++{
++      struct v4l2_mbus_framefmt *framefmt;
++      const struct imx477_mode *mode;
++      struct imx477 *imx477 = to_imx477(sd);
++
++      if (fmt->pad >= NUM_PADS)
++              return -EINVAL;
++
++      mutex_lock(&imx477->mutex);
++
++      if (fmt->pad == IMAGE_PAD) {
++              const struct imx477_mode *mode_list;
++              unsigned int num_modes;
++
++              /* Bayer order varies with flips */
++              fmt->format.code = imx477_get_format_code(imx477,
++                                                        fmt->format.code);
++
++              get_mode_table(fmt->format.code, &mode_list, &num_modes);
++
++              mode = v4l2_find_nearest_size(mode_list,
++                                            num_modes,
++                                            width, height,
++                                            fmt->format.width,
++                                            fmt->format.height);
++              imx477_update_image_pad_format(imx477, mode, fmt);
++              if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
++                      framefmt = v4l2_subdev_get_try_format(sd, cfg,
++                                                            fmt->pad);
++                      *framefmt = fmt->format;
++              } else {
++                      imx477->mode = mode;
++                      imx477_set_framing_limits(imx477);
++              }
++      } else {
++              if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
++                      framefmt = v4l2_subdev_get_try_format(sd, cfg,
++                                                            fmt->pad);
++                      *framefmt = fmt->format;
++              } else {
++                      /* Only one embedded data mode is supported */
++                      imx477_update_metadata_pad_format(fmt);
++              }
++      }
++
++      mutex_unlock(&imx477->mutex);
++
++      return 0;
++}
++
++static const struct v4l2_rect *
++__imx477_get_pad_crop(struct imx477 *imx477, struct v4l2_subdev_pad_config *cfg,
++                    unsigned int pad, enum v4l2_subdev_format_whence which)
++{
++      switch (which) {
++      case V4L2_SUBDEV_FORMAT_TRY:
++              return v4l2_subdev_get_try_crop(&imx477->sd, cfg, pad);
++      case V4L2_SUBDEV_FORMAT_ACTIVE:
++              return &imx477->mode->crop;
++      }
++
++      return NULL;
++}
++
++static int imx477_get_selection(struct v4l2_subdev *sd,
++                              struct v4l2_subdev_pad_config *cfg,
++                              struct v4l2_subdev_selection *sel)
++{
++      switch (sel->target) {
++      case V4L2_SEL_TGT_CROP: {
++              struct imx477 *imx477 = to_imx477(sd);
++
++              mutex_lock(&imx477->mutex);
++              sel->r = *__imx477_get_pad_crop(imx477, cfg, sel->pad,
++                                              sel->which);
++              mutex_unlock(&imx477->mutex);
++
++              return 0;
++      }
++
++      case V4L2_SEL_TGT_NATIVE_SIZE:
++              sel->r.left = 0;
++              sel->r.top = 0;
++              sel->r.width = IMX477_NATIVE_WIDTH;
++              sel->r.height = IMX477_NATIVE_HEIGHT;
++
++              return 0;
++
++      case V4L2_SEL_TGT_CROP_DEFAULT:
++              sel->r.left = IMX477_PIXEL_ARRAY_LEFT;
++              sel->r.top = IMX477_PIXEL_ARRAY_TOP;
++              sel->r.width = IMX477_PIXEL_ARRAY_WIDTH;
++              sel->r.height = IMX477_PIXEL_ARRAY_HEIGHT;
++
++              return 0;
++      }
++
++      return -EINVAL;
++}
++
++/* Start streaming */
++static int imx477_start_streaming(struct imx477 *imx477)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
++      const struct imx477_reg_list *reg_list;
++      int ret;
++
++      if (!imx477->common_regs_written) {
++              ret = imx477_write_regs(imx477, mode_common_regs,
++                                      ARRAY_SIZE(mode_common_regs));
++              if (ret) {
++                      dev_err(&client->dev, "%s failed to set common settings\n",
++                              __func__);
++                      return ret;
++              }
++              imx477->common_regs_written = true;
++      }
++
++      /* Apply default values of current mode */
++      reg_list = &imx477->mode->reg_list;
++      ret = imx477_write_regs(imx477, reg_list->regs, reg_list->num_of_regs);
++      if (ret) {
++              dev_err(&client->dev, "%s failed to set mode\n", __func__);
++              return ret;
++      }
++
++      /* Apply customized values from user */
++      ret =  __v4l2_ctrl_handler_setup(imx477->sd.ctrl_handler);
++      if (ret)
++              return ret;
++
++      /* set stream on register */
++      return imx477_write_reg(imx477, IMX477_REG_MODE_SELECT,
++                              IMX477_REG_VALUE_08BIT, IMX477_MODE_STREAMING);
++}
++
++/* Stop streaming */
++static void imx477_stop_streaming(struct imx477 *imx477)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
++      int ret;
++
++      /* set stream off register */
++      ret = imx477_write_reg(imx477, IMX477_REG_MODE_SELECT,
++                             IMX477_REG_VALUE_08BIT, IMX477_MODE_STANDBY);
++      if (ret)
++              dev_err(&client->dev, "%s failed to set stream\n", __func__);
++}
++
++static int imx477_set_stream(struct v4l2_subdev *sd, int enable)
++{
++      struct imx477 *imx477 = to_imx477(sd);
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
++      int ret = 0;
++
++      mutex_lock(&imx477->mutex);
++      if (imx477->streaming == enable) {
++              mutex_unlock(&imx477->mutex);
++              return 0;
++      }
++
++      if (enable) {
++              ret = pm_runtime_get_sync(&client->dev);
++              if (ret < 0) {
++                      pm_runtime_put_noidle(&client->dev);
++                      goto err_unlock;
++              }
++
++              /*
++               * Apply default & customized values
++               * and then start streaming.
++               */
++              ret = imx477_start_streaming(imx477);
++              if (ret)
++                      goto err_rpm_put;
++      } else {
++              imx477_stop_streaming(imx477);
++              pm_runtime_put(&client->dev);
++      }
++
++      imx477->streaming = enable;
++
++      /* vflip and hflip cannot change during streaming */
++      __v4l2_ctrl_grab(imx477->vflip, enable);
++      __v4l2_ctrl_grab(imx477->hflip, enable);
++
++      mutex_unlock(&imx477->mutex);
++
++      return ret;
++
++err_rpm_put:
++      pm_runtime_put(&client->dev);
++err_unlock:
++      mutex_unlock(&imx477->mutex);
++
++      return ret;
++}
++
++/* Power/clock management functions */
++static int imx477_power_on(struct device *dev)
++{
++      struct i2c_client *client = to_i2c_client(dev);
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct imx477 *imx477 = to_imx477(sd);
++      int ret;
++
++      ret = regulator_bulk_enable(IMX477_NUM_SUPPLIES,
++                                  imx477->supplies);
++      if (ret) {
++              dev_err(&client->dev, "%s: failed to enable regulators\n",
++                      __func__);
++              return ret;
++      }
++
++      ret = clk_prepare_enable(imx477->xclk);
++      if (ret) {
++              dev_err(&client->dev, "%s: failed to enable clock\n",
++                      __func__);
++              goto reg_off;
++      }
++
++      gpiod_set_value_cansleep(imx477->reset_gpio, 1);
++      usleep_range(IMX477_XCLR_MIN_DELAY_US,
++                   IMX477_XCLR_MIN_DELAY_US + IMX477_XCLR_DELAY_RANGE_US);
++
++      return 0;
++
++reg_off:
++      regulator_bulk_disable(IMX477_NUM_SUPPLIES, imx477->supplies);
++      return ret;
++}
++
++static int imx477_power_off(struct device *dev)
++{
++      struct i2c_client *client = to_i2c_client(dev);
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct imx477 *imx477 = to_imx477(sd);
++
++      gpiod_set_value_cansleep(imx477->reset_gpio, 0);
++      regulator_bulk_disable(IMX477_NUM_SUPPLIES, imx477->supplies);
++      clk_disable_unprepare(imx477->xclk);
++
++      /* Force reprogramming of the common registers when powered up again. */
++      imx477->common_regs_written = false;
++
++      return 0;
++}
++
++static int __maybe_unused imx477_suspend(struct device *dev)
++{
++      struct i2c_client *client = to_i2c_client(dev);
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct imx477 *imx477 = to_imx477(sd);
++
++      if (imx477->streaming)
++              imx477_stop_streaming(imx477);
++
++      return 0;
++}
++
++static int __maybe_unused imx477_resume(struct device *dev)
++{
++      struct i2c_client *client = to_i2c_client(dev);
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct imx477 *imx477 = to_imx477(sd);
++      int ret;
++
++      if (imx477->streaming) {
++              ret = imx477_start_streaming(imx477);
++              if (ret)
++                      goto error;
++      }
++
++      return 0;
++
++error:
++      imx477_stop_streaming(imx477);
++      imx477->streaming = 0;
++      return ret;
++}
++
++static int imx477_get_regulators(struct imx477 *imx477)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
++      unsigned int i;
++
++      for (i = 0; i < IMX477_NUM_SUPPLIES; i++)
++              imx477->supplies[i].supply = imx477_supply_name[i];
++
++      return devm_regulator_bulk_get(&client->dev,
++                                     IMX477_NUM_SUPPLIES,
++                                     imx477->supplies);
++}
++
++/* Verify chip ID */
++static int imx477_identify_module(struct imx477 *imx477)
++{
++      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
++      int ret;
++      u32 val;
++
++      ret = imx477_read_reg(imx477, IMX477_REG_CHIP_ID,
++                            IMX477_REG_VALUE_16BIT, &val);
++      if (ret) {
++              dev_err(&client->dev, "failed to read chip id %x, with error %d\n",
++                      IMX477_CHIP_ID, ret);
++              return ret;
++      }
++
++      if (val != IMX477_CHIP_ID) {
++              dev_err(&client->dev, "chip id mismatch: %x!=%x\n",
++                      IMX477_CHIP_ID, val);
++              ret = -EINVAL;
++      }
++
++      return 0;
++}
++
++static const struct v4l2_subdev_core_ops imx477_core_ops = {
++      .subscribe_event = v4l2_ctrl_subdev_subscribe_event,
++      .unsubscribe_event = v4l2_event_subdev_unsubscribe,
++};
++
++static const struct v4l2_subdev_video_ops imx477_video_ops = {
++      .s_stream = imx477_set_stream,
++};
++
++static const struct v4l2_subdev_pad_ops imx477_pad_ops = {
++      .enum_mbus_code = imx477_enum_mbus_code,
++      .get_fmt = imx477_get_pad_format,
++      .set_fmt = imx477_set_pad_format,
++      .get_selection = imx477_get_selection,
++      .enum_frame_size = imx477_enum_frame_size,
++};
++
++static const struct v4l2_subdev_ops imx477_subdev_ops = {
++      .core = &imx477_core_ops,
++      .video = &imx477_video_ops,
++      .pad = &imx477_pad_ops,
++};
++
++static const struct v4l2_subdev_internal_ops imx477_internal_ops = {
++      .open = imx477_open,
++};
++
++/* Initialize control handlers */
++static int imx477_init_controls(struct imx477 *imx477)
++{
++      struct v4l2_ctrl_handler *ctrl_hdlr;
++      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
++      unsigned int i;
++      int ret;
++
++      ctrl_hdlr = &imx477->ctrl_handler;
++      ret = v4l2_ctrl_handler_init(ctrl_hdlr, 14);
++      if (ret)
++              return ret;
++
++      mutex_init(&imx477->mutex);
++      ctrl_hdlr->lock = &imx477->mutex;
++
++      /* By default, PIXEL_RATE is read only */
++      imx477->pixel_rate = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
++                                             V4L2_CID_PIXEL_RATE,
++                                             IMX477_PIXEL_RATE,
++                                             IMX477_PIXEL_RATE, 1,
++                                             IMX477_PIXEL_RATE);
++
++      /*
++       * Create the controls here, but mode specific limits are setup
++       * in the imx477_set_framing_limits() call below.
++       */
++      imx477->vblank = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
++                                         V4L2_CID_VBLANK, 0, 0xffff, 1, 0);
++      imx477->hblank = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
++                                         V4L2_CID_HBLANK, 0, 0xffff, 1, 0);
++
++      /* HBLANK is read-only for now, but does change with mode. */
++      if (imx477->hblank)
++              imx477->hblank->flags |= V4L2_CTRL_FLAG_READ_ONLY;
++
++      imx477->exposure = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
++                                           V4L2_CID_EXPOSURE,
++                                           IMX477_EXPOSURE_MIN,
++                                           IMX477_EXPOSURE_MAX,
++                                           IMX477_EXPOSURE_STEP,
++                                           IMX477_EXPOSURE_DEFAULT);
++
++      v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops, V4L2_CID_ANALOGUE_GAIN,
++                        IMX477_ANA_GAIN_MIN, IMX477_ANA_GAIN_MAX,
++                        IMX477_ANA_GAIN_STEP, IMX477_ANA_GAIN_DEFAULT);
++
++      v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops, V4L2_CID_DIGITAL_GAIN,
++                        IMX477_DGTL_GAIN_MIN, IMX477_DGTL_GAIN_MAX,
++                        IMX477_DGTL_GAIN_STEP, IMX477_DGTL_GAIN_DEFAULT);
++
++      imx477->hflip = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
++                                        V4L2_CID_HFLIP, 0, 1, 1, 0);
++      if (imx477->hflip)
++              imx477->hflip->flags |= V4L2_CTRL_FLAG_MODIFY_LAYOUT;
++
++      imx477->vflip = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
++                                        V4L2_CID_VFLIP, 0, 1, 1, 0);
++      if (imx477->vflip)
++              imx477->vflip->flags |= V4L2_CTRL_FLAG_MODIFY_LAYOUT;
++
++      v4l2_ctrl_new_std_menu_items(ctrl_hdlr, &imx477_ctrl_ops,
++                                   V4L2_CID_TEST_PATTERN,
++                                   ARRAY_SIZE(imx477_test_pattern_menu) - 1,
++                                   0, 0, imx477_test_pattern_menu);
++      for (i = 0; i < 4; i++) {
++              /*
++               * The assumption is that
++               * V4L2_CID_TEST_PATTERN_GREENR == V4L2_CID_TEST_PATTERN_RED + 1
++               * V4L2_CID_TEST_PATTERN_BLUE   == V4L2_CID_TEST_PATTERN_RED + 2
++               * V4L2_CID_TEST_PATTERN_GREENB == V4L2_CID_TEST_PATTERN_RED + 3
++               */
++              v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
++                                V4L2_CID_TEST_PATTERN_RED + i,
++                                IMX477_TEST_PATTERN_COLOUR_MIN,
++                                IMX477_TEST_PATTERN_COLOUR_MAX,
++                                IMX477_TEST_PATTERN_COLOUR_STEP,
++                                IMX477_TEST_PATTERN_COLOUR_MAX);
++              /* The "Solid color" pattern is white by default */
++      }
++
++      if (ctrl_hdlr->error) {
++              ret = ctrl_hdlr->error;
++              dev_err(&client->dev, "%s control init failed (%d)\n",
++                      __func__, ret);
++              goto error;
++      }
++
++      imx477->sd.ctrl_handler = ctrl_hdlr;
++
++      /* Setup exposure and frame/line length limits. */
++      imx477_set_framing_limits(imx477);
++
++      return 0;
++
++error:
++      v4l2_ctrl_handler_free(ctrl_hdlr);
++      mutex_destroy(&imx477->mutex);
++
++      return ret;
++}
++
++static void imx477_free_controls(struct imx477 *imx477)
++{
++      v4l2_ctrl_handler_free(imx477->sd.ctrl_handler);
++      mutex_destroy(&imx477->mutex);
++}
++
++static int imx477_check_hwcfg(struct device *dev)
++{
++      struct fwnode_handle *endpoint;
++      struct v4l2_fwnode_endpoint ep_cfg = {
++              .bus_type = V4L2_MBUS_CSI2_DPHY
++      };
++      int ret = -EINVAL;
++
++      endpoint = fwnode_graph_get_next_endpoint(dev_fwnode(dev), NULL);
++      if (!endpoint) {
++              dev_err(dev, "endpoint node not found\n");
++              return -EINVAL;
++      }
++
++      if (v4l2_fwnode_endpoint_alloc_parse(endpoint, &ep_cfg)) {
++              dev_err(dev, "could not parse endpoint\n");
++              goto error_out;
++      }
++
++      /* Check the number of MIPI CSI2 data lanes */
++      if (ep_cfg.bus.mipi_csi2.num_data_lanes != 2) {
++              dev_err(dev, "only 2 data lanes are currently supported\n");
++              goto error_out;
++      }
++
++      /* Check the link frequency set in device tree */
++      if (!ep_cfg.nr_of_link_frequencies) {
++              dev_err(dev, "link-frequency property not found in DT\n");
++              goto error_out;
++      }
++
++      if (ep_cfg.nr_of_link_frequencies != 1 ||
++          ep_cfg.link_frequencies[0] != IMX477_DEFAULT_LINK_FREQ) {
++              dev_err(dev, "Link frequency not supported: %lld\n",
++                      ep_cfg.link_frequencies[0]);
++              goto error_out;
++      }
++
++      ret = 0;
++
++error_out:
++      v4l2_fwnode_endpoint_free(&ep_cfg);
++      fwnode_handle_put(endpoint);
++
++      return ret;
++}
++
++static int imx477_probe(struct i2c_client *client)
++{
++      struct device *dev = &client->dev;
++      struct imx477 *imx477;
++      int ret;
++
++      imx477 = devm_kzalloc(&client->dev, sizeof(*imx477), GFP_KERNEL);
++      if (!imx477)
++              return -ENOMEM;
++
++      v4l2_i2c_subdev_init(&imx477->sd, client, &imx477_subdev_ops);
++
++      /* Check the hardware configuration in device tree */
++      if (imx477_check_hwcfg(dev))
++              return -EINVAL;
++
++      /* Get system clock (xclk) */
++      imx477->xclk = devm_clk_get(dev, NULL);
++      if (IS_ERR(imx477->xclk)) {
++              dev_err(dev, "failed to get xclk\n");
++              return PTR_ERR(imx477->xclk);
++      }
++
++      imx477->xclk_freq = clk_get_rate(imx477->xclk);
++      if (imx477->xclk_freq != IMX477_XCLK_FREQ) {
++              dev_err(dev, "xclk frequency not supported: %d Hz\n",
++                      imx477->xclk_freq);
++              return -EINVAL;
++      }
++
++      ret = imx477_get_regulators(imx477);
++      if (ret) {
++              dev_err(dev, "failed to get regulators\n");
++              return ret;
++      }
++
++      /* Request optional enable pin */
++      imx477->reset_gpio = devm_gpiod_get_optional(dev, "reset",
++                                                   GPIOD_OUT_HIGH);
++
++      /*
++       * The sensor must be powered for imx477_identify_module()
++       * to be able to read the CHIP_ID register
++       */
++      ret = imx477_power_on(dev);
++      if (ret)
++              return ret;
++
++      ret = imx477_identify_module(imx477);
++      if (ret)
++              goto error_power_off;
++
++      /* Initialize default format */
++      imx477_set_default_format(imx477);
++
++      /* Enable runtime PM and turn off the device */
++      pm_runtime_set_active(dev);
++      pm_runtime_enable(dev);
++      pm_runtime_idle(dev);
++
++      /* This needs the pm runtime to be registered. */
++      ret = imx477_init_controls(imx477);
++      if (ret)
++              goto error_power_off;
++
++      /* Initialize subdev */
++      imx477->sd.internal_ops = &imx477_internal_ops;
++      imx477->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE |
++                          V4L2_SUBDEV_FL_HAS_EVENTS;
++      imx477->sd.entity.function = MEDIA_ENT_F_CAM_SENSOR;
++
++      /* Initialize source pads */
++      imx477->pad[IMAGE_PAD].flags = MEDIA_PAD_FL_SOURCE;
++      imx477->pad[METADATA_PAD].flags = MEDIA_PAD_FL_SOURCE;
++
++      ret = media_entity_pads_init(&imx477->sd.entity, NUM_PADS, imx477->pad);
++      if (ret) {
++              dev_err(dev, "failed to init entity pads: %d\n", ret);
++              goto error_handler_free;
++      }
++
++      ret = v4l2_async_register_subdev_sensor_common(&imx477->sd);
++      if (ret < 0) {
++              dev_err(dev, "failed to register sensor sub-device: %d\n", ret);
++              goto error_media_entity;
++      }
++
++      return 0;
++
++error_media_entity:
++      media_entity_cleanup(&imx477->sd.entity);
++
++error_handler_free:
++      imx477_free_controls(imx477);
++
++error_power_off:
++      pm_runtime_disable(&client->dev);
++      pm_runtime_set_suspended(&client->dev);
++      imx477_power_off(&client->dev);
++
++      return ret;
++}
++
++static int imx477_remove(struct i2c_client *client)
++{
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct imx477 *imx477 = to_imx477(sd);
++
++      v4l2_async_unregister_subdev(sd);
++      media_entity_cleanup(&sd->entity);
++      imx477_free_controls(imx477);
++
++      pm_runtime_disable(&client->dev);
++      if (!pm_runtime_status_suspended(&client->dev))
++              imx477_power_off(&client->dev);
++      pm_runtime_set_suspended(&client->dev);
++
++      return 0;
++}
++
++static const struct of_device_id imx477_dt_ids[] = {
++      { .compatible = "sony,imx477" },
++      { /* sentinel */ }
++};
++MODULE_DEVICE_TABLE(of, imx477_dt_ids);
++
++static const struct dev_pm_ops imx477_pm_ops = {
++      SET_SYSTEM_SLEEP_PM_OPS(imx477_suspend, imx477_resume)
++      SET_RUNTIME_PM_OPS(imx477_power_off, imx477_power_on, NULL)
++};
++
++static struct i2c_driver imx477_i2c_driver = {
++      .driver = {
++              .name = "imx477",
++              .of_match_table = imx477_dt_ids,
++              .pm = &imx477_pm_ops,
++      },
++      .probe_new = imx477_probe,
++      .remove = imx477_remove,
++};
++
++module_i2c_driver(imx477_i2c_driver);
++
++MODULE_AUTHOR("Naushir Patuck <naush@raspberrypi.com>");
++MODULE_DESCRIPTION("Sony IMX477 sensor driver");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0714-vc4_hdmi-Make-irq-shared.patch b/target/linux/bcm27xx/patches-5.4/950-0714-vc4_hdmi-Make-irq-shared.patch
deleted file mode 100644 (file)
index 4238123..0000000
+++ /dev/null
@@ -1,22 +0,0 @@
-From 45129a4714234396b4725d8898b14875add1874e Mon Sep 17 00:00:00 2001
-From: Dom Cobley <popcornmix@gmail.com>
-Date: Thu, 7 May 2020 18:16:08 +0100
-Subject: [PATCH] vc4_hdmi: Make irq shared
-
-Signed-off-by: Dom Cobley <popcornmix@gmail.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1429,7 +1429,8 @@ static int vc4_hdmi_cec_init(struct vc4_
-       HDMI_WRITE(HDMI_CEC_CNTRL_1, value);
-       ret = devm_request_threaded_irq(&pdev->dev, platform_get_irq(pdev, 0),
-                                       vc4_cec_irq_handler,
--                                      vc4_cec_irq_handler_thread, 0,
-+                                      vc4_cec_irq_handler_thread,
-+                                      IRQF_SHARED,
-                                       "vc4 hdmi cec", vc4_hdmi);
-       if (ret)
-               goto err_delete_cec_adap;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0715-media-i2c-imx477-Add-support-for-adaptive-frame-cont.patch b/target/linux/bcm27xx/patches-5.4/950-0715-media-i2c-imx477-Add-support-for-adaptive-frame-cont.patch
new file mode 100644 (file)
index 0000000..1da5dea
--- /dev/null
@@ -0,0 +1,182 @@
+From 69f1022fc3c155f8cd5cf7dacaf283b1778835f3 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Fri, 8 May 2020 09:41:17 +0100
+Subject: [PATCH] media: i2c: imx477: Add support for adaptive frame
+ control
+
+Use V4L2_CID_EXPOSURE_AUTO_PRIORITY to control if the driver should
+automatically adjust the sensor frame length based on exposure time,
+allowing variable frame rates and longer exposures.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/i2c/imx477.c | 113 +++++++++++++++++++++++++++++--------
+ 1 file changed, 91 insertions(+), 22 deletions(-)
+
+--- a/drivers/media/i2c/imx477.c
++++ b/drivers/media/i2c/imx477.c
+@@ -1082,6 +1082,8 @@ struct imx477 {
+       struct v4l2_ctrl *hflip;
+       struct v4l2_ctrl *vblank;
+       struct v4l2_ctrl *hblank;
++      /* This ctrl allows automatic variable framerate */
++      struct v4l2_ctrl *exposure_auto;
+       /* Current mode */
+       const struct imx477_mode *mode;
+@@ -1278,6 +1280,72 @@ static int imx477_open(struct v4l2_subde
+       return 0;
+ }
++static int imx477_set_exposure(struct imx477 *imx477, unsigned int val)
++{
++      int ret;
++
++      ret = imx477_write_reg(imx477, IMX477_REG_EXPOSURE,
++                             IMX477_REG_VALUE_16BIT, val);
++
++      /* Setup the frame length in the case of auto framerate mode. */
++      if (imx477->exposure_auto->val) {
++              unsigned int frame_length, frame_length_max, frame_length_min;
++
++              frame_length_min = imx477->vblank->minimum +
++                                 imx477->mode->height;
++              frame_length_max = imx477->vblank->maximum +
++                                 imx477->mode->height;
++              frame_length = max(frame_length_min,
++                                 val + IMX477_EXPOSURE_OFFSET);
++              frame_length = min(frame_length_max, frame_length);
++              ret += imx477_write_reg(imx477, IMX477_REG_FRAME_LENGTH,
++                                      IMX477_REG_VALUE_16BIT, frame_length);
++      }
++
++      return ret;
++}
++
++static void imx477_adjust_exposure_range(struct imx477 *imx477,
++                                       struct v4l2_ctrl *ctrl)
++{
++      int exposure_max, exposure_def;
++
++      if (ctrl->id == V4L2_CID_VBLANK || !ctrl->val) {
++              /*
++               * Either VBLANK has been changed or auto framerate
++               * adjusting has been disabled. Honour the VBLANK limits
++               * when setting exposure.
++               */
++              exposure_max = imx477->mode->height + imx477->vblank->val -
++                                                    IMX477_EXPOSURE_OFFSET;
++
++              if (ctrl->id == V4L2_CID_EXPOSURE_AUTO_PRIORITY) {
++                      /*
++                       * Allow VBLANK adjustments since the driver is not
++                       * handling frame length control automatically.
++                       */
++                      __v4l2_ctrl_grab(imx477->vblank, false);
++              }
++      } else {
++              /*
++               * Auto framerate adjusting has been enabled. VBLANK
++               * ctrl has been disabled and exposure can ramp up
++               * to the maximum allowable value.
++               */
++              exposure_max = IMX477_EXPOSURE_MAX;
++              /*
++               * Do not allow VBLANK adjustments if the driver is
++               * handling it frame length control automatically.
++               */
++              __v4l2_ctrl_grab(imx477->vblank, true);
++      }
++
++      exposure_def = min(exposure_max, imx477->exposure->val);
++      __v4l2_ctrl_modify_range(imx477->exposure, imx477->exposure->minimum,
++                               exposure_max, imx477->exposure->step,
++                               exposure_def);
++}
++
+ static int imx477_set_ctrl(struct v4l2_ctrl *ctrl)
+ {
+       struct imx477 *imx477 =
+@@ -1285,17 +1353,13 @@ static int imx477_set_ctrl(struct v4l2_c
+       struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
+       int ret = 0;
+-      if (ctrl->id == V4L2_CID_VBLANK) {
+-              int exposure_max, exposure_def;
+-
+-              /* Update max exposure while meeting expected vblanking */
+-              exposure_max = imx477->mode->height + ctrl->val -
+-                                                      IMX477_EXPOSURE_OFFSET;
+-              exposure_def = min(exposure_max, imx477->exposure->val);
+-              __v4l2_ctrl_modify_range(imx477->exposure,
+-                                       imx477->exposure->minimum,
+-                                       exposure_max, imx477->exposure->step,
+-                                       exposure_def);
++      if (ctrl->id == V4L2_CID_VBLANK ||
++          ctrl->id == V4L2_CID_EXPOSURE_AUTO_PRIORITY) {
++              /*
++               * These controls may change the limits of usable exposure,
++               * so check and adjust if necessary.
++               */
++              imx477_adjust_exposure_range(imx477, ctrl);
+       }
+       /*
+@@ -1311,8 +1375,14 @@ static int imx477_set_ctrl(struct v4l2_c
+                                      IMX477_REG_VALUE_16BIT, ctrl->val);
+               break;
+       case V4L2_CID_EXPOSURE:
+-              ret = imx477_write_reg(imx477, IMX477_REG_EXPOSURE,
+-                                     IMX477_REG_VALUE_16BIT, ctrl->val);
++              ret = imx477_set_exposure(imx477, ctrl->val);
++              break;
++      case V4L2_CID_EXPOSURE_AUTO_PRIORITY:
++              /*
++               * imx477_set_exposure() will recalculate the frame length
++               * to adjust the framerate to match the exposure.
++               */
++              ret = imx477_set_exposure(imx477, imx477->exposure->val);
+               break;
+       case V4L2_CID_DIGITAL_GAIN:
+               ret = imx477_write_reg(imx477, IMX477_REG_DIGITAL_GAIN,
+@@ -1510,9 +1580,8 @@ unsigned int imx477_get_frame_length(con
+ static void imx477_set_framing_limits(struct imx477 *imx477)
+ {
++      unsigned int frm_length_min, frm_length_default, hblank;
+       const struct imx477_mode *mode = imx477->mode;
+-      unsigned int frm_length_min, frm_length_default;
+-      unsigned int exposure_max, exposure_def, hblank;
+       frm_length_min = imx477_get_frame_length(mode, &mode->timeperframe_min);
+       frm_length_default =
+@@ -1522,15 +1591,10 @@ static void imx477_set_framing_limits(st
+       __v4l2_ctrl_modify_range(imx477->vblank, frm_length_min - mode->height,
+                                IMX477_FRAME_LENGTH_MAX - mode->height,
+                                1, frm_length_default - mode->height);
++
++      /* Setting this will adjust the exposure limits as well. */
+       __v4l2_ctrl_s_ctrl(imx477->vblank, frm_length_default - mode->height);
+-      /* Update max exposure while meeting expected vblanking */
+-      exposure_max = IMX477_FRAME_LENGTH_MAX - IMX477_EXPOSURE_OFFSET;
+-      exposure_def = frm_length_default - mode->height -
+-                                          IMX477_EXPOSURE_OFFSET;
+-      __v4l2_ctrl_modify_range(imx477->exposure, imx477->exposure->minimum,
+-                               exposure_max, imx477->exposure->step,
+-                               exposure_def);
+       /*
+        * Currently PPL is fixed to the mode specified value, so hblank
+        * depends on mode->width only, and is not changeable in any
+@@ -1939,6 +2003,11 @@ static int imx477_init_controls(struct i
+                         IMX477_DGTL_GAIN_MIN, IMX477_DGTL_GAIN_MAX,
+                         IMX477_DGTL_GAIN_STEP, IMX477_DGTL_GAIN_DEFAULT);
++      imx477->exposure_auto =
++                      v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
++                                        V4L2_CID_EXPOSURE_AUTO_PRIORITY,
++                                        0, 1, 1, 0);
++
+       imx477->hflip = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
+                                         V4L2_CID_HFLIP, 0, 1, 1, 0);
+       if (imx477->hflip)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0715-vc4_hdmi-Adjust-CEC-ref-clock-based-on-its-input-clo.patch b/target/linux/bcm27xx/patches-5.4/950-0715-vc4_hdmi-Adjust-CEC-ref-clock-based-on-its-input-clo.patch
deleted file mode 100644 (file)
index f6145e4..0000000
+++ /dev/null
@@ -1,89 +0,0 @@
-From 32e84f4f525e2a0d7dc021b5795df34407096b0e Mon Sep 17 00:00:00 2001
-From: Dom Cobley <popcornmix@gmail.com>
-Date: Thu, 7 May 2020 18:16:08 +0100
-Subject: [PATCH] vc4_hdmi: Adjust CEC ref clock based on its input
- clock
-
-2711 uses a fixed 27MHz input, earlier models use the HSM clock
-
-Signed-off-by: Dom Cobley <popcornmix@gmail.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 11 ++++++++---
- drivers/gpu/drm/vc4/vc4_hdmi.h |  3 +++
- 2 files changed, 11 insertions(+), 3 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -79,6 +79,7 @@
- # define VC4_HD_M_ENABLE                      BIT(0)
- #define CEC_CLOCK_FREQ 40000
-+#define VC4_HSM_CLOCK 163682864
- static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
- {
-@@ -755,8 +756,7 @@ static u32 vc4_hdmi_calc_hsm_clock(struc
-        * needs to be a bit higher than the pixel clock rate
-        * (generally 148.5Mhz).
-        */
--
--      return 163682864;
-+      return VC4_HSM_CLOCK;
- }
- static u32 vc5_hdmi_calc_hsm_clock(struct vc4_hdmi *vc4_hdmi, unsigned long pixel_rate)
-@@ -1400,6 +1400,7 @@ static int vc4_hdmi_cec_init(struct vc4_
-       struct cec_connector_info conn_info;
-       struct platform_device *pdev = vc4_hdmi->pdev;
-       u32 value;
-+      u32 clk_cnt;
-       int ret;
-       if (!vc4_hdmi->variant->cec_available)
-@@ -1424,8 +1425,9 @@ static int vc4_hdmi_cec_init(struct vc4_
-        * divider: the hsm_clock rate and this divider setting will
-        * give a 40 kHz CEC clock.
-        */
-+      clk_cnt = vc4_hdmi->variant->cec_input_clock / CEC_CLOCK_FREQ;
-       value |= VC4_HDMI_CEC_ADDR_MASK |
--               (4091 << VC4_HDMI_CEC_DIV_CLK_CNT_SHIFT);
-+               ((clk_cnt-1) << VC4_HDMI_CEC_DIV_CLK_CNT_SHIFT);
-       HDMI_WRITE(HDMI_CEC_CNTRL_1, value);
-       ret = devm_request_threaded_irq(&pdev->dev, platform_get_irq(pdev, 0),
-                                       vc4_cec_irq_handler,
-@@ -1770,6 +1772,7 @@ static int vc4_hdmi_dev_remove(struct pl
- static const struct vc4_hdmi_variant bcm2835_variant = {
-       .max_pixel_clock        = 162000000,
-+      .cec_input_clock        = VC4_HSM_CLOCK,
-       .audio_available        = true,
-       .cec_available          = true,
-       .registers              = vc4_hdmi_fields,
-@@ -1794,6 +1797,7 @@ static const struct vc4_hdmi_variant bcm
-       .id                     = 0,
-       .audio_available        = true,
-       .max_pixel_clock        = 297000000,
-+      .cec_input_clock        = 27000000,
-       .registers              = vc5_hdmi_hdmi0_fields,
-       .num_registers          = ARRAY_SIZE(vc5_hdmi_hdmi0_fields),
-       .phy_lane_mapping       = {
-@@ -1821,6 +1825,7 @@ static const struct vc4_hdmi_variant bcm
-       .id                     = 1,
-       .audio_available        = true,
-       .max_pixel_clock        = 297000000,
-+      .cec_input_clock        = 27000000,
-       .registers              = vc5_hdmi_hdmi1_fields,
-       .num_registers          = ARRAY_SIZE(vc5_hdmi_hdmi1_fields),
-       .phy_lane_mapping       = {
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -48,6 +48,9 @@ struct vc4_hdmi_variant {
-       /* Maximum pixel clock supported by the controller (in Hz) */
-       unsigned long long max_pixel_clock;
-+      /* Input clock frequency of CEC block (in Hz) */
-+      unsigned long cec_input_clock;
-+
-       /* List of the registers available on that variant */
-       const struct vc4_hdmi_register *registers;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0716-udmabuf-Remove-deleted-map-unmap-handlers.patch b/target/linux/bcm27xx/patches-5.4/950-0716-udmabuf-Remove-deleted-map-unmap-handlers.patch
new file mode 100644 (file)
index 0000000..bc7b5be
--- /dev/null
@@ -0,0 +1,52 @@
+From b1f02a027329f23272bd89c80a3f51ff64377fc2 Mon Sep 17 00:00:00 2001
+From: Maarten Lankhorst <maarten.lankhorst@linux.intel.com>
+Date: Tue, 26 Nov 2019 15:25:16 +0100
+Subject: [PATCH] udmabuf: Remove deleted map/unmap handlers.
+
+Commit 19d32ace8b6acebc45da1ea748000ac79ccc7721 upstream.
+
+Commit 7f0de8d80816 ("dma-buf: Drop dma_buf_k(un)map") removed map/unmap
+handlers, but they still existed in udmabuf. Remove them there as well
+
+Signed-off-by: Maarten Lankhorst <maarten.lankhorst@linux.intel.com>
+Fixes: 7f0de8d80816 ("dma-buf: Drop dma_buf_k(un)map")
+Cc: Sumit Semwal <sumit.semwal@linaro.org>
+Cc: Daniel Vetter <daniel.vetter@intel.com>
+Cc: linux-media@vger.kernel.org
+Cc: linaro-mm-sig@lists.linaro.org
+Cc: dri-devel@lists.freedesktop.org
+Reviewed-by: Daniel Vetter <daniel.vetter@ffwll.ch>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191126142516.630200-1-maarten.lankhorst@linux.intel.com
+---
+ drivers/dma-buf/udmabuf.c | 16 ----------------
+ 1 file changed, 16 deletions(-)
+
+--- a/drivers/dma-buf/udmabuf.c
++++ b/drivers/dma-buf/udmabuf.c
+@@ -93,26 +93,10 @@ static void release_udmabuf(struct dma_b
+       kfree(ubuf);
+ }
+-static void *kmap_udmabuf(struct dma_buf *buf, unsigned long page_num)
+-{
+-      struct udmabuf *ubuf = buf->priv;
+-      struct page *page = ubuf->pages[page_num];
+-
+-      return kmap(page);
+-}
+-
+-static void kunmap_udmabuf(struct dma_buf *buf, unsigned long page_num,
+-                         void *vaddr)
+-{
+-      kunmap(vaddr);
+-}
+-
+ static const struct dma_buf_ops udmabuf_ops = {
+       .map_dma_buf      = map_udmabuf,
+       .unmap_dma_buf    = unmap_udmabuf,
+       .release          = release_udmabuf,
+-      .map              = kmap_udmabuf,
+-      .unmap            = kunmap_udmabuf,
+       .mmap             = mmap_udmabuf,
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0716-vc4_hdmi-Remove-cec_available-flag-as-always-support.patch b/target/linux/bcm27xx/patches-5.4/950-0716-vc4_hdmi-Remove-cec_available-flag-as-always-support.patch
deleted file mode 100644 (file)
index 7713eed..0000000
+++ /dev/null
@@ -1,44 +0,0 @@
-From c214fa3d1bc1142cb8f185f71deb3f14915fe55d Mon Sep 17 00:00:00 2001
-From: Dom Cobley <popcornmix@gmail.com>
-Date: Thu, 7 May 2020 18:16:09 +0100
-Subject: [PATCH] vc4_hdmi: Remove cec_available flag as always
- supported
-
-Signed-off-by: Dom Cobley <popcornmix@gmail.com>
----
- drivers/gpu/drm/vc4/vc4_hdmi.c | 4 ----
- drivers/gpu/drm/vc4/vc4_hdmi.h | 3 ---
- 2 files changed, 7 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_hdmi.c
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
-@@ -1403,9 +1403,6 @@ static int vc4_hdmi_cec_init(struct vc4_
-       u32 clk_cnt;
-       int ret;
--      if (!vc4_hdmi->variant->cec_available)
--              return 0;
--
-       vc4_hdmi->cec_adap = cec_allocate_adapter(&vc4_hdmi_cec_adap_ops,
-                                                 vc4_hdmi, "vc4",
-                                                 CEC_CAP_DEFAULTS |
-@@ -1774,7 +1771,6 @@ static const struct vc4_hdmi_variant bcm
-       .max_pixel_clock        = 162000000,
-       .cec_input_clock        = VC4_HSM_CLOCK,
-       .audio_available        = true,
--      .cec_available          = true,
-       .registers              = vc4_hdmi_fields,
-       .num_registers          = ARRAY_SIZE(vc4_hdmi_fields),
---- a/drivers/gpu/drm/vc4/vc4_hdmi.h
-+++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
-@@ -42,9 +42,6 @@ struct vc4_hdmi_variant {
-       /* Set to true when the audio support is available */
-       bool audio_available;
--      /* Set to true when the CEC support is available */
--      bool cec_available;
--
-       /* Maximum pixel clock supported by the controller (in Hz) */
-       unsigned long long max_pixel_clock;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0717-overlays-tc358743-Use-intra-overlay-fragments.patch b/target/linux/bcm27xx/patches-5.4/950-0717-overlays-tc358743-Use-intra-overlay-fragments.patch
deleted file mode 100644 (file)
index 5bfa6bc..0000000
+++ /dev/null
@@ -1,55 +0,0 @@
-From adf5f2833517758152cbc9032dd93934a1e16ca1 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Mon, 11 May 2020 11:55:45 +0100
-Subject: [PATCH] overlays: tc358743: Use intra-overlay fragments
-
-The tc358743 overlay was written using a workaround to a problem with
-fragments that target other fragments, but this had the unfortunate
-side-effect of preventing the overlay from being applied at runtime
-(the kernel doesn't allow nodes to be overwritten by an overlay, only
-properties).
-
-The current firmware and dtoverlay/dtparam utilities include support
-for these "intra-overlay" fragments, so remove the workaround and do
-it properly.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- .../boot/dts/overlays/tc358743-overlay.dts    | 20 ++++---------------
- 1 file changed, 4 insertions(+), 16 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/tc358743-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/tc358743-overlay.dts
-@@ -54,28 +54,16 @@
-       };
-       fragment@2 {
--              target = <&i2c_csi_dsi>;
-+              target = <&tc358743>;
-               __overlay__ {
--                      tc358743@0f {
--                              port {
--                                      endpoint {
--                                              data-lanes = <1 2>;
--                                      };
--                              };
--                      };
-+                      data-lanes = <1 2>;
-               };
-       };
-       fragment@3 {
--              target = <&i2c_csi_dsi>;
-+              target = <&tc358743>;
-               __dormant__ {
--                      tc358743@0f {
--                              port {
--                                      endpoint {
--                                              data-lanes = <1 2 3 4>;
--                                      };
--                              };
--                      };
-+                      data-lanes = <1 2 3 4>;
-               };
-       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0717-udmabuf-use-cache_sgt_mapping-option.patch b/target/linux/bcm27xx/patches-5.4/950-0717-udmabuf-use-cache_sgt_mapping-option.patch
new file mode 100644 (file)
index 0000000..1660555
--- /dev/null
@@ -0,0 +1,35 @@
+From d57aecba0cd291e0c28e2c82c3d4bce06c5b5b94 Mon Sep 17 00:00:00 2001
+From: Gurchetan Singh <gurchetansingh@chromium.org>
+Date: Mon, 2 Dec 2019 17:36:24 -0800
+Subject: [PATCH] udmabuf: use cache_sgt_mapping option
+
+Commit bc7a71da43b48333f84c6534ab43d240e34cf9eb uptream.
+
+The GEM prime helpers do it, so should we. It's also possible to make
+it optional later.
+
+Signed-off-by: Gurchetan Singh <gurchetansingh@chromium.org>
+Link: http://patchwork.freedesktop.org/patch/msgid/20191203013627.85991-1-gurchetansingh@chromium.org
+Signed-off-by: Gerd Hoffmann <kraxel@redhat.com>
+---
+ drivers/dma-buf/udmabuf.c | 9 +++++----
+ 1 file changed, 5 insertions(+), 4 deletions(-)
+
+--- a/drivers/dma-buf/udmabuf.c
++++ b/drivers/dma-buf/udmabuf.c
+@@ -94,10 +94,11 @@ static void release_udmabuf(struct dma_b
+ }
+ static const struct dma_buf_ops udmabuf_ops = {
+-      .map_dma_buf      = map_udmabuf,
+-      .unmap_dma_buf    = unmap_udmabuf,
+-      .release          = release_udmabuf,
+-      .mmap             = mmap_udmabuf,
++      .cache_sgt_mapping = true,
++      .map_dma_buf       = map_udmabuf,
++      .unmap_dma_buf     = unmap_udmabuf,
++      .release           = release_udmabuf,
++      .mmap              = mmap_udmabuf,
+ };
+ #define SEALS_WANTED (F_SEAL_SHRINK)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0718-overlays-Move-fixed-clock-nodes-to-the-root.patch b/target/linux/bcm27xx/patches-5.4/950-0718-overlays-Move-fixed-clock-nodes-to-the-root.patch
deleted file mode 100644 (file)
index aa19ca1..0000000
+++ /dev/null
@@ -1,326 +0,0 @@
-From 805e008c18ec09c4115e4cec413642028cd9a8e2 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Mon, 11 May 2020 15:12:21 +0100
-Subject: [PATCH] overlays: Move "fixed-clock" nodes to the root
-
-Apart from some special cases, device objects are only created for
-nodes if they are children of a bus or the root node. "fixed-clock"
-is one of the exceptions that will be instantiated wherever it is
-found, but only during kernel initialisation - ruling out loading the
-overlay at runtime.
-
-Move most of the affected clocks to be children of the root, only
-leaving those in overlays that could be multiply instantiated, to avoid
-a potential name clash.
-
-See: https://github.com/raspberrypi/linux/issues/3602
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- .../dts/overlays/audiosense-pi-overlay.dts    | 14 ++++++-------
- arch/arm/boot/dts/overlays/draws-overlay.dts  | 12 +++++------
- .../boot/dts/overlays/fe-pi-audio-overlay.dts |  2 +-
- arch/arm/boot/dts/overlays/imx219-overlay.dts | 12 +++++------
- .../arm/boot/dts/overlays/irs1125-overlay.dts | 17 +++++++++------
- .../dts/overlays/mcp2515-can0-overlay.dts     |  2 +-
- .../dts/overlays/mcp2515-can1-overlay.dts     |  2 +-
- .../boot/dts/overlays/midi-uart0-overlay.dts  |  2 +-
- arch/arm/boot/dts/overlays/ov5647-overlay.dts | 17 +++++++++------
- .../boot/dts/overlays/rpivid-v4l2-overlay.dts | 17 +++++++++------
- .../dts/overlays/sc16is752-spi1-overlay.dts   | 21 ++++++++++++-------
- .../boot/dts/overlays/tc358743-overlay.dts    | 17 +++++++++------
- 12 files changed, 80 insertions(+), 55 deletions(-)
-
---- a/arch/arm/boot/dts/overlays/audiosense-pi-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/audiosense-pi-overlay.dts
-@@ -24,6 +24,13 @@
-                               regulator-max-microvolt = <1800000>;
-                               regulator-always-on;
-                       };
-+
-+                      /* audio external oscillator */
-+                      codec_osc: codec_osc {
-+                              compatible = "fixed-clock";
-+                              #clock-cells = <0>;
-+                              clock-frequency = <12000000>;   /* 12 MHz */
-+                      };
-               };
-       };
-@@ -44,13 +51,6 @@
-                       #size-cells = <0>;
-                       status = "okay";
--                      /* audio external oscillator */
--                      codec_osc: codec_osc {
--                              compatible = "fixed-clock";
--                              #clock-cells = <0>;
--                              clock-frequency = <12000000>;   /* 12 MHz */
--                      };
--
-                       codec: tlv320aic32x4@18 {
-                               #sound-dai-cells = <0>;
-                               compatible = "ti,tlv320aic32x4";
---- a/arch/arm/boot/dts/overlays/draws-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/draws-overlay.dts
-@@ -30,6 +30,12 @@
-                     regulator-max-microvolt = <3300000>;
-                     regulator-always-on;
-                 };
-+
-+                sc16is752_clk: sc16is752_draws_clk {
-+                    compatible = "fixed-clock";
-+                    #clock-cells = <0>;
-+                    clock-frequency = <1843200>;
-+                };
-             };
-             pps: pps {
-@@ -78,12 +84,6 @@
-                 pinctrl-names = "default";
-                 pinctrl-0 = <&sc16is752_irq>;
--
--                sc16is752_clk: sc16is752_clk {
--                    compatible = "fixed-clock";
--                    #clock-cells = <0>;
--                    clock-frequency = <1843200>;
--                };
-             };
-             tla2024: tla2024@48 {
---- a/arch/arm/boot/dts/overlays/fe-pi-audio-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/fe-pi-audio-overlay.dts
-@@ -6,7 +6,7 @@
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target = <&clocks>;
-+              target-path = "/";
-               __overlay__ {
-                       sgtl5000_mclk: sgtl5000_mclk {
-                               compatible = "fixed-clock";
---- a/arch/arm/boot/dts/overlays/imx219-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/imx219-overlay.dts
-@@ -27,12 +27,6 @@
-                               VDIG-supply = <&imx219_vdig>;   /* 1.8v */
-                               VDDL-supply = <&imx219_vddl>;   /* 1.2v */
--                              imx219_clk: camera-clk {
--                                      compatible = "fixed-clock";
--                                      #clock-cells = <0>;
--                                      clock-frequency = <24000000>;
--                              };
--
-                               port {
-                                       imx219_0: endpoint {
-                                               remote-endpoint = <&csi1_ep>;
-@@ -90,6 +84,12 @@
-                               regulator-min-microvolt = <1200000>;
-                               regulator-max-microvolt = <1200000>;
-                       };
-+
-+                      imx219_clk: camera-clk {
-+                              compatible = "fixed-clock";
-+                              #clock-cells = <0>;
-+                              clock-frequency = <24000000>;
-+                      };
-               };
-       };
---- a/arch/arm/boot/dts/overlays/irs1125-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/irs1125-overlay.dts
-@@ -21,12 +21,6 @@
-                               pwdn-gpios = <&gpio 5 0>;
-                               clocks = <&irs1125_clk>;
--                              irs1125_clk: camera-clk {
--                                      compatible = "fixed-clock";
--                                      #clock-cells = <0>;
--                                      clock-frequency = <26000000>;
--                              };
--
-                               port {
-                                       irs1125_0: endpoint {
-                                               remote-endpoint = <&csi1_ep>;
-@@ -75,4 +69,15 @@
-                       cam0-pwdn      = <&irs1125>,"pwdn-gpios:4";
-               };
-       };
-+
-+      fragment@5 {
-+              target-path = "/";
-+              __overlay__ {
-+                      irs1125_clk: camera-clk {
-+                              compatible = "fixed-clock";
-+                              #clock-cells = <0>;
-+                              clock-frequency = <26000000>;
-+                      };
-+              };
-+      };
- };
---- a/arch/arm/boot/dts/overlays/mcp2515-can0-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/mcp2515-can0-overlay.dts
-@@ -35,7 +35,7 @@
-     /* the clock/oscillator of the can-controller */
-     fragment@3 {
--        target-path = "/clocks";
-+        target-path = "/";
-         __overlay__ {
-             /* external oscillator of mcp2515 on SPI0.0 */
-             can0_osc: can0_osc {
---- a/arch/arm/boot/dts/overlays/mcp2515-can1-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/mcp2515-can1-overlay.dts
-@@ -35,7 +35,7 @@
-     /* the clock/oscillator of the can-controller */
-     fragment@3 {
--        target-path = "/clocks";
-+        target-path = "/";
-         __overlay__ {
-             /* external oscillator of mcp2515 on spi0.1 */
-             can1_osc: can1_osc {
---- a/arch/arm/boot/dts/overlays/midi-uart0-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/midi-uart0-overlay.dts
-@@ -15,7 +15,7 @@
-       compatible = "brcm,bcm2835";
-       fragment@0 {
--              target-path = "/clocks";
-+              target-path = "/";
-               __overlay__ {
-                       midi_clk: midi_clk {
-                               compatible = "fixed-clock";
---- a/arch/arm/boot/dts/overlays/ov5647-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/ov5647-overlay.dts
-@@ -21,12 +21,6 @@
-                               pwdn-gpios = <&gpio 41 1>, <&gpio 32 1>;
-                               clocks = <&ov5647_clk>;
--                              ov5647_clk: camera-clk {
--                                      compatible = "fixed-clock";
--                                      #clock-cells = <0>;
--                                      clock-frequency = <25000000>;
--                              };
--
-                               port {
-                                       ov5647_0: endpoint {
-                                               remote-endpoint = <&csi1_ep>;
-@@ -77,4 +71,15 @@
-                       cam0-led       = <&ov5647>,"pwdn-gpios:16";
-               };
-       };
-+
-+      fragment@5 {
-+              target-path = "/";
-+              __overlay__ {
-+                      ov5647_clk: camera-clk {
-+                              compatible = "fixed-clock";
-+                              #clock-cells = <0>;
-+                              clock-frequency = <25000000>;
-+                      };
-+              };
-+      };
- };
---- a/arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/rpivid-v4l2-overlay.dts
-@@ -26,12 +26,6 @@
-                               clocks = <&hevc_clk>;
-                               clock-names = "hevc";
--
--                              hevc_clk: hevc_clk {
--                                      compatible = "fixed-clock";
--                                      #clock-cells = <0>;
--                                      clock-frequency = <500000000>;
--                              };
-                       };
-               };
-       };
-@@ -53,4 +47,15 @@
-                       };
-               };
-       };
-+
-+      fragment@2 {
-+              target-path = "/";
-+              __overlay__ {
-+                      hevc_clk: hevc_clk {
-+                              compatible = "fixed-clock";
-+                              #clock-cells = <0>;
-+                              clock-frequency = <500000000>;
-+                      };
-+              };
-+      };
- };
---- a/arch/arm/boot/dts/overlays/sc16is752-spi1-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/sc16is752-spi1-overlay.dts
-@@ -38,12 +38,6 @@
-                               #gpio-controller;
-                               #gpio-cells = <2>;
-                               spi-max-frequency = <4000000>;
--
--                              sc16is752_clk: sc16is752_clk {
--                                      compatible = "fixed-clock";
--                                      #clock-cells = <0>;
--                                      clock-frequency = <14745600>;
--                              };
-                       };
-               };
-       };
-@@ -55,8 +49,19 @@
-               };
-       };
--    __overrides__ {
-+      fragment@3 {
-+              target-path = "/";
-+              __overlay__ {
-+                      sc16is752_clk: sc16is752_spi1_clk {
-+                              compatible = "fixed-clock";
-+                              #clock-cells = <0>;
-+                              clock-frequency = <14745600>;
-+                      };
-+              };
-+      };
-+
-+      __overrides__ {
-               int_pin = <&sc16is752>,"interrupts:0";
-               xtal = <&sc16is752_clk>,"clock-frequency:0";
--    };
-+      };
- };
---- a/arch/arm/boot/dts/overlays/tc358743-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/tc358743-overlay.dts
-@@ -21,12 +21,6 @@
-                               clocks = <&tc358743_clk>;
-                               clock-names = "refclk";
--                              tc358743_clk: bridge-clk {
--                                      compatible = "fixed-clock";
--                                      #clock-cells = <0>;
--                                      clock-frequency = <27000000>;
--                              };
--
-                               port {
-                                       tc358743: endpoint {
-                                               remote-endpoint = <&csi1_ep>;
-@@ -81,6 +75,17 @@
-               };
-       };
-+      fragment@6 {
-+              target-path = "/";
-+              __overlay__ {
-+                      tc358743_clk: bridge-clk {
-+                              compatible = "fixed-clock";
-+                              #clock-cells = <0>;
-+                              clock-frequency = <27000000>;
-+                      };
-+              };
-+      };
-+
-       __overrides__ {
-               4lane = <0>, "-2+3";
-               link-frequency = <&tc358743>,"link-frequencies#0";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0718-udmabuf-add-a-pointer-to-the-miscdevice-in-dma-buf-p.patch b/target/linux/bcm27xx/patches-5.4/950-0718-udmabuf-add-a-pointer-to-the-miscdevice-in-dma-buf-p.patch
new file mode 100644 (file)
index 0000000..68feae3
--- /dev/null
@@ -0,0 +1,67 @@
+From ad21bb0b5abf5414e31ac3e41ff60216bee52982 Mon Sep 17 00:00:00 2001
+From: Gurchetan Singh <gurchetansingh@chromium.org>
+Date: Mon, 2 Dec 2019 17:36:25 -0800
+Subject: [PATCH] udmabuf: add a pointer to the miscdevice in dma-buf
+ private data
+
+Commit c1bbed668997268c9edccdc9db1bd1487d9e20b0 upstream.
+
+Will be used later.
+
+v2: rename 'udmabuf_misc' to 'device' (kraxel)
+
+Signed-off-by: Gurchetan Singh <gurchetansingh@chromium.org>
+Link: http://patchwork.freedesktop.org/patch/msgid/20191203013627.85991-2-gurchetansingh@chromium.org
+Signed-off-by: Gerd Hoffmann <kraxel@redhat.com>
+---
+ drivers/dma-buf/udmabuf.c | 11 +++++++----
+ 1 file changed, 7 insertions(+), 4 deletions(-)
+
+--- a/drivers/dma-buf/udmabuf.c
++++ b/drivers/dma-buf/udmabuf.c
+@@ -18,6 +18,7 @@ static const size_t size_limit_mb = 64;
+ struct udmabuf {
+       pgoff_t pagecount;
+       struct page **pages;
++      struct miscdevice *device;
+ };
+ static vm_fault_t udmabuf_vm_fault(struct vm_fault *vmf)
+@@ -104,8 +105,9 @@ static const struct dma_buf_ops udmabuf_
+ #define SEALS_WANTED (F_SEAL_SHRINK)
+ #define SEALS_DENIED (F_SEAL_WRITE)
+-static long udmabuf_create(const struct udmabuf_create_list *head,
+-                         const struct udmabuf_create_item *list)
++static long udmabuf_create(struct miscdevice *device,
++                         struct udmabuf_create_list *head,
++                         struct udmabuf_create_item *list)
+ {
+       DEFINE_DMA_BUF_EXPORT_INFO(exp_info);
+       struct file *memfd = NULL;
+@@ -172,6 +174,7 @@ static long udmabuf_create(const struct
+       exp_info.priv = ubuf;
+       exp_info.flags = O_RDWR;
++      ubuf->device = device;
+       buf = dma_buf_export(&exp_info);
+       if (IS_ERR(buf)) {
+               ret = PTR_ERR(buf);
+@@ -209,7 +212,7 @@ static long udmabuf_ioctl_create(struct
+       list.offset = create.offset;
+       list.size   = create.size;
+-      return udmabuf_create(&head, &list);
++      return udmabuf_create(filp->private_data, &head, &list);
+ }
+ static long udmabuf_ioctl_create_list(struct file *filp, unsigned long arg)
+@@ -228,7 +231,7 @@ static long udmabuf_ioctl_create_list(st
+       if (IS_ERR(list))
+               return PTR_ERR(list);
+-      ret = udmabuf_create(&head, list);
++      ret = udmabuf_create(filp->private_data, &head, list);
+       kfree(list);
+       return ret;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0719-raspberrypi-dts-Switch-to-discrete-ALSA-devices.patch b/target/linux/bcm27xx/patches-5.4/950-0719-raspberrypi-dts-Switch-to-discrete-ALSA-devices.patch
deleted file mode 100644 (file)
index c5c302f..0000000
+++ /dev/null
@@ -1,84 +0,0 @@
-From c2b3b61053c2efd8fb96633c214d9f959c25aea3 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Tue, 12 May 2020 08:32:42 +0100
-Subject: [PATCH] raspberrypi: dts: Switch to discrete ALSA devices
-
-Add the command line options required to enable audio over discrete
-ALSA devices.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2708-rpi-zero-w.dts   | 2 +-
- arch/arm/boot/dts/bcm2708-rpi-zero.dts     | 2 +-
- arch/arm/boot/dts/bcm270x.dtsi             | 2 +-
- arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts | 2 +-
- arch/arm/boot/dts/bcm2710-rpi-3-b.dts      | 2 +-
- arch/arm/boot/dts/bcm2711-rpi-4-b.dts      | 2 +-
- 6 files changed, 6 insertions(+), 6 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
-+++ b/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
-@@ -10,7 +10,7 @@
-       model = "Raspberry Pi Zero W";
-       chosen {
--              bootargs = "coherent_pool=1M 8250.nr_uarts=1";
-+              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
-       };
-       aliases {
---- a/arch/arm/boot/dts/bcm2708-rpi-zero.dts
-+++ b/arch/arm/boot/dts/bcm2708-rpi-zero.dts
-@@ -10,7 +10,7 @@
-       model = "Raspberry Pi Zero";
-       chosen {
--              bootargs = "coherent_pool=1M";
-+              bootargs = "coherent_pool=1M snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
-       };
- };
---- a/arch/arm/boot/dts/bcm270x.dtsi
-+++ b/arch/arm/boot/dts/bcm270x.dtsi
-@@ -3,7 +3,7 @@
- / {
-       chosen {
--              bootargs = "coherent_pool=1M";
-+              bootargs = "coherent_pool=1M snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
-               /delete-property/ stdout-path;
-       };
---- a/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
-+++ b/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
-@@ -11,7 +11,7 @@
-       model = "Raspberry Pi 3 Model B+";
-       chosen {
--              bootargs = "coherent_pool=1M 8250.nr_uarts=1";
-+              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
-       };
-       aliases {
---- a/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
-+++ b/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
-@@ -11,7 +11,7 @@
-       model = "Raspberry Pi 3 Model B";
-       chosen {
--              bootargs = "coherent_pool=1M 8250.nr_uarts=1";
-+              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
-       };
-       aliases {
---- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-+++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
-@@ -198,7 +198,7 @@
- / {
-       chosen {
--              bootargs = "coherent_pool=1M 8250.nr_uarts=1";
-+              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
-       };
-       aliases {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0719-udmabuf-separate-out-creating-destroying-scatter-tab.patch b/target/linux/bcm27xx/patches-5.4/950-0719-udmabuf-separate-out-creating-destroying-scatter-tab.patch
new file mode 100644 (file)
index 0000000..5a0a59c
--- /dev/null
@@ -0,0 +1,71 @@
+From 118802c75e04a2f1b94245695076d2506f667ae7 Mon Sep 17 00:00:00 2001
+From: Gurchetan Singh <gurchetansingh@chromium.org>
+Date: Mon, 2 Dec 2019 17:36:26 -0800
+Subject: [PATCH] udmabuf: separate out creating/destroying
+ scatter-table
+
+Commit 17a7ce203490459cff14fb1c8f9a15d65fd1c544 upstream.
+
+These are nice functions and can be re-used.
+
+Signed-off-by: Gurchetan Singh <gurchetansingh@chromium.org>
+Link: http://patchwork.freedesktop.org/patch/msgid/20191203013627.85991-3-gurchetansingh@chromium.org
+Signed-off-by: Gerd Hoffmann <kraxel@redhat.com>
+---
+ drivers/dma-buf/udmabuf.c | 26 +++++++++++++++++++-------
+ 1 file changed, 19 insertions(+), 7 deletions(-)
+
+--- a/drivers/dma-buf/udmabuf.c
++++ b/drivers/dma-buf/udmabuf.c
+@@ -47,10 +47,10 @@ static int mmap_udmabuf(struct dma_buf *
+       return 0;
+ }
+-static struct sg_table *map_udmabuf(struct dma_buf_attachment *at,
+-                                  enum dma_data_direction direction)
++static struct sg_table *get_sg_table(struct device *dev, struct dma_buf *buf,
++                                   enum dma_data_direction direction)
+ {
+-      struct udmabuf *ubuf = at->dmabuf->priv;
++      struct udmabuf *ubuf = buf->priv;
+       struct sg_table *sg;
+       int ret;
+@@ -62,7 +62,7 @@ static struct sg_table *map_udmabuf(stru
+                                       GFP_KERNEL);
+       if (ret < 0)
+               goto err;
+-      if (!dma_map_sg(at->dev, sg->sgl, sg->nents, direction)) {
++      if (!dma_map_sg(dev, sg->sgl, sg->nents, direction)) {
+               ret = -EINVAL;
+               goto err;
+       }
+@@ -74,13 +74,25 @@ err:
+       return ERR_PTR(ret);
+ }
++static void put_sg_table(struct device *dev, struct sg_table *sg,
++                       enum dma_data_direction direction)
++{
++      dma_unmap_sg(dev, sg->sgl, sg->nents, direction);
++      sg_free_table(sg);
++      kfree(sg);
++}
++
++static struct sg_table *map_udmabuf(struct dma_buf_attachment *at,
++                                  enum dma_data_direction direction)
++{
++      return get_sg_table(at->dev, at->dmabuf, direction);
++}
++
+ static void unmap_udmabuf(struct dma_buf_attachment *at,
+                         struct sg_table *sg,
+                         enum dma_data_direction direction)
+ {
+-      dma_unmap_sg(at->dev, sg->sgl, sg->nents, direction);
+-      sg_free_table(sg);
+-      kfree(sg);
++      return put_sg_table(at->dev, sg, direction);
+ }
+ static void release_udmabuf(struct dma_buf *buf)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0720-dt-bindings-media-i2c-Add-IMX477-CMOS-sensor-binding.patch b/target/linux/bcm27xx/patches-5.4/950-0720-dt-bindings-media-i2c-Add-IMX477-CMOS-sensor-binding.patch
deleted file mode 100644 (file)
index eb5430b..0000000
+++ /dev/null
@@ -1,130 +0,0 @@
-From 87038c8d2337bd2c79bd96ac1ef9e6471a782331 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Thu, 7 May 2020 15:50:54 +0100
-Subject: [PATCH] dt-bindings: media: i2c: Add IMX477 CMOS sensor
- binding
-
-Add YAML device tree binding for IMX477 CMOS image sensor.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- .../devicetree/bindings/media/i2c/imx477.yaml | 113 ++++++++++++++++++
- 1 file changed, 113 insertions(+)
- create mode 100644 Documentation/devicetree/bindings/media/i2c/imx477.yaml
-
---- /dev/null
-+++ b/Documentation/devicetree/bindings/media/i2c/imx477.yaml
-@@ -0,0 +1,113 @@
-+# SPDX-License-Identifier: (GPL-2.0-only OR BSD-2-Clause)
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/media/i2c/imx477.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Sony 1/2.3-Inch 12Mpixel CMOS Digital Image Sensor
-+
-+maintainers:
-+  - Naushir Patuck <naush@raspberypi.com>
-+
-+description: |-
-+  The Sony IMX477 is a 1/2.3-inch CMOS active pixel digital image sensor
-+  with an active array size of 4056H x 3040V. It is programmable through
-+  I2C interface. The I2C address is fixed to 0x1A as per sensor data sheet.
-+  Image data is sent through MIPI CSI-2, which is configured as either 2 or
-+  4 data lanes.
-+
-+properties:
-+  compatible:
-+    const: sony,imx477
-+
-+  reg:
-+    description: I2C device address
-+    maxItems: 1
-+
-+  clocks:
-+    maxItems: 1
-+
-+  VDIG-supply:
-+    description:
-+      Digital I/O voltage supply, 1.05 volts
-+
-+  VANA-supply:
-+    description:
-+      Analog voltage supply, 2.8 volts
-+
-+  VDDL-supply:
-+    description:
-+      Digital core voltage supply, 1.8 volts
-+
-+  reset-gpios:
-+    description: |-
-+      Reference to the GPIO connected to the xclr pin, if any.
-+      Must be released (set high) after all all supplies and INCK are applied.
-+
-+  # See ../video-interfaces.txt for more details
-+  port:
-+    type: object
-+    properties:
-+      endpoint:
-+        type: object
-+        properties:
-+          data-lanes:
-+            description: |-
-+              The sensor supports either two-lane, or four-lane operation.
-+              For two-lane operation the property must be set to <1 2>.
-+            items:
-+              - const: 1
-+              - const: 2
-+
-+          clock-noncontinuous:
-+            type: boolean
-+            description: |-
-+              MIPI CSI-2 clock is non-continuous if this property is present,
-+              otherwise it's continuous.
-+
-+          link-frequencies:
-+            allOf:
-+              - $ref: /schemas/types.yaml#/definitions/uint64-array
-+            description:
-+              Allowed data bus frequencies.
-+
-+        required:
-+          - link-frequencies
-+
-+required:
-+  - compatible
-+  - reg
-+  - clocks
-+  - VANA-supply
-+  - VDIG-supply
-+  - VDDL-supply
-+  - port
-+
-+additionalProperties: false
-+
-+examples:
-+  - |
-+    i2c0 {
-+        #address-cells = <1>;
-+        #size-cells = <0>;
-+
-+        imx477: sensor@10 {
-+            compatible = "sony,imx477";
-+            reg = <0x1a>;
-+            clocks = <&imx477_clk>;
-+            VANA-supply = <&imx477_vana>;   /* 2.8v */
-+            VDIG-supply = <&imx477_vdig>;   /* 1.05v */
-+            VDDL-supply = <&imx477_vddl>;   /* 1.8v */
-+
-+            port {
-+                imx477_0: endpoint {
-+                    remote-endpoint = <&csi1_ep>;
-+                    data-lanes = <1 2>;
-+                    clock-noncontinuous;
-+                    link-frequencies = /bits/ 64 <450000000>;
-+                };
-+            };
-+        };
-+    };
-+
-+...
diff --git a/target/linux/bcm27xx/patches-5.4/950-0720-udmabuf-implement-begin_cpu_access-end_cpu_access-ho.patch b/target/linux/bcm27xx/patches-5.4/950-0720-udmabuf-implement-begin_cpu_access-end_cpu_access-ho.patch
new file mode 100644 (file)
index 0000000..79f6a67
--- /dev/null
@@ -0,0 +1,90 @@
+From 9dc454ebc4380cd90c24a3c224bb0ac7b3d9cc29 Mon Sep 17 00:00:00 2001
+From: Gurchetan Singh <gurchetansingh@chromium.org>
+Date: Mon, 2 Dec 2019 17:36:27 -0800
+Subject: [PATCH] udmabuf: implement begin_cpu_access/end_cpu_access
+ hooks
+
+Commit 284562e1f34874e267d4f499362c3816f8f6bc3f upstream.
+
+With the misc device, we should end up using the result of
+get_arch_dma_ops(..) or dma-direct ops.
+
+This can allow us to have WC mappings in the guest after
+synchronization.
+
+Signed-off-by: Gurchetan Singh <gurchetansingh@chromium.org>
+Link: http://patchwork.freedesktop.org/patch/msgid/20191203013627.85991-4-gurchetansingh@chromium.org
+Signed-off-by: Gerd Hoffmann <kraxel@redhat.com>
+---
+ drivers/dma-buf/udmabuf.c | 39 +++++++++++++++++++++++++++++++++++++++
+ 1 file changed, 39 insertions(+)
+
+--- a/drivers/dma-buf/udmabuf.c
++++ b/drivers/dma-buf/udmabuf.c
+@@ -18,6 +18,7 @@ static const size_t size_limit_mb = 64;
+ struct udmabuf {
+       pgoff_t pagecount;
+       struct page **pages;
++      struct sg_table *sg;
+       struct miscdevice *device;
+ };
+@@ -98,20 +99,58 @@ static void unmap_udmabuf(struct dma_buf
+ static void release_udmabuf(struct dma_buf *buf)
+ {
+       struct udmabuf *ubuf = buf->priv;
++      struct device *dev = ubuf->device->this_device;
+       pgoff_t pg;
++      if (ubuf->sg)
++              put_sg_table(dev, ubuf->sg, DMA_BIDIRECTIONAL);
++
+       for (pg = 0; pg < ubuf->pagecount; pg++)
+               put_page(ubuf->pages[pg]);
+       kfree(ubuf->pages);
+       kfree(ubuf);
+ }
++static int begin_cpu_udmabuf(struct dma_buf *buf,
++                           enum dma_data_direction direction)
++{
++      struct udmabuf *ubuf = buf->priv;
++      struct device *dev = ubuf->device->this_device;
++
++      if (!ubuf->sg) {
++              ubuf->sg = get_sg_table(dev, buf, direction);
++              if (IS_ERR(ubuf->sg))
++                      return PTR_ERR(ubuf->sg);
++      } else {
++              dma_sync_sg_for_device(dev, ubuf->sg->sgl,
++                                     ubuf->sg->nents,
++                                     direction);
++      }
++
++      return 0;
++}
++
++static int end_cpu_udmabuf(struct dma_buf *buf,
++                         enum dma_data_direction direction)
++{
++      struct udmabuf *ubuf = buf->priv;
++      struct device *dev = ubuf->device->this_device;
++
++      if (!ubuf->sg)
++              return -EINVAL;
++
++      dma_sync_sg_for_cpu(dev, ubuf->sg->sgl, ubuf->sg->nents, direction);
++      return 0;
++}
++
+ static const struct dma_buf_ops udmabuf_ops = {
+       .cache_sgt_mapping = true,
+       .map_dma_buf       = map_udmabuf,
+       .unmap_dma_buf     = unmap_udmabuf,
+       .release           = release_udmabuf,
+       .mmap              = mmap_udmabuf,
++      .begin_cpu_access  = begin_cpu_udmabuf,
++      .end_cpu_access    = end_cpu_udmabuf,
+ };
+ #define SEALS_WANTED (F_SEAL_SHRINK)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0721-dtoverlays-Add-IMX477-sensor-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0721-dtoverlays-Add-IMX477-sensor-overlay.patch
deleted file mode 100644 (file)
index 0d5ea3c..0000000
+++ /dev/null
@@ -1,156 +0,0 @@
-From 738defbb964c5d2a34b08c24ac0e7fd4afb16173 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Thu, 7 May 2020 15:50:04 +0100
-Subject: [PATCH] dtoverlays: Add IMX477 sensor overlay
-
-Add an overlay for the Sony IMX477 CMOS sensor device.
-Also update overlay README and Makefile.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/Makefile           |   1 +
- arch/arm/boot/dts/overlays/README             |   8 ++
- arch/arm/boot/dts/overlays/imx477-overlay.dts | 110 ++++++++++++++++++
- 3 files changed, 119 insertions(+)
- create mode 100644 arch/arm/boot/dts/overlays/imx477-overlay.dts
-
---- a/arch/arm/boot/dts/overlays/Makefile
-+++ b/arch/arm/boot/dts/overlays/Makefile
-@@ -85,6 +85,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
-       i2s-gpio28-31.dtbo \
-       ilitek251x.dtbo \
-       imx219.dtbo \
-+      imx477.dtbo \
-       iqaudio-codec.dtbo \
-       iqaudio-dac.dtbo \
-       iqaudio-dacplus.dtbo \
---- a/arch/arm/boot/dts/overlays/README
-+++ b/arch/arm/boot/dts/overlays/README
-@@ -1390,6 +1390,14 @@ Load:   dtoverlay=imx219
- Params: <None>
-+Name:   imx477
-+Info:   Sony IMX477 camera module.
-+        Uses Unicam 1, which is the standard camera connector on most Pi
-+        variants.
-+Load:   dtoverlay=imx477
-+Params: <None>
-+
-+
- Name:   iqaudio-codec
- Info:   Configures the IQaudio Codec audio card
- Load:   dtoverlay=iqaudio-codec
---- /dev/null
-+++ b/arch/arm/boot/dts/overlays/imx477-overlay.dts
-@@ -0,0 +1,110 @@
-+// SPDX-License-Identifier: GPL-2.0-only
-+// Definitions for IMX477 camera module on VC I2C bus
-+/dts-v1/;
-+/plugin/;
-+
-+#include <dt-bindings/gpio/gpio.h>
-+
-+/{
-+      compatible = "brcm,bcm2835";
-+
-+      fragment@0 {
-+              target = <&i2c_csi_dsi>;
-+              __overlay__ {
-+                      #address-cells = <1>;
-+                      #size-cells = <0>;
-+                      status = "okay";
-+
-+                      imx477: imx477@1a {
-+                              compatible = "sony,imx477";
-+                              reg = <0x1a>;
-+                              status = "okay";
-+
-+                              clocks = <&imx477_clk>;
-+                              clock-names = "xclk";
-+
-+                              VANA-supply = <&imx477_vana>;   /* 2.8v */
-+                              VDIG-supply = <&imx477_vdig>;   /* 1.05v */
-+                              VDDL-supply = <&imx477_vddl>;   /* 1.8v */
-+
-+                              port {
-+                                      imx477_0: endpoint {
-+                                              remote-endpoint = <&csi1_ep>;
-+                                              clock-lanes = <0>;
-+                                              data-lanes = <1 2>;
-+                                              clock-noncontinuous;
-+                                              link-frequencies =
-+                                                      /bits/ 64 <450000000>;
-+                                      };
-+                              };
-+                      };
-+              };
-+      };
-+
-+      fragment@1 {
-+              target = <&csi1>;
-+              __overlay__ {
-+                      status = "okay";
-+
-+                      port {
-+                              csi1_ep: endpoint {
-+                                      remote-endpoint = <&imx477_0>;
-+                              };
-+                      };
-+              };
-+      };
-+
-+      fragment@2 {
-+              target = <&i2c0if>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@3 {
-+              target-path="/";
-+              __overlay__ {
-+                      imx477_vana: fixedregulator@0 {
-+                              compatible = "regulator-fixed";
-+                              regulator-name = "imx477_vana";
-+                              regulator-min-microvolt = <2800000>;
-+                              regulator-max-microvolt = <2800000>;
-+                              gpio = <&gpio 41 GPIO_ACTIVE_HIGH>;
-+                              enable-active-high;
-+                              startup-delay-us = <300000>;
-+                      };
-+                      imx477_vdig: fixedregulator@1 {
-+                              compatible = "regulator-fixed";
-+                              regulator-name = "imx477_vdig";
-+                              regulator-min-microvolt = <1050000>;
-+                              regulator-max-microvolt = <1050000>;
-+                      };
-+                      imx477_vddl: fixedregulator@2 {
-+                              compatible = "regulator-fixed";
-+                              regulator-name = "imx477_vddl";
-+                              regulator-min-microvolt = <1800000>;
-+                              regulator-max-microvolt = <1800000>;
-+                      };
-+                      imx477_clk: camera-clk {
-+                              compatible = "fixed-clock";
-+                              #clock-cells = <0>;
-+                              clock-frequency = <24000000>;
-+                      };
-+              };
-+      };
-+
-+      fragment@4 {
-+              target = <&i2c0mux>;
-+              __overlay__ {
-+                      status = "okay";
-+              };
-+      };
-+
-+      fragment@5 {
-+              target-path="/__overrides__";
-+              __overlay__ {
-+                      cam0-pwdn-ctrl = <&imx477_vana>,"gpio:0";
-+                      cam0-pwdn      = <&imx477_vana>,"gpio:4";
-+              };
-+      };
-+};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0721-udmabuf-fix-dma-buf-cpu-access.patch b/target/linux/bcm27xx/patches-5.4/950-0721-udmabuf-fix-dma-buf-cpu-access.patch
new file mode 100644 (file)
index 0000000..14cfe8a
--- /dev/null
@@ -0,0 +1,60 @@
+From 153f1e1def226f87cc4c307d2806b000a820f64b Mon Sep 17 00:00:00 2001
+From: Gurchetan Singh <gurchetansingh@chromium.org>
+Date: Tue, 17 Dec 2019 15:02:28 -0800
+Subject: [PATCH] udmabuf: fix dma-buf cpu access
+
+Commit 1ffe09590121fbb3786d6c860acdd200f7ab095c upstream.
+
+I'm just going to put Chia's review comment here since it sums
+the issue rather nicely:
+
+"(1) Semantically, a dma-buf is in DMA domain.  CPU access from the
+importer must be surrounded by {begin,end}_cpu_access.  This gives the
+exporter a chance to move the buffer to the CPU domain temporarily.
+
+(2) When the exporter itself has other means to do CPU access, it is
+only reasonable for the exporter to move the buffer to the CPU domain
+before access, and to the DMA domain after access.  The exporter can
+potentially reuse {begin,end}_cpu_access for that purpose.
+
+Because of (1), udmabuf does need to implement the
+{begin,end}_cpu_access hooks.  But "begin" should mean
+dma_sync_sg_for_cpu and "end" should mean dma_sync_sg_for_device.
+
+Because of (2), if userspace wants to continuing accessing through the
+memfd mapping, it should call udmabuf's {begin,end}_cpu_access to
+avoid cache issues."
+
+Reported-by: Chia-I Wu <olvaffe@gmail.com>
+Suggested-by: Chia-I Wu <olvaffe@gmail.com>
+Fixes: 284562e1f348 ("udmabuf: implement begin_cpu_access/end_cpu_access hooks")
+Signed-off-by: Gurchetan Singh <gurchetansingh@chromium.org>
+Link: http://patchwork.freedesktop.org/patch/msgid/20191217230228.453-1-gurchetansingh@chromium.org
+Signed-off-by: Gerd Hoffmann <kraxel@redhat.com>
+---
+ drivers/dma-buf/udmabuf.c | 7 +++----
+ 1 file changed, 3 insertions(+), 4 deletions(-)
+
+--- a/drivers/dma-buf/udmabuf.c
++++ b/drivers/dma-buf/udmabuf.c
+@@ -122,9 +122,8 @@ static int begin_cpu_udmabuf(struct dma_
+               if (IS_ERR(ubuf->sg))
+                       return PTR_ERR(ubuf->sg);
+       } else {
+-              dma_sync_sg_for_device(dev, ubuf->sg->sgl,
+-                                     ubuf->sg->nents,
+-                                     direction);
++              dma_sync_sg_for_cpu(dev, ubuf->sg->sgl, ubuf->sg->nents,
++                                  direction);
+       }
+       return 0;
+@@ -139,7 +138,7 @@ static int end_cpu_udmabuf(struct dma_bu
+       if (!ubuf->sg)
+               return -EINVAL;
+-      dma_sync_sg_for_cpu(dev, ubuf->sg->sgl, ubuf->sg->nents, direction);
++      dma_sync_sg_for_device(dev, ubuf->sg->sgl, ubuf->sg->nents, direction);
+       return 0;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0722-dma-buf-Add-dma-buf-heaps-framework.patch b/target/linux/bcm27xx/patches-5.4/950-0722-dma-buf-Add-dma-buf-heaps-framework.patch
new file mode 100644 (file)
index 0000000..f60d402
--- /dev/null
@@ -0,0 +1,525 @@
+From 4c5a9a5db543b5fd998fbc3e15fd4a2d2a3971a9 Mon Sep 17 00:00:00 2001
+From: "Andrew F. Davis" <afd@ti.com>
+Date: Tue, 3 Dec 2019 17:26:37 +0000
+Subject: [PATCH] dma-buf: Add dma-buf heaps framework
+
+Commit c02a81fba74fe3488ad6b08bfb5a1329005418f8 upstream.
+This framework allows a unified userspace interface for dma-buf
+exporters, allowing userland to allocate specific types of memory
+for use in dma-buf sharing.
+
+Each heap is given its own device node, which a user can allocate
+a dma-buf fd from using the DMA_HEAP_IOC_ALLOC.
+
+This code is an evoluiton of the Android ION implementation,
+and a big thanks is due to its authors/maintainers over time
+for their effort:
+  Rebecca Schultz Zavin, Colin Cross, Benjamin Gaignard,
+  Laura Abbott, and many other contributors!
+
+Cc: Laura Abbott <labbott@redhat.com>
+Cc: Benjamin Gaignard <benjamin.gaignard@linaro.org>
+Cc: Sumit Semwal <sumit.semwal@linaro.org>
+Cc: Liam Mark <lmark@codeaurora.org>
+Cc: Pratik Patel <pratikp@codeaurora.org>
+Cc: Brian Starkey <Brian.Starkey@arm.com>
+Cc: Vincent Donnefort <Vincent.Donnefort@arm.com>
+Cc: Sudipto Paul <Sudipto.Paul@arm.com>
+Cc: Andrew F. Davis <afd@ti.com>
+Cc: Christoph Hellwig <hch@infradead.org>
+Cc: Chenbo Feng <fengc@google.com>
+Cc: Alistair Strachan <astrachan@google.com>
+Cc: Hridya Valsaraju <hridya@google.com>
+Cc: Sandeep Patil <sspatil@google.com>
+Cc: Hillf Danton <hdanton@sina.com>
+Cc: Dave Airlie <airlied@gmail.com>
+Cc: dri-devel@lists.freedesktop.org
+Reviewed-by: Brian Starkey <brian.starkey@arm.com>
+Acked-by: Sandeep Patil <sspatil@android.com>
+Signed-off-by: Andrew F. Davis <afd@ti.com>
+Signed-off-by: John Stultz <john.stultz@linaro.org>
+Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191203172641.66642-2-john.stultz@linaro.org
+---
+ MAINTAINERS                   |  18 +++
+ drivers/dma-buf/Kconfig       |   9 ++
+ drivers/dma-buf/Makefile      |   1 +
+ drivers/dma-buf/dma-heap.c    | 297 ++++++++++++++++++++++++++++++++++
+ include/linux/dma-heap.h      |  59 +++++++
+ include/uapi/linux/dma-heap.h |  53 ++++++
+ 6 files changed, 437 insertions(+)
+ create mode 100644 drivers/dma-buf/dma-heap.c
+ create mode 100644 include/linux/dma-heap.h
+ create mode 100644 include/uapi/linux/dma-heap.h
+
+--- a/MAINTAINERS
++++ b/MAINTAINERS
+@@ -4963,6 +4963,24 @@ F:      include/linux/*fence.h
+ F:    Documentation/driver-api/dma-buf.rst
+ T:    git git://anongit.freedesktop.org/drm/drm-misc
++DMA-BUF HEAPS FRAMEWORK
++M:    Sumit Semwal <sumit.semwal@linaro.org>
++R:    Andrew F. Davis <afd@ti.com>
++R:    Benjamin Gaignard <benjamin.gaignard@linaro.org>
++R:    Liam Mark <lmark@codeaurora.org>
++R:    Laura Abbott <labbott@redhat.com>
++R:    Brian Starkey <Brian.Starkey@arm.com>
++R:    John Stultz <john.stultz@linaro.org>
++S:    Maintained
++L:    linux-media@vger.kernel.org
++L:    dri-devel@lists.freedesktop.org
++L:    linaro-mm-sig@lists.linaro.org (moderated for non-subscribers)
++F:    include/uapi/linux/dma-heap.h
++F:    include/linux/dma-heap.h
++F:    drivers/dma-buf/dma-heap.c
++F:    drivers/dma-buf/heaps/*
++T:    git git://anongit.freedesktop.org/drm/drm-misc
++
+ DMA GENERIC OFFLOAD ENGINE SUBSYSTEM
+ M:    Vinod Koul <vkoul@kernel.org>
+ L:    dmaengine@vger.kernel.org
+--- a/drivers/dma-buf/Kconfig
++++ b/drivers/dma-buf/Kconfig
+@@ -44,4 +44,13 @@ config DMABUF_SELFTESTS
+       default n
+       depends on DMA_SHARED_BUFFER
++menuconfig DMABUF_HEAPS
++      bool "DMA-BUF Userland Memory Heaps"
++      select DMA_SHARED_BUFFER
++      help
++        Choose this option to enable the DMA-BUF userland memory heaps.
++        This options creates per heap chardevs in /dev/dma_heap/ which
++        allows userspace to allocate dma-bufs that can be shared
++        between drivers.
++
+ endmenu
+--- a/drivers/dma-buf/Makefile
++++ b/drivers/dma-buf/Makefile
+@@ -3,6 +3,7 @@ obj-$(CONFIG_DMA_SHARED_BUFFER) := dma-s
+ dma-buf-objs-y := dma-buf.o dma-fence.o dma-fence-array.o dma-fence-chain.o \
+                 dma-resv.o seqno-fence.o
++obj-$(CONFIG_DMABUF_HEAPS)    += dma-heap.o
+ dma-buf-objs-$(CONFIG_SYNC_FILE)      += sync_file.o
+ dma-buf-objs-$(CONFIG_SW_SYNC)                += sw_sync.o sync_debug.o
+ dma-buf-objs-$(CONFIG_UDMABUF)                += udmabuf.o
+--- /dev/null
++++ b/drivers/dma-buf/dma-heap.c
+@@ -0,0 +1,297 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * Framework for userspace DMA-BUF allocations
++ *
++ * Copyright (C) 2011 Google, Inc.
++ * Copyright (C) 2019 Linaro Ltd.
++ */
++
++#include <linux/cdev.h>
++#include <linux/debugfs.h>
++#include <linux/device.h>
++#include <linux/dma-buf.h>
++#include <linux/err.h>
++#include <linux/xarray.h>
++#include <linux/list.h>
++#include <linux/slab.h>
++#include <linux/uaccess.h>
++#include <linux/syscalls.h>
++#include <linux/dma-heap.h>
++#include <uapi/linux/dma-heap.h>
++
++#define DEVNAME "dma_heap"
++
++#define NUM_HEAP_MINORS 128
++
++/**
++ * struct dma_heap - represents a dmabuf heap in the system
++ * @name:             used for debugging/device-node name
++ * @ops:              ops struct for this heap
++ * @heap_devt         heap device node
++ * @list              list head connecting to list of heaps
++ * @heap_cdev         heap char device
++ *
++ * Represents a heap of memory from which buffers can be made.
++ */
++struct dma_heap {
++      const char *name;
++      const struct dma_heap_ops *ops;
++      void *priv;
++      dev_t heap_devt;
++      struct list_head list;
++      struct cdev heap_cdev;
++};
++
++static LIST_HEAD(heap_list);
++static DEFINE_MUTEX(heap_list_lock);
++static dev_t dma_heap_devt;
++static struct class *dma_heap_class;
++static DEFINE_XARRAY_ALLOC(dma_heap_minors);
++
++static int dma_heap_buffer_alloc(struct dma_heap *heap, size_t len,
++                               unsigned int fd_flags,
++                               unsigned int heap_flags)
++{
++      /*
++       * Allocations from all heaps have to begin
++       * and end on page boundaries.
++       */
++      len = PAGE_ALIGN(len);
++      if (!len)
++              return -EINVAL;
++
++      return heap->ops->allocate(heap, len, fd_flags, heap_flags);
++}
++
++static int dma_heap_open(struct inode *inode, struct file *file)
++{
++      struct dma_heap *heap;
++
++      heap = xa_load(&dma_heap_minors, iminor(inode));
++      if (!heap) {
++              pr_err("dma_heap: minor %d unknown.\n", iminor(inode));
++              return -ENODEV;
++      }
++
++      /* instance data as context */
++      file->private_data = heap;
++      nonseekable_open(inode, file);
++
++      return 0;
++}
++
++static long dma_heap_ioctl_allocate(struct file *file, void *data)
++{
++      struct dma_heap_allocation_data *heap_allocation = data;
++      struct dma_heap *heap = file->private_data;
++      int fd;
++
++      if (heap_allocation->fd)
++              return -EINVAL;
++
++      if (heap_allocation->fd_flags & ~DMA_HEAP_VALID_FD_FLAGS)
++              return -EINVAL;
++
++      if (heap_allocation->heap_flags & ~DMA_HEAP_VALID_HEAP_FLAGS)
++              return -EINVAL;
++
++      fd = dma_heap_buffer_alloc(heap, heap_allocation->len,
++                                 heap_allocation->fd_flags,
++                                 heap_allocation->heap_flags);
++      if (fd < 0)
++              return fd;
++
++      heap_allocation->fd = fd;
++
++      return 0;
++}
++
++unsigned int dma_heap_ioctl_cmds[] = {
++      DMA_HEAP_IOC_ALLOC,
++};
++
++static long dma_heap_ioctl(struct file *file, unsigned int ucmd,
++                         unsigned long arg)
++{
++      char stack_kdata[128];
++      char *kdata = stack_kdata;
++      unsigned int kcmd;
++      unsigned int in_size, out_size, drv_size, ksize;
++      int nr = _IOC_NR(ucmd);
++      int ret = 0;
++
++      if (nr >= ARRAY_SIZE(dma_heap_ioctl_cmds))
++              return -EINVAL;
++
++      /* Get the kernel ioctl cmd that matches */
++      kcmd = dma_heap_ioctl_cmds[nr];
++
++      /* Figure out the delta between user cmd size and kernel cmd size */
++      drv_size = _IOC_SIZE(kcmd);
++      out_size = _IOC_SIZE(ucmd);
++      in_size = out_size;
++      if ((ucmd & kcmd & IOC_IN) == 0)
++              in_size = 0;
++      if ((ucmd & kcmd & IOC_OUT) == 0)
++              out_size = 0;
++      ksize = max(max(in_size, out_size), drv_size);
++
++      /* If necessary, allocate buffer for ioctl argument */
++      if (ksize > sizeof(stack_kdata)) {
++              kdata = kmalloc(ksize, GFP_KERNEL);
++              if (!kdata)
++                      return -ENOMEM;
++      }
++
++      if (copy_from_user(kdata, (void __user *)arg, in_size) != 0) {
++              ret = -EFAULT;
++              goto err;
++      }
++
++      /* zero out any difference between the kernel/user structure size */
++      if (ksize > in_size)
++              memset(kdata + in_size, 0, ksize - in_size);
++
++      switch (kcmd) {
++      case DMA_HEAP_IOC_ALLOC:
++              ret = dma_heap_ioctl_allocate(file, kdata);
++              break;
++      default:
++              return -ENOTTY;
++      }
++
++      if (copy_to_user((void __user *)arg, kdata, out_size) != 0)
++              ret = -EFAULT;
++err:
++      if (kdata != stack_kdata)
++              kfree(kdata);
++      return ret;
++}
++
++static const struct file_operations dma_heap_fops = {
++      .owner          = THIS_MODULE,
++      .open           = dma_heap_open,
++      .unlocked_ioctl = dma_heap_ioctl,
++#ifdef CONFIG_COMPAT
++      .compat_ioctl   = dma_heap_ioctl,
++#endif
++};
++
++/**
++ * dma_heap_get_drvdata() - get per-subdriver data for the heap
++ * @heap: DMA-Heap to retrieve private data for
++ *
++ * Returns:
++ * The per-subdriver data for the heap.
++ */
++void *dma_heap_get_drvdata(struct dma_heap *heap)
++{
++      return heap->priv;
++}
++
++struct dma_heap *dma_heap_add(const struct dma_heap_export_info *exp_info)
++{
++      struct dma_heap *heap, *h, *err_ret;
++      struct device *dev_ret;
++      unsigned int minor;
++      int ret;
++
++      if (!exp_info->name || !strcmp(exp_info->name, "")) {
++              pr_err("dma_heap: Cannot add heap without a name\n");
++              return ERR_PTR(-EINVAL);
++      }
++
++      if (!exp_info->ops || !exp_info->ops->allocate) {
++              pr_err("dma_heap: Cannot add heap with invalid ops struct\n");
++              return ERR_PTR(-EINVAL);
++      }
++
++      /* check the name is unique */
++      mutex_lock(&heap_list_lock);
++      list_for_each_entry(h, &heap_list, list) {
++              if (!strcmp(h->name, exp_info->name)) {
++                      mutex_unlock(&heap_list_lock);
++                      pr_err("dma_heap: Already registered heap named %s\n",
++                             exp_info->name);
++                      return ERR_PTR(-EINVAL);
++              }
++      }
++      mutex_unlock(&heap_list_lock);
++
++      heap = kzalloc(sizeof(*heap), GFP_KERNEL);
++      if (!heap)
++              return ERR_PTR(-ENOMEM);
++
++      heap->name = exp_info->name;
++      heap->ops = exp_info->ops;
++      heap->priv = exp_info->priv;
++
++      /* Find unused minor number */
++      ret = xa_alloc(&dma_heap_minors, &minor, heap,
++                     XA_LIMIT(0, NUM_HEAP_MINORS - 1), GFP_KERNEL);
++      if (ret < 0) {
++              pr_err("dma_heap: Unable to get minor number for heap\n");
++              err_ret = ERR_PTR(ret);
++              goto err0;
++      }
++
++      /* Create device */
++      heap->heap_devt = MKDEV(MAJOR(dma_heap_devt), minor);
++
++      cdev_init(&heap->heap_cdev, &dma_heap_fops);
++      ret = cdev_add(&heap->heap_cdev, heap->heap_devt, 1);
++      if (ret < 0) {
++              pr_err("dma_heap: Unable to add char device\n");
++              err_ret = ERR_PTR(ret);
++              goto err1;
++      }
++
++      dev_ret = device_create(dma_heap_class,
++                              NULL,
++                              heap->heap_devt,
++                              NULL,
++                              heap->name);
++      if (IS_ERR(dev_ret)) {
++              pr_err("dma_heap: Unable to create device\n");
++              err_ret = ERR_CAST(dev_ret);
++              goto err2;
++      }
++      /* Add heap to the list */
++      mutex_lock(&heap_list_lock);
++      list_add(&heap->list, &heap_list);
++      mutex_unlock(&heap_list_lock);
++
++      return heap;
++
++err2:
++      cdev_del(&heap->heap_cdev);
++err1:
++      xa_erase(&dma_heap_minors, minor);
++err0:
++      kfree(heap);
++      return err_ret;
++}
++
++static char *dma_heap_devnode(struct device *dev, umode_t *mode)
++{
++      return kasprintf(GFP_KERNEL, "dma_heap/%s", dev_name(dev));
++}
++
++static int dma_heap_init(void)
++{
++      int ret;
++
++      ret = alloc_chrdev_region(&dma_heap_devt, 0, NUM_HEAP_MINORS, DEVNAME);
++      if (ret)
++              return ret;
++
++      dma_heap_class = class_create(THIS_MODULE, DEVNAME);
++      if (IS_ERR(dma_heap_class)) {
++              unregister_chrdev_region(dma_heap_devt, NUM_HEAP_MINORS);
++              return PTR_ERR(dma_heap_class);
++      }
++      dma_heap_class->devnode = dma_heap_devnode;
++
++      return 0;
++}
++subsys_initcall(dma_heap_init);
+--- /dev/null
++++ b/include/linux/dma-heap.h
+@@ -0,0 +1,59 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * DMABUF Heaps Allocation Infrastructure
++ *
++ * Copyright (C) 2011 Google, Inc.
++ * Copyright (C) 2019 Linaro Ltd.
++ */
++
++#ifndef _DMA_HEAPS_H
++#define _DMA_HEAPS_H
++
++#include <linux/cdev.h>
++#include <linux/types.h>
++
++struct dma_heap;
++
++/**
++ * struct dma_heap_ops - ops to operate on a given heap
++ * @allocate:         allocate dmabuf and return fd
++ *
++ * allocate returns dmabuf fd  on success, -errno on error.
++ */
++struct dma_heap_ops {
++      int (*allocate)(struct dma_heap *heap,
++                      unsigned long len,
++                      unsigned long fd_flags,
++                      unsigned long heap_flags);
++};
++
++/**
++ * struct dma_heap_export_info - information needed to export a new dmabuf heap
++ * @name:     used for debugging/device-node name
++ * @ops:      ops struct for this heap
++ * @priv:     heap exporter private data
++ *
++ * Information needed to export a new dmabuf heap.
++ */
++struct dma_heap_export_info {
++      const char *name;
++      const struct dma_heap_ops *ops;
++      void *priv;
++};
++
++/**
++ * dma_heap_get_drvdata() - get per-heap driver data
++ * @heap: DMA-Heap to retrieve private data for
++ *
++ * Returns:
++ * The per-heap data for the heap.
++ */
++void *dma_heap_get_drvdata(struct dma_heap *heap);
++
++/**
++ * dma_heap_add - adds a heap to dmabuf heaps
++ * @exp_info:         information needed to register this heap
++ */
++struct dma_heap *dma_heap_add(const struct dma_heap_export_info *exp_info);
++
++#endif /* _DMA_HEAPS_H */
+--- /dev/null
++++ b/include/uapi/linux/dma-heap.h
+@@ -0,0 +1,53 @@
++/* SPDX-License-Identifier: GPL-2.0 WITH Linux-syscall-note */
++/*
++ * DMABUF Heaps Userspace API
++ *
++ * Copyright (C) 2011 Google, Inc.
++ * Copyright (C) 2019 Linaro Ltd.
++ */
++#ifndef _UAPI_LINUX_DMABUF_POOL_H
++#define _UAPI_LINUX_DMABUF_POOL_H
++
++#include <linux/ioctl.h>
++#include <linux/types.h>
++
++/**
++ * DOC: DMABUF Heaps Userspace API
++ */
++
++/* Valid FD_FLAGS are O_CLOEXEC, O_RDONLY, O_WRONLY, O_RDWR */
++#define DMA_HEAP_VALID_FD_FLAGS (O_CLOEXEC | O_ACCMODE)
++
++/* Currently no heap flags */
++#define DMA_HEAP_VALID_HEAP_FLAGS (0)
++
++/**
++ * struct dma_heap_allocation_data - metadata passed from userspace for
++ *                                      allocations
++ * @len:              size of the allocation
++ * @fd:                       will be populated with a fd which provides the
++ *                    handle to the allocated dma-buf
++ * @fd_flags:         file descriptor flags used when allocating
++ * @heap_flags:               flags passed to heap
++ *
++ * Provided by userspace as an argument to the ioctl
++ */
++struct dma_heap_allocation_data {
++      __u64 len;
++      __u32 fd;
++      __u32 fd_flags;
++      __u64 heap_flags;
++};
++
++#define DMA_HEAP_IOC_MAGIC            'H'
++
++/**
++ * DOC: DMA_HEAP_IOC_ALLOC - allocate memory from pool
++ *
++ * Takes a dma_heap_allocation_data struct and returns it with the fd field
++ * populated with the dmabuf handle of the allocation.
++ */
++#define DMA_HEAP_IOC_ALLOC    _IOWR(DMA_HEAP_IOC_MAGIC, 0x0,\
++                                    struct dma_heap_allocation_data)
++
++#endif /* _UAPI_LINUX_DMABUF_POOL_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0722-media-i2c-Add-driver-for-Sony-IMX477-sensor.patch b/target/linux/bcm27xx/patches-5.4/950-0722-media-i2c-Add-driver-for-Sony-IMX477-sensor.patch
deleted file mode 100644 (file)
index f3e2052..0000000
+++ /dev/null
@@ -1,2266 +0,0 @@
-From 58483dcbbb5feca6da79970665950b6b43928e60 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Fri, 8 May 2020 10:00:12 +0100
-Subject: [PATCH] media: i2c: Add driver for Sony IMX477 sensor
-
-Adds a driver for the 12MPix Sony IMX477 CSI2 sensor.
-Whilst the sensor supports 2 or 4 CSI2 data lanes, this driver
-currently only supports 2 lanes.
-
-The following Bayer modes are currently available:
-
-4056x3040 12-bit @ 10fps
-2028x1520 12-bit (binned) @ 40fps
-2028x1050 12-bit (cropped/binned) @ 50fps
-1012x760 10-bit (scaled) @ 120 fps
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- MAINTAINERS                |    8 +
- drivers/media/i2c/Kconfig  |   11 +
- drivers/media/i2c/Makefile |    1 +
- drivers/media/i2c/imx477.c | 2191 ++++++++++++++++++++++++++++++++++++
- 4 files changed, 2211 insertions(+)
- create mode 100644 drivers/media/i2c/imx477.c
-
---- a/MAINTAINERS
-+++ b/MAINTAINERS
-@@ -15196,6 +15196,14 @@ T:    git git://linuxtv.org/media_tree.git
- S:    Maintained
- F:    drivers/media/i2c/imx355.c
-+SONY IMX477 SENSOR DRIVER
-+M:    Raspberry Pi Kernel Maintenance <kernel-list@raspberrypi.com>
-+L:    linux-media@vger.kernel.org
-+T:    git git://linuxtv.org/media_tree.git
-+S:    Maintained
-+F:    drivers/media/i2c/imx477.c
-+F:    Documentation/devicetree/bindings/media/i2c/imx477.yaml
-+
- SONY MEMORYSTICK SUBSYSTEM
- M:    Maxim Levitsky <maximlevitsky@gmail.com>
- M:    Alex Dubov <oakad@yahoo.com>
---- a/drivers/media/i2c/Kconfig
-+++ b/drivers/media/i2c/Kconfig
-@@ -609,6 +609,17 @@ config VIDEO_IMX274
-         This is a V4L2 sensor driver for the Sony IMX274
-         CMOS image sensor.
-+config VIDEO_IMX477
-+      tristate "Sony IMX477 sensor support"
-+      depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
-+      depends on MEDIA_CAMERA_SUPPORT
-+      help
-+        This is a Video4Linux2 sensor driver for the Sony
-+        IMX477 camera.
-+
-+        To compile this driver as a module, choose M here: the
-+        module will be called imx477.
-+
- config VIDEO_IMX319
-       tristate "Sony IMX319 sensor support"
-       depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
---- a/drivers/media/i2c/Makefile
-+++ b/drivers/media/i2c/Makefile
-@@ -114,6 +114,7 @@ obj-$(CONFIG_VIDEO_IMX214) += imx214.o
- obj-$(CONFIG_VIDEO_IMX219)    += imx219.o
- obj-$(CONFIG_VIDEO_IMX258)    += imx258.o
- obj-$(CONFIG_VIDEO_IMX274)    += imx274.o
-+obj-$(CONFIG_VIDEO_IMX477)    += imx477.o
- obj-$(CONFIG_VIDEO_IMX319)    += imx319.o
- obj-$(CONFIG_VIDEO_IMX355)    += imx355.o
- obj-$(CONFIG_VIDEO_ST_MIPID02) += st-mipid02.o
---- /dev/null
-+++ b/drivers/media/i2c/imx477.c
-@@ -0,0 +1,2191 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * A V4L2 driver for Sony IMX477 cameras.
-+ * Copyright (C) 2020, Raspberry Pi (Trading) Ltd
-+ *
-+ * Based on Sony imx219 camera driver
-+ * Copyright (C) 2019-2020 Raspberry Pi (Trading) Ltd
-+ */
-+#include <asm/unaligned.h>
-+#include <linux/clk.h>
-+#include <linux/delay.h>
-+#include <linux/gpio/consumer.h>
-+#include <linux/i2c.h>
-+#include <linux/module.h>
-+#include <linux/pm_runtime.h>
-+#include <linux/regulator/consumer.h>
-+#include <media/v4l2-ctrls.h>
-+#include <media/v4l2-device.h>
-+#include <media/v4l2-event.h>
-+#include <media/v4l2-fwnode.h>
-+#include <media/v4l2-mediabus.h>
-+
-+#define IMX477_REG_VALUE_08BIT                1
-+#define IMX477_REG_VALUE_16BIT                2
-+
-+/* Chip ID */
-+#define IMX477_REG_CHIP_ID            0x0016
-+#define IMX477_CHIP_ID                        0x0477
-+
-+#define IMX477_REG_MODE_SELECT                0x0100
-+#define IMX477_MODE_STANDBY           0x00
-+#define IMX477_MODE_STREAMING         0x01
-+
-+#define IMX477_REG_ORIENTATION                0x101
-+
-+#define IMX477_XCLK_FREQ              24000000
-+
-+#define IMX477_DEFAULT_LINK_FREQ      450000000
-+
-+/* Pixel rate is fixed at 840MHz for all the modes */
-+#define IMX477_PIXEL_RATE             840000000
-+
-+/* V_TIMING internal */
-+#define IMX477_REG_FRAME_LENGTH               0x0340
-+#define IMX477_FRAME_LENGTH_MAX               0xffdc
-+
-+/* Exposure control */
-+#define IMX477_REG_EXPOSURE           0x0202
-+#define IMX477_EXPOSURE_OFFSET                22
-+#define IMX477_EXPOSURE_MIN           20
-+#define IMX477_EXPOSURE_STEP          1
-+#define IMX477_EXPOSURE_DEFAULT               0x640
-+#define IMX477_EXPOSURE_MAX           (IMX477_FRAME_LENGTH_MAX - \
-+                                       IMX477_EXPOSURE_OFFSET)
-+
-+/* Analog gain control */
-+#define IMX477_REG_ANALOG_GAIN                0x0204
-+#define IMX477_ANA_GAIN_MIN           0
-+#define IMX477_ANA_GAIN_MAX           978
-+#define IMX477_ANA_GAIN_STEP          1
-+#define IMX477_ANA_GAIN_DEFAULT               0x0
-+
-+/* Digital gain control */
-+#define IMX477_REG_DIGITAL_GAIN               0x020e
-+#define IMX477_DGTL_GAIN_MIN          0x0100
-+#define IMX477_DGTL_GAIN_MAX          0xffff
-+#define IMX477_DGTL_GAIN_DEFAULT      0x0100
-+#define IMX477_DGTL_GAIN_STEP         1
-+
-+/* Test Pattern Control */
-+#define IMX477_REG_TEST_PATTERN               0x0600
-+#define IMX477_TEST_PATTERN_DISABLE   0
-+#define IMX477_TEST_PATTERN_SOLID_COLOR       1
-+#define IMX477_TEST_PATTERN_COLOR_BARS        2
-+#define IMX477_TEST_PATTERN_GREY_COLOR        3
-+#define IMX477_TEST_PATTERN_PN9               4
-+
-+/* Test pattern colour components */
-+#define IMX477_REG_TEST_PATTERN_R     0x0602
-+#define IMX477_REG_TEST_PATTERN_GR    0x0604
-+#define IMX477_REG_TEST_PATTERN_B     0x0606
-+#define IMX477_REG_TEST_PATTERN_GB    0x0608
-+#define IMX477_TEST_PATTERN_COLOUR_MIN        0
-+#define IMX477_TEST_PATTERN_COLOUR_MAX        0x0fff
-+#define IMX477_TEST_PATTERN_COLOUR_STEP       1
-+#define IMX477_TEST_PATTERN_R_DEFAULT IMX477_TEST_PATTERN_COLOUR_MAX
-+#define IMX477_TEST_PATTERN_GR_DEFAULT        0
-+#define IMX477_TEST_PATTERN_B_DEFAULT 0
-+#define IMX477_TEST_PATTERN_GB_DEFAULT        0
-+
-+/* Embedded metadata stream structure */
-+#define IMX477_EMBEDDED_LINE_WIDTH 16384
-+#define IMX477_NUM_EMBEDDED_LINES 1
-+
-+enum pad_types {
-+      IMAGE_PAD,
-+      METADATA_PAD,
-+      NUM_PADS
-+};
-+
-+/* IMX477 native and active pixel array size. */
-+#define IMX477_NATIVE_WIDTH           4072U
-+#define IMX477_NATIVE_HEIGHT          3176U
-+#define IMX477_PIXEL_ARRAY_LEFT               8U
-+#define IMX477_PIXEL_ARRAY_TOP                16U
-+#define IMX477_PIXEL_ARRAY_WIDTH      4056U
-+#define IMX477_PIXEL_ARRAY_HEIGHT     3040U
-+
-+struct imx477_reg {
-+      u16 address;
-+      u8 val;
-+};
-+
-+struct imx477_reg_list {
-+      unsigned int num_of_regs;
-+      const struct imx477_reg *regs;
-+};
-+
-+/* Mode : resolution and related config&values */
-+struct imx477_mode {
-+      /* Frame width */
-+      unsigned int width;
-+
-+      /* Frame height */
-+      unsigned int height;
-+
-+      /* H-timing in pixels */
-+      unsigned int line_length_pix;
-+
-+      /* Analog crop rectangle. */
-+      struct v4l2_rect crop;
-+
-+      /* Highest possible framerate. */
-+      struct v4l2_fract timeperframe_min;
-+
-+      /* Default framerate. */
-+      struct v4l2_fract timeperframe_default;
-+
-+      /* Default register values */
-+      struct imx477_reg_list reg_list;
-+};
-+
-+static const struct imx477_reg mode_common_regs[] = {
-+      {0x0136, 0x18},
-+      {0x0137, 0x00},
-+      {0xe000, 0x00},
-+      {0xe07a, 0x01},
-+      {0x0808, 0x02},
-+      {0x4ae9, 0x18},
-+      {0x4aea, 0x08},
-+      {0xf61c, 0x04},
-+      {0xf61e, 0x04},
-+      {0x4ae9, 0x21},
-+      {0x4aea, 0x80},
-+      {0x38a8, 0x1f},
-+      {0x38a9, 0xff},
-+      {0x38aa, 0x1f},
-+      {0x38ab, 0xff},
-+      {0x55d4, 0x00},
-+      {0x55d5, 0x00},
-+      {0x55d6, 0x07},
-+      {0x55d7, 0xff},
-+      {0x55e8, 0x07},
-+      {0x55e9, 0xff},
-+      {0x55ea, 0x00},
-+      {0x55eb, 0x00},
-+      {0x574c, 0x07},
-+      {0x574d, 0xff},
-+      {0x574e, 0x00},
-+      {0x574f, 0x00},
-+      {0x5754, 0x00},
-+      {0x5755, 0x00},
-+      {0x5756, 0x07},
-+      {0x5757, 0xff},
-+      {0x5973, 0x04},
-+      {0x5974, 0x01},
-+      {0x5d13, 0xc3},
-+      {0x5d14, 0x58},
-+      {0x5d15, 0xa3},
-+      {0x5d16, 0x1d},
-+      {0x5d17, 0x65},
-+      {0x5d18, 0x8c},
-+      {0x5d1a, 0x06},
-+      {0x5d1b, 0xa9},
-+      {0x5d1c, 0x45},
-+      {0x5d1d, 0x3a},
-+      {0x5d1e, 0xab},
-+      {0x5d1f, 0x15},
-+      {0x5d21, 0x0e},
-+      {0x5d22, 0x52},
-+      {0x5d23, 0xaa},
-+      {0x5d24, 0x7d},
-+      {0x5d25, 0x57},
-+      {0x5d26, 0xa8},
-+      {0x5d37, 0x5a},
-+      {0x5d38, 0x5a},
-+      {0x5d77, 0x7f},
-+      {0x7b75, 0x0e},
-+      {0x7b76, 0x0b},
-+      {0x7b77, 0x08},
-+      {0x7b78, 0x0a},
-+      {0x7b79, 0x47},
-+      {0x7b7c, 0x00},
-+      {0x7b7d, 0x00},
-+      {0x8d1f, 0x00},
-+      {0x8d27, 0x00},
-+      {0x9004, 0x03},
-+      {0x9200, 0x50},
-+      {0x9201, 0x6c},
-+      {0x9202, 0x71},
-+      {0x9203, 0x00},
-+      {0x9204, 0x71},
-+      {0x9205, 0x01},
-+      {0x9371, 0x6a},
-+      {0x9373, 0x6a},
-+      {0x9375, 0x64},
-+      {0x991a, 0x00},
-+      {0x996b, 0x8c},
-+      {0x996c, 0x64},
-+      {0x996d, 0x50},
-+      {0x9a4c, 0x0d},
-+      {0x9a4d, 0x0d},
-+      {0xa001, 0x0a},
-+      {0xa003, 0x0a},
-+      {0xa005, 0x0a},
-+      {0xa006, 0x01},
-+      {0xa007, 0xc0},
-+      {0xa009, 0xc0},
-+      {0x3d8a, 0x01},
-+      {0x4421, 0x04},
-+      {0x7b3b, 0x01},
-+      {0x7b4c, 0x00},
-+      {0x9905, 0x00},
-+      {0x9907, 0x00},
-+      {0x9909, 0x00},
-+      {0x990b, 0x00},
-+      {0x9944, 0x3c},
-+      {0x9947, 0x3c},
-+      {0x994a, 0x8c},
-+      {0x994b, 0x50},
-+      {0x994c, 0x1b},
-+      {0x994d, 0x8c},
-+      {0x994e, 0x50},
-+      {0x994f, 0x1b},
-+      {0x9950, 0x8c},
-+      {0x9951, 0x1b},
-+      {0x9952, 0x0a},
-+      {0x9953, 0x8c},
-+      {0x9954, 0x1b},
-+      {0x9955, 0x0a},
-+      {0x9a13, 0x04},
-+      {0x9a14, 0x04},
-+      {0x9a19, 0x00},
-+      {0x9a1c, 0x04},
-+      {0x9a1d, 0x04},
-+      {0x9a26, 0x05},
-+      {0x9a27, 0x05},
-+      {0x9a2c, 0x01},
-+      {0x9a2d, 0x03},
-+      {0x9a2f, 0x05},
-+      {0x9a30, 0x05},
-+      {0x9a41, 0x00},
-+      {0x9a46, 0x00},
-+      {0x9a47, 0x00},
-+      {0x9c17, 0x35},
-+      {0x9c1d, 0x31},
-+      {0x9c29, 0x50},
-+      {0x9c3b, 0x2f},
-+      {0x9c41, 0x6b},
-+      {0x9c47, 0x2d},
-+      {0x9c4d, 0x40},
-+      {0x9c6b, 0x00},
-+      {0x9c71, 0xc8},
-+      {0x9c73, 0x32},
-+      {0x9c75, 0x04},
-+      {0x9c7d, 0x2d},
-+      {0x9c83, 0x40},
-+      {0x9c94, 0x3f},
-+      {0x9c95, 0x3f},
-+      {0x9c96, 0x3f},
-+      {0x9c97, 0x00},
-+      {0x9c98, 0x00},
-+      {0x9c99, 0x00},
-+      {0x9c9a, 0x3f},
-+      {0x9c9b, 0x3f},
-+      {0x9c9c, 0x3f},
-+      {0x9ca0, 0x0f},
-+      {0x9ca1, 0x0f},
-+      {0x9ca2, 0x0f},
-+      {0x9ca3, 0x00},
-+      {0x9ca4, 0x00},
-+      {0x9ca5, 0x00},
-+      {0x9ca6, 0x1e},
-+      {0x9ca7, 0x1e},
-+      {0x9ca8, 0x1e},
-+      {0x9ca9, 0x00},
-+      {0x9caa, 0x00},
-+      {0x9cab, 0x00},
-+      {0x9cac, 0x09},
-+      {0x9cad, 0x09},
-+      {0x9cae, 0x09},
-+      {0x9cbd, 0x50},
-+      {0x9cbf, 0x50},
-+      {0x9cc1, 0x50},
-+      {0x9cc3, 0x40},
-+      {0x9cc5, 0x40},
-+      {0x9cc7, 0x40},
-+      {0x9cc9, 0x0a},
-+      {0x9ccb, 0x0a},
-+      {0x9ccd, 0x0a},
-+      {0x9d17, 0x35},
-+      {0x9d1d, 0x31},
-+      {0x9d29, 0x50},
-+      {0x9d3b, 0x2f},
-+      {0x9d41, 0x6b},
-+      {0x9d47, 0x42},
-+      {0x9d4d, 0x5a},
-+      {0x9d6b, 0x00},
-+      {0x9d71, 0xc8},
-+      {0x9d73, 0x32},
-+      {0x9d75, 0x04},
-+      {0x9d7d, 0x42},
-+      {0x9d83, 0x5a},
-+      {0x9d94, 0x3f},
-+      {0x9d95, 0x3f},
-+      {0x9d96, 0x3f},
-+      {0x9d97, 0x00},
-+      {0x9d98, 0x00},
-+      {0x9d99, 0x00},
-+      {0x9d9a, 0x3f},
-+      {0x9d9b, 0x3f},
-+      {0x9d9c, 0x3f},
-+      {0x9d9d, 0x1f},
-+      {0x9d9e, 0x1f},
-+      {0x9d9f, 0x1f},
-+      {0x9da0, 0x0f},
-+      {0x9da1, 0x0f},
-+      {0x9da2, 0x0f},
-+      {0x9da3, 0x00},
-+      {0x9da4, 0x00},
-+      {0x9da5, 0x00},
-+      {0x9da6, 0x1e},
-+      {0x9da7, 0x1e},
-+      {0x9da8, 0x1e},
-+      {0x9da9, 0x00},
-+      {0x9daa, 0x00},
-+      {0x9dab, 0x00},
-+      {0x9dac, 0x09},
-+      {0x9dad, 0x09},
-+      {0x9dae, 0x09},
-+      {0x9dc9, 0x0a},
-+      {0x9dcb, 0x0a},
-+      {0x9dcd, 0x0a},
-+      {0x9e17, 0x35},
-+      {0x9e1d, 0x31},
-+      {0x9e29, 0x50},
-+      {0x9e3b, 0x2f},
-+      {0x9e41, 0x6b},
-+      {0x9e47, 0x2d},
-+      {0x9e4d, 0x40},
-+      {0x9e6b, 0x00},
-+      {0x9e71, 0xc8},
-+      {0x9e73, 0x32},
-+      {0x9e75, 0x04},
-+      {0x9e94, 0x0f},
-+      {0x9e95, 0x0f},
-+      {0x9e96, 0x0f},
-+      {0x9e97, 0x00},
-+      {0x9e98, 0x00},
-+      {0x9e99, 0x00},
-+      {0x9ea0, 0x0f},
-+      {0x9ea1, 0x0f},
-+      {0x9ea2, 0x0f},
-+      {0x9ea3, 0x00},
-+      {0x9ea4, 0x00},
-+      {0x9ea5, 0x00},
-+      {0x9ea6, 0x3f},
-+      {0x9ea7, 0x3f},
-+      {0x9ea8, 0x3f},
-+      {0x9ea9, 0x00},
-+      {0x9eaa, 0x00},
-+      {0x9eab, 0x00},
-+      {0x9eac, 0x09},
-+      {0x9ead, 0x09},
-+      {0x9eae, 0x09},
-+      {0x9ec9, 0x0a},
-+      {0x9ecb, 0x0a},
-+      {0x9ecd, 0x0a},
-+      {0x9f17, 0x35},
-+      {0x9f1d, 0x31},
-+      {0x9f29, 0x50},
-+      {0x9f3b, 0x2f},
-+      {0x9f41, 0x6b},
-+      {0x9f47, 0x42},
-+      {0x9f4d, 0x5a},
-+      {0x9f6b, 0x00},
-+      {0x9f71, 0xc8},
-+      {0x9f73, 0x32},
-+      {0x9f75, 0x04},
-+      {0x9f94, 0x0f},
-+      {0x9f95, 0x0f},
-+      {0x9f96, 0x0f},
-+      {0x9f97, 0x00},
-+      {0x9f98, 0x00},
-+      {0x9f99, 0x00},
-+      {0x9f9a, 0x2f},
-+      {0x9f9b, 0x2f},
-+      {0x9f9c, 0x2f},
-+      {0x9f9d, 0x00},
-+      {0x9f9e, 0x00},
-+      {0x9f9f, 0x00},
-+      {0x9fa0, 0x0f},
-+      {0x9fa1, 0x0f},
-+      {0x9fa2, 0x0f},
-+      {0x9fa3, 0x00},
-+      {0x9fa4, 0x00},
-+      {0x9fa5, 0x00},
-+      {0x9fa6, 0x1e},
-+      {0x9fa7, 0x1e},
-+      {0x9fa8, 0x1e},
-+      {0x9fa9, 0x00},
-+      {0x9faa, 0x00},
-+      {0x9fab, 0x00},
-+      {0x9fac, 0x09},
-+      {0x9fad, 0x09},
-+      {0x9fae, 0x09},
-+      {0x9fc9, 0x0a},
-+      {0x9fcb, 0x0a},
-+      {0x9fcd, 0x0a},
-+      {0xa14b, 0xff},
-+      {0xa151, 0x0c},
-+      {0xa153, 0x50},
-+      {0xa155, 0x02},
-+      {0xa157, 0x00},
-+      {0xa1ad, 0xff},
-+      {0xa1b3, 0x0c},
-+      {0xa1b5, 0x50},
-+      {0xa1b9, 0x00},
-+      {0xa24b, 0xff},
-+      {0xa257, 0x00},
-+      {0xa2ad, 0xff},
-+      {0xa2b9, 0x00},
-+      {0xb21f, 0x04},
-+      {0xb35c, 0x00},
-+      {0xb35e, 0x08},
-+      {0x0112, 0x0c},
-+      {0x0113, 0x0c},
-+      {0x0114, 0x01},
-+      {0x0350, 0x00},
-+      {0xbcf1, 0x02},
-+      {0x3ff9, 0x01},
-+};
-+
-+/* 12 mpix 10fps */
-+static const struct imx477_reg mode_4056x3040_regs[] = {
-+      {0x0342, 0x5d},
-+      {0x0343, 0xc0},
-+      {0x0344, 0x00},
-+      {0x0345, 0x00},
-+      {0x0346, 0x00},
-+      {0x0347, 0x00},
-+      {0x0348, 0x0f},
-+      {0x0349, 0xd7},
-+      {0x034a, 0x0b},
-+      {0x034b, 0xdf},
-+      {0x00e3, 0x00},
-+      {0x00e4, 0x00},
-+      {0x00fc, 0x0a},
-+      {0x00fd, 0x0a},
-+      {0x00fe, 0x0a},
-+      {0x00ff, 0x0a},
-+      {0x0220, 0x00},
-+      {0x0221, 0x11},
-+      {0x0381, 0x01},
-+      {0x0383, 0x01},
-+      {0x0385, 0x01},
-+      {0x0387, 0x01},
-+      {0x0900, 0x00},
-+      {0x0901, 0x11},
-+      {0x0902, 0x02},
-+      {0x3140, 0x02},
-+      {0x3c00, 0x00},
-+      {0x3c01, 0x03},
-+      {0x3c02, 0xa2},
-+      {0x3f0d, 0x01},
-+      {0x5748, 0x07},
-+      {0x5749, 0xff},
-+      {0x574a, 0x00},
-+      {0x574b, 0x00},
-+      {0x7b75, 0x0a},
-+      {0x7b76, 0x0c},
-+      {0x7b77, 0x07},
-+      {0x7b78, 0x06},
-+      {0x7b79, 0x3c},
-+      {0x7b53, 0x01},
-+      {0x9369, 0x5a},
-+      {0x936b, 0x55},
-+      {0x936d, 0x28},
-+      {0x9304, 0x00},
-+      {0x9305, 0x00},
-+      {0x9e9a, 0x2f},
-+      {0x9e9b, 0x2f},
-+      {0x9e9c, 0x2f},
-+      {0x9e9d, 0x00},
-+      {0x9e9e, 0x00},
-+      {0x9e9f, 0x00},
-+      {0xa2a9, 0x60},
-+      {0xa2b7, 0x00},
-+      {0x0401, 0x00},
-+      {0x0404, 0x00},
-+      {0x0405, 0x10},
-+      {0x0408, 0x00},
-+      {0x0409, 0x00},
-+      {0x040a, 0x00},
-+      {0x040b, 0x00},
-+      {0x040c, 0x0f},
-+      {0x040d, 0xd8},
-+      {0x040e, 0x0b},
-+      {0x040f, 0xe0},
-+      {0x034c, 0x0f},
-+      {0x034d, 0xd8},
-+      {0x034e, 0x0b},
-+      {0x034f, 0xe0},
-+      {0x0301, 0x05},
-+      {0x0303, 0x02},
-+      {0x0305, 0x04},
-+      {0x0306, 0x01},
-+      {0x0307, 0x5e},
-+      {0x0309, 0x0c},
-+      {0x030b, 0x02},
-+      {0x030d, 0x02},
-+      {0x030e, 0x00},
-+      {0x030f, 0x96},
-+      {0x0310, 0x01},
-+      {0x0820, 0x07},
-+      {0x0821, 0x08},
-+      {0x0822, 0x00},
-+      {0x0823, 0x00},
-+      {0x080a, 0x00},
-+      {0x080b, 0x7f},
-+      {0x080c, 0x00},
-+      {0x080d, 0x4f},
-+      {0x080e, 0x00},
-+      {0x080f, 0x77},
-+      {0x0810, 0x00},
-+      {0x0811, 0x5f},
-+      {0x0812, 0x00},
-+      {0x0813, 0x57},
-+      {0x0814, 0x00},
-+      {0x0815, 0x4f},
-+      {0x0816, 0x01},
-+      {0x0817, 0x27},
-+      {0x0818, 0x00},
-+      {0x0819, 0x3f},
-+      {0xe04c, 0x00},
-+      {0xe04d, 0x7f},
-+      {0xe04e, 0x00},
-+      {0xe04f, 0x1f},
-+      {0x3e20, 0x01},
-+      {0x3e37, 0x00},
-+      {0x3f50, 0x00},
-+      {0x3f56, 0x02},
-+      {0x3f57, 0xae},
-+};
-+
-+/* 2x2 binned. 40fps */
-+static const struct imx477_reg mode_2028x1520_regs[] = {
-+      {0x0342, 0x31},
-+      {0x0343, 0xc4},
-+      {0x0344, 0x00},
-+      {0x0345, 0x00},
-+      {0x0346, 0x00},
-+      {0x0347, 0x00},
-+      {0x0348, 0x0f},
-+      {0x0349, 0xd7},
-+      {0x034a, 0x0b},
-+      {0x034b, 0xdf},
-+      {0x0220, 0x00},
-+      {0x0221, 0x11},
-+      {0x0381, 0x01},
-+      {0x0383, 0x01},
-+      {0x0385, 0x01},
-+      {0x0387, 0x01},
-+      {0x0900, 0x01},
-+      {0x0901, 0x12},
-+      {0x0902, 0x02},
-+      {0x3140, 0x02},
-+      {0x3c00, 0x00},
-+      {0x3c01, 0x03},
-+      {0x3c02, 0xa2},
-+      {0x3f0d, 0x01},
-+      {0x5748, 0x07},
-+      {0x5749, 0xff},
-+      {0x574a, 0x00},
-+      {0x574b, 0x00},
-+      {0x7b53, 0x01},
-+      {0x9369, 0x73},
-+      {0x936b, 0x64},
-+      {0x936d, 0x5f},
-+      {0x9304, 0x00},
-+      {0x9305, 0x00},
-+      {0x9e9a, 0x2f},
-+      {0x9e9b, 0x2f},
-+      {0x9e9c, 0x2f},
-+      {0x9e9d, 0x00},
-+      {0x9e9e, 0x00},
-+      {0x9e9f, 0x00},
-+      {0xa2a9, 0x60},
-+      {0xa2b7, 0x00},
-+      {0x0401, 0x01},
-+      {0x0404, 0x00},
-+      {0x0405, 0x20},
-+      {0x0408, 0x00},
-+      {0x0409, 0x00},
-+      {0x040a, 0x00},
-+      {0x040b, 0x00},
-+      {0x040c, 0x0f},
-+      {0x040d, 0xd8},
-+      {0x040e, 0x0b},
-+      {0x040f, 0xe0},
-+      {0x034c, 0x07},
-+      {0x034d, 0xec},
-+      {0x034e, 0x05},
-+      {0x034f, 0xf0},
-+      {0x0301, 0x05},
-+      {0x0303, 0x02},
-+      {0x0305, 0x04},
-+      {0x0306, 0x01},
-+      {0x0307, 0x5e},
-+      {0x0309, 0x0c},
-+      {0x030b, 0x02},
-+      {0x030d, 0x02},
-+      {0x030e, 0x00},
-+      {0x030f, 0x96},
-+      {0x0310, 0x01},
-+      {0x0820, 0x07},
-+      {0x0821, 0x08},
-+      {0x0822, 0x00},
-+      {0x0823, 0x00},
-+      {0x080a, 0x00},
-+      {0x080b, 0x7f},
-+      {0x080c, 0x00},
-+      {0x080d, 0x4f},
-+      {0x080e, 0x00},
-+      {0x080f, 0x77},
-+      {0x0810, 0x00},
-+      {0x0811, 0x5f},
-+      {0x0812, 0x00},
-+      {0x0813, 0x57},
-+      {0x0814, 0x00},
-+      {0x0815, 0x4f},
-+      {0x0816, 0x01},
-+      {0x0817, 0x27},
-+      {0x0818, 0x00},
-+      {0x0819, 0x3f},
-+      {0xe04c, 0x00},
-+      {0xe04d, 0x7f},
-+      {0xe04e, 0x00},
-+      {0xe04f, 0x1f},
-+      {0x3e20, 0x01},
-+      {0x3e37, 0x00},
-+      {0x3f50, 0x00},
-+      {0x3f56, 0x01},
-+      {0x3f57, 0x6c},
-+};
-+
-+/* 1080p cropped mode */
-+static const struct imx477_reg mode_2028x1080_regs[] = {
-+      {0x0342, 0x31},
-+      {0x0343, 0xc4},
-+      {0x0344, 0x00},
-+      {0x0345, 0x00},
-+      {0x0346, 0x01},
-+      {0x0347, 0xb8},
-+      {0x0348, 0x0f},
-+      {0x0349, 0xd7},
-+      {0x034a, 0x0a},
-+      {0x034b, 0x27},
-+      {0x0220, 0x00},
-+      {0x0221, 0x11},
-+      {0x0381, 0x01},
-+      {0x0383, 0x01},
-+      {0x0385, 0x01},
-+      {0x0387, 0x01},
-+      {0x0900, 0x01},
-+      {0x0901, 0x12},
-+      {0x0902, 0x02},
-+      {0x3140, 0x02},
-+      {0x3c00, 0x00},
-+      {0x3c01, 0x03},
-+      {0x3c02, 0xa2},
-+      {0x3f0d, 0x01},
-+      {0x5748, 0x07},
-+      {0x5749, 0xff},
-+      {0x574a, 0x00},
-+      {0x574b, 0x00},
-+      {0x7b53, 0x01},
-+      {0x9369, 0x73},
-+      {0x936b, 0x64},
-+      {0x936d, 0x5f},
-+      {0x9304, 0x00},
-+      {0x9305, 0x00},
-+      {0x9e9a, 0x2f},
-+      {0x9e9b, 0x2f},
-+      {0x9e9c, 0x2f},
-+      {0x9e9d, 0x00},
-+      {0x9e9e, 0x00},
-+      {0x9e9f, 0x00},
-+      {0xa2a9, 0x60},
-+      {0xa2b7, 0x00},
-+      {0x0401, 0x01},
-+      {0x0404, 0x00},
-+      {0x0405, 0x20},
-+      {0x0408, 0x00},
-+      {0x0409, 0x00},
-+      {0x040a, 0x00},
-+      {0x040b, 0x00},
-+      {0x040c, 0x0f},
-+      {0x040d, 0xd8},
-+      {0x040e, 0x04},
-+      {0x040f, 0x38},
-+      {0x034c, 0x07},
-+      {0x034d, 0xec},
-+      {0x034e, 0x04},
-+      {0x034f, 0x38},
-+      {0x0301, 0x05},
-+      {0x0303, 0x02},
-+      {0x0305, 0x04},
-+      {0x0306, 0x01},
-+      {0x0307, 0x5e},
-+      {0x0309, 0x0c},
-+      {0x030b, 0x02},
-+      {0x030d, 0x02},
-+      {0x030e, 0x00},
-+      {0x030f, 0x96},
-+      {0x0310, 0x01},
-+      {0x0820, 0x07},
-+      {0x0821, 0x08},
-+      {0x0822, 0x00},
-+      {0x0823, 0x00},
-+      {0x080a, 0x00},
-+      {0x080b, 0x7f},
-+      {0x080c, 0x00},
-+      {0x080d, 0x4f},
-+      {0x080e, 0x00},
-+      {0x080f, 0x77},
-+      {0x0810, 0x00},
-+      {0x0811, 0x5f},
-+      {0x0812, 0x00},
-+      {0x0813, 0x57},
-+      {0x0814, 0x00},
-+      {0x0815, 0x4f},
-+      {0x0816, 0x01},
-+      {0x0817, 0x27},
-+      {0x0818, 0x00},
-+      {0x0819, 0x3f},
-+      {0xe04c, 0x00},
-+      {0xe04d, 0x7f},
-+      {0xe04e, 0x00},
-+      {0xe04f, 0x1f},
-+      {0x3e20, 0x01},
-+      {0x3e37, 0x00},
-+      {0x3f50, 0x00},
-+      {0x3f56, 0x01},
-+      {0x3f57, 0x6c},
-+};
-+
-+/* 4x4 binned. 120fps */
-+static const struct imx477_reg mode_1012x760_regs[] = {
-+      {0x420b, 0x01},
-+      {0x990c, 0x00},
-+      {0x990d, 0x08},
-+      {0x9956, 0x8c},
-+      {0x9957, 0x64},
-+      {0x9958, 0x50},
-+      {0x9a48, 0x06},
-+      {0x9a49, 0x06},
-+      {0x9a4a, 0x06},
-+      {0x9a4b, 0x06},
-+      {0x9a4c, 0x06},
-+      {0x9a4d, 0x06},
-+      {0x0112, 0x0a},
-+      {0x0113, 0x0a},
-+      {0x0114, 0x01},
-+      {0x0342, 0x14},
-+      {0x0343, 0x60},
-+      {0x0344, 0x00},
-+      {0x0345, 0x00},
-+      {0x0346, 0x00},
-+      {0x0347, 0x00},
-+      {0x0348, 0x0f},
-+      {0x0349, 0xd3},
-+      {0x034a, 0x0b},
-+      {0x034b, 0xdf},
-+      {0x00e3, 0x00},
-+      {0x00e4, 0x00},
-+      {0x00fc, 0x0a},
-+      {0x00fd, 0x0a},
-+      {0x00fe, 0x0a},
-+      {0x00ff, 0x0a},
-+      {0x0220, 0x00},
-+      {0x0221, 0x11},
-+      {0x0381, 0x01},
-+      {0x0383, 0x01},
-+      {0x0385, 0x01},
-+      {0x0387, 0x03},
-+      {0x0900, 0x01},
-+      {0x0901, 0x22},
-+      {0x0902, 0x02},
-+      {0x3140, 0x02},
-+      {0x3c00, 0x00},
-+      {0x3c01, 0x01},
-+      {0x3c02, 0x9c},
-+      {0x3f0d, 0x00},
-+      {0x5748, 0x00},
-+      {0x5749, 0x00},
-+      {0x574a, 0x00},
-+      {0x574b, 0xa4},
-+      {0x7b75, 0x0e},
-+      {0x7b76, 0x09},
-+      {0x7b77, 0x08},
-+      {0x7b78, 0x06},
-+      {0x7b79, 0x34},
-+      {0x7b53, 0x00},
-+      {0x9369, 0x73},
-+      {0x936b, 0x64},
-+      {0x936d, 0x5f},
-+      {0x9304, 0x03},
-+      {0x9305, 0x80},
-+      {0x9e9a, 0x3f},
-+      {0x9e9b, 0x3f},
-+      {0x9e9c, 0x3f},
-+      {0x9e9d, 0x27},
-+      {0x9e9e, 0x27},
-+      {0x9e9f, 0x27},
-+      {0xa2a9, 0x27},
-+      {0xa2b7, 0x03},
-+      {0x0401, 0x01},
-+      {0x0404, 0x00},
-+      {0x0405, 0x20},
-+      {0x0408, 0x00},
-+      {0x0409, 0x00},
-+      {0x040a, 0x00},
-+      {0x040b, 0x00},
-+      {0x040c, 0x07},
-+      {0x040d, 0xea},
-+      {0x040e, 0x02},
-+      {0x040f, 0xf8},
-+      {0x034c, 0x03},
-+      {0x034d, 0xf4},
-+      {0x034e, 0x02},
-+      {0x034f, 0xf8},
-+      {0x0301, 0x05},
-+      {0x0303, 0x02},
-+      {0x0305, 0x02},
-+      {0x0306, 0x00},
-+      {0x0307, 0xaf},
-+      {0x0309, 0x0a},
-+      {0x030b, 0x02},
-+      {0x030d, 0x02},
-+      {0x030e, 0x00},
-+      {0x030f, 0x96},
-+      {0x0310, 0x01},
-+      {0x0820, 0x07},
-+      {0x0821, 0x08},
-+      {0x0822, 0x00},
-+      {0x0823, 0x00},
-+      {0x080a, 0x00},
-+      {0x080b, 0x6f},
-+      {0x080c, 0x00},
-+      {0x080d, 0x3f},
-+      {0x080e, 0x00},
-+      {0x080f, 0xff},
-+      {0x0810, 0x00},
-+      {0x0811, 0x4f},
-+      {0x0812, 0x00},
-+      {0x0813, 0x47},
-+      {0x0814, 0x00},
-+      {0x0815, 0x37},
-+      {0x0816, 0x00},
-+      {0x0817, 0xe7},
-+      {0x0818, 0x00},
-+      {0x0819, 0x2f},
-+      {0xe04c, 0x00},
-+      {0xe04d, 0x5f},
-+      {0xe04e, 0x00},
-+      {0xe04f, 0x1f},
-+      {0x3e20, 0x01},
-+      {0x3e37, 0x00},
-+      {0x3f50, 0x00},
-+      {0x3f56, 0x00},
-+      {0x3f57, 0x96},
-+};
-+
-+/* Mode configs */
-+static const struct imx477_mode supported_modes_12bit[] = {
-+      {
-+              /* 12MPix 10fps mode */
-+              .width = 4056,
-+              .height = 3040,
-+              .line_length_pix = 0x5dc0,
-+              .crop = {
-+                      .left = 0,
-+                      .top = 0,
-+                      .width = 4056,
-+                      .height = 3040,
-+              },
-+              .timeperframe_min = {
-+                      .numerator = 100,
-+                      .denominator = 1000
-+              },
-+              .timeperframe_default = {
-+                      .numerator = 100,
-+                      .denominator = 1000
-+              },
-+              .reg_list = {
-+                      .num_of_regs = ARRAY_SIZE(mode_4056x3040_regs),
-+                      .regs = mode_4056x3040_regs,
-+              },
-+      },
-+      {
-+              /* 2x2 binned 40fps mode */
-+              .width = 2028,
-+              .height = 1520,
-+              .line_length_pix = 0x31c4,
-+              .crop = {
-+                      .left = 0,
-+                      .top = 0,
-+                      .width = 4056,
-+                      .height = 3040,
-+              },
-+              .timeperframe_min = {
-+                      .numerator = 100,
-+                      .denominator = 4000
-+              },
-+              .timeperframe_default = {
-+                      .numerator = 100,
-+                      .denominator = 3000
-+              },
-+              .reg_list = {
-+                      .num_of_regs = ARRAY_SIZE(mode_2028x1520_regs),
-+                      .regs = mode_2028x1520_regs,
-+              },
-+      },
-+      {
-+              /* 1080p 50fps cropped mode */
-+              .width = 2028,
-+              .height = 1080,
-+              .line_length_pix = 0x31c4,
-+              .crop = {
-+                      .left = 0,
-+                      .top = 440,
-+                      .width = 4056,
-+                      .height = 2600,
-+              },
-+              .timeperframe_min = {
-+                      .numerator = 100,
-+                      .denominator = 5000
-+              },
-+              .timeperframe_default = {
-+                      .numerator = 100,
-+                      .denominator = 3000
-+              },
-+              .reg_list = {
-+                      .num_of_regs = ARRAY_SIZE(mode_2028x1080_regs),
-+                      .regs = mode_2028x1080_regs,
-+              },
-+      }
-+};
-+
-+static const struct imx477_mode supported_modes_10bit[] = {
-+      {
-+              /* 720P 120fps. 4x4 binned */
-+              .width = 1012,
-+              .height = 760,
-+              .line_length_pix = 0x1460,
-+              .crop = {
-+                      /*
-+                       * FIXME: the analog crop rectangle is actually
-+                       * programmed with a horizontal displacement of 0
-+                       * pixels, not 4. It gets shrunk after going through
-+                       * the scaler. Move this information to the compose
-+                       * rectangle once the driver is expanded to represent
-+                       * its processing blocks with multiple subdevs.
-+                       */
-+                      .left = 4,
-+                      .top = 0,
-+                      .width = 4052,
-+                      .height = 3040,
-+              },
-+              .timeperframe_min = {
-+                      .numerator = 100,
-+                      .denominator = 12000
-+              },
-+              .timeperframe_default = {
-+                      .numerator = 100,
-+                      .denominator = 60000
-+              },
-+              .reg_list = {
-+                      .num_of_regs = ARRAY_SIZE(mode_1012x760_regs),
-+                      .regs = mode_1012x760_regs,
-+              }
-+      }
-+};
-+
-+/*
-+ * The supported formats.
-+ * This table MUST contain 4 entries per format, to cover the various flip
-+ * combinations in the order
-+ * - no flip
-+ * - h flip
-+ * - v flip
-+ * - h&v flips
-+ */
-+static const u32 codes[] = {
-+      /* 12-bit modes. */
-+      MEDIA_BUS_FMT_SRGGB12_1X12,
-+      MEDIA_BUS_FMT_SGRBG12_1X12,
-+      MEDIA_BUS_FMT_SGBRG12_1X12,
-+      MEDIA_BUS_FMT_SBGGR12_1X12,
-+      /* 10-bit modes. */
-+      MEDIA_BUS_FMT_SRGGB10_1X10,
-+      MEDIA_BUS_FMT_SGRBG10_1X10,
-+      MEDIA_BUS_FMT_SGBRG10_1X10,
-+      MEDIA_BUS_FMT_SBGGR10_1X10,
-+};
-+
-+static const char * const imx477_test_pattern_menu[] = {
-+      "Disabled",
-+      "Color Bars",
-+      "Solid Color",
-+      "Grey Color Bars",
-+      "PN9"
-+};
-+
-+static const int imx477_test_pattern_val[] = {
-+      IMX477_TEST_PATTERN_DISABLE,
-+      IMX477_TEST_PATTERN_COLOR_BARS,
-+      IMX477_TEST_PATTERN_SOLID_COLOR,
-+      IMX477_TEST_PATTERN_GREY_COLOR,
-+      IMX477_TEST_PATTERN_PN9,
-+};
-+
-+/* regulator supplies */
-+static const char * const imx477_supply_name[] = {
-+      /* Supplies can be enabled in any order */
-+      "VANA",  /* Analog (2.8V) supply */
-+      "VDIG",  /* Digital Core (1.05V) supply */
-+      "VDDL",  /* IF (1.8V) supply */
-+};
-+
-+#define IMX477_NUM_SUPPLIES ARRAY_SIZE(imx477_supply_name)
-+
-+/*
-+ * Initialisation delay between XCLR low->high and the moment when the sensor
-+ * can start capture (i.e. can leave software standby), given by T7 in the
-+ * datasheet is 8ms.  This does include I2C setup time as well.
-+ *
-+ * Note, that delay between XCLR low->high and reading the CCI ID register (T6
-+ * in the datasheet) is much smaller - 600us.
-+ */
-+#define IMX477_XCLR_MIN_DELAY_US      8000
-+#define IMX477_XCLR_DELAY_RANGE_US    1000
-+
-+struct imx477 {
-+      struct v4l2_subdev sd;
-+      struct media_pad pad[NUM_PADS];
-+
-+      struct v4l2_mbus_framefmt fmt;
-+
-+      struct clk *xclk;
-+      u32 xclk_freq;
-+
-+      struct gpio_desc *reset_gpio;
-+      struct regulator_bulk_data supplies[IMX477_NUM_SUPPLIES];
-+
-+      struct v4l2_ctrl_handler ctrl_handler;
-+      /* V4L2 Controls */
-+      struct v4l2_ctrl *pixel_rate;
-+      struct v4l2_ctrl *exposure;
-+      struct v4l2_ctrl *vflip;
-+      struct v4l2_ctrl *hflip;
-+      struct v4l2_ctrl *vblank;
-+      struct v4l2_ctrl *hblank;
-+
-+      /* Current mode */
-+      const struct imx477_mode *mode;
-+
-+      /*
-+       * Mutex for serialized access:
-+       * Protect sensor module set pad format and start/stop streaming safely.
-+       */
-+      struct mutex mutex;
-+
-+      /* Streaming on/off */
-+      bool streaming;
-+
-+      /* Rewrite common registers on stream on? */
-+      bool common_regs_written;
-+};
-+
-+static inline struct imx477 *to_imx477(struct v4l2_subdev *_sd)
-+{
-+      return container_of(_sd, struct imx477, sd);
-+}
-+
-+static inline void get_mode_table(unsigned int code,
-+                                const struct imx477_mode **mode_list,
-+                                unsigned int *num_modes)
-+{
-+      switch (code) {
-+      /* 12-bit */
-+      case MEDIA_BUS_FMT_SRGGB12_1X12:
-+      case MEDIA_BUS_FMT_SGRBG12_1X12:
-+      case MEDIA_BUS_FMT_SGBRG12_1X12:
-+      case MEDIA_BUS_FMT_SBGGR12_1X12:
-+              *mode_list = supported_modes_12bit;
-+              *num_modes = ARRAY_SIZE(supported_modes_12bit);
-+              break;
-+      /* 10-bit */
-+      case MEDIA_BUS_FMT_SRGGB10_1X10:
-+      case MEDIA_BUS_FMT_SGRBG10_1X10:
-+      case MEDIA_BUS_FMT_SGBRG10_1X10:
-+      case MEDIA_BUS_FMT_SBGGR10_1X10:
-+              *mode_list = supported_modes_10bit;
-+              *num_modes = ARRAY_SIZE(supported_modes_10bit);
-+              break;
-+      default:
-+              *mode_list = NULL;
-+              *num_modes = 0;
-+      }
-+}
-+
-+/* Read registers up to 2 at a time */
-+static int imx477_read_reg(struct imx477 *imx477, u16 reg, u32 len, u32 *val)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
-+      struct i2c_msg msgs[2];
-+      u8 addr_buf[2] = { reg >> 8, reg & 0xff };
-+      u8 data_buf[4] = { 0, };
-+      int ret;
-+
-+      if (len > 4)
-+              return -EINVAL;
-+
-+      /* Write register address */
-+      msgs[0].addr = client->addr;
-+      msgs[0].flags = 0;
-+      msgs[0].len = ARRAY_SIZE(addr_buf);
-+      msgs[0].buf = addr_buf;
-+
-+      /* Read data from register */
-+      msgs[1].addr = client->addr;
-+      msgs[1].flags = I2C_M_RD;
-+      msgs[1].len = len;
-+      msgs[1].buf = &data_buf[4 - len];
-+
-+      ret = i2c_transfer(client->adapter, msgs, ARRAY_SIZE(msgs));
-+      if (ret != ARRAY_SIZE(msgs))
-+              return -EIO;
-+
-+      *val = get_unaligned_be32(data_buf);
-+
-+      return 0;
-+}
-+
-+/* Write registers up to 2 at a time */
-+static int imx477_write_reg(struct imx477 *imx477, u16 reg, u32 len, u32 val)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
-+      u8 buf[6];
-+
-+      if (len > 4)
-+              return -EINVAL;
-+
-+      put_unaligned_be16(reg, buf);
-+      put_unaligned_be32(val << (8 * (4 - len)), buf + 2);
-+      if (i2c_master_send(client, buf, len + 2) != len + 2)
-+              return -EIO;
-+
-+      return 0;
-+}
-+
-+/* Write a list of registers */
-+static int imx477_write_regs(struct imx477 *imx477,
-+                           const struct imx477_reg *regs, u32 len)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
-+      unsigned int i;
-+      int ret;
-+
-+      for (i = 0; i < len; i++) {
-+              ret = imx477_write_reg(imx477, regs[i].address, 1, regs[i].val);
-+              if (ret) {
-+                      dev_err_ratelimited(&client->dev,
-+                                          "Failed to write reg 0x%4.4x. error = %d\n",
-+                                          regs[i].address, ret);
-+
-+                      return ret;
-+              }
-+      }
-+
-+      return 0;
-+}
-+
-+/* Get bayer order based on flip setting. */
-+static u32 imx477_get_format_code(struct imx477 *imx477, u32 code)
-+{
-+      unsigned int i;
-+
-+      lockdep_assert_held(&imx477->mutex);
-+
-+      for (i = 0; i < ARRAY_SIZE(codes); i++)
-+              if (codes[i] == code)
-+                      break;
-+
-+      if (i >= ARRAY_SIZE(codes))
-+              i = 0;
-+
-+      i = (i & ~3) | (imx477->vflip->val ? 2 : 0) |
-+          (imx477->hflip->val ? 1 : 0);
-+
-+      return codes[i];
-+}
-+
-+static void imx477_set_default_format(struct imx477 *imx477)
-+{
-+      struct v4l2_mbus_framefmt *fmt = &imx477->fmt;
-+
-+      /* Set default mode to max resolution */
-+      imx477->mode = &supported_modes_12bit[0];
-+
-+      fmt->code = MEDIA_BUS_FMT_SRGGB12_1X12;
-+      fmt->colorspace = V4L2_COLORSPACE_SRGB;
-+      fmt->ycbcr_enc = V4L2_MAP_YCBCR_ENC_DEFAULT(fmt->colorspace);
-+      fmt->quantization = V4L2_MAP_QUANTIZATION_DEFAULT(true,
-+                                                        fmt->colorspace,
-+                                                        fmt->ycbcr_enc);
-+      fmt->xfer_func = V4L2_MAP_XFER_FUNC_DEFAULT(fmt->colorspace);
-+      fmt->width = imx477->mode->width;
-+      fmt->height = imx477->mode->height;
-+      fmt->field = V4L2_FIELD_NONE;
-+}
-+
-+static int imx477_open(struct v4l2_subdev *sd, struct v4l2_subdev_fh *fh)
-+{
-+      struct imx477 *imx477 = to_imx477(sd);
-+      struct v4l2_mbus_framefmt *try_fmt_img =
-+              v4l2_subdev_get_try_format(sd, fh->pad, IMAGE_PAD);
-+      struct v4l2_mbus_framefmt *try_fmt_meta =
-+              v4l2_subdev_get_try_format(sd, fh->pad, METADATA_PAD);
-+      struct v4l2_rect *try_crop;
-+
-+      mutex_lock(&imx477->mutex);
-+
-+      /* Initialize try_fmt for the image pad */
-+      try_fmt_img->width = supported_modes_12bit[0].width;
-+      try_fmt_img->height = supported_modes_12bit[0].height;
-+      try_fmt_img->code = imx477_get_format_code(imx477,
-+                                                 MEDIA_BUS_FMT_SRGGB12_1X12);
-+      try_fmt_img->field = V4L2_FIELD_NONE;
-+
-+      /* Initialize try_fmt for the embedded metadata pad */
-+      try_fmt_meta->width = IMX477_EMBEDDED_LINE_WIDTH;
-+      try_fmt_meta->height = IMX477_NUM_EMBEDDED_LINES;
-+      try_fmt_meta->code = MEDIA_BUS_FMT_SENSOR_DATA;
-+      try_fmt_meta->field = V4L2_FIELD_NONE;
-+
-+      /* Initialize try_crop */
-+      try_crop = v4l2_subdev_get_try_crop(sd, fh->pad, IMAGE_PAD);
-+      try_crop->left = IMX477_PIXEL_ARRAY_LEFT;
-+      try_crop->top = IMX477_PIXEL_ARRAY_TOP;
-+      try_crop->width = IMX477_PIXEL_ARRAY_WIDTH;
-+      try_crop->height = IMX477_PIXEL_ARRAY_HEIGHT;
-+
-+      mutex_unlock(&imx477->mutex);
-+
-+      return 0;
-+}
-+
-+static int imx477_set_ctrl(struct v4l2_ctrl *ctrl)
-+{
-+      struct imx477 *imx477 =
-+              container_of(ctrl->handler, struct imx477, ctrl_handler);
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
-+      int ret = 0;
-+
-+      if (ctrl->id == V4L2_CID_VBLANK) {
-+              int exposure_max, exposure_def;
-+
-+              /* Update max exposure while meeting expected vblanking */
-+              exposure_max = imx477->mode->height + ctrl->val -
-+                                                      IMX477_EXPOSURE_OFFSET;
-+              exposure_def = min(exposure_max, imx477->exposure->val);
-+              __v4l2_ctrl_modify_range(imx477->exposure,
-+                                       imx477->exposure->minimum,
-+                                       exposure_max, imx477->exposure->step,
-+                                       exposure_def);
-+      }
-+
-+      /*
-+       * Applying V4L2 control value only happens
-+       * when power is up for streaming
-+       */
-+      if (pm_runtime_get_if_in_use(&client->dev) == 0)
-+              return 0;
-+
-+      switch (ctrl->id) {
-+      case V4L2_CID_ANALOGUE_GAIN:
-+              ret = imx477_write_reg(imx477, IMX477_REG_ANALOG_GAIN,
-+                                     IMX477_REG_VALUE_16BIT, ctrl->val);
-+              break;
-+      case V4L2_CID_EXPOSURE:
-+              ret = imx477_write_reg(imx477, IMX477_REG_EXPOSURE,
-+                                     IMX477_REG_VALUE_16BIT, ctrl->val);
-+              break;
-+      case V4L2_CID_DIGITAL_GAIN:
-+              ret = imx477_write_reg(imx477, IMX477_REG_DIGITAL_GAIN,
-+                                     IMX477_REG_VALUE_16BIT, ctrl->val);
-+              break;
-+      case V4L2_CID_TEST_PATTERN:
-+              ret = imx477_write_reg(imx477, IMX477_REG_TEST_PATTERN,
-+                                     IMX477_REG_VALUE_16BIT,
-+                                     imx477_test_pattern_val[ctrl->val]);
-+              break;
-+      case V4L2_CID_TEST_PATTERN_RED:
-+              ret = imx477_write_reg(imx477, IMX477_REG_TEST_PATTERN_R,
-+                                     IMX477_REG_VALUE_16BIT, ctrl->val);
-+              break;
-+      case V4L2_CID_TEST_PATTERN_GREENR:
-+              ret = imx477_write_reg(imx477, IMX477_REG_TEST_PATTERN_GR,
-+                                     IMX477_REG_VALUE_16BIT, ctrl->val);
-+              break;
-+      case V4L2_CID_TEST_PATTERN_BLUE:
-+              ret = imx477_write_reg(imx477, IMX477_REG_TEST_PATTERN_B,
-+                                     IMX477_REG_VALUE_16BIT, ctrl->val);
-+              break;
-+      case V4L2_CID_TEST_PATTERN_GREENB:
-+              ret = imx477_write_reg(imx477, IMX477_REG_TEST_PATTERN_GB,
-+                                     IMX477_REG_VALUE_16BIT, ctrl->val);
-+              break;
-+      case V4L2_CID_HFLIP:
-+      case V4L2_CID_VFLIP:
-+              ret = imx477_write_reg(imx477, IMX477_REG_ORIENTATION, 1,
-+                                     imx477->hflip->val |
-+                                     imx477->vflip->val << 1);
-+              break;
-+      case V4L2_CID_VBLANK:
-+              ret = imx477_write_reg(imx477, IMX477_REG_FRAME_LENGTH,
-+                                     IMX477_REG_VALUE_16BIT,
-+                                     imx477->mode->height + ctrl->val);
-+              break;
-+      default:
-+              dev_info(&client->dev,
-+                       "ctrl(id:0x%x,val:0x%x) is not handled\n",
-+                       ctrl->id, ctrl->val);
-+              ret = -EINVAL;
-+              break;
-+      }
-+
-+      pm_runtime_put(&client->dev);
-+
-+      return ret;
-+}
-+
-+static const struct v4l2_ctrl_ops imx477_ctrl_ops = {
-+      .s_ctrl = imx477_set_ctrl,
-+};
-+
-+static int imx477_enum_mbus_code(struct v4l2_subdev *sd,
-+                               struct v4l2_subdev_pad_config *cfg,
-+                               struct v4l2_subdev_mbus_code_enum *code)
-+{
-+      struct imx477 *imx477 = to_imx477(sd);
-+
-+      if (code->pad >= NUM_PADS)
-+              return -EINVAL;
-+
-+      if (code->pad == IMAGE_PAD) {
-+              if (code->index >= (ARRAY_SIZE(codes) / 4))
-+                      return -EINVAL;
-+
-+              code->code = imx477_get_format_code(imx477,
-+                                                  codes[code->index * 4]);
-+      } else {
-+              if (code->index > 0)
-+                      return -EINVAL;
-+
-+              code->code = MEDIA_BUS_FMT_SENSOR_DATA;
-+      }
-+
-+      return 0;
-+}
-+
-+static int imx477_enum_frame_size(struct v4l2_subdev *sd,
-+                                struct v4l2_subdev_pad_config *cfg,
-+                                struct v4l2_subdev_frame_size_enum *fse)
-+{
-+      struct imx477 *imx477 = to_imx477(sd);
-+
-+      if (fse->pad >= NUM_PADS)
-+              return -EINVAL;
-+
-+      if (fse->pad == IMAGE_PAD) {
-+              const struct imx477_mode *mode_list;
-+              unsigned int num_modes;
-+
-+              get_mode_table(fse->code, &mode_list, &num_modes);
-+
-+              if (fse->index >= num_modes)
-+                      return -EINVAL;
-+
-+              if (fse->code != imx477_get_format_code(imx477, fse->code))
-+                      return -EINVAL;
-+
-+              fse->min_width = mode_list[fse->index].width;
-+              fse->max_width = fse->min_width;
-+              fse->min_height = mode_list[fse->index].height;
-+              fse->max_height = fse->min_height;
-+      } else {
-+              if (fse->code != MEDIA_BUS_FMT_SENSOR_DATA || fse->index > 0)
-+                      return -EINVAL;
-+
-+              fse->min_width = IMX477_EMBEDDED_LINE_WIDTH;
-+              fse->max_width = fse->min_width;
-+              fse->min_height = IMX477_NUM_EMBEDDED_LINES;
-+              fse->max_height = fse->min_height;
-+      }
-+
-+      return 0;
-+}
-+
-+static void imx477_reset_colorspace(struct v4l2_mbus_framefmt *fmt)
-+{
-+      fmt->colorspace = V4L2_COLORSPACE_SRGB;
-+      fmt->ycbcr_enc = V4L2_MAP_YCBCR_ENC_DEFAULT(fmt->colorspace);
-+      fmt->quantization = V4L2_MAP_QUANTIZATION_DEFAULT(true,
-+                                                        fmt->colorspace,
-+                                                        fmt->ycbcr_enc);
-+      fmt->xfer_func = V4L2_MAP_XFER_FUNC_DEFAULT(fmt->colorspace);
-+}
-+
-+static void imx477_update_image_pad_format(struct imx477 *imx477,
-+                                         const struct imx477_mode *mode,
-+                                         struct v4l2_subdev_format *fmt)
-+{
-+      fmt->format.width = mode->width;
-+      fmt->format.height = mode->height;
-+      fmt->format.field = V4L2_FIELD_NONE;
-+      imx477_reset_colorspace(&fmt->format);
-+}
-+
-+static void imx477_update_metadata_pad_format(struct v4l2_subdev_format *fmt)
-+{
-+      fmt->format.width = IMX477_EMBEDDED_LINE_WIDTH;
-+      fmt->format.height = IMX477_NUM_EMBEDDED_LINES;
-+      fmt->format.code = MEDIA_BUS_FMT_SENSOR_DATA;
-+      fmt->format.field = V4L2_FIELD_NONE;
-+}
-+
-+static int imx477_get_pad_format(struct v4l2_subdev *sd,
-+                               struct v4l2_subdev_pad_config *cfg,
-+                               struct v4l2_subdev_format *fmt)
-+{
-+      struct imx477 *imx477 = to_imx477(sd);
-+
-+      if (fmt->pad >= NUM_PADS)
-+              return -EINVAL;
-+
-+      mutex_lock(&imx477->mutex);
-+
-+      if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
-+              struct v4l2_mbus_framefmt *try_fmt =
-+                      v4l2_subdev_get_try_format(&imx477->sd, cfg, fmt->pad);
-+              /* update the code which could change due to vflip or hflip: */
-+              try_fmt->code = fmt->pad == IMAGE_PAD ?
-+                              imx477_get_format_code(imx477, try_fmt->code) :
-+                              MEDIA_BUS_FMT_SENSOR_DATA;
-+              fmt->format = *try_fmt;
-+      } else {
-+              if (fmt->pad == IMAGE_PAD) {
-+                      imx477_update_image_pad_format(imx477, imx477->mode,
-+                                                     fmt);
-+                      fmt->format.code =
-+                             imx477_get_format_code(imx477, imx477->fmt.code);
-+              } else {
-+                      imx477_update_metadata_pad_format(fmt);
-+              }
-+      }
-+
-+      mutex_unlock(&imx477->mutex);
-+      return 0;
-+}
-+
-+static
-+unsigned int imx477_get_frame_length(const struct imx477_mode *mode,
-+                                   const struct v4l2_fract *timeperframe)
-+{
-+      u64 frame_length;
-+
-+      frame_length = (u64)timeperframe->numerator * IMX477_PIXEL_RATE;
-+      do_div(frame_length,
-+             (u64)timeperframe->denominator * mode->line_length_pix);
-+
-+      if (WARN_ON(frame_length > IMX477_FRAME_LENGTH_MAX))
-+              frame_length = IMX477_FRAME_LENGTH_MAX;
-+
-+      return max_t(unsigned int, frame_length, mode->height);
-+}
-+
-+static void imx477_set_framing_limits(struct imx477 *imx477)
-+{
-+      const struct imx477_mode *mode = imx477->mode;
-+      unsigned int frm_length_min, frm_length_default;
-+      unsigned int exposure_max, exposure_def, hblank;
-+
-+      frm_length_min = imx477_get_frame_length(mode, &mode->timeperframe_min);
-+      frm_length_default =
-+                   imx477_get_frame_length(mode, &mode->timeperframe_default);
-+
-+      /* Update limits and set FPS to default */
-+      __v4l2_ctrl_modify_range(imx477->vblank, frm_length_min - mode->height,
-+                               IMX477_FRAME_LENGTH_MAX - mode->height,
-+                               1, frm_length_default - mode->height);
-+      __v4l2_ctrl_s_ctrl(imx477->vblank, frm_length_default - mode->height);
-+
-+      /* Update max exposure while meeting expected vblanking */
-+      exposure_max = IMX477_FRAME_LENGTH_MAX - IMX477_EXPOSURE_OFFSET;
-+      exposure_def = frm_length_default - mode->height -
-+                                          IMX477_EXPOSURE_OFFSET;
-+      __v4l2_ctrl_modify_range(imx477->exposure, imx477->exposure->minimum,
-+                               exposure_max, imx477->exposure->step,
-+                               exposure_def);
-+      /*
-+       * Currently PPL is fixed to the mode specified value, so hblank
-+       * depends on mode->width only, and is not changeable in any
-+       * way other than changing the mode.
-+       */
-+      hblank = mode->line_length_pix - mode->width;
-+      __v4l2_ctrl_modify_range(imx477->hblank, hblank, hblank, 1, hblank);
-+}
-+
-+static int imx477_set_pad_format(struct v4l2_subdev *sd,
-+                               struct v4l2_subdev_pad_config *cfg,
-+                               struct v4l2_subdev_format *fmt)
-+{
-+      struct v4l2_mbus_framefmt *framefmt;
-+      const struct imx477_mode *mode;
-+      struct imx477 *imx477 = to_imx477(sd);
-+
-+      if (fmt->pad >= NUM_PADS)
-+              return -EINVAL;
-+
-+      mutex_lock(&imx477->mutex);
-+
-+      if (fmt->pad == IMAGE_PAD) {
-+              const struct imx477_mode *mode_list;
-+              unsigned int num_modes;
-+
-+              /* Bayer order varies with flips */
-+              fmt->format.code = imx477_get_format_code(imx477,
-+                                                        fmt->format.code);
-+
-+              get_mode_table(fmt->format.code, &mode_list, &num_modes);
-+
-+              mode = v4l2_find_nearest_size(mode_list,
-+                                            num_modes,
-+                                            width, height,
-+                                            fmt->format.width,
-+                                            fmt->format.height);
-+              imx477_update_image_pad_format(imx477, mode, fmt);
-+              if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
-+                      framefmt = v4l2_subdev_get_try_format(sd, cfg,
-+                                                            fmt->pad);
-+                      *framefmt = fmt->format;
-+              } else {
-+                      imx477->mode = mode;
-+                      imx477_set_framing_limits(imx477);
-+              }
-+      } else {
-+              if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
-+                      framefmt = v4l2_subdev_get_try_format(sd, cfg,
-+                                                            fmt->pad);
-+                      *framefmt = fmt->format;
-+              } else {
-+                      /* Only one embedded data mode is supported */
-+                      imx477_update_metadata_pad_format(fmt);
-+              }
-+      }
-+
-+      mutex_unlock(&imx477->mutex);
-+
-+      return 0;
-+}
-+
-+static const struct v4l2_rect *
-+__imx477_get_pad_crop(struct imx477 *imx477, struct v4l2_subdev_pad_config *cfg,
-+                    unsigned int pad, enum v4l2_subdev_format_whence which)
-+{
-+      switch (which) {
-+      case V4L2_SUBDEV_FORMAT_TRY:
-+              return v4l2_subdev_get_try_crop(&imx477->sd, cfg, pad);
-+      case V4L2_SUBDEV_FORMAT_ACTIVE:
-+              return &imx477->mode->crop;
-+      }
-+
-+      return NULL;
-+}
-+
-+static int imx477_get_selection(struct v4l2_subdev *sd,
-+                              struct v4l2_subdev_pad_config *cfg,
-+                              struct v4l2_subdev_selection *sel)
-+{
-+      switch (sel->target) {
-+      case V4L2_SEL_TGT_CROP: {
-+              struct imx477 *imx477 = to_imx477(sd);
-+
-+              mutex_lock(&imx477->mutex);
-+              sel->r = *__imx477_get_pad_crop(imx477, cfg, sel->pad,
-+                                              sel->which);
-+              mutex_unlock(&imx477->mutex);
-+
-+              return 0;
-+      }
-+
-+      case V4L2_SEL_TGT_NATIVE_SIZE:
-+              sel->r.left = 0;
-+              sel->r.top = 0;
-+              sel->r.width = IMX477_NATIVE_WIDTH;
-+              sel->r.height = IMX477_NATIVE_HEIGHT;
-+
-+              return 0;
-+
-+      case V4L2_SEL_TGT_CROP_DEFAULT:
-+              sel->r.left = IMX477_PIXEL_ARRAY_LEFT;
-+              sel->r.top = IMX477_PIXEL_ARRAY_TOP;
-+              sel->r.width = IMX477_PIXEL_ARRAY_WIDTH;
-+              sel->r.height = IMX477_PIXEL_ARRAY_HEIGHT;
-+
-+              return 0;
-+      }
-+
-+      return -EINVAL;
-+}
-+
-+/* Start streaming */
-+static int imx477_start_streaming(struct imx477 *imx477)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
-+      const struct imx477_reg_list *reg_list;
-+      int ret;
-+
-+      if (!imx477->common_regs_written) {
-+              ret = imx477_write_regs(imx477, mode_common_regs,
-+                                      ARRAY_SIZE(mode_common_regs));
-+              if (ret) {
-+                      dev_err(&client->dev, "%s failed to set common settings\n",
-+                              __func__);
-+                      return ret;
-+              }
-+              imx477->common_regs_written = true;
-+      }
-+
-+      /* Apply default values of current mode */
-+      reg_list = &imx477->mode->reg_list;
-+      ret = imx477_write_regs(imx477, reg_list->regs, reg_list->num_of_regs);
-+      if (ret) {
-+              dev_err(&client->dev, "%s failed to set mode\n", __func__);
-+              return ret;
-+      }
-+
-+      /* Apply customized values from user */
-+      ret =  __v4l2_ctrl_handler_setup(imx477->sd.ctrl_handler);
-+      if (ret)
-+              return ret;
-+
-+      /* set stream on register */
-+      return imx477_write_reg(imx477, IMX477_REG_MODE_SELECT,
-+                              IMX477_REG_VALUE_08BIT, IMX477_MODE_STREAMING);
-+}
-+
-+/* Stop streaming */
-+static void imx477_stop_streaming(struct imx477 *imx477)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
-+      int ret;
-+
-+      /* set stream off register */
-+      ret = imx477_write_reg(imx477, IMX477_REG_MODE_SELECT,
-+                             IMX477_REG_VALUE_08BIT, IMX477_MODE_STANDBY);
-+      if (ret)
-+              dev_err(&client->dev, "%s failed to set stream\n", __func__);
-+}
-+
-+static int imx477_set_stream(struct v4l2_subdev *sd, int enable)
-+{
-+      struct imx477 *imx477 = to_imx477(sd);
-+      struct i2c_client *client = v4l2_get_subdevdata(sd);
-+      int ret = 0;
-+
-+      mutex_lock(&imx477->mutex);
-+      if (imx477->streaming == enable) {
-+              mutex_unlock(&imx477->mutex);
-+              return 0;
-+      }
-+
-+      if (enable) {
-+              ret = pm_runtime_get_sync(&client->dev);
-+              if (ret < 0) {
-+                      pm_runtime_put_noidle(&client->dev);
-+                      goto err_unlock;
-+              }
-+
-+              /*
-+               * Apply default & customized values
-+               * and then start streaming.
-+               */
-+              ret = imx477_start_streaming(imx477);
-+              if (ret)
-+                      goto err_rpm_put;
-+      } else {
-+              imx477_stop_streaming(imx477);
-+              pm_runtime_put(&client->dev);
-+      }
-+
-+      imx477->streaming = enable;
-+
-+      /* vflip and hflip cannot change during streaming */
-+      __v4l2_ctrl_grab(imx477->vflip, enable);
-+      __v4l2_ctrl_grab(imx477->hflip, enable);
-+
-+      mutex_unlock(&imx477->mutex);
-+
-+      return ret;
-+
-+err_rpm_put:
-+      pm_runtime_put(&client->dev);
-+err_unlock:
-+      mutex_unlock(&imx477->mutex);
-+
-+      return ret;
-+}
-+
-+/* Power/clock management functions */
-+static int imx477_power_on(struct device *dev)
-+{
-+      struct i2c_client *client = to_i2c_client(dev);
-+      struct v4l2_subdev *sd = i2c_get_clientdata(client);
-+      struct imx477 *imx477 = to_imx477(sd);
-+      int ret;
-+
-+      ret = regulator_bulk_enable(IMX477_NUM_SUPPLIES,
-+                                  imx477->supplies);
-+      if (ret) {
-+              dev_err(&client->dev, "%s: failed to enable regulators\n",
-+                      __func__);
-+              return ret;
-+      }
-+
-+      ret = clk_prepare_enable(imx477->xclk);
-+      if (ret) {
-+              dev_err(&client->dev, "%s: failed to enable clock\n",
-+                      __func__);
-+              goto reg_off;
-+      }
-+
-+      gpiod_set_value_cansleep(imx477->reset_gpio, 1);
-+      usleep_range(IMX477_XCLR_MIN_DELAY_US,
-+                   IMX477_XCLR_MIN_DELAY_US + IMX477_XCLR_DELAY_RANGE_US);
-+
-+      return 0;
-+
-+reg_off:
-+      regulator_bulk_disable(IMX477_NUM_SUPPLIES, imx477->supplies);
-+      return ret;
-+}
-+
-+static int imx477_power_off(struct device *dev)
-+{
-+      struct i2c_client *client = to_i2c_client(dev);
-+      struct v4l2_subdev *sd = i2c_get_clientdata(client);
-+      struct imx477 *imx477 = to_imx477(sd);
-+
-+      gpiod_set_value_cansleep(imx477->reset_gpio, 0);
-+      regulator_bulk_disable(IMX477_NUM_SUPPLIES, imx477->supplies);
-+      clk_disable_unprepare(imx477->xclk);
-+
-+      /* Force reprogramming of the common registers when powered up again. */
-+      imx477->common_regs_written = false;
-+
-+      return 0;
-+}
-+
-+static int __maybe_unused imx477_suspend(struct device *dev)
-+{
-+      struct i2c_client *client = to_i2c_client(dev);
-+      struct v4l2_subdev *sd = i2c_get_clientdata(client);
-+      struct imx477 *imx477 = to_imx477(sd);
-+
-+      if (imx477->streaming)
-+              imx477_stop_streaming(imx477);
-+
-+      return 0;
-+}
-+
-+static int __maybe_unused imx477_resume(struct device *dev)
-+{
-+      struct i2c_client *client = to_i2c_client(dev);
-+      struct v4l2_subdev *sd = i2c_get_clientdata(client);
-+      struct imx477 *imx477 = to_imx477(sd);
-+      int ret;
-+
-+      if (imx477->streaming) {
-+              ret = imx477_start_streaming(imx477);
-+              if (ret)
-+                      goto error;
-+      }
-+
-+      return 0;
-+
-+error:
-+      imx477_stop_streaming(imx477);
-+      imx477->streaming = 0;
-+      return ret;
-+}
-+
-+static int imx477_get_regulators(struct imx477 *imx477)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
-+      unsigned int i;
-+
-+      for (i = 0; i < IMX477_NUM_SUPPLIES; i++)
-+              imx477->supplies[i].supply = imx477_supply_name[i];
-+
-+      return devm_regulator_bulk_get(&client->dev,
-+                                     IMX477_NUM_SUPPLIES,
-+                                     imx477->supplies);
-+}
-+
-+/* Verify chip ID */
-+static int imx477_identify_module(struct imx477 *imx477)
-+{
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
-+      int ret;
-+      u32 val;
-+
-+      ret = imx477_read_reg(imx477, IMX477_REG_CHIP_ID,
-+                            IMX477_REG_VALUE_16BIT, &val);
-+      if (ret) {
-+              dev_err(&client->dev, "failed to read chip id %x, with error %d\n",
-+                      IMX477_CHIP_ID, ret);
-+              return ret;
-+      }
-+
-+      if (val != IMX477_CHIP_ID) {
-+              dev_err(&client->dev, "chip id mismatch: %x!=%x\n",
-+                      IMX477_CHIP_ID, val);
-+              ret = -EINVAL;
-+      }
-+
-+      return 0;
-+}
-+
-+static const struct v4l2_subdev_core_ops imx477_core_ops = {
-+      .subscribe_event = v4l2_ctrl_subdev_subscribe_event,
-+      .unsubscribe_event = v4l2_event_subdev_unsubscribe,
-+};
-+
-+static const struct v4l2_subdev_video_ops imx477_video_ops = {
-+      .s_stream = imx477_set_stream,
-+};
-+
-+static const struct v4l2_subdev_pad_ops imx477_pad_ops = {
-+      .enum_mbus_code = imx477_enum_mbus_code,
-+      .get_fmt = imx477_get_pad_format,
-+      .set_fmt = imx477_set_pad_format,
-+      .get_selection = imx477_get_selection,
-+      .enum_frame_size = imx477_enum_frame_size,
-+};
-+
-+static const struct v4l2_subdev_ops imx477_subdev_ops = {
-+      .core = &imx477_core_ops,
-+      .video = &imx477_video_ops,
-+      .pad = &imx477_pad_ops,
-+};
-+
-+static const struct v4l2_subdev_internal_ops imx477_internal_ops = {
-+      .open = imx477_open,
-+};
-+
-+/* Initialize control handlers */
-+static int imx477_init_controls(struct imx477 *imx477)
-+{
-+      struct v4l2_ctrl_handler *ctrl_hdlr;
-+      struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
-+      unsigned int i;
-+      int ret;
-+
-+      ctrl_hdlr = &imx477->ctrl_handler;
-+      ret = v4l2_ctrl_handler_init(ctrl_hdlr, 14);
-+      if (ret)
-+              return ret;
-+
-+      mutex_init(&imx477->mutex);
-+      ctrl_hdlr->lock = &imx477->mutex;
-+
-+      /* By default, PIXEL_RATE is read only */
-+      imx477->pixel_rate = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
-+                                             V4L2_CID_PIXEL_RATE,
-+                                             IMX477_PIXEL_RATE,
-+                                             IMX477_PIXEL_RATE, 1,
-+                                             IMX477_PIXEL_RATE);
-+
-+      /*
-+       * Create the controls here, but mode specific limits are setup
-+       * in the imx477_set_framing_limits() call below.
-+       */
-+      imx477->vblank = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
-+                                         V4L2_CID_VBLANK, 0, 0xffff, 1, 0);
-+      imx477->hblank = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
-+                                         V4L2_CID_HBLANK, 0, 0xffff, 1, 0);
-+
-+      /* HBLANK is read-only for now, but does change with mode. */
-+      if (imx477->hblank)
-+              imx477->hblank->flags |= V4L2_CTRL_FLAG_READ_ONLY;
-+
-+      imx477->exposure = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
-+                                           V4L2_CID_EXPOSURE,
-+                                           IMX477_EXPOSURE_MIN,
-+                                           IMX477_EXPOSURE_MAX,
-+                                           IMX477_EXPOSURE_STEP,
-+                                           IMX477_EXPOSURE_DEFAULT);
-+
-+      v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops, V4L2_CID_ANALOGUE_GAIN,
-+                        IMX477_ANA_GAIN_MIN, IMX477_ANA_GAIN_MAX,
-+                        IMX477_ANA_GAIN_STEP, IMX477_ANA_GAIN_DEFAULT);
-+
-+      v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops, V4L2_CID_DIGITAL_GAIN,
-+                        IMX477_DGTL_GAIN_MIN, IMX477_DGTL_GAIN_MAX,
-+                        IMX477_DGTL_GAIN_STEP, IMX477_DGTL_GAIN_DEFAULT);
-+
-+      imx477->hflip = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
-+                                        V4L2_CID_HFLIP, 0, 1, 1, 0);
-+      if (imx477->hflip)
-+              imx477->hflip->flags |= V4L2_CTRL_FLAG_MODIFY_LAYOUT;
-+
-+      imx477->vflip = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
-+                                        V4L2_CID_VFLIP, 0, 1, 1, 0);
-+      if (imx477->vflip)
-+              imx477->vflip->flags |= V4L2_CTRL_FLAG_MODIFY_LAYOUT;
-+
-+      v4l2_ctrl_new_std_menu_items(ctrl_hdlr, &imx477_ctrl_ops,
-+                                   V4L2_CID_TEST_PATTERN,
-+                                   ARRAY_SIZE(imx477_test_pattern_menu) - 1,
-+                                   0, 0, imx477_test_pattern_menu);
-+      for (i = 0; i < 4; i++) {
-+              /*
-+               * The assumption is that
-+               * V4L2_CID_TEST_PATTERN_GREENR == V4L2_CID_TEST_PATTERN_RED + 1
-+               * V4L2_CID_TEST_PATTERN_BLUE   == V4L2_CID_TEST_PATTERN_RED + 2
-+               * V4L2_CID_TEST_PATTERN_GREENB == V4L2_CID_TEST_PATTERN_RED + 3
-+               */
-+              v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
-+                                V4L2_CID_TEST_PATTERN_RED + i,
-+                                IMX477_TEST_PATTERN_COLOUR_MIN,
-+                                IMX477_TEST_PATTERN_COLOUR_MAX,
-+                                IMX477_TEST_PATTERN_COLOUR_STEP,
-+                                IMX477_TEST_PATTERN_COLOUR_MAX);
-+              /* The "Solid color" pattern is white by default */
-+      }
-+
-+      if (ctrl_hdlr->error) {
-+              ret = ctrl_hdlr->error;
-+              dev_err(&client->dev, "%s control init failed (%d)\n",
-+                      __func__, ret);
-+              goto error;
-+      }
-+
-+      imx477->sd.ctrl_handler = ctrl_hdlr;
-+
-+      /* Setup exposure and frame/line length limits. */
-+      imx477_set_framing_limits(imx477);
-+
-+      return 0;
-+
-+error:
-+      v4l2_ctrl_handler_free(ctrl_hdlr);
-+      mutex_destroy(&imx477->mutex);
-+
-+      return ret;
-+}
-+
-+static void imx477_free_controls(struct imx477 *imx477)
-+{
-+      v4l2_ctrl_handler_free(imx477->sd.ctrl_handler);
-+      mutex_destroy(&imx477->mutex);
-+}
-+
-+static int imx477_check_hwcfg(struct device *dev)
-+{
-+      struct fwnode_handle *endpoint;
-+      struct v4l2_fwnode_endpoint ep_cfg = {
-+              .bus_type = V4L2_MBUS_CSI2_DPHY
-+      };
-+      int ret = -EINVAL;
-+
-+      endpoint = fwnode_graph_get_next_endpoint(dev_fwnode(dev), NULL);
-+      if (!endpoint) {
-+              dev_err(dev, "endpoint node not found\n");
-+              return -EINVAL;
-+      }
-+
-+      if (v4l2_fwnode_endpoint_alloc_parse(endpoint, &ep_cfg)) {
-+              dev_err(dev, "could not parse endpoint\n");
-+              goto error_out;
-+      }
-+
-+      /* Check the number of MIPI CSI2 data lanes */
-+      if (ep_cfg.bus.mipi_csi2.num_data_lanes != 2) {
-+              dev_err(dev, "only 2 data lanes are currently supported\n");
-+              goto error_out;
-+      }
-+
-+      /* Check the link frequency set in device tree */
-+      if (!ep_cfg.nr_of_link_frequencies) {
-+              dev_err(dev, "link-frequency property not found in DT\n");
-+              goto error_out;
-+      }
-+
-+      if (ep_cfg.nr_of_link_frequencies != 1 ||
-+          ep_cfg.link_frequencies[0] != IMX477_DEFAULT_LINK_FREQ) {
-+              dev_err(dev, "Link frequency not supported: %lld\n",
-+                      ep_cfg.link_frequencies[0]);
-+              goto error_out;
-+      }
-+
-+      ret = 0;
-+
-+error_out:
-+      v4l2_fwnode_endpoint_free(&ep_cfg);
-+      fwnode_handle_put(endpoint);
-+
-+      return ret;
-+}
-+
-+static int imx477_probe(struct i2c_client *client)
-+{
-+      struct device *dev = &client->dev;
-+      struct imx477 *imx477;
-+      int ret;
-+
-+      imx477 = devm_kzalloc(&client->dev, sizeof(*imx477), GFP_KERNEL);
-+      if (!imx477)
-+              return -ENOMEM;
-+
-+      v4l2_i2c_subdev_init(&imx477->sd, client, &imx477_subdev_ops);
-+
-+      /* Check the hardware configuration in device tree */
-+      if (imx477_check_hwcfg(dev))
-+              return -EINVAL;
-+
-+      /* Get system clock (xclk) */
-+      imx477->xclk = devm_clk_get(dev, NULL);
-+      if (IS_ERR(imx477->xclk)) {
-+              dev_err(dev, "failed to get xclk\n");
-+              return PTR_ERR(imx477->xclk);
-+      }
-+
-+      imx477->xclk_freq = clk_get_rate(imx477->xclk);
-+      if (imx477->xclk_freq != IMX477_XCLK_FREQ) {
-+              dev_err(dev, "xclk frequency not supported: %d Hz\n",
-+                      imx477->xclk_freq);
-+              return -EINVAL;
-+      }
-+
-+      ret = imx477_get_regulators(imx477);
-+      if (ret) {
-+              dev_err(dev, "failed to get regulators\n");
-+              return ret;
-+      }
-+
-+      /* Request optional enable pin */
-+      imx477->reset_gpio = devm_gpiod_get_optional(dev, "reset",
-+                                                   GPIOD_OUT_HIGH);
-+
-+      /*
-+       * The sensor must be powered for imx477_identify_module()
-+       * to be able to read the CHIP_ID register
-+       */
-+      ret = imx477_power_on(dev);
-+      if (ret)
-+              return ret;
-+
-+      ret = imx477_identify_module(imx477);
-+      if (ret)
-+              goto error_power_off;
-+
-+      /* Initialize default format */
-+      imx477_set_default_format(imx477);
-+
-+      /* Enable runtime PM and turn off the device */
-+      pm_runtime_set_active(dev);
-+      pm_runtime_enable(dev);
-+      pm_runtime_idle(dev);
-+
-+      /* This needs the pm runtime to be registered. */
-+      ret = imx477_init_controls(imx477);
-+      if (ret)
-+              goto error_power_off;
-+
-+      /* Initialize subdev */
-+      imx477->sd.internal_ops = &imx477_internal_ops;
-+      imx477->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE |
-+                          V4L2_SUBDEV_FL_HAS_EVENTS;
-+      imx477->sd.entity.function = MEDIA_ENT_F_CAM_SENSOR;
-+
-+      /* Initialize source pads */
-+      imx477->pad[IMAGE_PAD].flags = MEDIA_PAD_FL_SOURCE;
-+      imx477->pad[METADATA_PAD].flags = MEDIA_PAD_FL_SOURCE;
-+
-+      ret = media_entity_pads_init(&imx477->sd.entity, NUM_PADS, imx477->pad);
-+      if (ret) {
-+              dev_err(dev, "failed to init entity pads: %d\n", ret);
-+              goto error_handler_free;
-+      }
-+
-+      ret = v4l2_async_register_subdev_sensor_common(&imx477->sd);
-+      if (ret < 0) {
-+              dev_err(dev, "failed to register sensor sub-device: %d\n", ret);
-+              goto error_media_entity;
-+      }
-+
-+      return 0;
-+
-+error_media_entity:
-+      media_entity_cleanup(&imx477->sd.entity);
-+
-+error_handler_free:
-+      imx477_free_controls(imx477);
-+
-+error_power_off:
-+      pm_runtime_disable(&client->dev);
-+      pm_runtime_set_suspended(&client->dev);
-+      imx477_power_off(&client->dev);
-+
-+      return ret;
-+}
-+
-+static int imx477_remove(struct i2c_client *client)
-+{
-+      struct v4l2_subdev *sd = i2c_get_clientdata(client);
-+      struct imx477 *imx477 = to_imx477(sd);
-+
-+      v4l2_async_unregister_subdev(sd);
-+      media_entity_cleanup(&sd->entity);
-+      imx477_free_controls(imx477);
-+
-+      pm_runtime_disable(&client->dev);
-+      if (!pm_runtime_status_suspended(&client->dev))
-+              imx477_power_off(&client->dev);
-+      pm_runtime_set_suspended(&client->dev);
-+
-+      return 0;
-+}
-+
-+static const struct of_device_id imx477_dt_ids[] = {
-+      { .compatible = "sony,imx477" },
-+      { /* sentinel */ }
-+};
-+MODULE_DEVICE_TABLE(of, imx477_dt_ids);
-+
-+static const struct dev_pm_ops imx477_pm_ops = {
-+      SET_SYSTEM_SLEEP_PM_OPS(imx477_suspend, imx477_resume)
-+      SET_RUNTIME_PM_OPS(imx477_power_off, imx477_power_on, NULL)
-+};
-+
-+static struct i2c_driver imx477_i2c_driver = {
-+      .driver = {
-+              .name = "imx477",
-+              .of_match_table = imx477_dt_ids,
-+              .pm = &imx477_pm_ops,
-+      },
-+      .probe_new = imx477_probe,
-+      .remove = imx477_remove,
-+};
-+
-+module_i2c_driver(imx477_i2c_driver);
-+
-+MODULE_AUTHOR("Naushir Patuck <naush@raspberrypi.com>");
-+MODULE_DESCRIPTION("Sony IMX477 sensor driver");
-+MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0723-dma-buf-heaps-Add-heap-helpers.patch b/target/linux/bcm27xx/patches-5.4/950-0723-dma-buf-heaps-Add-heap-helpers.patch
new file mode 100644 (file)
index 0000000..10eb46c
--- /dev/null
@@ -0,0 +1,394 @@
+From adde2d6532428cdcaeb60081abb299ce6e5aa76b Mon Sep 17 00:00:00 2001
+From: John Stultz <john.stultz@linaro.org>
+Date: Tue, 3 Dec 2019 17:26:38 +0000
+Subject: [PATCH] dma-buf: heaps: Add heap helpers
+
+Commit 5248eb12fea890a03b4cdc3ef546d6319d4d9b73 upstream.
+
+Add generic helper dmabuf ops for dma heaps, so we can reduce
+the amount of duplicative code for the exported dmabufs.
+
+This code is an evolution of the Android ION implementation, so
+thanks to its original authors and maintainters:
+  Rebecca Schultz Zavin, Colin Cross, Laura Abbott, and others!
+
+Cc: Laura Abbott <labbott@redhat.com>
+Cc: Benjamin Gaignard <benjamin.gaignard@linaro.org>
+Cc: Sumit Semwal <sumit.semwal@linaro.org>
+Cc: Liam Mark <lmark@codeaurora.org>
+Cc: Pratik Patel <pratikp@codeaurora.org>
+Cc: Brian Starkey <Brian.Starkey@arm.com>
+Cc: Vincent Donnefort <Vincent.Donnefort@arm.com>
+Cc: Sudipto Paul <Sudipto.Paul@arm.com>
+Cc: Andrew F. Davis <afd@ti.com>
+Cc: Christoph Hellwig <hch@infradead.org>
+Cc: Chenbo Feng <fengc@google.com>
+Cc: Alistair Strachan <astrachan@google.com>
+Cc: Hridya Valsaraju <hridya@google.com>
+Cc: Sandeep Patil <sspatil@google.com>
+Cc: Hillf Danton <hdanton@sina.com>
+Cc: Dave Airlie <airlied@gmail.com>
+Cc: dri-devel@lists.freedesktop.org
+Reviewed-by: Benjamin Gaignard <benjamin.gaignard@linaro.org>
+Reviewed-by: Brian Starkey <brian.starkey@arm.com>
+Acked-by: Sandeep Patil <sspatil@android.com>
+Acked-by: Laura Abbott <labbott@redhat.com>
+Tested-by: Ayan Kumar Halder <ayan.halder@arm.com>
+Signed-off-by: John Stultz <john.stultz@linaro.org>
+Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191203172641.66642-3-john.stultz@linaro.org
+---
+ drivers/dma-buf/Makefile             |   1 +
+ drivers/dma-buf/heaps/Makefile       |   2 +
+ drivers/dma-buf/heaps/heap-helpers.c | 271 +++++++++++++++++++++++++++
+ drivers/dma-buf/heaps/heap-helpers.h |  53 ++++++
+ 4 files changed, 327 insertions(+)
+ create mode 100644 drivers/dma-buf/heaps/Makefile
+ create mode 100644 drivers/dma-buf/heaps/heap-helpers.c
+ create mode 100644 drivers/dma-buf/heaps/heap-helpers.h
+
+--- a/drivers/dma-buf/Makefile
++++ b/drivers/dma-buf/Makefile
+@@ -4,6 +4,7 @@ obj-$(CONFIG_DMA_SHARED_BUFFER) := dma-s
+ dma-buf-objs-y := dma-buf.o dma-fence.o dma-fence-array.o dma-fence-chain.o \
+                 dma-resv.o seqno-fence.o
+ obj-$(CONFIG_DMABUF_HEAPS)    += dma-heap.o
++obj-$(CONFIG_DMABUF_HEAPS)    += heaps/
+ dma-buf-objs-$(CONFIG_SYNC_FILE)      += sync_file.o
+ dma-buf-objs-$(CONFIG_SW_SYNC)                += sw_sync.o sync_debug.o
+ dma-buf-objs-$(CONFIG_UDMABUF)                += udmabuf.o
+--- /dev/null
++++ b/drivers/dma-buf/heaps/Makefile
+@@ -0,0 +1,2 @@
++# SPDX-License-Identifier: GPL-2.0
++obj-y                                 += heap-helpers.o
+--- /dev/null
++++ b/drivers/dma-buf/heaps/heap-helpers.c
+@@ -0,0 +1,271 @@
++// SPDX-License-Identifier: GPL-2.0
++#include <linux/device.h>
++#include <linux/dma-buf.h>
++#include <linux/err.h>
++#include <linux/highmem.h>
++#include <linux/idr.h>
++#include <linux/list.h>
++#include <linux/slab.h>
++#include <linux/uaccess.h>
++#include <linux/vmalloc.h>
++#include <uapi/linux/dma-heap.h>
++
++#include "heap-helpers.h"
++
++void init_heap_helper_buffer(struct heap_helper_buffer *buffer,
++                           void (*free)(struct heap_helper_buffer *))
++{
++      buffer->priv_virt = NULL;
++      mutex_init(&buffer->lock);
++      buffer->vmap_cnt = 0;
++      buffer->vaddr = NULL;
++      buffer->pagecount = 0;
++      buffer->pages = NULL;
++      INIT_LIST_HEAD(&buffer->attachments);
++      buffer->free = free;
++}
++
++struct dma_buf *heap_helper_export_dmabuf(struct heap_helper_buffer *buffer,
++                                        int fd_flags)
++{
++      DEFINE_DMA_BUF_EXPORT_INFO(exp_info);
++
++      exp_info.ops = &heap_helper_ops;
++      exp_info.size = buffer->size;
++      exp_info.flags = fd_flags;
++      exp_info.priv = buffer;
++
++      return dma_buf_export(&exp_info);
++}
++
++static void *dma_heap_map_kernel(struct heap_helper_buffer *buffer)
++{
++      void *vaddr;
++
++      vaddr = vmap(buffer->pages, buffer->pagecount, VM_MAP, PAGE_KERNEL);
++      if (!vaddr)
++              return ERR_PTR(-ENOMEM);
++
++      return vaddr;
++}
++
++static void dma_heap_buffer_destroy(struct heap_helper_buffer *buffer)
++{
++      if (buffer->vmap_cnt > 0) {
++              WARN(1, "%s: buffer still mapped in the kernel\n", __func__);
++              vunmap(buffer->vaddr);
++      }
++
++      buffer->free(buffer);
++}
++
++static void *dma_heap_buffer_vmap_get(struct heap_helper_buffer *buffer)
++{
++      void *vaddr;
++
++      if (buffer->vmap_cnt) {
++              buffer->vmap_cnt++;
++              return buffer->vaddr;
++      }
++      vaddr = dma_heap_map_kernel(buffer);
++      if (IS_ERR(vaddr))
++              return vaddr;
++      buffer->vaddr = vaddr;
++      buffer->vmap_cnt++;
++      return vaddr;
++}
++
++static void dma_heap_buffer_vmap_put(struct heap_helper_buffer *buffer)
++{
++      if (!--buffer->vmap_cnt) {
++              vunmap(buffer->vaddr);
++              buffer->vaddr = NULL;
++      }
++}
++
++struct dma_heaps_attachment {
++      struct device *dev;
++      struct sg_table table;
++      struct list_head list;
++};
++
++static int dma_heap_attach(struct dma_buf *dmabuf,
++                         struct dma_buf_attachment *attachment)
++{
++      struct dma_heaps_attachment *a;
++      struct heap_helper_buffer *buffer = dmabuf->priv;
++      int ret;
++
++      a = kzalloc(sizeof(*a), GFP_KERNEL);
++      if (!a)
++              return -ENOMEM;
++
++      ret = sg_alloc_table_from_pages(&a->table, buffer->pages,
++                                      buffer->pagecount, 0,
++                                      buffer->pagecount << PAGE_SHIFT,
++                                      GFP_KERNEL);
++      if (ret) {
++              kfree(a);
++              return ret;
++      }
++
++      a->dev = attachment->dev;
++      INIT_LIST_HEAD(&a->list);
++
++      attachment->priv = a;
++
++      mutex_lock(&buffer->lock);
++      list_add(&a->list, &buffer->attachments);
++      mutex_unlock(&buffer->lock);
++
++      return 0;
++}
++
++static void dma_heap_detach(struct dma_buf *dmabuf,
++                          struct dma_buf_attachment *attachment)
++{
++      struct dma_heaps_attachment *a = attachment->priv;
++      struct heap_helper_buffer *buffer = dmabuf->priv;
++
++      mutex_lock(&buffer->lock);
++      list_del(&a->list);
++      mutex_unlock(&buffer->lock);
++
++      sg_free_table(&a->table);
++      kfree(a);
++}
++
++static
++struct sg_table *dma_heap_map_dma_buf(struct dma_buf_attachment *attachment,
++                                    enum dma_data_direction direction)
++{
++      struct dma_heaps_attachment *a = attachment->priv;
++      struct sg_table *table;
++
++      table = &a->table;
++
++      if (!dma_map_sg(attachment->dev, table->sgl, table->nents,
++                      direction))
++              table = ERR_PTR(-ENOMEM);
++      return table;
++}
++
++static void dma_heap_unmap_dma_buf(struct dma_buf_attachment *attachment,
++                                 struct sg_table *table,
++                                 enum dma_data_direction direction)
++{
++      dma_unmap_sg(attachment->dev, table->sgl, table->nents, direction);
++}
++
++static vm_fault_t dma_heap_vm_fault(struct vm_fault *vmf)
++{
++      struct vm_area_struct *vma = vmf->vma;
++      struct heap_helper_buffer *buffer = vma->vm_private_data;
++
++      if (vmf->pgoff > buffer->pagecount)
++              return VM_FAULT_SIGBUS;
++
++      vmf->page = buffer->pages[vmf->pgoff];
++      get_page(vmf->page);
++
++      return 0;
++}
++
++static const struct vm_operations_struct dma_heap_vm_ops = {
++      .fault = dma_heap_vm_fault,
++};
++
++static int dma_heap_mmap(struct dma_buf *dmabuf, struct vm_area_struct *vma)
++{
++      struct heap_helper_buffer *buffer = dmabuf->priv;
++
++      if ((vma->vm_flags & (VM_SHARED | VM_MAYSHARE)) == 0)
++              return -EINVAL;
++
++      vma->vm_ops = &dma_heap_vm_ops;
++      vma->vm_private_data = buffer;
++
++      return 0;
++}
++
++static void dma_heap_dma_buf_release(struct dma_buf *dmabuf)
++{
++      struct heap_helper_buffer *buffer = dmabuf->priv;
++
++      dma_heap_buffer_destroy(buffer);
++}
++
++static int dma_heap_dma_buf_begin_cpu_access(struct dma_buf *dmabuf,
++                                           enum dma_data_direction direction)
++{
++      struct heap_helper_buffer *buffer = dmabuf->priv;
++      struct dma_heaps_attachment *a;
++      int ret = 0;
++
++      mutex_lock(&buffer->lock);
++
++      if (buffer->vmap_cnt)
++              invalidate_kernel_vmap_range(buffer->vaddr, buffer->size);
++
++      list_for_each_entry(a, &buffer->attachments, list) {
++              dma_sync_sg_for_cpu(a->dev, a->table.sgl, a->table.nents,
++                                  direction);
++      }
++      mutex_unlock(&buffer->lock);
++
++      return ret;
++}
++
++static int dma_heap_dma_buf_end_cpu_access(struct dma_buf *dmabuf,
++                                         enum dma_data_direction direction)
++{
++      struct heap_helper_buffer *buffer = dmabuf->priv;
++      struct dma_heaps_attachment *a;
++
++      mutex_lock(&buffer->lock);
++
++      if (buffer->vmap_cnt)
++              flush_kernel_vmap_range(buffer->vaddr, buffer->size);
++
++      list_for_each_entry(a, &buffer->attachments, list) {
++              dma_sync_sg_for_device(a->dev, a->table.sgl, a->table.nents,
++                                     direction);
++      }
++      mutex_unlock(&buffer->lock);
++
++      return 0;
++}
++
++static void *dma_heap_dma_buf_vmap(struct dma_buf *dmabuf)
++{
++      struct heap_helper_buffer *buffer = dmabuf->priv;
++      void *vaddr;
++
++      mutex_lock(&buffer->lock);
++      vaddr = dma_heap_buffer_vmap_get(buffer);
++      mutex_unlock(&buffer->lock);
++
++      return vaddr;
++}
++
++static void dma_heap_dma_buf_vunmap(struct dma_buf *dmabuf, void *vaddr)
++{
++      struct heap_helper_buffer *buffer = dmabuf->priv;
++
++      mutex_lock(&buffer->lock);
++      dma_heap_buffer_vmap_put(buffer);
++      mutex_unlock(&buffer->lock);
++}
++
++const struct dma_buf_ops heap_helper_ops = {
++      .map_dma_buf = dma_heap_map_dma_buf,
++      .unmap_dma_buf = dma_heap_unmap_dma_buf,
++      .mmap = dma_heap_mmap,
++      .release = dma_heap_dma_buf_release,
++      .attach = dma_heap_attach,
++      .detach = dma_heap_detach,
++      .begin_cpu_access = dma_heap_dma_buf_begin_cpu_access,
++      .end_cpu_access = dma_heap_dma_buf_end_cpu_access,
++      .vmap = dma_heap_dma_buf_vmap,
++      .vunmap = dma_heap_dma_buf_vunmap,
++};
+--- /dev/null
++++ b/drivers/dma-buf/heaps/heap-helpers.h
+@@ -0,0 +1,53 @@
++/* SPDX-License-Identifier: GPL-2.0 */
++/*
++ * DMABUF Heaps helper code
++ *
++ * Copyright (C) 2011 Google, Inc.
++ * Copyright (C) 2019 Linaro Ltd.
++ */
++
++#ifndef _HEAP_HELPERS_H
++#define _HEAP_HELPERS_H
++
++#include <linux/dma-heap.h>
++#include <linux/list.h>
++
++/**
++ * struct heap_helper_buffer - helper buffer metadata
++ * @heap:             back pointer to the heap the buffer came from
++ * @dmabuf:           backing dma-buf for this buffer
++ * @size:             size of the buffer
++ * @priv_virt         pointer to heap specific private value
++ * @lock              mutext to protect the data in this structure
++ * @vmap_cnt          count of vmap references on the buffer
++ * @vaddr             vmap'ed virtual address
++ * @pagecount         number of pages in the buffer
++ * @pages             list of page pointers
++ * @attachments               list of device attachments
++ *
++ * @free              heap callback to free the buffer
++ */
++struct heap_helper_buffer {
++      struct dma_heap *heap;
++      struct dma_buf *dmabuf;
++      size_t size;
++
++      void *priv_virt;
++      struct mutex lock;
++      int vmap_cnt;
++      void *vaddr;
++      pgoff_t pagecount;
++      struct page **pages;
++      struct list_head attachments;
++
++      void (*free)(struct heap_helper_buffer *buffer);
++};
++
++void init_heap_helper_buffer(struct heap_helper_buffer *buffer,
++                           void (*free)(struct heap_helper_buffer *));
++
++struct dma_buf *heap_helper_export_dmabuf(struct heap_helper_buffer *buffer,
++                                        int fd_flags);
++
++extern const struct dma_buf_ops heap_helper_ops;
++#endif /* _HEAP_HELPERS_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0723-media-i2c-imx477-Add-support-for-adaptive-frame-cont.patch b/target/linux/bcm27xx/patches-5.4/950-0723-media-i2c-imx477-Add-support-for-adaptive-frame-cont.patch
deleted file mode 100644 (file)
index 1da5dea..0000000
+++ /dev/null
@@ -1,182 +0,0 @@
-From 69f1022fc3c155f8cd5cf7dacaf283b1778835f3 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Fri, 8 May 2020 09:41:17 +0100
-Subject: [PATCH] media: i2c: imx477: Add support for adaptive frame
- control
-
-Use V4L2_CID_EXPOSURE_AUTO_PRIORITY to control if the driver should
-automatically adjust the sensor frame length based on exposure time,
-allowing variable frame rates and longer exposures.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- drivers/media/i2c/imx477.c | 113 +++++++++++++++++++++++++++++--------
- 1 file changed, 91 insertions(+), 22 deletions(-)
-
---- a/drivers/media/i2c/imx477.c
-+++ b/drivers/media/i2c/imx477.c
-@@ -1082,6 +1082,8 @@ struct imx477 {
-       struct v4l2_ctrl *hflip;
-       struct v4l2_ctrl *vblank;
-       struct v4l2_ctrl *hblank;
-+      /* This ctrl allows automatic variable framerate */
-+      struct v4l2_ctrl *exposure_auto;
-       /* Current mode */
-       const struct imx477_mode *mode;
-@@ -1278,6 +1280,72 @@ static int imx477_open(struct v4l2_subde
-       return 0;
- }
-+static int imx477_set_exposure(struct imx477 *imx477, unsigned int val)
-+{
-+      int ret;
-+
-+      ret = imx477_write_reg(imx477, IMX477_REG_EXPOSURE,
-+                             IMX477_REG_VALUE_16BIT, val);
-+
-+      /* Setup the frame length in the case of auto framerate mode. */
-+      if (imx477->exposure_auto->val) {
-+              unsigned int frame_length, frame_length_max, frame_length_min;
-+
-+              frame_length_min = imx477->vblank->minimum +
-+                                 imx477->mode->height;
-+              frame_length_max = imx477->vblank->maximum +
-+                                 imx477->mode->height;
-+              frame_length = max(frame_length_min,
-+                                 val + IMX477_EXPOSURE_OFFSET);
-+              frame_length = min(frame_length_max, frame_length);
-+              ret += imx477_write_reg(imx477, IMX477_REG_FRAME_LENGTH,
-+                                      IMX477_REG_VALUE_16BIT, frame_length);
-+      }
-+
-+      return ret;
-+}
-+
-+static void imx477_adjust_exposure_range(struct imx477 *imx477,
-+                                       struct v4l2_ctrl *ctrl)
-+{
-+      int exposure_max, exposure_def;
-+
-+      if (ctrl->id == V4L2_CID_VBLANK || !ctrl->val) {
-+              /*
-+               * Either VBLANK has been changed or auto framerate
-+               * adjusting has been disabled. Honour the VBLANK limits
-+               * when setting exposure.
-+               */
-+              exposure_max = imx477->mode->height + imx477->vblank->val -
-+                                                    IMX477_EXPOSURE_OFFSET;
-+
-+              if (ctrl->id == V4L2_CID_EXPOSURE_AUTO_PRIORITY) {
-+                      /*
-+                       * Allow VBLANK adjustments since the driver is not
-+                       * handling frame length control automatically.
-+                       */
-+                      __v4l2_ctrl_grab(imx477->vblank, false);
-+              }
-+      } else {
-+              /*
-+               * Auto framerate adjusting has been enabled. VBLANK
-+               * ctrl has been disabled and exposure can ramp up
-+               * to the maximum allowable value.
-+               */
-+              exposure_max = IMX477_EXPOSURE_MAX;
-+              /*
-+               * Do not allow VBLANK adjustments if the driver is
-+               * handling it frame length control automatically.
-+               */
-+              __v4l2_ctrl_grab(imx477->vblank, true);
-+      }
-+
-+      exposure_def = min(exposure_max, imx477->exposure->val);
-+      __v4l2_ctrl_modify_range(imx477->exposure, imx477->exposure->minimum,
-+                               exposure_max, imx477->exposure->step,
-+                               exposure_def);
-+}
-+
- static int imx477_set_ctrl(struct v4l2_ctrl *ctrl)
- {
-       struct imx477 *imx477 =
-@@ -1285,17 +1353,13 @@ static int imx477_set_ctrl(struct v4l2_c
-       struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
-       int ret = 0;
--      if (ctrl->id == V4L2_CID_VBLANK) {
--              int exposure_max, exposure_def;
--
--              /* Update max exposure while meeting expected vblanking */
--              exposure_max = imx477->mode->height + ctrl->val -
--                                                      IMX477_EXPOSURE_OFFSET;
--              exposure_def = min(exposure_max, imx477->exposure->val);
--              __v4l2_ctrl_modify_range(imx477->exposure,
--                                       imx477->exposure->minimum,
--                                       exposure_max, imx477->exposure->step,
--                                       exposure_def);
-+      if (ctrl->id == V4L2_CID_VBLANK ||
-+          ctrl->id == V4L2_CID_EXPOSURE_AUTO_PRIORITY) {
-+              /*
-+               * These controls may change the limits of usable exposure,
-+               * so check and adjust if necessary.
-+               */
-+              imx477_adjust_exposure_range(imx477, ctrl);
-       }
-       /*
-@@ -1311,8 +1375,14 @@ static int imx477_set_ctrl(struct v4l2_c
-                                      IMX477_REG_VALUE_16BIT, ctrl->val);
-               break;
-       case V4L2_CID_EXPOSURE:
--              ret = imx477_write_reg(imx477, IMX477_REG_EXPOSURE,
--                                     IMX477_REG_VALUE_16BIT, ctrl->val);
-+              ret = imx477_set_exposure(imx477, ctrl->val);
-+              break;
-+      case V4L2_CID_EXPOSURE_AUTO_PRIORITY:
-+              /*
-+               * imx477_set_exposure() will recalculate the frame length
-+               * to adjust the framerate to match the exposure.
-+               */
-+              ret = imx477_set_exposure(imx477, imx477->exposure->val);
-               break;
-       case V4L2_CID_DIGITAL_GAIN:
-               ret = imx477_write_reg(imx477, IMX477_REG_DIGITAL_GAIN,
-@@ -1510,9 +1580,8 @@ unsigned int imx477_get_frame_length(con
- static void imx477_set_framing_limits(struct imx477 *imx477)
- {
-+      unsigned int frm_length_min, frm_length_default, hblank;
-       const struct imx477_mode *mode = imx477->mode;
--      unsigned int frm_length_min, frm_length_default;
--      unsigned int exposure_max, exposure_def, hblank;
-       frm_length_min = imx477_get_frame_length(mode, &mode->timeperframe_min);
-       frm_length_default =
-@@ -1522,15 +1591,10 @@ static void imx477_set_framing_limits(st
-       __v4l2_ctrl_modify_range(imx477->vblank, frm_length_min - mode->height,
-                                IMX477_FRAME_LENGTH_MAX - mode->height,
-                                1, frm_length_default - mode->height);
-+
-+      /* Setting this will adjust the exposure limits as well. */
-       __v4l2_ctrl_s_ctrl(imx477->vblank, frm_length_default - mode->height);
--      /* Update max exposure while meeting expected vblanking */
--      exposure_max = IMX477_FRAME_LENGTH_MAX - IMX477_EXPOSURE_OFFSET;
--      exposure_def = frm_length_default - mode->height -
--                                          IMX477_EXPOSURE_OFFSET;
--      __v4l2_ctrl_modify_range(imx477->exposure, imx477->exposure->minimum,
--                               exposure_max, imx477->exposure->step,
--                               exposure_def);
-       /*
-        * Currently PPL is fixed to the mode specified value, so hblank
-        * depends on mode->width only, and is not changeable in any
-@@ -1939,6 +2003,11 @@ static int imx477_init_controls(struct i
-                         IMX477_DGTL_GAIN_MIN, IMX477_DGTL_GAIN_MAX,
-                         IMX477_DGTL_GAIN_STEP, IMX477_DGTL_GAIN_DEFAULT);
-+      imx477->exposure_auto =
-+                      v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
-+                                        V4L2_CID_EXPOSURE_AUTO_PRIORITY,
-+                                        0, 1, 1, 0);
-+
-       imx477->hflip = v4l2_ctrl_new_std(ctrl_hdlr, &imx477_ctrl_ops,
-                                         V4L2_CID_HFLIP, 0, 1, 1, 0);
-       if (imx477->hflip)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0724-dma-buf-heaps-Add-system-heap-to-dmabuf-heaps.patch b/target/linux/bcm27xx/patches-5.4/950-0724-dma-buf-heaps-Add-system-heap-to-dmabuf-heaps.patch
new file mode 100644 (file)
index 0000000..55c2450
--- /dev/null
@@ -0,0 +1,200 @@
+From 8392cd87592f31737286ea16f11781a234de3564 Mon Sep 17 00:00:00 2001
+From: John Stultz <john.stultz@linaro.org>
+Date: Tue, 3 Dec 2019 17:26:39 +0000
+Subject: [PATCH] dma-buf: heaps: Add system heap to dmabuf heaps
+
+Commit efa04fefebbd724ffda7f49e42d057a7217c45b0 upstream.
+
+This patch adds system heap to the dma-buf heaps framework.
+
+This allows applications to get a page-allocator backed dma-buf
+for non-contiguous memory.
+
+This code is an evolution of the Android ION implementation, so
+thanks to its original authors and maintainters:
+  Rebecca Schultz Zavin, Colin Cross, Laura Abbott, and others!
+
+Cc: Laura Abbott <labbott@redhat.com>
+Cc: Benjamin Gaignard <benjamin.gaignard@linaro.org>
+Cc: Sumit Semwal <sumit.semwal@linaro.org>
+Cc: Liam Mark <lmark@codeaurora.org>
+Cc: Pratik Patel <pratikp@codeaurora.org>
+Cc: Brian Starkey <Brian.Starkey@arm.com>
+Cc: Vincent Donnefort <Vincent.Donnefort@arm.com>
+Cc: Sudipto Paul <Sudipto.Paul@arm.com>
+Cc: Andrew F. Davis <afd@ti.com>
+Cc: Christoph Hellwig <hch@infradead.org>
+Cc: Chenbo Feng <fengc@google.com>
+Cc: Alistair Strachan <astrachan@google.com>
+Cc: Hridya Valsaraju <hridya@google.com>
+Cc: Sandeep Patil <sspatil@google.com>
+Cc: Hillf Danton <hdanton@sina.com>
+Cc: Dave Airlie <airlied@gmail.com>
+Cc: dri-devel@lists.freedesktop.org
+Reviewed-by: Benjamin Gaignard <benjamin.gaignard@linaro.org>
+Reviewed-by: Brian Starkey <brian.starkey@arm.com>
+Acked-by: Sandeep Patil <sspatil@android.com>
+Acked-by: Laura Abbott <labbott@redhat.com>
+Tested-by: Ayan Kumar Halder <ayan.halder@arm.com>
+Signed-off-by: John Stultz <john.stultz@linaro.org>
+Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191203172641.66642-4-john.stultz@linaro.org
+---
+ drivers/dma-buf/Kconfig             |   2 +
+ drivers/dma-buf/heaps/Kconfig       |   6 ++
+ drivers/dma-buf/heaps/Makefile      |   1 +
+ drivers/dma-buf/heaps/system_heap.c | 123 ++++++++++++++++++++++++++++
+ 4 files changed, 132 insertions(+)
+ create mode 100644 drivers/dma-buf/heaps/Kconfig
+ create mode 100644 drivers/dma-buf/heaps/system_heap.c
+
+--- a/drivers/dma-buf/Kconfig
++++ b/drivers/dma-buf/Kconfig
+@@ -53,4 +53,6 @@ menuconfig DMABUF_HEAPS
+         allows userspace to allocate dma-bufs that can be shared
+         between drivers.
++source "drivers/dma-buf/heaps/Kconfig"
++
+ endmenu
+--- /dev/null
++++ b/drivers/dma-buf/heaps/Kconfig
+@@ -0,0 +1,6 @@
++config DMABUF_HEAPS_SYSTEM
++      bool "DMA-BUF System Heap"
++      depends on DMABUF_HEAPS
++      help
++        Choose this option to enable the system dmabuf heap. The system heap
++        is backed by pages from the buddy allocator. If in doubt, say Y.
+--- a/drivers/dma-buf/heaps/Makefile
++++ b/drivers/dma-buf/heaps/Makefile
+@@ -1,2 +1,3 @@
+ # SPDX-License-Identifier: GPL-2.0
+ obj-y                                 += heap-helpers.o
++obj-$(CONFIG_DMABUF_HEAPS_SYSTEM)     += system_heap.o
+--- /dev/null
++++ b/drivers/dma-buf/heaps/system_heap.c
+@@ -0,0 +1,123 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * DMABUF System heap exporter
++ *
++ * Copyright (C) 2011 Google, Inc.
++ * Copyright (C) 2019 Linaro Ltd.
++ */
++
++#include <linux/dma-buf.h>
++#include <linux/dma-mapping.h>
++#include <linux/dma-heap.h>
++#include <linux/err.h>
++#include <linux/highmem.h>
++#include <linux/mm.h>
++#include <linux/module.h>
++#include <linux/scatterlist.h>
++#include <linux/slab.h>
++#include <linux/sched/signal.h>
++#include <asm/page.h>
++
++#include "heap-helpers.h"
++
++struct dma_heap *sys_heap;
++
++static void system_heap_free(struct heap_helper_buffer *buffer)
++{
++      pgoff_t pg;
++
++      for (pg = 0; pg < buffer->pagecount; pg++)
++              __free_page(buffer->pages[pg]);
++      kfree(buffer->pages);
++      kfree(buffer);
++}
++
++static int system_heap_allocate(struct dma_heap *heap,
++                              unsigned long len,
++                              unsigned long fd_flags,
++                              unsigned long heap_flags)
++{
++      struct heap_helper_buffer *helper_buffer;
++      struct dma_buf *dmabuf;
++      int ret = -ENOMEM;
++      pgoff_t pg;
++
++      helper_buffer = kzalloc(sizeof(*helper_buffer), GFP_KERNEL);
++      if (!helper_buffer)
++              return -ENOMEM;
++
++      init_heap_helper_buffer(helper_buffer, system_heap_free);
++      helper_buffer->heap = heap;
++      helper_buffer->size = len;
++
++      helper_buffer->pagecount = len / PAGE_SIZE;
++      helper_buffer->pages = kmalloc_array(helper_buffer->pagecount,
++                                           sizeof(*helper_buffer->pages),
++                                           GFP_KERNEL);
++      if (!helper_buffer->pages) {
++              ret = -ENOMEM;
++              goto err0;
++      }
++
++      for (pg = 0; pg < helper_buffer->pagecount; pg++) {
++              /*
++               * Avoid trying to allocate memory if the process
++               * has been killed by by SIGKILL
++               */
++              if (fatal_signal_pending(current))
++                      goto err1;
++
++              helper_buffer->pages[pg] = alloc_page(GFP_KERNEL | __GFP_ZERO);
++              if (!helper_buffer->pages[pg])
++                      goto err1;
++      }
++
++      /* create the dmabuf */
++      dmabuf = heap_helper_export_dmabuf(helper_buffer, fd_flags);
++      if (IS_ERR(dmabuf)) {
++              ret = PTR_ERR(dmabuf);
++              goto err1;
++      }
++
++      helper_buffer->dmabuf = dmabuf;
++
++      ret = dma_buf_fd(dmabuf, fd_flags);
++      if (ret < 0) {
++              dma_buf_put(dmabuf);
++              /* just return, as put will call release and that will free */
++              return ret;
++      }
++
++      return ret;
++
++err1:
++      while (pg > 0)
++              __free_page(helper_buffer->pages[--pg]);
++      kfree(helper_buffer->pages);
++err0:
++      kfree(helper_buffer);
++
++      return ret;
++}
++
++static const struct dma_heap_ops system_heap_ops = {
++      .allocate = system_heap_allocate,
++};
++
++static int system_heap_create(void)
++{
++      struct dma_heap_export_info exp_info;
++      int ret = 0;
++
++      exp_info.name = "system_heap";
++      exp_info.ops = &system_heap_ops;
++      exp_info.priv = NULL;
++
++      sys_heap = dma_heap_add(&exp_info);
++      if (IS_ERR(sys_heap))
++              ret = PTR_ERR(sys_heap);
++
++      return ret;
++}
++module_init(system_heap_create);
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0724-udmabuf-Remove-deleted-map-unmap-handlers.patch b/target/linux/bcm27xx/patches-5.4/950-0724-udmabuf-Remove-deleted-map-unmap-handlers.patch
deleted file mode 100644 (file)
index bc7b5be..0000000
+++ /dev/null
@@ -1,52 +0,0 @@
-From b1f02a027329f23272bd89c80a3f51ff64377fc2 Mon Sep 17 00:00:00 2001
-From: Maarten Lankhorst <maarten.lankhorst@linux.intel.com>
-Date: Tue, 26 Nov 2019 15:25:16 +0100
-Subject: [PATCH] udmabuf: Remove deleted map/unmap handlers.
-
-Commit 19d32ace8b6acebc45da1ea748000ac79ccc7721 upstream.
-
-Commit 7f0de8d80816 ("dma-buf: Drop dma_buf_k(un)map") removed map/unmap
-handlers, but they still existed in udmabuf. Remove them there as well
-
-Signed-off-by: Maarten Lankhorst <maarten.lankhorst@linux.intel.com>
-Fixes: 7f0de8d80816 ("dma-buf: Drop dma_buf_k(un)map")
-Cc: Sumit Semwal <sumit.semwal@linaro.org>
-Cc: Daniel Vetter <daniel.vetter@intel.com>
-Cc: linux-media@vger.kernel.org
-Cc: linaro-mm-sig@lists.linaro.org
-Cc: dri-devel@lists.freedesktop.org
-Reviewed-by: Daniel Vetter <daniel.vetter@ffwll.ch>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191126142516.630200-1-maarten.lankhorst@linux.intel.com
----
- drivers/dma-buf/udmabuf.c | 16 ----------------
- 1 file changed, 16 deletions(-)
-
---- a/drivers/dma-buf/udmabuf.c
-+++ b/drivers/dma-buf/udmabuf.c
-@@ -93,26 +93,10 @@ static void release_udmabuf(struct dma_b
-       kfree(ubuf);
- }
--static void *kmap_udmabuf(struct dma_buf *buf, unsigned long page_num)
--{
--      struct udmabuf *ubuf = buf->priv;
--      struct page *page = ubuf->pages[page_num];
--
--      return kmap(page);
--}
--
--static void kunmap_udmabuf(struct dma_buf *buf, unsigned long page_num,
--                         void *vaddr)
--{
--      kunmap(vaddr);
--}
--
- static const struct dma_buf_ops udmabuf_ops = {
-       .map_dma_buf      = map_udmabuf,
-       .unmap_dma_buf    = unmap_udmabuf,
-       .release          = release_udmabuf,
--      .map              = kmap_udmabuf,
--      .unmap            = kunmap_udmabuf,
-       .mmap             = mmap_udmabuf,
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0725-dma-buf-heaps-Add-CMA-heap-to-dmabuf-heaps.patch b/target/linux/bcm27xx/patches-5.4/950-0725-dma-buf-heaps-Add-CMA-heap-to-dmabuf-heaps.patch
new file mode 100644 (file)
index 0000000..d182870
--- /dev/null
@@ -0,0 +1,251 @@
+From d5e996267c71a9517b2c831d072e76bacb8f0e56 Mon Sep 17 00:00:00 2001
+From: John Stultz <john.stultz@linaro.org>
+Date: Tue, 3 Dec 2019 17:26:40 +0000
+Subject: [PATCH] dma-buf: heaps: Add CMA heap to dmabuf heaps
+
+Commit b61614ec318aae0c77ecd2816878d851dd61d9a6 upstream.
+
+This adds a CMA heap, which allows userspace to allocate
+a dma-buf of contiguous memory out of a CMA region.
+
+This code is an evolution of the Android ION implementation, so
+thanks to its original author and maintainters:
+  Benjamin Gaignard, Laura Abbott, and others!
+
+NOTE: This patch only adds the default CMA heap. We will enable
+selectively adding other CMA memory regions to the dmabuf heaps
+interface with a later patch (which requires a dt binding)
+
+Cc: Laura Abbott <labbott@redhat.com>
+Cc: Benjamin Gaignard <benjamin.gaignard@linaro.org>
+Cc: Sumit Semwal <sumit.semwal@linaro.org>
+Cc: Liam Mark <lmark@codeaurora.org>
+Cc: Pratik Patel <pratikp@codeaurora.org>
+Cc: Brian Starkey <Brian.Starkey@arm.com>
+Cc: Vincent Donnefort <Vincent.Donnefort@arm.com>
+Cc: Sudipto Paul <Sudipto.Paul@arm.com>
+Cc: Andrew F. Davis <afd@ti.com>
+Cc: Christoph Hellwig <hch@infradead.org>
+Cc: Chenbo Feng <fengc@google.com>
+Cc: Alistair Strachan <astrachan@google.com>
+Cc: Hridya Valsaraju <hridya@google.com>
+Cc: Sandeep Patil <sspatil@google.com>
+Cc: Hillf Danton <hdanton@sina.com>
+Cc: Dave Airlie <airlied@gmail.com>
+Cc: dri-devel@lists.freedesktop.org
+Reviewed-by: Benjamin Gaignard <benjamin.gaignard@linaro.org>
+Reviewed-by: Brian Starkey <brian.starkey@arm.com>
+Acked-by: Sandeep Patil <sspatil@android.com>
+Acked-by: Laura Abbott <labbott@redhat.com>
+Tested-by: Ayan Kumar Halder <ayan.halder@arm.com>
+Signed-off-by: John Stultz <john.stultz@linaro.org>
+Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191203172641.66642-5-john.stultz@linaro.org
+---
+ drivers/dma-buf/heaps/Kconfig    |   8 ++
+ drivers/dma-buf/heaps/Makefile   |   1 +
+ drivers/dma-buf/heaps/cma_heap.c | 177 +++++++++++++++++++++++++++++++
+ 3 files changed, 186 insertions(+)
+ create mode 100644 drivers/dma-buf/heaps/cma_heap.c
+
+--- a/drivers/dma-buf/heaps/Kconfig
++++ b/drivers/dma-buf/heaps/Kconfig
+@@ -4,3 +4,11 @@ config DMABUF_HEAPS_SYSTEM
+       help
+         Choose this option to enable the system dmabuf heap. The system heap
+         is backed by pages from the buddy allocator. If in doubt, say Y.
++
++config DMABUF_HEAPS_CMA
++      bool "DMA-BUF CMA Heap"
++      depends on DMABUF_HEAPS && DMA_CMA
++      help
++        Choose this option to enable dma-buf CMA heap. This heap is backed
++        by the Contiguous Memory Allocator (CMA). If your system has these
++        regions, you should say Y here.
+--- a/drivers/dma-buf/heaps/Makefile
++++ b/drivers/dma-buf/heaps/Makefile
+@@ -1,3 +1,4 @@
+ # SPDX-License-Identifier: GPL-2.0
+ obj-y                                 += heap-helpers.o
+ obj-$(CONFIG_DMABUF_HEAPS_SYSTEM)     += system_heap.o
++obj-$(CONFIG_DMABUF_HEAPS_CMA)                += cma_heap.o
+--- /dev/null
++++ b/drivers/dma-buf/heaps/cma_heap.c
+@@ -0,0 +1,177 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * DMABUF CMA heap exporter
++ *
++ * Copyright (C) 2012, 2019 Linaro Ltd.
++ * Author: <benjamin.gaignard@linaro.org> for ST-Ericsson.
++ */
++
++#include <linux/cma.h>
++#include <linux/device.h>
++#include <linux/dma-buf.h>
++#include <linux/dma-heap.h>
++#include <linux/dma-contiguous.h>
++#include <linux/err.h>
++#include <linux/errno.h>
++#include <linux/highmem.h>
++#include <linux/module.h>
++#include <linux/slab.h>
++#include <linux/scatterlist.h>
++#include <linux/sched/signal.h>
++
++#include "heap-helpers.h"
++
++struct cma_heap {
++      struct dma_heap *heap;
++      struct cma *cma;
++};
++
++static void cma_heap_free(struct heap_helper_buffer *buffer)
++{
++      struct cma_heap *cma_heap = dma_heap_get_drvdata(buffer->heap);
++      unsigned long nr_pages = buffer->pagecount;
++      struct page *cma_pages = buffer->priv_virt;
++
++      /* free page list */
++      kfree(buffer->pages);
++      /* release memory */
++      cma_release(cma_heap->cma, cma_pages, nr_pages);
++      kfree(buffer);
++}
++
++/* dmabuf heap CMA operations functions */
++static int cma_heap_allocate(struct dma_heap *heap,
++                           unsigned long len,
++                           unsigned long fd_flags,
++                           unsigned long heap_flags)
++{
++      struct cma_heap *cma_heap = dma_heap_get_drvdata(heap);
++      struct heap_helper_buffer *helper_buffer;
++      struct page *cma_pages;
++      size_t size = PAGE_ALIGN(len);
++      unsigned long nr_pages = size >> PAGE_SHIFT;
++      unsigned long align = get_order(size);
++      struct dma_buf *dmabuf;
++      int ret = -ENOMEM;
++      pgoff_t pg;
++
++      if (align > CONFIG_CMA_ALIGNMENT)
++              align = CONFIG_CMA_ALIGNMENT;
++
++      helper_buffer = kzalloc(sizeof(*helper_buffer), GFP_KERNEL);
++      if (!helper_buffer)
++              return -ENOMEM;
++
++      init_heap_helper_buffer(helper_buffer, cma_heap_free);
++      helper_buffer->heap = heap;
++      helper_buffer->size = len;
++
++      cma_pages = cma_alloc(cma_heap->cma, nr_pages, align, false);
++      if (!cma_pages)
++              goto free_buf;
++
++      if (PageHighMem(cma_pages)) {
++              unsigned long nr_clear_pages = nr_pages;
++              struct page *page = cma_pages;
++
++              while (nr_clear_pages > 0) {
++                      void *vaddr = kmap_atomic(page);
++
++                      memset(vaddr, 0, PAGE_SIZE);
++                      kunmap_atomic(vaddr);
++                      /*
++                       * Avoid wasting time zeroing memory if the process
++                       * has been killed by by SIGKILL
++                       */
++                      if (fatal_signal_pending(current))
++                              goto free_cma;
++
++                      page++;
++                      nr_clear_pages--;
++              }
++      } else {
++              memset(page_address(cma_pages), 0, size);
++      }
++
++      helper_buffer->pagecount = nr_pages;
++      helper_buffer->pages = kmalloc_array(helper_buffer->pagecount,
++                                           sizeof(*helper_buffer->pages),
++                                           GFP_KERNEL);
++      if (!helper_buffer->pages) {
++              ret = -ENOMEM;
++              goto free_cma;
++      }
++
++      for (pg = 0; pg < helper_buffer->pagecount; pg++)
++              helper_buffer->pages[pg] = &cma_pages[pg];
++
++      /* create the dmabuf */
++      dmabuf = heap_helper_export_dmabuf(helper_buffer, fd_flags);
++      if (IS_ERR(dmabuf)) {
++              ret = PTR_ERR(dmabuf);
++              goto free_pages;
++      }
++
++      helper_buffer->dmabuf = dmabuf;
++      helper_buffer->priv_virt = cma_pages;
++
++      ret = dma_buf_fd(dmabuf, fd_flags);
++      if (ret < 0) {
++              dma_buf_put(dmabuf);
++              /* just return, as put will call release and that will free */
++              return ret;
++      }
++
++      return ret;
++
++free_pages:
++      kfree(helper_buffer->pages);
++free_cma:
++      cma_release(cma_heap->cma, cma_pages, nr_pages);
++free_buf:
++      kfree(helper_buffer);
++      return ret;
++}
++
++static const struct dma_heap_ops cma_heap_ops = {
++      .allocate = cma_heap_allocate,
++};
++
++static int __add_cma_heap(struct cma *cma, void *data)
++{
++      struct cma_heap *cma_heap;
++      struct dma_heap_export_info exp_info;
++
++      cma_heap = kzalloc(sizeof(*cma_heap), GFP_KERNEL);
++      if (!cma_heap)
++              return -ENOMEM;
++      cma_heap->cma = cma;
++
++      exp_info.name = cma_get_name(cma);
++      exp_info.ops = &cma_heap_ops;
++      exp_info.priv = cma_heap;
++
++      cma_heap->heap = dma_heap_add(&exp_info);
++      if (IS_ERR(cma_heap->heap)) {
++              int ret = PTR_ERR(cma_heap->heap);
++
++              kfree(cma_heap);
++              return ret;
++      }
++
++      return 0;
++}
++
++static int add_default_cma_heap(void)
++{
++      struct cma *default_cma = dev_get_cma_area(NULL);
++      int ret = 0;
++
++      if (default_cma)
++              ret = __add_cma_heap(default_cma, NULL);
++
++      return ret;
++}
++module_init(add_default_cma_heap);
++MODULE_DESCRIPTION("DMA-BUF CMA Heap");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0725-udmabuf-use-cache_sgt_mapping-option.patch b/target/linux/bcm27xx/patches-5.4/950-0725-udmabuf-use-cache_sgt_mapping-option.patch
deleted file mode 100644 (file)
index 1660555..0000000
+++ /dev/null
@@ -1,35 +0,0 @@
-From d57aecba0cd291e0c28e2c82c3d4bce06c5b5b94 Mon Sep 17 00:00:00 2001
-From: Gurchetan Singh <gurchetansingh@chromium.org>
-Date: Mon, 2 Dec 2019 17:36:24 -0800
-Subject: [PATCH] udmabuf: use cache_sgt_mapping option
-
-Commit bc7a71da43b48333f84c6534ab43d240e34cf9eb uptream.
-
-The GEM prime helpers do it, so should we. It's also possible to make
-it optional later.
-
-Signed-off-by: Gurchetan Singh <gurchetansingh@chromium.org>
-Link: http://patchwork.freedesktop.org/patch/msgid/20191203013627.85991-1-gurchetansingh@chromium.org
-Signed-off-by: Gerd Hoffmann <kraxel@redhat.com>
----
- drivers/dma-buf/udmabuf.c | 9 +++++----
- 1 file changed, 5 insertions(+), 4 deletions(-)
-
---- a/drivers/dma-buf/udmabuf.c
-+++ b/drivers/dma-buf/udmabuf.c
-@@ -94,10 +94,11 @@ static void release_udmabuf(struct dma_b
- }
- static const struct dma_buf_ops udmabuf_ops = {
--      .map_dma_buf      = map_udmabuf,
--      .unmap_dma_buf    = unmap_udmabuf,
--      .release          = release_udmabuf,
--      .mmap             = mmap_udmabuf,
-+      .cache_sgt_mapping = true,
-+      .map_dma_buf       = map_udmabuf,
-+      .unmap_dma_buf     = unmap_udmabuf,
-+      .release           = release_udmabuf,
-+      .mmap              = mmap_udmabuf,
- };
- #define SEALS_WANTED (F_SEAL_SHRINK)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0726-kselftests-Add-dma-heap-test.patch b/target/linux/bcm27xx/patches-5.4/950-0726-kselftests-Add-dma-heap-test.patch
new file mode 100644 (file)
index 0000000..ff4dda9
--- /dev/null
@@ -0,0 +1,453 @@
+From 31501b71a0237f3753d0210e3e122c548d6f3051 Mon Sep 17 00:00:00 2001
+From: John Stultz <john.stultz@linaro.org>
+Date: Tue, 3 Dec 2019 17:26:41 +0000
+Subject: [PATCH] kselftests: Add dma-heap test
+
+Commit a8779927fd86c91f5400bfcbccfa018a667d8350 upstream.
+
+Add very trivial allocation and import test for dma-heaps,
+utilizing the vgem driver as a test importer.
+
+A good chunk of this code taken from:
+  tools/testing/selftests/android/ion/ionmap_test.c
+  Originally by Laura Abbott <labbott@redhat.com>
+
+Cc: Benjamin Gaignard <benjamin.gaignard@linaro.org>
+Cc: Sumit Semwal <sumit.semwal@linaro.org>
+Cc: Liam Mark <lmark@codeaurora.org>
+Cc: Pratik Patel <pratikp@codeaurora.org>
+Cc: Brian Starkey <Brian.Starkey@arm.com>
+Cc: Vincent Donnefort <Vincent.Donnefort@arm.com>
+Cc: Sudipto Paul <Sudipto.Paul@arm.com>
+Cc: Andrew F. Davis <afd@ti.com>
+Cc: Christoph Hellwig <hch@infradead.org>
+Cc: Chenbo Feng <fengc@google.com>
+Cc: Alistair Strachan <astrachan@google.com>
+Cc: Hridya Valsaraju <hridya@google.com>
+Cc: Sandeep Patil <sspatil@google.com>
+Cc: Hillf Danton <hdanton@sina.com>
+Cc: Dave Airlie <airlied@gmail.com>
+Cc: dri-devel@lists.freedesktop.org
+Reviewed-by: Benjamin Gaignard <benjamin.gaignard@linaro.org>
+Reviewed-by: Brian Starkey <brian.starkey@arm.com>
+Acked-by: Sandeep Patil <sspatil@android.com>
+Acked-by: Laura Abbott <labbott@redhat.com>
+Tested-by: Ayan Kumar Halder <ayan.halder@arm.com>
+Signed-off-by: John Stultz <john.stultz@linaro.org>
+Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191203172641.66642-6-john.stultz@linaro.org
+---
+ tools/testing/selftests/dmabuf-heaps/Makefile |   6 +
+ .../selftests/dmabuf-heaps/dmabuf-heap.c      | 396 ++++++++++++++++++
+ 2 files changed, 402 insertions(+)
+ create mode 100644 tools/testing/selftests/dmabuf-heaps/Makefile
+ create mode 100644 tools/testing/selftests/dmabuf-heaps/dmabuf-heap.c
+
+--- /dev/null
++++ b/tools/testing/selftests/dmabuf-heaps/Makefile
+@@ -0,0 +1,6 @@
++# SPDX-License-Identifier: GPL-2.0
++CFLAGS += -static -O3 -Wl,-no-as-needed -Wall -I../../../../usr/include
++
++TEST_GEN_PROGS = dmabuf-heap
++
++include ../lib.mk
+--- /dev/null
++++ b/tools/testing/selftests/dmabuf-heaps/dmabuf-heap.c
+@@ -0,0 +1,396 @@
++// SPDX-License-Identifier: GPL-2.0
++
++#include <dirent.h>
++#include <errno.h>
++#include <fcntl.h>
++#include <stdio.h>
++#include <stdlib.h>
++#include <stdint.h>
++#include <string.h>
++#include <unistd.h>
++#include <sys/ioctl.h>
++#include <sys/mman.h>
++#include <sys/types.h>
++
++#include <linux/dma-buf.h>
++#include <drm/drm.h>
++
++#include "../../../../include/uapi/linux/dma-heap.h"
++
++#define DEVPATH "/dev/dma_heap"
++
++static int check_vgem(int fd)
++{
++      drm_version_t version = { 0 };
++      char name[5];
++      int ret;
++
++      version.name_len = 4;
++      version.name = name;
++
++      ret = ioctl(fd, DRM_IOCTL_VERSION, &version);
++      if (ret)
++              return 0;
++
++      return !strcmp(name, "vgem");
++}
++
++static int open_vgem(void)
++{
++      int i, fd;
++      const char *drmstr = "/dev/dri/card";
++
++      fd = -1;
++      for (i = 0; i < 16; i++) {
++              char name[80];
++
++              snprintf(name, 80, "%s%u", drmstr, i);
++
++              fd = open(name, O_RDWR);
++              if (fd < 0)
++                      continue;
++
++              if (!check_vgem(fd)) {
++                      close(fd);
++                      fd = -1;
++                      continue;
++              } else {
++                      break;
++              }
++      }
++      return fd;
++}
++
++static int import_vgem_fd(int vgem_fd, int dma_buf_fd, uint32_t *handle)
++{
++      struct drm_prime_handle import_handle = {
++              .fd = dma_buf_fd,
++              .flags = 0,
++              .handle = 0,
++       };
++      int ret;
++
++      ret = ioctl(vgem_fd, DRM_IOCTL_PRIME_FD_TO_HANDLE, &import_handle);
++      if (ret == 0)
++              *handle = import_handle.handle;
++      return ret;
++}
++
++static void close_handle(int vgem_fd, uint32_t handle)
++{
++      struct drm_gem_close close = {
++              .handle = handle,
++      };
++
++      ioctl(vgem_fd, DRM_IOCTL_GEM_CLOSE, &close);
++}
++
++static int dmabuf_heap_open(char *name)
++{
++      int ret, fd;
++      char buf[256];
++
++      ret = snprintf(buf, 256, "%s/%s", DEVPATH, name);
++      if (ret < 0) {
++              printf("snprintf failed!\n");
++              return ret;
++      }
++
++      fd = open(buf, O_RDWR);
++      if (fd < 0)
++              printf("open %s failed!\n", buf);
++      return fd;
++}
++
++static int dmabuf_heap_alloc_fdflags(int fd, size_t len, unsigned int fd_flags,
++                                   unsigned int heap_flags, int *dmabuf_fd)
++{
++      struct dma_heap_allocation_data data = {
++              .len = len,
++              .fd = 0,
++              .fd_flags = fd_flags,
++              .heap_flags = heap_flags,
++      };
++      int ret;
++
++      if (!dmabuf_fd)
++              return -EINVAL;
++
++      ret = ioctl(fd, DMA_HEAP_IOC_ALLOC, &data);
++      if (ret < 0)
++              return ret;
++      *dmabuf_fd = (int)data.fd;
++      return ret;
++}
++
++static int dmabuf_heap_alloc(int fd, size_t len, unsigned int flags,
++                           int *dmabuf_fd)
++{
++      return dmabuf_heap_alloc_fdflags(fd, len, O_RDWR | O_CLOEXEC, flags,
++                                       dmabuf_fd);
++}
++
++static void dmabuf_sync(int fd, int start_stop)
++{
++      struct dma_buf_sync sync = {
++              .flags = start_stop | DMA_BUF_SYNC_RW,
++      };
++      int ret;
++
++      ret = ioctl(fd, DMA_BUF_IOCTL_SYNC, &sync);
++      if (ret)
++              printf("sync failed %d\n", errno);
++}
++
++#define ONE_MEG (1024 * 1024)
++
++static int test_alloc_and_import(char *heap_name)
++{
++      int heap_fd = -1, dmabuf_fd = -1, importer_fd = -1;
++      uint32_t handle = 0;
++      void *p = NULL;
++      int ret;
++
++      printf("Testing heap: %s\n", heap_name);
++
++      heap_fd = dmabuf_heap_open(heap_name);
++      if (heap_fd < 0)
++              return -1;
++
++      printf("Allocating 1 MEG\n");
++      ret = dmabuf_heap_alloc(heap_fd, ONE_MEG, 0, &dmabuf_fd);
++      if (ret) {
++              printf("Allocation Failed!\n");
++              ret = -1;
++              goto out;
++      }
++      /* mmap and write a simple pattern */
++      p = mmap(NULL,
++               ONE_MEG,
++               PROT_READ | PROT_WRITE,
++               MAP_SHARED,
++               dmabuf_fd,
++               0);
++      if (p == MAP_FAILED) {
++              printf("mmap() failed: %m\n");
++              ret = -1;
++              goto out;
++      }
++      printf("mmap passed\n");
++
++      dmabuf_sync(dmabuf_fd, DMA_BUF_SYNC_START);
++      memset(p, 1, ONE_MEG / 2);
++      memset((char *)p + ONE_MEG / 2, 0, ONE_MEG / 2);
++      dmabuf_sync(dmabuf_fd, DMA_BUF_SYNC_END);
++
++      importer_fd = open_vgem();
++      if (importer_fd < 0) {
++              ret = importer_fd;
++              printf("Failed to open vgem\n");
++              goto out;
++      }
++
++      ret = import_vgem_fd(importer_fd, dmabuf_fd, &handle);
++      if (ret < 0) {
++              printf("Failed to import buffer\n");
++              goto out;
++      }
++      printf("import passed\n");
++
++      dmabuf_sync(dmabuf_fd, DMA_BUF_SYNC_START);
++      memset(p, 0xff, ONE_MEG);
++      dmabuf_sync(dmabuf_fd, DMA_BUF_SYNC_END);
++      printf("syncs passed\n");
++
++      close_handle(importer_fd, handle);
++      ret = 0;
++
++out:
++      if (p)
++              munmap(p, ONE_MEG);
++      if (importer_fd >= 0)
++              close(importer_fd);
++      if (dmabuf_fd >= 0)
++              close(dmabuf_fd);
++      if (heap_fd >= 0)
++              close(heap_fd);
++
++      return ret;
++}
++
++/* Test the ioctl version compatibility w/ a smaller structure then expected */
++static int dmabuf_heap_alloc_older(int fd, size_t len, unsigned int flags,
++                                 int *dmabuf_fd)
++{
++      int ret;
++      unsigned int older_alloc_ioctl;
++      struct dma_heap_allocation_data_smaller {
++              __u64 len;
++              __u32 fd;
++              __u32 fd_flags;
++      } data = {
++              .len = len,
++              .fd = 0,
++              .fd_flags = O_RDWR | O_CLOEXEC,
++      };
++
++      older_alloc_ioctl = _IOWR(DMA_HEAP_IOC_MAGIC, 0x0,
++                                struct dma_heap_allocation_data_smaller);
++      if (!dmabuf_fd)
++              return -EINVAL;
++
++      ret = ioctl(fd, older_alloc_ioctl, &data);
++      if (ret < 0)
++              return ret;
++      *dmabuf_fd = (int)data.fd;
++      return ret;
++}
++
++/* Test the ioctl version compatibility w/ a larger structure then expected */
++static int dmabuf_heap_alloc_newer(int fd, size_t len, unsigned int flags,
++                                 int *dmabuf_fd)
++{
++      int ret;
++      unsigned int newer_alloc_ioctl;
++      struct dma_heap_allocation_data_bigger {
++              __u64 len;
++              __u32 fd;
++              __u32 fd_flags;
++              __u64 heap_flags;
++              __u64 garbage1;
++              __u64 garbage2;
++              __u64 garbage3;
++      } data = {
++              .len = len,
++              .fd = 0,
++              .fd_flags = O_RDWR | O_CLOEXEC,
++              .heap_flags = flags,
++              .garbage1 = 0xffffffff,
++              .garbage2 = 0x88888888,
++              .garbage3 = 0x11111111,
++      };
++
++      newer_alloc_ioctl = _IOWR(DMA_HEAP_IOC_MAGIC, 0x0,
++                                struct dma_heap_allocation_data_bigger);
++      if (!dmabuf_fd)
++              return -EINVAL;
++
++      ret = ioctl(fd, newer_alloc_ioctl, &data);
++      if (ret < 0)
++              return ret;
++
++      *dmabuf_fd = (int)data.fd;
++      return ret;
++}
++
++static int test_alloc_compat(char *heap_name)
++{
++      int heap_fd = -1, dmabuf_fd = -1;
++      int ret;
++
++      heap_fd = dmabuf_heap_open(heap_name);
++      if (heap_fd < 0)
++              return -1;
++
++      printf("Testing (theoretical)older alloc compat\n");
++      ret = dmabuf_heap_alloc_older(heap_fd, ONE_MEG, 0, &dmabuf_fd);
++      if (ret) {
++              printf("Older compat allocation failed!\n");
++              ret = -1;
++              goto out;
++      }
++      close(dmabuf_fd);
++
++      printf("Testing (theoretical)newer alloc compat\n");
++      ret = dmabuf_heap_alloc_newer(heap_fd, ONE_MEG, 0, &dmabuf_fd);
++      if (ret) {
++              printf("Newer compat allocation failed!\n");
++              ret = -1;
++              goto out;
++      }
++      printf("Ioctl compatibility tests passed\n");
++out:
++      if (dmabuf_fd >= 0)
++              close(dmabuf_fd);
++      if (heap_fd >= 0)
++              close(heap_fd);
++
++      return ret;
++}
++
++static int test_alloc_errors(char *heap_name)
++{
++      int heap_fd = -1, dmabuf_fd = -1;
++      int ret;
++
++      heap_fd = dmabuf_heap_open(heap_name);
++      if (heap_fd < 0)
++              return -1;
++
++      printf("Testing expected error cases\n");
++      ret = dmabuf_heap_alloc(0, ONE_MEG, 0x111111, &dmabuf_fd);
++      if (!ret) {
++              printf("Did not see expected error (invalid fd)!\n");
++              ret = -1;
++              goto out;
++      }
++
++      ret = dmabuf_heap_alloc(heap_fd, ONE_MEG, 0x111111, &dmabuf_fd);
++      if (!ret) {
++              printf("Did not see expected error (invalid heap flags)!\n");
++              ret = -1;
++              goto out;
++      }
++
++      ret = dmabuf_heap_alloc_fdflags(heap_fd, ONE_MEG,
++                                      ~(O_RDWR | O_CLOEXEC), 0, &dmabuf_fd);
++      if (!ret) {
++              printf("Did not see expected error (invalid fd flags)!\n");
++              ret = -1;
++              goto out;
++      }
++
++      printf("Expected error checking passed\n");
++out:
++      if (dmabuf_fd >= 0)
++              close(dmabuf_fd);
++      if (heap_fd >= 0)
++              close(heap_fd);
++
++      return ret;
++}
++
++int main(void)
++{
++      DIR *d;
++      struct dirent *dir;
++      int ret = -1;
++
++      d = opendir(DEVPATH);
++      if (!d) {
++              printf("No %s directory?\n", DEVPATH);
++              return -1;
++      }
++
++      while ((dir = readdir(d)) != NULL) {
++              if (!strncmp(dir->d_name, ".", 2))
++                      continue;
++              if (!strncmp(dir->d_name, "..", 3))
++                      continue;
++
++              ret = test_alloc_and_import(dir->d_name);
++              if (ret)
++                      break;
++
++              ret = test_alloc_compat(dir->d_name);
++              if (ret)
++                      break;
++
++              ret = test_alloc_errors(dir->d_name);
++              if (ret)
++                      break;
++      }
++      closedir(d);
++
++      return ret;
++}
diff --git a/target/linux/bcm27xx/patches-5.4/950-0726-udmabuf-add-a-pointer-to-the-miscdevice-in-dma-buf-p.patch b/target/linux/bcm27xx/patches-5.4/950-0726-udmabuf-add-a-pointer-to-the-miscdevice-in-dma-buf-p.patch
deleted file mode 100644 (file)
index 68feae3..0000000
+++ /dev/null
@@ -1,67 +0,0 @@
-From ad21bb0b5abf5414e31ac3e41ff60216bee52982 Mon Sep 17 00:00:00 2001
-From: Gurchetan Singh <gurchetansingh@chromium.org>
-Date: Mon, 2 Dec 2019 17:36:25 -0800
-Subject: [PATCH] udmabuf: add a pointer to the miscdevice in dma-buf
- private data
-
-Commit c1bbed668997268c9edccdc9db1bd1487d9e20b0 upstream.
-
-Will be used later.
-
-v2: rename 'udmabuf_misc' to 'device' (kraxel)
-
-Signed-off-by: Gurchetan Singh <gurchetansingh@chromium.org>
-Link: http://patchwork.freedesktop.org/patch/msgid/20191203013627.85991-2-gurchetansingh@chromium.org
-Signed-off-by: Gerd Hoffmann <kraxel@redhat.com>
----
- drivers/dma-buf/udmabuf.c | 11 +++++++----
- 1 file changed, 7 insertions(+), 4 deletions(-)
-
---- a/drivers/dma-buf/udmabuf.c
-+++ b/drivers/dma-buf/udmabuf.c
-@@ -18,6 +18,7 @@ static const size_t size_limit_mb = 64;
- struct udmabuf {
-       pgoff_t pagecount;
-       struct page **pages;
-+      struct miscdevice *device;
- };
- static vm_fault_t udmabuf_vm_fault(struct vm_fault *vmf)
-@@ -104,8 +105,9 @@ static const struct dma_buf_ops udmabuf_
- #define SEALS_WANTED (F_SEAL_SHRINK)
- #define SEALS_DENIED (F_SEAL_WRITE)
--static long udmabuf_create(const struct udmabuf_create_list *head,
--                         const struct udmabuf_create_item *list)
-+static long udmabuf_create(struct miscdevice *device,
-+                         struct udmabuf_create_list *head,
-+                         struct udmabuf_create_item *list)
- {
-       DEFINE_DMA_BUF_EXPORT_INFO(exp_info);
-       struct file *memfd = NULL;
-@@ -172,6 +174,7 @@ static long udmabuf_create(const struct
-       exp_info.priv = ubuf;
-       exp_info.flags = O_RDWR;
-+      ubuf->device = device;
-       buf = dma_buf_export(&exp_info);
-       if (IS_ERR(buf)) {
-               ret = PTR_ERR(buf);
-@@ -209,7 +212,7 @@ static long udmabuf_ioctl_create(struct
-       list.offset = create.offset;
-       list.size   = create.size;
--      return udmabuf_create(&head, &list);
-+      return udmabuf_create(filp->private_data, &head, &list);
- }
- static long udmabuf_ioctl_create_list(struct file *filp, unsigned long arg)
-@@ -228,7 +231,7 @@ static long udmabuf_ioctl_create_list(st
-       if (IS_ERR(list))
-               return PTR_ERR(list);
--      ret = udmabuf_create(&head, list);
-+      ret = udmabuf_create(filp->private_data, &head, list);
-       kfree(list);
-       return ret;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0727-dma-buf-heaps-Use-_IOCTL_-for-userspace-IOCTL-identi.patch b/target/linux/bcm27xx/patches-5.4/950-0727-dma-buf-heaps-Use-_IOCTL_-for-userspace-IOCTL-identi.patch
new file mode 100644 (file)
index 0000000..a949831
--- /dev/null
@@ -0,0 +1,69 @@
+From 8153056fa1d45394057017843070d3a366dbd918 Mon Sep 17 00:00:00 2001
+From: "Andrew F. Davis" <afd@ti.com>
+Date: Mon, 16 Dec 2019 08:34:04 -0500
+Subject: [PATCH] dma-buf: heaps: Use _IOCTL_ for userspace IOCTL
+ identifier
+
+Commit b3b4346544b571c96d46be615b9db69a601ce4c8 upstream.
+
+This is more consistent with the DMA and DRM frameworks convention. This
+patch is only a name change, no logic is changed.
+
+Signed-off-by: Andrew F. Davis <afd@ti.com>
+Acked-by: John Stultz <john.stultz@linaro.org>
+Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191216133405.1001-2-afd@ti.com
+---
+ drivers/dma-buf/dma-heap.c                         | 4 ++--
+ include/uapi/linux/dma-heap.h                      | 4 ++--
+ tools/testing/selftests/dmabuf-heaps/dmabuf-heap.c | 2 +-
+ 3 files changed, 5 insertions(+), 5 deletions(-)
+
+--- a/drivers/dma-buf/dma-heap.c
++++ b/drivers/dma-buf/dma-heap.c
+@@ -107,7 +107,7 @@ static long dma_heap_ioctl_allocate(stru
+ }
+ unsigned int dma_heap_ioctl_cmds[] = {
+-      DMA_HEAP_IOC_ALLOC,
++      DMA_HEAP_IOCTL_ALLOC,
+ };
+ static long dma_heap_ioctl(struct file *file, unsigned int ucmd,
+@@ -153,7 +153,7 @@ static long dma_heap_ioctl(struct file *
+               memset(kdata + in_size, 0, ksize - in_size);
+       switch (kcmd) {
+-      case DMA_HEAP_IOC_ALLOC:
++      case DMA_HEAP_IOCTL_ALLOC:
+               ret = dma_heap_ioctl_allocate(file, kdata);
+               break;
+       default:
+--- a/include/uapi/linux/dma-heap.h
++++ b/include/uapi/linux/dma-heap.h
+@@ -42,12 +42,12 @@ struct dma_heap_allocation_data {
+ #define DMA_HEAP_IOC_MAGIC            'H'
+ /**
+- * DOC: DMA_HEAP_IOC_ALLOC - allocate memory from pool
++ * DOC: DMA_HEAP_IOCTL_ALLOC - allocate memory from pool
+  *
+  * Takes a dma_heap_allocation_data struct and returns it with the fd field
+  * populated with the dmabuf handle of the allocation.
+  */
+-#define DMA_HEAP_IOC_ALLOC    _IOWR(DMA_HEAP_IOC_MAGIC, 0x0,\
++#define DMA_HEAP_IOCTL_ALLOC  _IOWR(DMA_HEAP_IOC_MAGIC, 0x0,\
+                                     struct dma_heap_allocation_data)
+ #endif /* _UAPI_LINUX_DMABUF_POOL_H */
+--- a/tools/testing/selftests/dmabuf-heaps/dmabuf-heap.c
++++ b/tools/testing/selftests/dmabuf-heaps/dmabuf-heap.c
+@@ -116,7 +116,7 @@ static int dmabuf_heap_alloc_fdflags(int
+       if (!dmabuf_fd)
+               return -EINVAL;
+-      ret = ioctl(fd, DMA_HEAP_IOC_ALLOC, &data);
++      ret = ioctl(fd, DMA_HEAP_IOCTL_ALLOC, &data);
+       if (ret < 0)
+               return ret;
+       *dmabuf_fd = (int)data.fd;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0727-udmabuf-separate-out-creating-destroying-scatter-tab.patch b/target/linux/bcm27xx/patches-5.4/950-0727-udmabuf-separate-out-creating-destroying-scatter-tab.patch
deleted file mode 100644 (file)
index 5a0a59c..0000000
+++ /dev/null
@@ -1,71 +0,0 @@
-From 118802c75e04a2f1b94245695076d2506f667ae7 Mon Sep 17 00:00:00 2001
-From: Gurchetan Singh <gurchetansingh@chromium.org>
-Date: Mon, 2 Dec 2019 17:36:26 -0800
-Subject: [PATCH] udmabuf: separate out creating/destroying
- scatter-table
-
-Commit 17a7ce203490459cff14fb1c8f9a15d65fd1c544 upstream.
-
-These are nice functions and can be re-used.
-
-Signed-off-by: Gurchetan Singh <gurchetansingh@chromium.org>
-Link: http://patchwork.freedesktop.org/patch/msgid/20191203013627.85991-3-gurchetansingh@chromium.org
-Signed-off-by: Gerd Hoffmann <kraxel@redhat.com>
----
- drivers/dma-buf/udmabuf.c | 26 +++++++++++++++++++-------
- 1 file changed, 19 insertions(+), 7 deletions(-)
-
---- a/drivers/dma-buf/udmabuf.c
-+++ b/drivers/dma-buf/udmabuf.c
-@@ -47,10 +47,10 @@ static int mmap_udmabuf(struct dma_buf *
-       return 0;
- }
--static struct sg_table *map_udmabuf(struct dma_buf_attachment *at,
--                                  enum dma_data_direction direction)
-+static struct sg_table *get_sg_table(struct device *dev, struct dma_buf *buf,
-+                                   enum dma_data_direction direction)
- {
--      struct udmabuf *ubuf = at->dmabuf->priv;
-+      struct udmabuf *ubuf = buf->priv;
-       struct sg_table *sg;
-       int ret;
-@@ -62,7 +62,7 @@ static struct sg_table *map_udmabuf(stru
-                                       GFP_KERNEL);
-       if (ret < 0)
-               goto err;
--      if (!dma_map_sg(at->dev, sg->sgl, sg->nents, direction)) {
-+      if (!dma_map_sg(dev, sg->sgl, sg->nents, direction)) {
-               ret = -EINVAL;
-               goto err;
-       }
-@@ -74,13 +74,25 @@ err:
-       return ERR_PTR(ret);
- }
-+static void put_sg_table(struct device *dev, struct sg_table *sg,
-+                       enum dma_data_direction direction)
-+{
-+      dma_unmap_sg(dev, sg->sgl, sg->nents, direction);
-+      sg_free_table(sg);
-+      kfree(sg);
-+}
-+
-+static struct sg_table *map_udmabuf(struct dma_buf_attachment *at,
-+                                  enum dma_data_direction direction)
-+{
-+      return get_sg_table(at->dev, at->dmabuf, direction);
-+}
-+
- static void unmap_udmabuf(struct dma_buf_attachment *at,
-                         struct sg_table *sg,
-                         enum dma_data_direction direction)
- {
--      dma_unmap_sg(at->dev, sg->sgl, sg->nents, direction);
--      sg_free_table(sg);
--      kfree(sg);
-+      return put_sg_table(at->dev, sg, direction);
- }
- static void release_udmabuf(struct dma_buf *buf)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0728-dma-buf-heaps-Remove-redundant-heap-identifier-from-.patch b/target/linux/bcm27xx/patches-5.4/950-0728-dma-buf-heaps-Remove-redundant-heap-identifier-from-.patch
new file mode 100644 (file)
index 0000000..288f468
--- /dev/null
@@ -0,0 +1,28 @@
+From 0b5efcbb99c7b36f84cf8f8f4b582d88ccb9cc35 Mon Sep 17 00:00:00 2001
+From: "Andrew F. Davis" <afd@ti.com>
+Date: Mon, 16 Dec 2019 08:34:05 -0500
+Subject: [PATCH] dma-buf: heaps: Remove redundant heap identifier from
+ system heap name
+
+The heaps are already in a directory of heaps, adding _heap to a heap
+name is redundant. This patch is only a name change, no logic is changed.
+
+Signed-off-by: Andrew F. Davis <afd@ti.com>
+Acked-by: John Stultz <john.stultz@linaro.org>
+Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191216133405.1001-3-afd@ti.com
+---
+ drivers/dma-buf/heaps/system_heap.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/dma-buf/heaps/system_heap.c
++++ b/drivers/dma-buf/heaps/system_heap.c
+@@ -109,7 +109,7 @@ static int system_heap_create(void)
+       struct dma_heap_export_info exp_info;
+       int ret = 0;
+-      exp_info.name = "system_heap";
++      exp_info.name = "system";
+       exp_info.ops = &system_heap_ops;
+       exp_info.priv = NULL;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0728-udmabuf-implement-begin_cpu_access-end_cpu_access-ho.patch b/target/linux/bcm27xx/patches-5.4/950-0728-udmabuf-implement-begin_cpu_access-end_cpu_access-ho.patch
deleted file mode 100644 (file)
index 79f6a67..0000000
+++ /dev/null
@@ -1,90 +0,0 @@
-From 9dc454ebc4380cd90c24a3c224bb0ac7b3d9cc29 Mon Sep 17 00:00:00 2001
-From: Gurchetan Singh <gurchetansingh@chromium.org>
-Date: Mon, 2 Dec 2019 17:36:27 -0800
-Subject: [PATCH] udmabuf: implement begin_cpu_access/end_cpu_access
- hooks
-
-Commit 284562e1f34874e267d4f499362c3816f8f6bc3f upstream.
-
-With the misc device, we should end up using the result of
-get_arch_dma_ops(..) or dma-direct ops.
-
-This can allow us to have WC mappings in the guest after
-synchronization.
-
-Signed-off-by: Gurchetan Singh <gurchetansingh@chromium.org>
-Link: http://patchwork.freedesktop.org/patch/msgid/20191203013627.85991-4-gurchetansingh@chromium.org
-Signed-off-by: Gerd Hoffmann <kraxel@redhat.com>
----
- drivers/dma-buf/udmabuf.c | 39 +++++++++++++++++++++++++++++++++++++++
- 1 file changed, 39 insertions(+)
-
---- a/drivers/dma-buf/udmabuf.c
-+++ b/drivers/dma-buf/udmabuf.c
-@@ -18,6 +18,7 @@ static const size_t size_limit_mb = 64;
- struct udmabuf {
-       pgoff_t pagecount;
-       struct page **pages;
-+      struct sg_table *sg;
-       struct miscdevice *device;
- };
-@@ -98,20 +99,58 @@ static void unmap_udmabuf(struct dma_buf
- static void release_udmabuf(struct dma_buf *buf)
- {
-       struct udmabuf *ubuf = buf->priv;
-+      struct device *dev = ubuf->device->this_device;
-       pgoff_t pg;
-+      if (ubuf->sg)
-+              put_sg_table(dev, ubuf->sg, DMA_BIDIRECTIONAL);
-+
-       for (pg = 0; pg < ubuf->pagecount; pg++)
-               put_page(ubuf->pages[pg]);
-       kfree(ubuf->pages);
-       kfree(ubuf);
- }
-+static int begin_cpu_udmabuf(struct dma_buf *buf,
-+                           enum dma_data_direction direction)
-+{
-+      struct udmabuf *ubuf = buf->priv;
-+      struct device *dev = ubuf->device->this_device;
-+
-+      if (!ubuf->sg) {
-+              ubuf->sg = get_sg_table(dev, buf, direction);
-+              if (IS_ERR(ubuf->sg))
-+                      return PTR_ERR(ubuf->sg);
-+      } else {
-+              dma_sync_sg_for_device(dev, ubuf->sg->sgl,
-+                                     ubuf->sg->nents,
-+                                     direction);
-+      }
-+
-+      return 0;
-+}
-+
-+static int end_cpu_udmabuf(struct dma_buf *buf,
-+                         enum dma_data_direction direction)
-+{
-+      struct udmabuf *ubuf = buf->priv;
-+      struct device *dev = ubuf->device->this_device;
-+
-+      if (!ubuf->sg)
-+              return -EINVAL;
-+
-+      dma_sync_sg_for_cpu(dev, ubuf->sg->sgl, ubuf->sg->nents, direction);
-+      return 0;
-+}
-+
- static const struct dma_buf_ops udmabuf_ops = {
-       .cache_sgt_mapping = true,
-       .map_dma_buf       = map_udmabuf,
-       .unmap_dma_buf     = unmap_udmabuf,
-       .release           = release_udmabuf,
-       .mmap              = mmap_udmabuf,
-+      .begin_cpu_access  = begin_cpu_udmabuf,
-+      .end_cpu_access    = end_cpu_udmabuf,
- };
- #define SEALS_WANTED (F_SEAL_SHRINK)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0729-dma-buf-fix-resource-leak-on-ENOTTY-error-return-pat.patch b/target/linux/bcm27xx/patches-5.4/950-0729-dma-buf-fix-resource-leak-on-ENOTTY-error-return-pat.patch
new file mode 100644 (file)
index 0000000..89b6695
--- /dev/null
@@ -0,0 +1,34 @@
+From 0960432c8261efb05bcf5ba6d8fe13c8293086a9 Mon Sep 17 00:00:00 2001
+From: Colin Ian King <colin.king@canonical.com>
+Date: Mon, 16 Dec 2019 16:10:59 +0000
+Subject: [PATCH] dma-buf: fix resource leak on -ENOTTY error return
+ path
+
+Commit f9d3b2c600075d1f79efcd5cdb1718c2f554c0f9 upstream.
+
+The -ENOTTY error return path does not free the allocated
+kdata as it returns directly. Fix this by returning via the
+error handling label err.
+
+Addresses-Coverity: ("Resource leak")
+Fixes: c02a81fba74f ("dma-buf: Add dma-buf heaps framework")
+Signed-off-by: Colin Ian King <colin.king@canonical.com>
+Acked-by: John Stultz <john.stultz@linaro.org>
+Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
+Link: https://patchwork.freedesktop.org/patch/msgid/20191216161059.269492-1-colin.king@canonical.com
+---
+ drivers/dma-buf/dma-heap.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/dma-buf/dma-heap.c
++++ b/drivers/dma-buf/dma-heap.c
+@@ -157,7 +157,8 @@ static long dma_heap_ioctl(struct file *
+               ret = dma_heap_ioctl_allocate(file, kdata);
+               break;
+       default:
+-              return -ENOTTY;
++              ret = -ENOTTY;
++              goto err;
+       }
+       if (copy_to_user((void __user *)arg, kdata, out_size) != 0)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0729-udmabuf-fix-dma-buf-cpu-access.patch b/target/linux/bcm27xx/patches-5.4/950-0729-udmabuf-fix-dma-buf-cpu-access.patch
deleted file mode 100644 (file)
index 14cfe8a..0000000
+++ /dev/null
@@ -1,60 +0,0 @@
-From 153f1e1def226f87cc4c307d2806b000a820f64b Mon Sep 17 00:00:00 2001
-From: Gurchetan Singh <gurchetansingh@chromium.org>
-Date: Tue, 17 Dec 2019 15:02:28 -0800
-Subject: [PATCH] udmabuf: fix dma-buf cpu access
-
-Commit 1ffe09590121fbb3786d6c860acdd200f7ab095c upstream.
-
-I'm just going to put Chia's review comment here since it sums
-the issue rather nicely:
-
-"(1) Semantically, a dma-buf is in DMA domain.  CPU access from the
-importer must be surrounded by {begin,end}_cpu_access.  This gives the
-exporter a chance to move the buffer to the CPU domain temporarily.
-
-(2) When the exporter itself has other means to do CPU access, it is
-only reasonable for the exporter to move the buffer to the CPU domain
-before access, and to the DMA domain after access.  The exporter can
-potentially reuse {begin,end}_cpu_access for that purpose.
-
-Because of (1), udmabuf does need to implement the
-{begin,end}_cpu_access hooks.  But "begin" should mean
-dma_sync_sg_for_cpu and "end" should mean dma_sync_sg_for_device.
-
-Because of (2), if userspace wants to continuing accessing through the
-memfd mapping, it should call udmabuf's {begin,end}_cpu_access to
-avoid cache issues."
-
-Reported-by: Chia-I Wu <olvaffe@gmail.com>
-Suggested-by: Chia-I Wu <olvaffe@gmail.com>
-Fixes: 284562e1f348 ("udmabuf: implement begin_cpu_access/end_cpu_access hooks")
-Signed-off-by: Gurchetan Singh <gurchetansingh@chromium.org>
-Link: http://patchwork.freedesktop.org/patch/msgid/20191217230228.453-1-gurchetansingh@chromium.org
-Signed-off-by: Gerd Hoffmann <kraxel@redhat.com>
----
- drivers/dma-buf/udmabuf.c | 7 +++----
- 1 file changed, 3 insertions(+), 4 deletions(-)
-
---- a/drivers/dma-buf/udmabuf.c
-+++ b/drivers/dma-buf/udmabuf.c
-@@ -122,9 +122,8 @@ static int begin_cpu_udmabuf(struct dma_
-               if (IS_ERR(ubuf->sg))
-                       return PTR_ERR(ubuf->sg);
-       } else {
--              dma_sync_sg_for_device(dev, ubuf->sg->sgl,
--                                     ubuf->sg->nents,
--                                     direction);
-+              dma_sync_sg_for_cpu(dev, ubuf->sg->sgl, ubuf->sg->nents,
-+                                  direction);
-       }
-       return 0;
-@@ -139,7 +138,7 @@ static int end_cpu_udmabuf(struct dma_bu
-       if (!ubuf->sg)
-               return -EINVAL;
--      dma_sync_sg_for_cpu(dev, ubuf->sg->sgl, ubuf->sg->nents, direction);
-+      dma_sync_sg_for_device(dev, ubuf->sg->sgl, ubuf->sg->nents, direction);
-       return 0;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0730-dma-buf-Add-dma-buf-heaps-framework.patch b/target/linux/bcm27xx/patches-5.4/950-0730-dma-buf-Add-dma-buf-heaps-framework.patch
deleted file mode 100644 (file)
index f60d402..0000000
+++ /dev/null
@@ -1,525 +0,0 @@
-From 4c5a9a5db543b5fd998fbc3e15fd4a2d2a3971a9 Mon Sep 17 00:00:00 2001
-From: "Andrew F. Davis" <afd@ti.com>
-Date: Tue, 3 Dec 2019 17:26:37 +0000
-Subject: [PATCH] dma-buf: Add dma-buf heaps framework
-
-Commit c02a81fba74fe3488ad6b08bfb5a1329005418f8 upstream.
-This framework allows a unified userspace interface for dma-buf
-exporters, allowing userland to allocate specific types of memory
-for use in dma-buf sharing.
-
-Each heap is given its own device node, which a user can allocate
-a dma-buf fd from using the DMA_HEAP_IOC_ALLOC.
-
-This code is an evoluiton of the Android ION implementation,
-and a big thanks is due to its authors/maintainers over time
-for their effort:
-  Rebecca Schultz Zavin, Colin Cross, Benjamin Gaignard,
-  Laura Abbott, and many other contributors!
-
-Cc: Laura Abbott <labbott@redhat.com>
-Cc: Benjamin Gaignard <benjamin.gaignard@linaro.org>
-Cc: Sumit Semwal <sumit.semwal@linaro.org>
-Cc: Liam Mark <lmark@codeaurora.org>
-Cc: Pratik Patel <pratikp@codeaurora.org>
-Cc: Brian Starkey <Brian.Starkey@arm.com>
-Cc: Vincent Donnefort <Vincent.Donnefort@arm.com>
-Cc: Sudipto Paul <Sudipto.Paul@arm.com>
-Cc: Andrew F. Davis <afd@ti.com>
-Cc: Christoph Hellwig <hch@infradead.org>
-Cc: Chenbo Feng <fengc@google.com>
-Cc: Alistair Strachan <astrachan@google.com>
-Cc: Hridya Valsaraju <hridya@google.com>
-Cc: Sandeep Patil <sspatil@google.com>
-Cc: Hillf Danton <hdanton@sina.com>
-Cc: Dave Airlie <airlied@gmail.com>
-Cc: dri-devel@lists.freedesktop.org
-Reviewed-by: Brian Starkey <brian.starkey@arm.com>
-Acked-by: Sandeep Patil <sspatil@android.com>
-Signed-off-by: Andrew F. Davis <afd@ti.com>
-Signed-off-by: John Stultz <john.stultz@linaro.org>
-Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191203172641.66642-2-john.stultz@linaro.org
----
- MAINTAINERS                   |  18 +++
- drivers/dma-buf/Kconfig       |   9 ++
- drivers/dma-buf/Makefile      |   1 +
- drivers/dma-buf/dma-heap.c    | 297 ++++++++++++++++++++++++++++++++++
- include/linux/dma-heap.h      |  59 +++++++
- include/uapi/linux/dma-heap.h |  53 ++++++
- 6 files changed, 437 insertions(+)
- create mode 100644 drivers/dma-buf/dma-heap.c
- create mode 100644 include/linux/dma-heap.h
- create mode 100644 include/uapi/linux/dma-heap.h
-
---- a/MAINTAINERS
-+++ b/MAINTAINERS
-@@ -4963,6 +4963,24 @@ F:      include/linux/*fence.h
- F:    Documentation/driver-api/dma-buf.rst
- T:    git git://anongit.freedesktop.org/drm/drm-misc
-+DMA-BUF HEAPS FRAMEWORK
-+M:    Sumit Semwal <sumit.semwal@linaro.org>
-+R:    Andrew F. Davis <afd@ti.com>
-+R:    Benjamin Gaignard <benjamin.gaignard@linaro.org>
-+R:    Liam Mark <lmark@codeaurora.org>
-+R:    Laura Abbott <labbott@redhat.com>
-+R:    Brian Starkey <Brian.Starkey@arm.com>
-+R:    John Stultz <john.stultz@linaro.org>
-+S:    Maintained
-+L:    linux-media@vger.kernel.org
-+L:    dri-devel@lists.freedesktop.org
-+L:    linaro-mm-sig@lists.linaro.org (moderated for non-subscribers)
-+F:    include/uapi/linux/dma-heap.h
-+F:    include/linux/dma-heap.h
-+F:    drivers/dma-buf/dma-heap.c
-+F:    drivers/dma-buf/heaps/*
-+T:    git git://anongit.freedesktop.org/drm/drm-misc
-+
- DMA GENERIC OFFLOAD ENGINE SUBSYSTEM
- M:    Vinod Koul <vkoul@kernel.org>
- L:    dmaengine@vger.kernel.org
---- a/drivers/dma-buf/Kconfig
-+++ b/drivers/dma-buf/Kconfig
-@@ -44,4 +44,13 @@ config DMABUF_SELFTESTS
-       default n
-       depends on DMA_SHARED_BUFFER
-+menuconfig DMABUF_HEAPS
-+      bool "DMA-BUF Userland Memory Heaps"
-+      select DMA_SHARED_BUFFER
-+      help
-+        Choose this option to enable the DMA-BUF userland memory heaps.
-+        This options creates per heap chardevs in /dev/dma_heap/ which
-+        allows userspace to allocate dma-bufs that can be shared
-+        between drivers.
-+
- endmenu
---- a/drivers/dma-buf/Makefile
-+++ b/drivers/dma-buf/Makefile
-@@ -3,6 +3,7 @@ obj-$(CONFIG_DMA_SHARED_BUFFER) := dma-s
- dma-buf-objs-y := dma-buf.o dma-fence.o dma-fence-array.o dma-fence-chain.o \
-                 dma-resv.o seqno-fence.o
-+obj-$(CONFIG_DMABUF_HEAPS)    += dma-heap.o
- dma-buf-objs-$(CONFIG_SYNC_FILE)      += sync_file.o
- dma-buf-objs-$(CONFIG_SW_SYNC)                += sw_sync.o sync_debug.o
- dma-buf-objs-$(CONFIG_UDMABUF)                += udmabuf.o
---- /dev/null
-+++ b/drivers/dma-buf/dma-heap.c
-@@ -0,0 +1,297 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * Framework for userspace DMA-BUF allocations
-+ *
-+ * Copyright (C) 2011 Google, Inc.
-+ * Copyright (C) 2019 Linaro Ltd.
-+ */
-+
-+#include <linux/cdev.h>
-+#include <linux/debugfs.h>
-+#include <linux/device.h>
-+#include <linux/dma-buf.h>
-+#include <linux/err.h>
-+#include <linux/xarray.h>
-+#include <linux/list.h>
-+#include <linux/slab.h>
-+#include <linux/uaccess.h>
-+#include <linux/syscalls.h>
-+#include <linux/dma-heap.h>
-+#include <uapi/linux/dma-heap.h>
-+
-+#define DEVNAME "dma_heap"
-+
-+#define NUM_HEAP_MINORS 128
-+
-+/**
-+ * struct dma_heap - represents a dmabuf heap in the system
-+ * @name:             used for debugging/device-node name
-+ * @ops:              ops struct for this heap
-+ * @heap_devt         heap device node
-+ * @list              list head connecting to list of heaps
-+ * @heap_cdev         heap char device
-+ *
-+ * Represents a heap of memory from which buffers can be made.
-+ */
-+struct dma_heap {
-+      const char *name;
-+      const struct dma_heap_ops *ops;
-+      void *priv;
-+      dev_t heap_devt;
-+      struct list_head list;
-+      struct cdev heap_cdev;
-+};
-+
-+static LIST_HEAD(heap_list);
-+static DEFINE_MUTEX(heap_list_lock);
-+static dev_t dma_heap_devt;
-+static struct class *dma_heap_class;
-+static DEFINE_XARRAY_ALLOC(dma_heap_minors);
-+
-+static int dma_heap_buffer_alloc(struct dma_heap *heap, size_t len,
-+                               unsigned int fd_flags,
-+                               unsigned int heap_flags)
-+{
-+      /*
-+       * Allocations from all heaps have to begin
-+       * and end on page boundaries.
-+       */
-+      len = PAGE_ALIGN(len);
-+      if (!len)
-+              return -EINVAL;
-+
-+      return heap->ops->allocate(heap, len, fd_flags, heap_flags);
-+}
-+
-+static int dma_heap_open(struct inode *inode, struct file *file)
-+{
-+      struct dma_heap *heap;
-+
-+      heap = xa_load(&dma_heap_minors, iminor(inode));
-+      if (!heap) {
-+              pr_err("dma_heap: minor %d unknown.\n", iminor(inode));
-+              return -ENODEV;
-+      }
-+
-+      /* instance data as context */
-+      file->private_data = heap;
-+      nonseekable_open(inode, file);
-+
-+      return 0;
-+}
-+
-+static long dma_heap_ioctl_allocate(struct file *file, void *data)
-+{
-+      struct dma_heap_allocation_data *heap_allocation = data;
-+      struct dma_heap *heap = file->private_data;
-+      int fd;
-+
-+      if (heap_allocation->fd)
-+              return -EINVAL;
-+
-+      if (heap_allocation->fd_flags & ~DMA_HEAP_VALID_FD_FLAGS)
-+              return -EINVAL;
-+
-+      if (heap_allocation->heap_flags & ~DMA_HEAP_VALID_HEAP_FLAGS)
-+              return -EINVAL;
-+
-+      fd = dma_heap_buffer_alloc(heap, heap_allocation->len,
-+                                 heap_allocation->fd_flags,
-+                                 heap_allocation->heap_flags);
-+      if (fd < 0)
-+              return fd;
-+
-+      heap_allocation->fd = fd;
-+
-+      return 0;
-+}
-+
-+unsigned int dma_heap_ioctl_cmds[] = {
-+      DMA_HEAP_IOC_ALLOC,
-+};
-+
-+static long dma_heap_ioctl(struct file *file, unsigned int ucmd,
-+                         unsigned long arg)
-+{
-+      char stack_kdata[128];
-+      char *kdata = stack_kdata;
-+      unsigned int kcmd;
-+      unsigned int in_size, out_size, drv_size, ksize;
-+      int nr = _IOC_NR(ucmd);
-+      int ret = 0;
-+
-+      if (nr >= ARRAY_SIZE(dma_heap_ioctl_cmds))
-+              return -EINVAL;
-+
-+      /* Get the kernel ioctl cmd that matches */
-+      kcmd = dma_heap_ioctl_cmds[nr];
-+
-+      /* Figure out the delta between user cmd size and kernel cmd size */
-+      drv_size = _IOC_SIZE(kcmd);
-+      out_size = _IOC_SIZE(ucmd);
-+      in_size = out_size;
-+      if ((ucmd & kcmd & IOC_IN) == 0)
-+              in_size = 0;
-+      if ((ucmd & kcmd & IOC_OUT) == 0)
-+              out_size = 0;
-+      ksize = max(max(in_size, out_size), drv_size);
-+
-+      /* If necessary, allocate buffer for ioctl argument */
-+      if (ksize > sizeof(stack_kdata)) {
-+              kdata = kmalloc(ksize, GFP_KERNEL);
-+              if (!kdata)
-+                      return -ENOMEM;
-+      }
-+
-+      if (copy_from_user(kdata, (void __user *)arg, in_size) != 0) {
-+              ret = -EFAULT;
-+              goto err;
-+      }
-+
-+      /* zero out any difference between the kernel/user structure size */
-+      if (ksize > in_size)
-+              memset(kdata + in_size, 0, ksize - in_size);
-+
-+      switch (kcmd) {
-+      case DMA_HEAP_IOC_ALLOC:
-+              ret = dma_heap_ioctl_allocate(file, kdata);
-+              break;
-+      default:
-+              return -ENOTTY;
-+      }
-+
-+      if (copy_to_user((void __user *)arg, kdata, out_size) != 0)
-+              ret = -EFAULT;
-+err:
-+      if (kdata != stack_kdata)
-+              kfree(kdata);
-+      return ret;
-+}
-+
-+static const struct file_operations dma_heap_fops = {
-+      .owner          = THIS_MODULE,
-+      .open           = dma_heap_open,
-+      .unlocked_ioctl = dma_heap_ioctl,
-+#ifdef CONFIG_COMPAT
-+      .compat_ioctl   = dma_heap_ioctl,
-+#endif
-+};
-+
-+/**
-+ * dma_heap_get_drvdata() - get per-subdriver data for the heap
-+ * @heap: DMA-Heap to retrieve private data for
-+ *
-+ * Returns:
-+ * The per-subdriver data for the heap.
-+ */
-+void *dma_heap_get_drvdata(struct dma_heap *heap)
-+{
-+      return heap->priv;
-+}
-+
-+struct dma_heap *dma_heap_add(const struct dma_heap_export_info *exp_info)
-+{
-+      struct dma_heap *heap, *h, *err_ret;
-+      struct device *dev_ret;
-+      unsigned int minor;
-+      int ret;
-+
-+      if (!exp_info->name || !strcmp(exp_info->name, "")) {
-+              pr_err("dma_heap: Cannot add heap without a name\n");
-+              return ERR_PTR(-EINVAL);
-+      }
-+
-+      if (!exp_info->ops || !exp_info->ops->allocate) {
-+              pr_err("dma_heap: Cannot add heap with invalid ops struct\n");
-+              return ERR_PTR(-EINVAL);
-+      }
-+
-+      /* check the name is unique */
-+      mutex_lock(&heap_list_lock);
-+      list_for_each_entry(h, &heap_list, list) {
-+              if (!strcmp(h->name, exp_info->name)) {
-+                      mutex_unlock(&heap_list_lock);
-+                      pr_err("dma_heap: Already registered heap named %s\n",
-+                             exp_info->name);
-+                      return ERR_PTR(-EINVAL);
-+              }
-+      }
-+      mutex_unlock(&heap_list_lock);
-+
-+      heap = kzalloc(sizeof(*heap), GFP_KERNEL);
-+      if (!heap)
-+              return ERR_PTR(-ENOMEM);
-+
-+      heap->name = exp_info->name;
-+      heap->ops = exp_info->ops;
-+      heap->priv = exp_info->priv;
-+
-+      /* Find unused minor number */
-+      ret = xa_alloc(&dma_heap_minors, &minor, heap,
-+                     XA_LIMIT(0, NUM_HEAP_MINORS - 1), GFP_KERNEL);
-+      if (ret < 0) {
-+              pr_err("dma_heap: Unable to get minor number for heap\n");
-+              err_ret = ERR_PTR(ret);
-+              goto err0;
-+      }
-+
-+      /* Create device */
-+      heap->heap_devt = MKDEV(MAJOR(dma_heap_devt), minor);
-+
-+      cdev_init(&heap->heap_cdev, &dma_heap_fops);
-+      ret = cdev_add(&heap->heap_cdev, heap->heap_devt, 1);
-+      if (ret < 0) {
-+              pr_err("dma_heap: Unable to add char device\n");
-+              err_ret = ERR_PTR(ret);
-+              goto err1;
-+      }
-+
-+      dev_ret = device_create(dma_heap_class,
-+                              NULL,
-+                              heap->heap_devt,
-+                              NULL,
-+                              heap->name);
-+      if (IS_ERR(dev_ret)) {
-+              pr_err("dma_heap: Unable to create device\n");
-+              err_ret = ERR_CAST(dev_ret);
-+              goto err2;
-+      }
-+      /* Add heap to the list */
-+      mutex_lock(&heap_list_lock);
-+      list_add(&heap->list, &heap_list);
-+      mutex_unlock(&heap_list_lock);
-+
-+      return heap;
-+
-+err2:
-+      cdev_del(&heap->heap_cdev);
-+err1:
-+      xa_erase(&dma_heap_minors, minor);
-+err0:
-+      kfree(heap);
-+      return err_ret;
-+}
-+
-+static char *dma_heap_devnode(struct device *dev, umode_t *mode)
-+{
-+      return kasprintf(GFP_KERNEL, "dma_heap/%s", dev_name(dev));
-+}
-+
-+static int dma_heap_init(void)
-+{
-+      int ret;
-+
-+      ret = alloc_chrdev_region(&dma_heap_devt, 0, NUM_HEAP_MINORS, DEVNAME);
-+      if (ret)
-+              return ret;
-+
-+      dma_heap_class = class_create(THIS_MODULE, DEVNAME);
-+      if (IS_ERR(dma_heap_class)) {
-+              unregister_chrdev_region(dma_heap_devt, NUM_HEAP_MINORS);
-+              return PTR_ERR(dma_heap_class);
-+      }
-+      dma_heap_class->devnode = dma_heap_devnode;
-+
-+      return 0;
-+}
-+subsys_initcall(dma_heap_init);
---- /dev/null
-+++ b/include/linux/dma-heap.h
-@@ -0,0 +1,59 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * DMABUF Heaps Allocation Infrastructure
-+ *
-+ * Copyright (C) 2011 Google, Inc.
-+ * Copyright (C) 2019 Linaro Ltd.
-+ */
-+
-+#ifndef _DMA_HEAPS_H
-+#define _DMA_HEAPS_H
-+
-+#include <linux/cdev.h>
-+#include <linux/types.h>
-+
-+struct dma_heap;
-+
-+/**
-+ * struct dma_heap_ops - ops to operate on a given heap
-+ * @allocate:         allocate dmabuf and return fd
-+ *
-+ * allocate returns dmabuf fd  on success, -errno on error.
-+ */
-+struct dma_heap_ops {
-+      int (*allocate)(struct dma_heap *heap,
-+                      unsigned long len,
-+                      unsigned long fd_flags,
-+                      unsigned long heap_flags);
-+};
-+
-+/**
-+ * struct dma_heap_export_info - information needed to export a new dmabuf heap
-+ * @name:     used for debugging/device-node name
-+ * @ops:      ops struct for this heap
-+ * @priv:     heap exporter private data
-+ *
-+ * Information needed to export a new dmabuf heap.
-+ */
-+struct dma_heap_export_info {
-+      const char *name;
-+      const struct dma_heap_ops *ops;
-+      void *priv;
-+};
-+
-+/**
-+ * dma_heap_get_drvdata() - get per-heap driver data
-+ * @heap: DMA-Heap to retrieve private data for
-+ *
-+ * Returns:
-+ * The per-heap data for the heap.
-+ */
-+void *dma_heap_get_drvdata(struct dma_heap *heap);
-+
-+/**
-+ * dma_heap_add - adds a heap to dmabuf heaps
-+ * @exp_info:         information needed to register this heap
-+ */
-+struct dma_heap *dma_heap_add(const struct dma_heap_export_info *exp_info);
-+
-+#endif /* _DMA_HEAPS_H */
---- /dev/null
-+++ b/include/uapi/linux/dma-heap.h
-@@ -0,0 +1,53 @@
-+/* SPDX-License-Identifier: GPL-2.0 WITH Linux-syscall-note */
-+/*
-+ * DMABUF Heaps Userspace API
-+ *
-+ * Copyright (C) 2011 Google, Inc.
-+ * Copyright (C) 2019 Linaro Ltd.
-+ */
-+#ifndef _UAPI_LINUX_DMABUF_POOL_H
-+#define _UAPI_LINUX_DMABUF_POOL_H
-+
-+#include <linux/ioctl.h>
-+#include <linux/types.h>
-+
-+/**
-+ * DOC: DMABUF Heaps Userspace API
-+ */
-+
-+/* Valid FD_FLAGS are O_CLOEXEC, O_RDONLY, O_WRONLY, O_RDWR */
-+#define DMA_HEAP_VALID_FD_FLAGS (O_CLOEXEC | O_ACCMODE)
-+
-+/* Currently no heap flags */
-+#define DMA_HEAP_VALID_HEAP_FLAGS (0)
-+
-+/**
-+ * struct dma_heap_allocation_data - metadata passed from userspace for
-+ *                                      allocations
-+ * @len:              size of the allocation
-+ * @fd:                       will be populated with a fd which provides the
-+ *                    handle to the allocated dma-buf
-+ * @fd_flags:         file descriptor flags used when allocating
-+ * @heap_flags:               flags passed to heap
-+ *
-+ * Provided by userspace as an argument to the ioctl
-+ */
-+struct dma_heap_allocation_data {
-+      __u64 len;
-+      __u32 fd;
-+      __u32 fd_flags;
-+      __u64 heap_flags;
-+};
-+
-+#define DMA_HEAP_IOC_MAGIC            'H'
-+
-+/**
-+ * DOC: DMA_HEAP_IOC_ALLOC - allocate memory from pool
-+ *
-+ * Takes a dma_heap_allocation_data struct and returns it with the fd field
-+ * populated with the dmabuf handle of the allocation.
-+ */
-+#define DMA_HEAP_IOC_ALLOC    _IOWR(DMA_HEAP_IOC_MAGIC, 0x0,\
-+                                    struct dma_heap_allocation_data)
-+
-+#endif /* _UAPI_LINUX_DMABUF_POOL_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0730-dma-heap-Make-the-symbol-dma_heap_ioctl_cmds-static.patch b/target/linux/bcm27xx/patches-5.4/950-0730-dma-heap-Make-the-symbol-dma_heap_ioctl_cmds-static.patch
new file mode 100644 (file)
index 0000000..900637d
--- /dev/null
@@ -0,0 +1,34 @@
+From d59927ce7f8a4ee9abcad9bd3405881c7a9ac99e Mon Sep 17 00:00:00 2001
+From: zhong jiang <zhongjiang@huawei.com>
+Date: Wed, 18 Dec 2019 00:38:22 +0530
+Subject: [PATCH] dma-heap: Make the symbol 'dma_heap_ioctl_cmds'
+ static
+
+Commit 7d411afe8444060454a53b1f9b70ee78b3e75ef1 upstream.
+
+Fix the following sparse warning.
+
+drivers/dma-buf/dma-heap.c:109:14: warning: symbol 'dma_heap_ioctl_cmds'
+was not declared. Should it be static?
+
+Acked-by: Andrew F. Davis <afd@ti.com>
+Acked-by: John Stultz <john.stultz@linaro.org>
+Signed-off-by: zhong jiang <zhongjiang@huawei.com>
+Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
+ [sumits: rebased over IOCTL rename patches]
+Link: https://patchwork.freedesktop.org/patch/msgid/20191217190822.1969-1-sumit.semwal@linaro.org
+---
+ drivers/dma-buf/dma-heap.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/dma-buf/dma-heap.c
++++ b/drivers/dma-buf/dma-heap.c
+@@ -106,7 +106,7 @@ static long dma_heap_ioctl_allocate(stru
+       return 0;
+ }
+-unsigned int dma_heap_ioctl_cmds[] = {
++static unsigned int dma_heap_ioctl_cmds[] = {
+       DMA_HEAP_IOCTL_ALLOC,
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0731-ARM-dts-Enable-firmware-clocks-on-all-Pis.patch b/target/linux/bcm27xx/patches-5.4/950-0731-ARM-dts-Enable-firmware-clocks-on-all-Pis.patch
new file mode 100644 (file)
index 0000000..f319bdc
--- /dev/null
@@ -0,0 +1,25 @@
+From e2882043cba45fd9f027dfc102aaaaf1208a65d0 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 6 May 2020 17:02:26 +0100
+Subject: [PATCH] ARM: dts: Enable firmware-clocks on all Pis
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm270x.dtsi | 6 ++++++
+ 1 file changed, 6 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm270x.dtsi
++++ b/arch/arm/boot/dts/bcm270x.dtsi
+@@ -7,6 +7,12 @@
+               /delete-property/ stdout-path;
+       };
++      firmware_clocks: firmware-clocks {
++              compatible = "raspberrypi,firmware-clocks";
++              raspberrypi,firmware = <&firmware>;
++              #clock-cells = <1>;
++      };
++
+       soc: soc {
+               watchdog: watchdog@7e100000 {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0731-dma-buf-heaps-Add-heap-helpers.patch b/target/linux/bcm27xx/patches-5.4/950-0731-dma-buf-heaps-Add-heap-helpers.patch
deleted file mode 100644 (file)
index 10eb46c..0000000
+++ /dev/null
@@ -1,394 +0,0 @@
-From adde2d6532428cdcaeb60081abb299ce6e5aa76b Mon Sep 17 00:00:00 2001
-From: John Stultz <john.stultz@linaro.org>
-Date: Tue, 3 Dec 2019 17:26:38 +0000
-Subject: [PATCH] dma-buf: heaps: Add heap helpers
-
-Commit 5248eb12fea890a03b4cdc3ef546d6319d4d9b73 upstream.
-
-Add generic helper dmabuf ops for dma heaps, so we can reduce
-the amount of duplicative code for the exported dmabufs.
-
-This code is an evolution of the Android ION implementation, so
-thanks to its original authors and maintainters:
-  Rebecca Schultz Zavin, Colin Cross, Laura Abbott, and others!
-
-Cc: Laura Abbott <labbott@redhat.com>
-Cc: Benjamin Gaignard <benjamin.gaignard@linaro.org>
-Cc: Sumit Semwal <sumit.semwal@linaro.org>
-Cc: Liam Mark <lmark@codeaurora.org>
-Cc: Pratik Patel <pratikp@codeaurora.org>
-Cc: Brian Starkey <Brian.Starkey@arm.com>
-Cc: Vincent Donnefort <Vincent.Donnefort@arm.com>
-Cc: Sudipto Paul <Sudipto.Paul@arm.com>
-Cc: Andrew F. Davis <afd@ti.com>
-Cc: Christoph Hellwig <hch@infradead.org>
-Cc: Chenbo Feng <fengc@google.com>
-Cc: Alistair Strachan <astrachan@google.com>
-Cc: Hridya Valsaraju <hridya@google.com>
-Cc: Sandeep Patil <sspatil@google.com>
-Cc: Hillf Danton <hdanton@sina.com>
-Cc: Dave Airlie <airlied@gmail.com>
-Cc: dri-devel@lists.freedesktop.org
-Reviewed-by: Benjamin Gaignard <benjamin.gaignard@linaro.org>
-Reviewed-by: Brian Starkey <brian.starkey@arm.com>
-Acked-by: Sandeep Patil <sspatil@android.com>
-Acked-by: Laura Abbott <labbott@redhat.com>
-Tested-by: Ayan Kumar Halder <ayan.halder@arm.com>
-Signed-off-by: John Stultz <john.stultz@linaro.org>
-Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191203172641.66642-3-john.stultz@linaro.org
----
- drivers/dma-buf/Makefile             |   1 +
- drivers/dma-buf/heaps/Makefile       |   2 +
- drivers/dma-buf/heaps/heap-helpers.c | 271 +++++++++++++++++++++++++++
- drivers/dma-buf/heaps/heap-helpers.h |  53 ++++++
- 4 files changed, 327 insertions(+)
- create mode 100644 drivers/dma-buf/heaps/Makefile
- create mode 100644 drivers/dma-buf/heaps/heap-helpers.c
- create mode 100644 drivers/dma-buf/heaps/heap-helpers.h
-
---- a/drivers/dma-buf/Makefile
-+++ b/drivers/dma-buf/Makefile
-@@ -4,6 +4,7 @@ obj-$(CONFIG_DMA_SHARED_BUFFER) := dma-s
- dma-buf-objs-y := dma-buf.o dma-fence.o dma-fence-array.o dma-fence-chain.o \
-                 dma-resv.o seqno-fence.o
- obj-$(CONFIG_DMABUF_HEAPS)    += dma-heap.o
-+obj-$(CONFIG_DMABUF_HEAPS)    += heaps/
- dma-buf-objs-$(CONFIG_SYNC_FILE)      += sync_file.o
- dma-buf-objs-$(CONFIG_SW_SYNC)                += sw_sync.o sync_debug.o
- dma-buf-objs-$(CONFIG_UDMABUF)                += udmabuf.o
---- /dev/null
-+++ b/drivers/dma-buf/heaps/Makefile
-@@ -0,0 +1,2 @@
-+# SPDX-License-Identifier: GPL-2.0
-+obj-y                                 += heap-helpers.o
---- /dev/null
-+++ b/drivers/dma-buf/heaps/heap-helpers.c
-@@ -0,0 +1,271 @@
-+// SPDX-License-Identifier: GPL-2.0
-+#include <linux/device.h>
-+#include <linux/dma-buf.h>
-+#include <linux/err.h>
-+#include <linux/highmem.h>
-+#include <linux/idr.h>
-+#include <linux/list.h>
-+#include <linux/slab.h>
-+#include <linux/uaccess.h>
-+#include <linux/vmalloc.h>
-+#include <uapi/linux/dma-heap.h>
-+
-+#include "heap-helpers.h"
-+
-+void init_heap_helper_buffer(struct heap_helper_buffer *buffer,
-+                           void (*free)(struct heap_helper_buffer *))
-+{
-+      buffer->priv_virt = NULL;
-+      mutex_init(&buffer->lock);
-+      buffer->vmap_cnt = 0;
-+      buffer->vaddr = NULL;
-+      buffer->pagecount = 0;
-+      buffer->pages = NULL;
-+      INIT_LIST_HEAD(&buffer->attachments);
-+      buffer->free = free;
-+}
-+
-+struct dma_buf *heap_helper_export_dmabuf(struct heap_helper_buffer *buffer,
-+                                        int fd_flags)
-+{
-+      DEFINE_DMA_BUF_EXPORT_INFO(exp_info);
-+
-+      exp_info.ops = &heap_helper_ops;
-+      exp_info.size = buffer->size;
-+      exp_info.flags = fd_flags;
-+      exp_info.priv = buffer;
-+
-+      return dma_buf_export(&exp_info);
-+}
-+
-+static void *dma_heap_map_kernel(struct heap_helper_buffer *buffer)
-+{
-+      void *vaddr;
-+
-+      vaddr = vmap(buffer->pages, buffer->pagecount, VM_MAP, PAGE_KERNEL);
-+      if (!vaddr)
-+              return ERR_PTR(-ENOMEM);
-+
-+      return vaddr;
-+}
-+
-+static void dma_heap_buffer_destroy(struct heap_helper_buffer *buffer)
-+{
-+      if (buffer->vmap_cnt > 0) {
-+              WARN(1, "%s: buffer still mapped in the kernel\n", __func__);
-+              vunmap(buffer->vaddr);
-+      }
-+
-+      buffer->free(buffer);
-+}
-+
-+static void *dma_heap_buffer_vmap_get(struct heap_helper_buffer *buffer)
-+{
-+      void *vaddr;
-+
-+      if (buffer->vmap_cnt) {
-+              buffer->vmap_cnt++;
-+              return buffer->vaddr;
-+      }
-+      vaddr = dma_heap_map_kernel(buffer);
-+      if (IS_ERR(vaddr))
-+              return vaddr;
-+      buffer->vaddr = vaddr;
-+      buffer->vmap_cnt++;
-+      return vaddr;
-+}
-+
-+static void dma_heap_buffer_vmap_put(struct heap_helper_buffer *buffer)
-+{
-+      if (!--buffer->vmap_cnt) {
-+              vunmap(buffer->vaddr);
-+              buffer->vaddr = NULL;
-+      }
-+}
-+
-+struct dma_heaps_attachment {
-+      struct device *dev;
-+      struct sg_table table;
-+      struct list_head list;
-+};
-+
-+static int dma_heap_attach(struct dma_buf *dmabuf,
-+                         struct dma_buf_attachment *attachment)
-+{
-+      struct dma_heaps_attachment *a;
-+      struct heap_helper_buffer *buffer = dmabuf->priv;
-+      int ret;
-+
-+      a = kzalloc(sizeof(*a), GFP_KERNEL);
-+      if (!a)
-+              return -ENOMEM;
-+
-+      ret = sg_alloc_table_from_pages(&a->table, buffer->pages,
-+                                      buffer->pagecount, 0,
-+                                      buffer->pagecount << PAGE_SHIFT,
-+                                      GFP_KERNEL);
-+      if (ret) {
-+              kfree(a);
-+              return ret;
-+      }
-+
-+      a->dev = attachment->dev;
-+      INIT_LIST_HEAD(&a->list);
-+
-+      attachment->priv = a;
-+
-+      mutex_lock(&buffer->lock);
-+      list_add(&a->list, &buffer->attachments);
-+      mutex_unlock(&buffer->lock);
-+
-+      return 0;
-+}
-+
-+static void dma_heap_detach(struct dma_buf *dmabuf,
-+                          struct dma_buf_attachment *attachment)
-+{
-+      struct dma_heaps_attachment *a = attachment->priv;
-+      struct heap_helper_buffer *buffer = dmabuf->priv;
-+
-+      mutex_lock(&buffer->lock);
-+      list_del(&a->list);
-+      mutex_unlock(&buffer->lock);
-+
-+      sg_free_table(&a->table);
-+      kfree(a);
-+}
-+
-+static
-+struct sg_table *dma_heap_map_dma_buf(struct dma_buf_attachment *attachment,
-+                                    enum dma_data_direction direction)
-+{
-+      struct dma_heaps_attachment *a = attachment->priv;
-+      struct sg_table *table;
-+
-+      table = &a->table;
-+
-+      if (!dma_map_sg(attachment->dev, table->sgl, table->nents,
-+                      direction))
-+              table = ERR_PTR(-ENOMEM);
-+      return table;
-+}
-+
-+static void dma_heap_unmap_dma_buf(struct dma_buf_attachment *attachment,
-+                                 struct sg_table *table,
-+                                 enum dma_data_direction direction)
-+{
-+      dma_unmap_sg(attachment->dev, table->sgl, table->nents, direction);
-+}
-+
-+static vm_fault_t dma_heap_vm_fault(struct vm_fault *vmf)
-+{
-+      struct vm_area_struct *vma = vmf->vma;
-+      struct heap_helper_buffer *buffer = vma->vm_private_data;
-+
-+      if (vmf->pgoff > buffer->pagecount)
-+              return VM_FAULT_SIGBUS;
-+
-+      vmf->page = buffer->pages[vmf->pgoff];
-+      get_page(vmf->page);
-+
-+      return 0;
-+}
-+
-+static const struct vm_operations_struct dma_heap_vm_ops = {
-+      .fault = dma_heap_vm_fault,
-+};
-+
-+static int dma_heap_mmap(struct dma_buf *dmabuf, struct vm_area_struct *vma)
-+{
-+      struct heap_helper_buffer *buffer = dmabuf->priv;
-+
-+      if ((vma->vm_flags & (VM_SHARED | VM_MAYSHARE)) == 0)
-+              return -EINVAL;
-+
-+      vma->vm_ops = &dma_heap_vm_ops;
-+      vma->vm_private_data = buffer;
-+
-+      return 0;
-+}
-+
-+static void dma_heap_dma_buf_release(struct dma_buf *dmabuf)
-+{
-+      struct heap_helper_buffer *buffer = dmabuf->priv;
-+
-+      dma_heap_buffer_destroy(buffer);
-+}
-+
-+static int dma_heap_dma_buf_begin_cpu_access(struct dma_buf *dmabuf,
-+                                           enum dma_data_direction direction)
-+{
-+      struct heap_helper_buffer *buffer = dmabuf->priv;
-+      struct dma_heaps_attachment *a;
-+      int ret = 0;
-+
-+      mutex_lock(&buffer->lock);
-+
-+      if (buffer->vmap_cnt)
-+              invalidate_kernel_vmap_range(buffer->vaddr, buffer->size);
-+
-+      list_for_each_entry(a, &buffer->attachments, list) {
-+              dma_sync_sg_for_cpu(a->dev, a->table.sgl, a->table.nents,
-+                                  direction);
-+      }
-+      mutex_unlock(&buffer->lock);
-+
-+      return ret;
-+}
-+
-+static int dma_heap_dma_buf_end_cpu_access(struct dma_buf *dmabuf,
-+                                         enum dma_data_direction direction)
-+{
-+      struct heap_helper_buffer *buffer = dmabuf->priv;
-+      struct dma_heaps_attachment *a;
-+
-+      mutex_lock(&buffer->lock);
-+
-+      if (buffer->vmap_cnt)
-+              flush_kernel_vmap_range(buffer->vaddr, buffer->size);
-+
-+      list_for_each_entry(a, &buffer->attachments, list) {
-+              dma_sync_sg_for_device(a->dev, a->table.sgl, a->table.nents,
-+                                     direction);
-+      }
-+      mutex_unlock(&buffer->lock);
-+
-+      return 0;
-+}
-+
-+static void *dma_heap_dma_buf_vmap(struct dma_buf *dmabuf)
-+{
-+      struct heap_helper_buffer *buffer = dmabuf->priv;
-+      void *vaddr;
-+
-+      mutex_lock(&buffer->lock);
-+      vaddr = dma_heap_buffer_vmap_get(buffer);
-+      mutex_unlock(&buffer->lock);
-+
-+      return vaddr;
-+}
-+
-+static void dma_heap_dma_buf_vunmap(struct dma_buf *dmabuf, void *vaddr)
-+{
-+      struct heap_helper_buffer *buffer = dmabuf->priv;
-+
-+      mutex_lock(&buffer->lock);
-+      dma_heap_buffer_vmap_put(buffer);
-+      mutex_unlock(&buffer->lock);
-+}
-+
-+const struct dma_buf_ops heap_helper_ops = {
-+      .map_dma_buf = dma_heap_map_dma_buf,
-+      .unmap_dma_buf = dma_heap_unmap_dma_buf,
-+      .mmap = dma_heap_mmap,
-+      .release = dma_heap_dma_buf_release,
-+      .attach = dma_heap_attach,
-+      .detach = dma_heap_detach,
-+      .begin_cpu_access = dma_heap_dma_buf_begin_cpu_access,
-+      .end_cpu_access = dma_heap_dma_buf_end_cpu_access,
-+      .vmap = dma_heap_dma_buf_vmap,
-+      .vunmap = dma_heap_dma_buf_vunmap,
-+};
---- /dev/null
-+++ b/drivers/dma-buf/heaps/heap-helpers.h
-@@ -0,0 +1,53 @@
-+/* SPDX-License-Identifier: GPL-2.0 */
-+/*
-+ * DMABUF Heaps helper code
-+ *
-+ * Copyright (C) 2011 Google, Inc.
-+ * Copyright (C) 2019 Linaro Ltd.
-+ */
-+
-+#ifndef _HEAP_HELPERS_H
-+#define _HEAP_HELPERS_H
-+
-+#include <linux/dma-heap.h>
-+#include <linux/list.h>
-+
-+/**
-+ * struct heap_helper_buffer - helper buffer metadata
-+ * @heap:             back pointer to the heap the buffer came from
-+ * @dmabuf:           backing dma-buf for this buffer
-+ * @size:             size of the buffer
-+ * @priv_virt         pointer to heap specific private value
-+ * @lock              mutext to protect the data in this structure
-+ * @vmap_cnt          count of vmap references on the buffer
-+ * @vaddr             vmap'ed virtual address
-+ * @pagecount         number of pages in the buffer
-+ * @pages             list of page pointers
-+ * @attachments               list of device attachments
-+ *
-+ * @free              heap callback to free the buffer
-+ */
-+struct heap_helper_buffer {
-+      struct dma_heap *heap;
-+      struct dma_buf *dmabuf;
-+      size_t size;
-+
-+      void *priv_virt;
-+      struct mutex lock;
-+      int vmap_cnt;
-+      void *vaddr;
-+      pgoff_t pagecount;
-+      struct page **pages;
-+      struct list_head attachments;
-+
-+      void (*free)(struct heap_helper_buffer *buffer);
-+};
-+
-+void init_heap_helper_buffer(struct heap_helper_buffer *buffer,
-+                           void (*free)(struct heap_helper_buffer *));
-+
-+struct dma_buf *heap_helper_export_dmabuf(struct heap_helper_buffer *buffer,
-+                                        int fd_flags);
-+
-+extern const struct dma_buf_ops heap_helper_ops;
-+#endif /* _HEAP_HELPERS_H */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0732-dma-buf-heaps-Add-system-heap-to-dmabuf-heaps.patch b/target/linux/bcm27xx/patches-5.4/950-0732-dma-buf-heaps-Add-system-heap-to-dmabuf-heaps.patch
deleted file mode 100644 (file)
index 55c2450..0000000
+++ /dev/null
@@ -1,200 +0,0 @@
-From 8392cd87592f31737286ea16f11781a234de3564 Mon Sep 17 00:00:00 2001
-From: John Stultz <john.stultz@linaro.org>
-Date: Tue, 3 Dec 2019 17:26:39 +0000
-Subject: [PATCH] dma-buf: heaps: Add system heap to dmabuf heaps
-
-Commit efa04fefebbd724ffda7f49e42d057a7217c45b0 upstream.
-
-This patch adds system heap to the dma-buf heaps framework.
-
-This allows applications to get a page-allocator backed dma-buf
-for non-contiguous memory.
-
-This code is an evolution of the Android ION implementation, so
-thanks to its original authors and maintainters:
-  Rebecca Schultz Zavin, Colin Cross, Laura Abbott, and others!
-
-Cc: Laura Abbott <labbott@redhat.com>
-Cc: Benjamin Gaignard <benjamin.gaignard@linaro.org>
-Cc: Sumit Semwal <sumit.semwal@linaro.org>
-Cc: Liam Mark <lmark@codeaurora.org>
-Cc: Pratik Patel <pratikp@codeaurora.org>
-Cc: Brian Starkey <Brian.Starkey@arm.com>
-Cc: Vincent Donnefort <Vincent.Donnefort@arm.com>
-Cc: Sudipto Paul <Sudipto.Paul@arm.com>
-Cc: Andrew F. Davis <afd@ti.com>
-Cc: Christoph Hellwig <hch@infradead.org>
-Cc: Chenbo Feng <fengc@google.com>
-Cc: Alistair Strachan <astrachan@google.com>
-Cc: Hridya Valsaraju <hridya@google.com>
-Cc: Sandeep Patil <sspatil@google.com>
-Cc: Hillf Danton <hdanton@sina.com>
-Cc: Dave Airlie <airlied@gmail.com>
-Cc: dri-devel@lists.freedesktop.org
-Reviewed-by: Benjamin Gaignard <benjamin.gaignard@linaro.org>
-Reviewed-by: Brian Starkey <brian.starkey@arm.com>
-Acked-by: Sandeep Patil <sspatil@android.com>
-Acked-by: Laura Abbott <labbott@redhat.com>
-Tested-by: Ayan Kumar Halder <ayan.halder@arm.com>
-Signed-off-by: John Stultz <john.stultz@linaro.org>
-Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191203172641.66642-4-john.stultz@linaro.org
----
- drivers/dma-buf/Kconfig             |   2 +
- drivers/dma-buf/heaps/Kconfig       |   6 ++
- drivers/dma-buf/heaps/Makefile      |   1 +
- drivers/dma-buf/heaps/system_heap.c | 123 ++++++++++++++++++++++++++++
- 4 files changed, 132 insertions(+)
- create mode 100644 drivers/dma-buf/heaps/Kconfig
- create mode 100644 drivers/dma-buf/heaps/system_heap.c
-
---- a/drivers/dma-buf/Kconfig
-+++ b/drivers/dma-buf/Kconfig
-@@ -53,4 +53,6 @@ menuconfig DMABUF_HEAPS
-         allows userspace to allocate dma-bufs that can be shared
-         between drivers.
-+source "drivers/dma-buf/heaps/Kconfig"
-+
- endmenu
---- /dev/null
-+++ b/drivers/dma-buf/heaps/Kconfig
-@@ -0,0 +1,6 @@
-+config DMABUF_HEAPS_SYSTEM
-+      bool "DMA-BUF System Heap"
-+      depends on DMABUF_HEAPS
-+      help
-+        Choose this option to enable the system dmabuf heap. The system heap
-+        is backed by pages from the buddy allocator. If in doubt, say Y.
---- a/drivers/dma-buf/heaps/Makefile
-+++ b/drivers/dma-buf/heaps/Makefile
-@@ -1,2 +1,3 @@
- # SPDX-License-Identifier: GPL-2.0
- obj-y                                 += heap-helpers.o
-+obj-$(CONFIG_DMABUF_HEAPS_SYSTEM)     += system_heap.o
---- /dev/null
-+++ b/drivers/dma-buf/heaps/system_heap.c
-@@ -0,0 +1,123 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * DMABUF System heap exporter
-+ *
-+ * Copyright (C) 2011 Google, Inc.
-+ * Copyright (C) 2019 Linaro Ltd.
-+ */
-+
-+#include <linux/dma-buf.h>
-+#include <linux/dma-mapping.h>
-+#include <linux/dma-heap.h>
-+#include <linux/err.h>
-+#include <linux/highmem.h>
-+#include <linux/mm.h>
-+#include <linux/module.h>
-+#include <linux/scatterlist.h>
-+#include <linux/slab.h>
-+#include <linux/sched/signal.h>
-+#include <asm/page.h>
-+
-+#include "heap-helpers.h"
-+
-+struct dma_heap *sys_heap;
-+
-+static void system_heap_free(struct heap_helper_buffer *buffer)
-+{
-+      pgoff_t pg;
-+
-+      for (pg = 0; pg < buffer->pagecount; pg++)
-+              __free_page(buffer->pages[pg]);
-+      kfree(buffer->pages);
-+      kfree(buffer);
-+}
-+
-+static int system_heap_allocate(struct dma_heap *heap,
-+                              unsigned long len,
-+                              unsigned long fd_flags,
-+                              unsigned long heap_flags)
-+{
-+      struct heap_helper_buffer *helper_buffer;
-+      struct dma_buf *dmabuf;
-+      int ret = -ENOMEM;
-+      pgoff_t pg;
-+
-+      helper_buffer = kzalloc(sizeof(*helper_buffer), GFP_KERNEL);
-+      if (!helper_buffer)
-+              return -ENOMEM;
-+
-+      init_heap_helper_buffer(helper_buffer, system_heap_free);
-+      helper_buffer->heap = heap;
-+      helper_buffer->size = len;
-+
-+      helper_buffer->pagecount = len / PAGE_SIZE;
-+      helper_buffer->pages = kmalloc_array(helper_buffer->pagecount,
-+                                           sizeof(*helper_buffer->pages),
-+                                           GFP_KERNEL);
-+      if (!helper_buffer->pages) {
-+              ret = -ENOMEM;
-+              goto err0;
-+      }
-+
-+      for (pg = 0; pg < helper_buffer->pagecount; pg++) {
-+              /*
-+               * Avoid trying to allocate memory if the process
-+               * has been killed by by SIGKILL
-+               */
-+              if (fatal_signal_pending(current))
-+                      goto err1;
-+
-+              helper_buffer->pages[pg] = alloc_page(GFP_KERNEL | __GFP_ZERO);
-+              if (!helper_buffer->pages[pg])
-+                      goto err1;
-+      }
-+
-+      /* create the dmabuf */
-+      dmabuf = heap_helper_export_dmabuf(helper_buffer, fd_flags);
-+      if (IS_ERR(dmabuf)) {
-+              ret = PTR_ERR(dmabuf);
-+              goto err1;
-+      }
-+
-+      helper_buffer->dmabuf = dmabuf;
-+
-+      ret = dma_buf_fd(dmabuf, fd_flags);
-+      if (ret < 0) {
-+              dma_buf_put(dmabuf);
-+              /* just return, as put will call release and that will free */
-+              return ret;
-+      }
-+
-+      return ret;
-+
-+err1:
-+      while (pg > 0)
-+              __free_page(helper_buffer->pages[--pg]);
-+      kfree(helper_buffer->pages);
-+err0:
-+      kfree(helper_buffer);
-+
-+      return ret;
-+}
-+
-+static const struct dma_heap_ops system_heap_ops = {
-+      .allocate = system_heap_allocate,
-+};
-+
-+static int system_heap_create(void)
-+{
-+      struct dma_heap_export_info exp_info;
-+      int ret = 0;
-+
-+      exp_info.name = "system_heap";
-+      exp_info.ops = &system_heap_ops;
-+      exp_info.priv = NULL;
-+
-+      sys_heap = dma_heap_add(&exp_info);
-+      if (IS_ERR(sys_heap))
-+              ret = PTR_ERR(sys_heap);
-+
-+      return ret;
-+}
-+module_init(system_heap_create);
-+MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0732-media-bcm2835-unicam-Always-service-interrupts.patch b/target/linux/bcm27xx/patches-5.4/950-0732-media-bcm2835-unicam-Always-service-interrupts.patch
new file mode 100644 (file)
index 0000000..378824c
--- /dev/null
@@ -0,0 +1,51 @@
+From b52dee833768d1cb3572bf8269baeda077d0e41b Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 13 May 2020 18:28:27 +0100
+Subject: [PATCH] media: bcm2835-unicam: Always service interrupts
+
+From when bringing up the driver, there was a check in the isr
+to ignore interrupts (claiming them handled) should the driver
+not be streaming.
+
+The VPU now will not register a camera driver if it finds a
+CSI2 node enabled in device tree, therefore this flawed check is
+redundant.
+
+https://github.com/raspberrypi/linux/issues/3602
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 15 ---------------
+ 1 file changed, 15 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -766,12 +766,6 @@ static int unicam_all_nodes_streaming(st
+       return ret;
+ }
+-static int unicam_all_nodes_disabled(struct unicam_device *dev)
+-{
+-      return !dev->node[IMAGE_PAD].streaming &&
+-             !dev->node[METADATA_PAD].streaming;
+-}
+-
+ static void unicam_queue_event_sof(struct unicam_device *unicam)
+ {
+       struct v4l2_event event = {
+@@ -801,15 +795,6 @@ static irqreturn_t unicam_isr(int irq, v
+       u64 ts;
+       int i;
+-      /*
+-       * Don't service interrupts if not streaming.
+-       * Avoids issues if the VPU should enable the
+-       * peripheral without the kernel knowing (that
+-       * shouldn't happen, but causes issues if it does).
+-       */
+-      if (unicam_all_nodes_disabled(unicam))
+-              return IRQ_HANDLED;
+-
+       sta = reg_read(cfg, UNICAM_STA);
+       /* Write value back to clear the interrupts */
+       reg_write(cfg, UNICAM_STA, sta);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0733-dma-buf-heaps-Add-CMA-heap-to-dmabuf-heaps.patch b/target/linux/bcm27xx/patches-5.4/950-0733-dma-buf-heaps-Add-CMA-heap-to-dmabuf-heaps.patch
deleted file mode 100644 (file)
index d182870..0000000
+++ /dev/null
@@ -1,251 +0,0 @@
-From d5e996267c71a9517b2c831d072e76bacb8f0e56 Mon Sep 17 00:00:00 2001
-From: John Stultz <john.stultz@linaro.org>
-Date: Tue, 3 Dec 2019 17:26:40 +0000
-Subject: [PATCH] dma-buf: heaps: Add CMA heap to dmabuf heaps
-
-Commit b61614ec318aae0c77ecd2816878d851dd61d9a6 upstream.
-
-This adds a CMA heap, which allows userspace to allocate
-a dma-buf of contiguous memory out of a CMA region.
-
-This code is an evolution of the Android ION implementation, so
-thanks to its original author and maintainters:
-  Benjamin Gaignard, Laura Abbott, and others!
-
-NOTE: This patch only adds the default CMA heap. We will enable
-selectively adding other CMA memory regions to the dmabuf heaps
-interface with a later patch (which requires a dt binding)
-
-Cc: Laura Abbott <labbott@redhat.com>
-Cc: Benjamin Gaignard <benjamin.gaignard@linaro.org>
-Cc: Sumit Semwal <sumit.semwal@linaro.org>
-Cc: Liam Mark <lmark@codeaurora.org>
-Cc: Pratik Patel <pratikp@codeaurora.org>
-Cc: Brian Starkey <Brian.Starkey@arm.com>
-Cc: Vincent Donnefort <Vincent.Donnefort@arm.com>
-Cc: Sudipto Paul <Sudipto.Paul@arm.com>
-Cc: Andrew F. Davis <afd@ti.com>
-Cc: Christoph Hellwig <hch@infradead.org>
-Cc: Chenbo Feng <fengc@google.com>
-Cc: Alistair Strachan <astrachan@google.com>
-Cc: Hridya Valsaraju <hridya@google.com>
-Cc: Sandeep Patil <sspatil@google.com>
-Cc: Hillf Danton <hdanton@sina.com>
-Cc: Dave Airlie <airlied@gmail.com>
-Cc: dri-devel@lists.freedesktop.org
-Reviewed-by: Benjamin Gaignard <benjamin.gaignard@linaro.org>
-Reviewed-by: Brian Starkey <brian.starkey@arm.com>
-Acked-by: Sandeep Patil <sspatil@android.com>
-Acked-by: Laura Abbott <labbott@redhat.com>
-Tested-by: Ayan Kumar Halder <ayan.halder@arm.com>
-Signed-off-by: John Stultz <john.stultz@linaro.org>
-Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191203172641.66642-5-john.stultz@linaro.org
----
- drivers/dma-buf/heaps/Kconfig    |   8 ++
- drivers/dma-buf/heaps/Makefile   |   1 +
- drivers/dma-buf/heaps/cma_heap.c | 177 +++++++++++++++++++++++++++++++
- 3 files changed, 186 insertions(+)
- create mode 100644 drivers/dma-buf/heaps/cma_heap.c
-
---- a/drivers/dma-buf/heaps/Kconfig
-+++ b/drivers/dma-buf/heaps/Kconfig
-@@ -4,3 +4,11 @@ config DMABUF_HEAPS_SYSTEM
-       help
-         Choose this option to enable the system dmabuf heap. The system heap
-         is backed by pages from the buddy allocator. If in doubt, say Y.
-+
-+config DMABUF_HEAPS_CMA
-+      bool "DMA-BUF CMA Heap"
-+      depends on DMABUF_HEAPS && DMA_CMA
-+      help
-+        Choose this option to enable dma-buf CMA heap. This heap is backed
-+        by the Contiguous Memory Allocator (CMA). If your system has these
-+        regions, you should say Y here.
---- a/drivers/dma-buf/heaps/Makefile
-+++ b/drivers/dma-buf/heaps/Makefile
-@@ -1,3 +1,4 @@
- # SPDX-License-Identifier: GPL-2.0
- obj-y                                 += heap-helpers.o
- obj-$(CONFIG_DMABUF_HEAPS_SYSTEM)     += system_heap.o
-+obj-$(CONFIG_DMABUF_HEAPS_CMA)                += cma_heap.o
---- /dev/null
-+++ b/drivers/dma-buf/heaps/cma_heap.c
-@@ -0,0 +1,177 @@
-+// SPDX-License-Identifier: GPL-2.0
-+/*
-+ * DMABUF CMA heap exporter
-+ *
-+ * Copyright (C) 2012, 2019 Linaro Ltd.
-+ * Author: <benjamin.gaignard@linaro.org> for ST-Ericsson.
-+ */
-+
-+#include <linux/cma.h>
-+#include <linux/device.h>
-+#include <linux/dma-buf.h>
-+#include <linux/dma-heap.h>
-+#include <linux/dma-contiguous.h>
-+#include <linux/err.h>
-+#include <linux/errno.h>
-+#include <linux/highmem.h>
-+#include <linux/module.h>
-+#include <linux/slab.h>
-+#include <linux/scatterlist.h>
-+#include <linux/sched/signal.h>
-+
-+#include "heap-helpers.h"
-+
-+struct cma_heap {
-+      struct dma_heap *heap;
-+      struct cma *cma;
-+};
-+
-+static void cma_heap_free(struct heap_helper_buffer *buffer)
-+{
-+      struct cma_heap *cma_heap = dma_heap_get_drvdata(buffer->heap);
-+      unsigned long nr_pages = buffer->pagecount;
-+      struct page *cma_pages = buffer->priv_virt;
-+
-+      /* free page list */
-+      kfree(buffer->pages);
-+      /* release memory */
-+      cma_release(cma_heap->cma, cma_pages, nr_pages);
-+      kfree(buffer);
-+}
-+
-+/* dmabuf heap CMA operations functions */
-+static int cma_heap_allocate(struct dma_heap *heap,
-+                           unsigned long len,
-+                           unsigned long fd_flags,
-+                           unsigned long heap_flags)
-+{
-+      struct cma_heap *cma_heap = dma_heap_get_drvdata(heap);
-+      struct heap_helper_buffer *helper_buffer;
-+      struct page *cma_pages;
-+      size_t size = PAGE_ALIGN(len);
-+      unsigned long nr_pages = size >> PAGE_SHIFT;
-+      unsigned long align = get_order(size);
-+      struct dma_buf *dmabuf;
-+      int ret = -ENOMEM;
-+      pgoff_t pg;
-+
-+      if (align > CONFIG_CMA_ALIGNMENT)
-+              align = CONFIG_CMA_ALIGNMENT;
-+
-+      helper_buffer = kzalloc(sizeof(*helper_buffer), GFP_KERNEL);
-+      if (!helper_buffer)
-+              return -ENOMEM;
-+
-+      init_heap_helper_buffer(helper_buffer, cma_heap_free);
-+      helper_buffer->heap = heap;
-+      helper_buffer->size = len;
-+
-+      cma_pages = cma_alloc(cma_heap->cma, nr_pages, align, false);
-+      if (!cma_pages)
-+              goto free_buf;
-+
-+      if (PageHighMem(cma_pages)) {
-+              unsigned long nr_clear_pages = nr_pages;
-+              struct page *page = cma_pages;
-+
-+              while (nr_clear_pages > 0) {
-+                      void *vaddr = kmap_atomic(page);
-+
-+                      memset(vaddr, 0, PAGE_SIZE);
-+                      kunmap_atomic(vaddr);
-+                      /*
-+                       * Avoid wasting time zeroing memory if the process
-+                       * has been killed by by SIGKILL
-+                       */
-+                      if (fatal_signal_pending(current))
-+                              goto free_cma;
-+
-+                      page++;
-+                      nr_clear_pages--;
-+              }
-+      } else {
-+              memset(page_address(cma_pages), 0, size);
-+      }
-+
-+      helper_buffer->pagecount = nr_pages;
-+      helper_buffer->pages = kmalloc_array(helper_buffer->pagecount,
-+                                           sizeof(*helper_buffer->pages),
-+                                           GFP_KERNEL);
-+      if (!helper_buffer->pages) {
-+              ret = -ENOMEM;
-+              goto free_cma;
-+      }
-+
-+      for (pg = 0; pg < helper_buffer->pagecount; pg++)
-+              helper_buffer->pages[pg] = &cma_pages[pg];
-+
-+      /* create the dmabuf */
-+      dmabuf = heap_helper_export_dmabuf(helper_buffer, fd_flags);
-+      if (IS_ERR(dmabuf)) {
-+              ret = PTR_ERR(dmabuf);
-+              goto free_pages;
-+      }
-+
-+      helper_buffer->dmabuf = dmabuf;
-+      helper_buffer->priv_virt = cma_pages;
-+
-+      ret = dma_buf_fd(dmabuf, fd_flags);
-+      if (ret < 0) {
-+              dma_buf_put(dmabuf);
-+              /* just return, as put will call release and that will free */
-+              return ret;
-+      }
-+
-+      return ret;
-+
-+free_pages:
-+      kfree(helper_buffer->pages);
-+free_cma:
-+      cma_release(cma_heap->cma, cma_pages, nr_pages);
-+free_buf:
-+      kfree(helper_buffer);
-+      return ret;
-+}
-+
-+static const struct dma_heap_ops cma_heap_ops = {
-+      .allocate = cma_heap_allocate,
-+};
-+
-+static int __add_cma_heap(struct cma *cma, void *data)
-+{
-+      struct cma_heap *cma_heap;
-+      struct dma_heap_export_info exp_info;
-+
-+      cma_heap = kzalloc(sizeof(*cma_heap), GFP_KERNEL);
-+      if (!cma_heap)
-+              return -ENOMEM;
-+      cma_heap->cma = cma;
-+
-+      exp_info.name = cma_get_name(cma);
-+      exp_info.ops = &cma_heap_ops;
-+      exp_info.priv = cma_heap;
-+
-+      cma_heap->heap = dma_heap_add(&exp_info);
-+      if (IS_ERR(cma_heap->heap)) {
-+              int ret = PTR_ERR(cma_heap->heap);
-+
-+              kfree(cma_heap);
-+              return ret;
-+      }
-+
-+      return 0;
-+}
-+
-+static int add_default_cma_heap(void)
-+{
-+      struct cma *default_cma = dev_get_cma_area(NULL);
-+      int ret = 0;
-+
-+      if (default_cma)
-+              ret = __add_cma_heap(default_cma, NULL);
-+
-+      return ret;
-+}
-+module_init(add_default_cma_heap);
-+MODULE_DESCRIPTION("DMA-BUF CMA Heap");
-+MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0733-sc16is7xx-Fix-for-hardware-flow-control.patch b/target/linux/bcm27xx/patches-5.4/950-0733-sc16is7xx-Fix-for-hardware-flow-control.patch
new file mode 100644 (file)
index 0000000..3ff77bc
--- /dev/null
@@ -0,0 +1,70 @@
+From 41ed4262b7398a3170399af81cf78cb8d7dd8b8d Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 13 May 2020 20:10:15 +0100
+Subject: [PATCH] sc16is7xx: Fix for hardware flow control
+
+The SC16IS7XX hardware flow control is mishandled by the driver in
+a number of ways:
+
+  1. The set_baud method accidentally clears it when setting EFR bit.
+  2. Even though hardware flow control is enabled, it isn't indicated
+     back to the serial framework.
+  3. Applying the flow control clears the EFR bit.
+  4. The CTS support is not indicated in the return from
+     sc16is7xx_get_mctrl.
+
+Address all of those issues using a mixture of patches found on the
+linked pages.
+
+See: https://github.com/raspberrypi/linux/issues/2542
+See: https://www.spinics.net/lists/linux-serial/msg21794.html
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/tty/serial/sc16is7xx.c | 14 ++++++++++----
+ 1 file changed, 10 insertions(+), 4 deletions(-)
+
+--- a/drivers/tty/serial/sc16is7xx.c
++++ b/drivers/tty/serial/sc16is7xx.c
+@@ -523,8 +523,9 @@ static int sc16is7xx_set_baud(struct uar
+       /* Enable enhanced features */
+       regcache_cache_bypass(s->regmap, true);
+-      sc16is7xx_port_write(port, SC16IS7XX_EFR_REG,
+-                           SC16IS7XX_EFR_ENABLE_BIT);
++      sc16is7xx_port_update(port, SC16IS7XX_EFR_REG,
++                            SC16IS7XX_EFR_ENABLE_BIT,
++                            SC16IS7XX_EFR_ENABLE_BIT);
+       regcache_cache_bypass(s->regmap, false);
+       /* Put LCR back to the normal mode */
+@@ -846,7 +847,7 @@ static unsigned int sc16is7xx_get_mctrl(
+       /* DCD and DSR are not wired and CTS/RTS is handled automatically
+        * so just indicate DSR and CAR asserted
+        */
+-      return TIOCM_DSR | TIOCM_CAR;
++      return TIOCM_DSR | TIOCM_CAR | TIOCM_RI | TIOCM_CTS;
+ }
+ static void sc16is7xx_set_mctrl(struct uart_port *port, unsigned int mctrl)
+@@ -933,14 +934,19 @@ static void sc16is7xx_set_termios(struct
+       regcache_cache_bypass(s->regmap, true);
+       sc16is7xx_port_write(port, SC16IS7XX_XON1_REG, termios->c_cc[VSTART]);
+       sc16is7xx_port_write(port, SC16IS7XX_XOFF1_REG, termios->c_cc[VSTOP]);
+-      if (termios->c_cflag & CRTSCTS)
++      if (termios->c_cflag & CRTSCTS) {
+               flow |= SC16IS7XX_EFR_AUTOCTS_BIT |
+                       SC16IS7XX_EFR_AUTORTS_BIT;
++              port->status |= UPSTAT_AUTOCTS;
++      };
+       if (termios->c_iflag & IXON)
+               flow |= SC16IS7XX_EFR_SWFLOW3_BIT;
+       if (termios->c_iflag & IXOFF)
+               flow |= SC16IS7XX_EFR_SWFLOW1_BIT;
++      /* Always set enable enhanced */
++      flow |= SC16IS7XX_EFR_ENABLE_BIT;
++
+       sc16is7xx_port_write(port, SC16IS7XX_EFR_REG, flow);
+       regcache_cache_bypass(s->regmap, false);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0734-drm-vc4-Fix-VIC-usage-with-Broadcast-RGB.patch b/target/linux/bcm27xx/patches-5.4/950-0734-drm-vc4-Fix-VIC-usage-with-Broadcast-RGB.patch
new file mode 100644 (file)
index 0000000..611e5db
--- /dev/null
@@ -0,0 +1,58 @@
+From a90dcdf7cf7ad632f5a260758a76e406403a7e3c Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 14 May 2020 14:44:15 +0100
+Subject: [PATCH] drm/vc4: Fix VIC usage with Broadcast RGB
+
+Adding the Broadcast RGB range selection broke the VIC
+field of the AVI infoframes on HDMI, zeroing them for all
+modes on an HDMI monitor.
+
+Correct this so that it is only zeroed if the range is
+contrary to the standard range of the mode.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 19 ++++++++++++-------
+ 1 file changed, 12 insertions(+), 7 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -936,19 +936,14 @@ static void vc4_crtc_mode_set_nofb(struc
+               break;
+       }
++      mb.timings.video_id_code = frame.avi.video_code;
++
+       if (!vc4_encoder->hdmi_monitor) {
+               mb.timings.flags |= TIMINGS_FLAGS_DVI;
+-              mb.timings.video_id_code = frame.avi.video_code;
+       } else {
+               struct vc4_fkms_connector_state *conn_state =
+                       to_vc4_fkms_connector_state(vc4_crtc->connector->state);
+-              /* Do not provide a VIC as the HDMI spec requires that we do not
+-               * signal the opposite of the defined range in the AVI
+-               * infoframe.
+-               */
+-              mb.timings.video_id_code = 0;
+-
+               if (conn_state->broadcast_rgb == VC4_BROADCAST_RGB_AUTO) {
+                       /* See CEA-861-E - 5.1 Default Encoding Parameters */
+                       if (drm_default_rgb_quant_range(mode) ==
+@@ -958,6 +953,16 @@ static void vc4_crtc_mode_set_nofb(struc
+                       if (conn_state->broadcast_rgb ==
+                                               VC4_BROADCAST_RGB_LIMITED)
+                               mb.timings.flags |= TIMINGS_FLAGS_RGB_LIMITED;
++
++                      /* If not using the default range, then do not provide
++                       * a VIC as the HDMI spec requires that we do not
++                       * signal the opposite of the defined range in the AVI
++                       * infoframe.
++                       */
++                      if (!!(mb.timings.flags & TIMINGS_FLAGS_RGB_LIMITED) !=
++                          (drm_default_rgb_quant_range(mode) ==
++                                      HDMI_QUANTIZATION_RANGE_LIMITED))
++                              mb.timings.video_id_code = 0;
+               }
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0734-kselftests-Add-dma-heap-test.patch b/target/linux/bcm27xx/patches-5.4/950-0734-kselftests-Add-dma-heap-test.patch
deleted file mode 100644 (file)
index ff4dda9..0000000
+++ /dev/null
@@ -1,453 +0,0 @@
-From 31501b71a0237f3753d0210e3e122c548d6f3051 Mon Sep 17 00:00:00 2001
-From: John Stultz <john.stultz@linaro.org>
-Date: Tue, 3 Dec 2019 17:26:41 +0000
-Subject: [PATCH] kselftests: Add dma-heap test
-
-Commit a8779927fd86c91f5400bfcbccfa018a667d8350 upstream.
-
-Add very trivial allocation and import test for dma-heaps,
-utilizing the vgem driver as a test importer.
-
-A good chunk of this code taken from:
-  tools/testing/selftests/android/ion/ionmap_test.c
-  Originally by Laura Abbott <labbott@redhat.com>
-
-Cc: Benjamin Gaignard <benjamin.gaignard@linaro.org>
-Cc: Sumit Semwal <sumit.semwal@linaro.org>
-Cc: Liam Mark <lmark@codeaurora.org>
-Cc: Pratik Patel <pratikp@codeaurora.org>
-Cc: Brian Starkey <Brian.Starkey@arm.com>
-Cc: Vincent Donnefort <Vincent.Donnefort@arm.com>
-Cc: Sudipto Paul <Sudipto.Paul@arm.com>
-Cc: Andrew F. Davis <afd@ti.com>
-Cc: Christoph Hellwig <hch@infradead.org>
-Cc: Chenbo Feng <fengc@google.com>
-Cc: Alistair Strachan <astrachan@google.com>
-Cc: Hridya Valsaraju <hridya@google.com>
-Cc: Sandeep Patil <sspatil@google.com>
-Cc: Hillf Danton <hdanton@sina.com>
-Cc: Dave Airlie <airlied@gmail.com>
-Cc: dri-devel@lists.freedesktop.org
-Reviewed-by: Benjamin Gaignard <benjamin.gaignard@linaro.org>
-Reviewed-by: Brian Starkey <brian.starkey@arm.com>
-Acked-by: Sandeep Patil <sspatil@android.com>
-Acked-by: Laura Abbott <labbott@redhat.com>
-Tested-by: Ayan Kumar Halder <ayan.halder@arm.com>
-Signed-off-by: John Stultz <john.stultz@linaro.org>
-Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191203172641.66642-6-john.stultz@linaro.org
----
- tools/testing/selftests/dmabuf-heaps/Makefile |   6 +
- .../selftests/dmabuf-heaps/dmabuf-heap.c      | 396 ++++++++++++++++++
- 2 files changed, 402 insertions(+)
- create mode 100644 tools/testing/selftests/dmabuf-heaps/Makefile
- create mode 100644 tools/testing/selftests/dmabuf-heaps/dmabuf-heap.c
-
---- /dev/null
-+++ b/tools/testing/selftests/dmabuf-heaps/Makefile
-@@ -0,0 +1,6 @@
-+# SPDX-License-Identifier: GPL-2.0
-+CFLAGS += -static -O3 -Wl,-no-as-needed -Wall -I../../../../usr/include
-+
-+TEST_GEN_PROGS = dmabuf-heap
-+
-+include ../lib.mk
---- /dev/null
-+++ b/tools/testing/selftests/dmabuf-heaps/dmabuf-heap.c
-@@ -0,0 +1,396 @@
-+// SPDX-License-Identifier: GPL-2.0
-+
-+#include <dirent.h>
-+#include <errno.h>
-+#include <fcntl.h>
-+#include <stdio.h>
-+#include <stdlib.h>
-+#include <stdint.h>
-+#include <string.h>
-+#include <unistd.h>
-+#include <sys/ioctl.h>
-+#include <sys/mman.h>
-+#include <sys/types.h>
-+
-+#include <linux/dma-buf.h>
-+#include <drm/drm.h>
-+
-+#include "../../../../include/uapi/linux/dma-heap.h"
-+
-+#define DEVPATH "/dev/dma_heap"
-+
-+static int check_vgem(int fd)
-+{
-+      drm_version_t version = { 0 };
-+      char name[5];
-+      int ret;
-+
-+      version.name_len = 4;
-+      version.name = name;
-+
-+      ret = ioctl(fd, DRM_IOCTL_VERSION, &version);
-+      if (ret)
-+              return 0;
-+
-+      return !strcmp(name, "vgem");
-+}
-+
-+static int open_vgem(void)
-+{
-+      int i, fd;
-+      const char *drmstr = "/dev/dri/card";
-+
-+      fd = -1;
-+      for (i = 0; i < 16; i++) {
-+              char name[80];
-+
-+              snprintf(name, 80, "%s%u", drmstr, i);
-+
-+              fd = open(name, O_RDWR);
-+              if (fd < 0)
-+                      continue;
-+
-+              if (!check_vgem(fd)) {
-+                      close(fd);
-+                      fd = -1;
-+                      continue;
-+              } else {
-+                      break;
-+              }
-+      }
-+      return fd;
-+}
-+
-+static int import_vgem_fd(int vgem_fd, int dma_buf_fd, uint32_t *handle)
-+{
-+      struct drm_prime_handle import_handle = {
-+              .fd = dma_buf_fd,
-+              .flags = 0,
-+              .handle = 0,
-+       };
-+      int ret;
-+
-+      ret = ioctl(vgem_fd, DRM_IOCTL_PRIME_FD_TO_HANDLE, &import_handle);
-+      if (ret == 0)
-+              *handle = import_handle.handle;
-+      return ret;
-+}
-+
-+static void close_handle(int vgem_fd, uint32_t handle)
-+{
-+      struct drm_gem_close close = {
-+              .handle = handle,
-+      };
-+
-+      ioctl(vgem_fd, DRM_IOCTL_GEM_CLOSE, &close);
-+}
-+
-+static int dmabuf_heap_open(char *name)
-+{
-+      int ret, fd;
-+      char buf[256];
-+
-+      ret = snprintf(buf, 256, "%s/%s", DEVPATH, name);
-+      if (ret < 0) {
-+              printf("snprintf failed!\n");
-+              return ret;
-+      }
-+
-+      fd = open(buf, O_RDWR);
-+      if (fd < 0)
-+              printf("open %s failed!\n", buf);
-+      return fd;
-+}
-+
-+static int dmabuf_heap_alloc_fdflags(int fd, size_t len, unsigned int fd_flags,
-+                                   unsigned int heap_flags, int *dmabuf_fd)
-+{
-+      struct dma_heap_allocation_data data = {
-+              .len = len,
-+              .fd = 0,
-+              .fd_flags = fd_flags,
-+              .heap_flags = heap_flags,
-+      };
-+      int ret;
-+
-+      if (!dmabuf_fd)
-+              return -EINVAL;
-+
-+      ret = ioctl(fd, DMA_HEAP_IOC_ALLOC, &data);
-+      if (ret < 0)
-+              return ret;
-+      *dmabuf_fd = (int)data.fd;
-+      return ret;
-+}
-+
-+static int dmabuf_heap_alloc(int fd, size_t len, unsigned int flags,
-+                           int *dmabuf_fd)
-+{
-+      return dmabuf_heap_alloc_fdflags(fd, len, O_RDWR | O_CLOEXEC, flags,
-+                                       dmabuf_fd);
-+}
-+
-+static void dmabuf_sync(int fd, int start_stop)
-+{
-+      struct dma_buf_sync sync = {
-+              .flags = start_stop | DMA_BUF_SYNC_RW,
-+      };
-+      int ret;
-+
-+      ret = ioctl(fd, DMA_BUF_IOCTL_SYNC, &sync);
-+      if (ret)
-+              printf("sync failed %d\n", errno);
-+}
-+
-+#define ONE_MEG (1024 * 1024)
-+
-+static int test_alloc_and_import(char *heap_name)
-+{
-+      int heap_fd = -1, dmabuf_fd = -1, importer_fd = -1;
-+      uint32_t handle = 0;
-+      void *p = NULL;
-+      int ret;
-+
-+      printf("Testing heap: %s\n", heap_name);
-+
-+      heap_fd = dmabuf_heap_open(heap_name);
-+      if (heap_fd < 0)
-+              return -1;
-+
-+      printf("Allocating 1 MEG\n");
-+      ret = dmabuf_heap_alloc(heap_fd, ONE_MEG, 0, &dmabuf_fd);
-+      if (ret) {
-+              printf("Allocation Failed!\n");
-+              ret = -1;
-+              goto out;
-+      }
-+      /* mmap and write a simple pattern */
-+      p = mmap(NULL,
-+               ONE_MEG,
-+               PROT_READ | PROT_WRITE,
-+               MAP_SHARED,
-+               dmabuf_fd,
-+               0);
-+      if (p == MAP_FAILED) {
-+              printf("mmap() failed: %m\n");
-+              ret = -1;
-+              goto out;
-+      }
-+      printf("mmap passed\n");
-+
-+      dmabuf_sync(dmabuf_fd, DMA_BUF_SYNC_START);
-+      memset(p, 1, ONE_MEG / 2);
-+      memset((char *)p + ONE_MEG / 2, 0, ONE_MEG / 2);
-+      dmabuf_sync(dmabuf_fd, DMA_BUF_SYNC_END);
-+
-+      importer_fd = open_vgem();
-+      if (importer_fd < 0) {
-+              ret = importer_fd;
-+              printf("Failed to open vgem\n");
-+              goto out;
-+      }
-+
-+      ret = import_vgem_fd(importer_fd, dmabuf_fd, &handle);
-+      if (ret < 0) {
-+              printf("Failed to import buffer\n");
-+              goto out;
-+      }
-+      printf("import passed\n");
-+
-+      dmabuf_sync(dmabuf_fd, DMA_BUF_SYNC_START);
-+      memset(p, 0xff, ONE_MEG);
-+      dmabuf_sync(dmabuf_fd, DMA_BUF_SYNC_END);
-+      printf("syncs passed\n");
-+
-+      close_handle(importer_fd, handle);
-+      ret = 0;
-+
-+out:
-+      if (p)
-+              munmap(p, ONE_MEG);
-+      if (importer_fd >= 0)
-+              close(importer_fd);
-+      if (dmabuf_fd >= 0)
-+              close(dmabuf_fd);
-+      if (heap_fd >= 0)
-+              close(heap_fd);
-+
-+      return ret;
-+}
-+
-+/* Test the ioctl version compatibility w/ a smaller structure then expected */
-+static int dmabuf_heap_alloc_older(int fd, size_t len, unsigned int flags,
-+                                 int *dmabuf_fd)
-+{
-+      int ret;
-+      unsigned int older_alloc_ioctl;
-+      struct dma_heap_allocation_data_smaller {
-+              __u64 len;
-+              __u32 fd;
-+              __u32 fd_flags;
-+      } data = {
-+              .len = len,
-+              .fd = 0,
-+              .fd_flags = O_RDWR | O_CLOEXEC,
-+      };
-+
-+      older_alloc_ioctl = _IOWR(DMA_HEAP_IOC_MAGIC, 0x0,
-+                                struct dma_heap_allocation_data_smaller);
-+      if (!dmabuf_fd)
-+              return -EINVAL;
-+
-+      ret = ioctl(fd, older_alloc_ioctl, &data);
-+      if (ret < 0)
-+              return ret;
-+      *dmabuf_fd = (int)data.fd;
-+      return ret;
-+}
-+
-+/* Test the ioctl version compatibility w/ a larger structure then expected */
-+static int dmabuf_heap_alloc_newer(int fd, size_t len, unsigned int flags,
-+                                 int *dmabuf_fd)
-+{
-+      int ret;
-+      unsigned int newer_alloc_ioctl;
-+      struct dma_heap_allocation_data_bigger {
-+              __u64 len;
-+              __u32 fd;
-+              __u32 fd_flags;
-+              __u64 heap_flags;
-+              __u64 garbage1;
-+              __u64 garbage2;
-+              __u64 garbage3;
-+      } data = {
-+              .len = len,
-+              .fd = 0,
-+              .fd_flags = O_RDWR | O_CLOEXEC,
-+              .heap_flags = flags,
-+              .garbage1 = 0xffffffff,
-+              .garbage2 = 0x88888888,
-+              .garbage3 = 0x11111111,
-+      };
-+
-+      newer_alloc_ioctl = _IOWR(DMA_HEAP_IOC_MAGIC, 0x0,
-+                                struct dma_heap_allocation_data_bigger);
-+      if (!dmabuf_fd)
-+              return -EINVAL;
-+
-+      ret = ioctl(fd, newer_alloc_ioctl, &data);
-+      if (ret < 0)
-+              return ret;
-+
-+      *dmabuf_fd = (int)data.fd;
-+      return ret;
-+}
-+
-+static int test_alloc_compat(char *heap_name)
-+{
-+      int heap_fd = -1, dmabuf_fd = -1;
-+      int ret;
-+
-+      heap_fd = dmabuf_heap_open(heap_name);
-+      if (heap_fd < 0)
-+              return -1;
-+
-+      printf("Testing (theoretical)older alloc compat\n");
-+      ret = dmabuf_heap_alloc_older(heap_fd, ONE_MEG, 0, &dmabuf_fd);
-+      if (ret) {
-+              printf("Older compat allocation failed!\n");
-+              ret = -1;
-+              goto out;
-+      }
-+      close(dmabuf_fd);
-+
-+      printf("Testing (theoretical)newer alloc compat\n");
-+      ret = dmabuf_heap_alloc_newer(heap_fd, ONE_MEG, 0, &dmabuf_fd);
-+      if (ret) {
-+              printf("Newer compat allocation failed!\n");
-+              ret = -1;
-+              goto out;
-+      }
-+      printf("Ioctl compatibility tests passed\n");
-+out:
-+      if (dmabuf_fd >= 0)
-+              close(dmabuf_fd);
-+      if (heap_fd >= 0)
-+              close(heap_fd);
-+
-+      return ret;
-+}
-+
-+static int test_alloc_errors(char *heap_name)
-+{
-+      int heap_fd = -1, dmabuf_fd = -1;
-+      int ret;
-+
-+      heap_fd = dmabuf_heap_open(heap_name);
-+      if (heap_fd < 0)
-+              return -1;
-+
-+      printf("Testing expected error cases\n");
-+      ret = dmabuf_heap_alloc(0, ONE_MEG, 0x111111, &dmabuf_fd);
-+      if (!ret) {
-+              printf("Did not see expected error (invalid fd)!\n");
-+              ret = -1;
-+              goto out;
-+      }
-+
-+      ret = dmabuf_heap_alloc(heap_fd, ONE_MEG, 0x111111, &dmabuf_fd);
-+      if (!ret) {
-+              printf("Did not see expected error (invalid heap flags)!\n");
-+              ret = -1;
-+              goto out;
-+      }
-+
-+      ret = dmabuf_heap_alloc_fdflags(heap_fd, ONE_MEG,
-+                                      ~(O_RDWR | O_CLOEXEC), 0, &dmabuf_fd);
-+      if (!ret) {
-+              printf("Did not see expected error (invalid fd flags)!\n");
-+              ret = -1;
-+              goto out;
-+      }
-+
-+      printf("Expected error checking passed\n");
-+out:
-+      if (dmabuf_fd >= 0)
-+              close(dmabuf_fd);
-+      if (heap_fd >= 0)
-+              close(heap_fd);
-+
-+      return ret;
-+}
-+
-+int main(void)
-+{
-+      DIR *d;
-+      struct dirent *dir;
-+      int ret = -1;
-+
-+      d = opendir(DEVPATH);
-+      if (!d) {
-+              printf("No %s directory?\n", DEVPATH);
-+              return -1;
-+      }
-+
-+      while ((dir = readdir(d)) != NULL) {
-+              if (!strncmp(dir->d_name, ".", 2))
-+                      continue;
-+              if (!strncmp(dir->d_name, "..", 3))
-+                      continue;
-+
-+              ret = test_alloc_and_import(dir->d_name);
-+              if (ret)
-+                      break;
-+
-+              ret = test_alloc_compat(dir->d_name);
-+              if (ret)
-+                      break;
-+
-+              ret = test_alloc_errors(dir->d_name);
-+              if (ret)
-+                      break;
-+      }
-+      closedir(d);
-+
-+      return ret;
-+}
diff --git a/target/linux/bcm27xx/patches-5.4/950-0735-dma-buf-heaps-Use-_IOCTL_-for-userspace-IOCTL-identi.patch b/target/linux/bcm27xx/patches-5.4/950-0735-dma-buf-heaps-Use-_IOCTL_-for-userspace-IOCTL-identi.patch
deleted file mode 100644 (file)
index a949831..0000000
+++ /dev/null
@@ -1,69 +0,0 @@
-From 8153056fa1d45394057017843070d3a366dbd918 Mon Sep 17 00:00:00 2001
-From: "Andrew F. Davis" <afd@ti.com>
-Date: Mon, 16 Dec 2019 08:34:04 -0500
-Subject: [PATCH] dma-buf: heaps: Use _IOCTL_ for userspace IOCTL
- identifier
-
-Commit b3b4346544b571c96d46be615b9db69a601ce4c8 upstream.
-
-This is more consistent with the DMA and DRM frameworks convention. This
-patch is only a name change, no logic is changed.
-
-Signed-off-by: Andrew F. Davis <afd@ti.com>
-Acked-by: John Stultz <john.stultz@linaro.org>
-Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191216133405.1001-2-afd@ti.com
----
- drivers/dma-buf/dma-heap.c                         | 4 ++--
- include/uapi/linux/dma-heap.h                      | 4 ++--
- tools/testing/selftests/dmabuf-heaps/dmabuf-heap.c | 2 +-
- 3 files changed, 5 insertions(+), 5 deletions(-)
-
---- a/drivers/dma-buf/dma-heap.c
-+++ b/drivers/dma-buf/dma-heap.c
-@@ -107,7 +107,7 @@ static long dma_heap_ioctl_allocate(stru
- }
- unsigned int dma_heap_ioctl_cmds[] = {
--      DMA_HEAP_IOC_ALLOC,
-+      DMA_HEAP_IOCTL_ALLOC,
- };
- static long dma_heap_ioctl(struct file *file, unsigned int ucmd,
-@@ -153,7 +153,7 @@ static long dma_heap_ioctl(struct file *
-               memset(kdata + in_size, 0, ksize - in_size);
-       switch (kcmd) {
--      case DMA_HEAP_IOC_ALLOC:
-+      case DMA_HEAP_IOCTL_ALLOC:
-               ret = dma_heap_ioctl_allocate(file, kdata);
-               break;
-       default:
---- a/include/uapi/linux/dma-heap.h
-+++ b/include/uapi/linux/dma-heap.h
-@@ -42,12 +42,12 @@ struct dma_heap_allocation_data {
- #define DMA_HEAP_IOC_MAGIC            'H'
- /**
-- * DOC: DMA_HEAP_IOC_ALLOC - allocate memory from pool
-+ * DOC: DMA_HEAP_IOCTL_ALLOC - allocate memory from pool
-  *
-  * Takes a dma_heap_allocation_data struct and returns it with the fd field
-  * populated with the dmabuf handle of the allocation.
-  */
--#define DMA_HEAP_IOC_ALLOC    _IOWR(DMA_HEAP_IOC_MAGIC, 0x0,\
-+#define DMA_HEAP_IOCTL_ALLOC  _IOWR(DMA_HEAP_IOC_MAGIC, 0x0,\
-                                     struct dma_heap_allocation_data)
- #endif /* _UAPI_LINUX_DMABUF_POOL_H */
---- a/tools/testing/selftests/dmabuf-heaps/dmabuf-heap.c
-+++ b/tools/testing/selftests/dmabuf-heaps/dmabuf-heap.c
-@@ -116,7 +116,7 @@ static int dmabuf_heap_alloc_fdflags(int
-       if (!dmabuf_fd)
-               return -EINVAL;
--      ret = ioctl(fd, DMA_HEAP_IOC_ALLOC, &data);
-+      ret = ioctl(fd, DMA_HEAP_IOCTL_ALLOC, &data);
-       if (ret < 0)
-               return ret;
-       *dmabuf_fd = (int)data.fd;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0735-staging-vc04_services-mmal-vchiq-Update-parameters-l.patch b/target/linux/bcm27xx/patches-5.4/950-0735-staging-vc04_services-mmal-vchiq-Update-parameters-l.patch
new file mode 100644 (file)
index 0000000..fd878ef
--- /dev/null
@@ -0,0 +1,28 @@
+From d121fed62ecf09a60c98fb5e8cb54596a1794622 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 15 May 2020 13:42:10 +0100
+Subject: [PATCH] staging: vc04_services: mmal-vchiq: Update parameters
+ list
+
+Adds in a couple of new MMAL parameter defines.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h | 6 ++++++
+ 1 file changed, 6 insertions(+)
+
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
+@@ -668,6 +668,12 @@ enum mmal_parameter_video_type {
+       /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
+       MMAL_PARAMETER_VIDEO_DROPPABLE_PFRAME_LENGTH,
++
++      /**< Take a @ref MMAL_PARAMETER_VIDEO_STALL_T */
++      MMAL_PARAMETER_VIDEO_STALL_THRESHOLD,
++
++      /**< Take a @ref MMAL_PARAMETER_BOOLEAN_T */
++      MMAL_PARAMETER_VIDEO_ENCODE_HEADERS_WITH_FRAME,
+ };
+ /** Valid mirror modes */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0736-dma-buf-heaps-Remove-redundant-heap-identifier-from-.patch b/target/linux/bcm27xx/patches-5.4/950-0736-dma-buf-heaps-Remove-redundant-heap-identifier-from-.patch
deleted file mode 100644 (file)
index 288f468..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From 0b5efcbb99c7b36f84cf8f8f4b582d88ccb9cc35 Mon Sep 17 00:00:00 2001
-From: "Andrew F. Davis" <afd@ti.com>
-Date: Mon, 16 Dec 2019 08:34:05 -0500
-Subject: [PATCH] dma-buf: heaps: Remove redundant heap identifier from
- system heap name
-
-The heaps are already in a directory of heaps, adding _heap to a heap
-name is redundant. This patch is only a name change, no logic is changed.
-
-Signed-off-by: Andrew F. Davis <afd@ti.com>
-Acked-by: John Stultz <john.stultz@linaro.org>
-Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191216133405.1001-3-afd@ti.com
----
- drivers/dma-buf/heaps/system_heap.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/dma-buf/heaps/system_heap.c
-+++ b/drivers/dma-buf/heaps/system_heap.c
-@@ -109,7 +109,7 @@ static int system_heap_create(void)
-       struct dma_heap_export_info exp_info;
-       int ret = 0;
--      exp_info.name = "system_heap";
-+      exp_info.name = "system";
-       exp_info.ops = &system_heap_ops;
-       exp_info.priv = NULL;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0736-staging-vc04_services-bcm2835-codec-Request-headers-.patch b/target/linux/bcm27xx/patches-5.4/950-0736-staging-vc04_services-bcm2835-codec-Request-headers-.patch
new file mode 100644 (file)
index 0000000..7698f82
--- /dev/null
@@ -0,0 +1,29 @@
+From 2822134c5f5c03893706df64625f3390792bb68a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 15 May 2020 13:43:08 +0100
+Subject: [PATCH] staging:vc04_services: bcm2835-codec: Request headers
+ with I-frame
+
+V4L2 wishes to have the codec header bytes in the same buffer as the
+first encoded frame, so it does become 1-in 1-out for encoding.
+The firmware now has an option to do this, so enable it.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c | 5 +++++
+ 1 file changed, 5 insertions(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -1967,6 +1967,11 @@ static int bcm2835_codec_create_componen
+                                       MMAL_PARAMETER_VIDEO_ENCODE_SPS_TIMING,
+                                       &param, sizeof(param));
++              /* Enable inserting headers into the first frame */
++              vchiq_mmal_port_parameter_set(ctx->dev->instance,
++                                            &ctx->component->control,
++                                            MMAL_PARAMETER_VIDEO_ENCODE_HEADERS_WITH_FRAME,
++                                            &param, sizeof(param));
+       } else {
+               if (ctx->q_data[V4L2_M2M_DST].sizeimage <
+                       ctx->component->output[0].minimum_buffer.size)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0737-dma-buf-fix-resource-leak-on-ENOTTY-error-return-pat.patch b/target/linux/bcm27xx/patches-5.4/950-0737-dma-buf-fix-resource-leak-on-ENOTTY-error-return-pat.patch
deleted file mode 100644 (file)
index 89b6695..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From 0960432c8261efb05bcf5ba6d8fe13c8293086a9 Mon Sep 17 00:00:00 2001
-From: Colin Ian King <colin.king@canonical.com>
-Date: Mon, 16 Dec 2019 16:10:59 +0000
-Subject: [PATCH] dma-buf: fix resource leak on -ENOTTY error return
- path
-
-Commit f9d3b2c600075d1f79efcd5cdb1718c2f554c0f9 upstream.
-
-The -ENOTTY error return path does not free the allocated
-kdata as it returns directly. Fix this by returning via the
-error handling label err.
-
-Addresses-Coverity: ("Resource leak")
-Fixes: c02a81fba74f ("dma-buf: Add dma-buf heaps framework")
-Signed-off-by: Colin Ian King <colin.king@canonical.com>
-Acked-by: John Stultz <john.stultz@linaro.org>
-Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
-Link: https://patchwork.freedesktop.org/patch/msgid/20191216161059.269492-1-colin.king@canonical.com
----
- drivers/dma-buf/dma-heap.c | 3 ++-
- 1 file changed, 2 insertions(+), 1 deletion(-)
-
---- a/drivers/dma-buf/dma-heap.c
-+++ b/drivers/dma-buf/dma-heap.c
-@@ -157,7 +157,8 @@ static long dma_heap_ioctl(struct file *
-               ret = dma_heap_ioctl_allocate(file, kdata);
-               break;
-       default:
--              return -ENOTTY;
-+              ret = -ENOTTY;
-+              goto err;
-       }
-       if (copy_to_user((void __user *)arg, kdata, out_size) != 0)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0737-staging-vc04_services-bcm2835-codec-Avoid-fragmentin.patch b/target/linux/bcm27xx/patches-5.4/950-0737-staging-vc04_services-bcm2835-codec-Avoid-fragmentin.patch
new file mode 100644 (file)
index 0000000..da273ca
--- /dev/null
@@ -0,0 +1,32 @@
+From 39956d31d19658af7a0759e05366d4bc1c04a50a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 15 May 2020 13:47:13 +0100
+Subject: [PATCH] staging:vc04_services: bcm2835-codec: Avoid
+ fragmenting buffers
+
+The firmware by default is quite happy to fragment encoded
+frames as the original MMAL and IL APIs support this.
+V4L2 doesn't, so we need to enable the firmware option to avoid this.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c      | 8 ++++++++
+ 1 file changed, 8 insertions(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -1972,6 +1972,14 @@ static int bcm2835_codec_create_componen
+                                             &ctx->component->control,
+                                             MMAL_PARAMETER_VIDEO_ENCODE_HEADERS_WITH_FRAME,
+                                             &param, sizeof(param));
++              /*
++               * Avoid fragmenting the buffers over multiple frames (unless
++               * the frame is bigger than the whole buffer)
++               */
++              vchiq_mmal_port_parameter_set(ctx->dev->instance,
++                                            &ctx->component->control,
++                                            MMAL_PARAMETER_MINIMISE_FRAGMENTATION,
++                                            &param, sizeof(param));
+       } else {
+               if (ctx->q_data[V4L2_M2M_DST].sizeimage <
+                       ctx->component->output[0].minimum_buffer.size)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0738-dma-heap-Make-the-symbol-dma_heap_ioctl_cmds-static.patch b/target/linux/bcm27xx/patches-5.4/950-0738-dma-heap-Make-the-symbol-dma_heap_ioctl_cmds-static.patch
deleted file mode 100644 (file)
index 900637d..0000000
+++ /dev/null
@@ -1,34 +0,0 @@
-From d59927ce7f8a4ee9abcad9bd3405881c7a9ac99e Mon Sep 17 00:00:00 2001
-From: zhong jiang <zhongjiang@huawei.com>
-Date: Wed, 18 Dec 2019 00:38:22 +0530
-Subject: [PATCH] dma-heap: Make the symbol 'dma_heap_ioctl_cmds'
- static
-
-Commit 7d411afe8444060454a53b1f9b70ee78b3e75ef1 upstream.
-
-Fix the following sparse warning.
-
-drivers/dma-buf/dma-heap.c:109:14: warning: symbol 'dma_heap_ioctl_cmds'
-was not declared. Should it be static?
-
-Acked-by: Andrew F. Davis <afd@ti.com>
-Acked-by: John Stultz <john.stultz@linaro.org>
-Signed-off-by: zhong jiang <zhongjiang@huawei.com>
-Signed-off-by: Sumit Semwal <sumit.semwal@linaro.org>
- [sumits: rebased over IOCTL rename patches]
-Link: https://patchwork.freedesktop.org/patch/msgid/20191217190822.1969-1-sumit.semwal@linaro.org
----
- drivers/dma-buf/dma-heap.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/dma-buf/dma-heap.c
-+++ b/drivers/dma-buf/dma-heap.c
-@@ -106,7 +106,7 @@ static long dma_heap_ioctl_allocate(stru
-       return 0;
- }
--unsigned int dma_heap_ioctl_cmds[] = {
-+static unsigned int dma_heap_ioctl_cmds[] = {
-       DMA_HEAP_IOCTL_ALLOC,
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0738-staging-vc04_services-bcm2835-camera-Request-headers.patch b/target/linux/bcm27xx/patches-5.4/950-0738-staging-vc04_services-bcm2835-camera-Request-headers.patch
new file mode 100644 (file)
index 0000000..5d400e2
--- /dev/null
@@ -0,0 +1,30 @@
+From 1dbe31a80537e398865442562fd21d4cb3fa6dcc Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 15 May 2020 13:48:59 +0100
+Subject: [PATCH] staging:vc04_services: bcm2835-camera: Request
+ headers with I-frame
+
+V4L2 wishes to have the codec header bytes in the same buffer as the
+first encoded frame, so it does become 1-in 1-out for encoding.
+The firmware now has an option to do this, so enable it.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../staging/vc04_services/bcm2835-camera/bcm2835-camera.c   | 6 ++++++
+ 1 file changed, 6 insertions(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
++++ b/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
+@@ -1762,6 +1762,12 @@ static int mmal_init(struct bm2835_mmal_
+                                             MMAL_PARAMETER_MINIMISE_FRAGMENTATION,
+                                             &enable,
+                                             sizeof(enable));
++
++              /* Enable inserting headers into the first frame */
++              vchiq_mmal_port_parameter_set(dev->instance,
++                                            &dev->component[COMP_VIDEO_ENCODE]->control,
++                                            MMAL_PARAMETER_VIDEO_ENCODE_HEADERS_WITH_FRAME,
++                                            &enable, sizeof(enable));
+       }
+       ret = bm2835_mmal_set_all_camera_controls(dev);
+       if (ret < 0) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0739-ARM-dts-Enable-firmware-clocks-on-all-Pis.patch b/target/linux/bcm27xx/patches-5.4/950-0739-ARM-dts-Enable-firmware-clocks-on-all-Pis.patch
deleted file mode 100644 (file)
index f319bdc..0000000
+++ /dev/null
@@ -1,25 +0,0 @@
-From e2882043cba45fd9f027dfc102aaaaf1208a65d0 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Wed, 6 May 2020 17:02:26 +0100
-Subject: [PATCH] ARM: dts: Enable firmware-clocks on all Pis
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm270x.dtsi | 6 ++++++
- 1 file changed, 6 insertions(+)
-
---- a/arch/arm/boot/dts/bcm270x.dtsi
-+++ b/arch/arm/boot/dts/bcm270x.dtsi
-@@ -7,6 +7,12 @@
-               /delete-property/ stdout-path;
-       };
-+      firmware_clocks: firmware-clocks {
-+              compatible = "raspberrypi,firmware-clocks";
-+              raspberrypi,firmware = <&firmware>;
-+              #clock-cells = <1>;
-+      };
-+
-       soc: soc {
-               watchdog: watchdog@7e100000 {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0739-overlays-Fix-audio-parameter-of-vc4-kms-v3d.patch b/target/linux/bcm27xx/patches-5.4/950-0739-overlays-Fix-audio-parameter-of-vc4-kms-v3d.patch
new file mode 100644 (file)
index 0000000..4e69e41
--- /dev/null
@@ -0,0 +1,25 @@
+From 8882c25c4e92de78d767c541d1115c726f538c77 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 18 May 2020 09:46:48 +0100
+Subject: [PATCH] overlays: Fix audio parameter of vc4-kms-v3d
+
+The CMA handling change broke the audio parameter - the fragment
+numbering has changed - so fix it.
+
+See: https://github.com/raspberrypi/linux/issues/2489
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/vc4-kms-v3d-overlay.dts | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/vc4-kms-v3d-overlay.dts
++++ b/arch/arm/boot/dts/overlays/vc4-kms-v3d-overlay.dts
+@@ -109,6 +109,6 @@
+       };
+       __overrides__ {
+-              audio   = <0>,"!17";
++              audio   = <0>,"!13";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0740-Switch-to-snd_soc_dai_set_bclk_ratio.patch b/target/linux/bcm27xx/patches-5.4/950-0740-Switch-to-snd_soc_dai_set_bclk_ratio.patch
new file mode 100644 (file)
index 0000000..b104f00
--- /dev/null
@@ -0,0 +1,38 @@
+From 2e5f704305c97c5ae26420f61c0242c151c91533 Mon Sep 17 00:00:00 2001
+From: j-schambacher <joerg@i2audio.com>
+Date: Tue, 19 May 2020 13:56:17 +0200
+Subject: [PATCH] Switch to snd_soc_dai_set_bclk_ratio Replaces
+ obsolete function snd_soc_dai_set_tdm_slot
+
+Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
+---
+ sound/soc/bcm/hifiberry_dacplusadcpro.c | 13 +++----------
+ 1 file changed, 3 insertions(+), 10 deletions(-)
+
+--- a/sound/soc/bcm/hifiberry_dacplusadcpro.c
++++ b/sound/soc/bcm/hifiberry_dacplusadcpro.c
+@@ -406,21 +406,14 @@ static int snd_rpi_hifiberry_dacplusadcp
+                       return ret;
+       }
+-      ret = snd_soc_dai_set_tdm_slot(rtd->cpu_dai, 0x03, 0x03,
+-              channels, width);
++      ret = snd_soc_dai_set_bclk_ratio(rtd->cpu_dai, channels * width);
+       if (ret)
+               return ret;
+-      ret = snd_soc_dai_set_tdm_slot(rtd->codec_dais[0], 0x03, 0x03,
+-              channels, width);
++      ret = snd_soc_dai_set_bclk_ratio(rtd->codec_dais[0], channels * width);
+       if (ret)
+               return ret;
+-      ret = snd_soc_dai_set_tdm_slot(rtd->codec_dais[1], 0x03, 0x03,
+-              channels, width);
+-      if (ret)
+-              return ret;
+-
+       if (snd_rpi_hifiberry_is_dacpro && ops->hw_params)
+-                      ret = ops->hw_params(substream, params, dai);
++              ret = ops->hw_params(substream, params, dai);
+       return ret;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0740-media-bcm2835-unicam-Always-service-interrupts.patch b/target/linux/bcm27xx/patches-5.4/950-0740-media-bcm2835-unicam-Always-service-interrupts.patch
deleted file mode 100644 (file)
index 378824c..0000000
+++ /dev/null
@@ -1,51 +0,0 @@
-From b52dee833768d1cb3572bf8269baeda077d0e41b Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Wed, 13 May 2020 18:28:27 +0100
-Subject: [PATCH] media: bcm2835-unicam: Always service interrupts
-
-From when bringing up the driver, there was a check in the isr
-to ignore interrupts (claiming them handled) should the driver
-not be streaming.
-
-The VPU now will not register a camera driver if it finds a
-CSI2 node enabled in device tree, therefore this flawed check is
-redundant.
-
-https://github.com/raspberrypi/linux/issues/3602
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/media/platform/bcm2835/bcm2835-unicam.c | 15 ---------------
- 1 file changed, 15 deletions(-)
-
---- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -766,12 +766,6 @@ static int unicam_all_nodes_streaming(st
-       return ret;
- }
--static int unicam_all_nodes_disabled(struct unicam_device *dev)
--{
--      return !dev->node[IMAGE_PAD].streaming &&
--             !dev->node[METADATA_PAD].streaming;
--}
--
- static void unicam_queue_event_sof(struct unicam_device *unicam)
- {
-       struct v4l2_event event = {
-@@ -801,15 +795,6 @@ static irqreturn_t unicam_isr(int irq, v
-       u64 ts;
-       int i;
--      /*
--       * Don't service interrupts if not streaming.
--       * Avoids issues if the VPU should enable the
--       * peripheral without the kernel knowing (that
--       * shouldn't happen, but causes issues if it does).
--       */
--      if (unicam_all_nodes_disabled(unicam))
--              return IRQ_HANDLED;
--
-       sta = reg_read(cfg, UNICAM_STA);
-       /* Write value back to clear the interrupts */
-       reg_write(cfg, UNICAM_STA, sta);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0741-media-bcm2835-unicam-Retain-packing-information-on-G.patch b/target/linux/bcm27xx/patches-5.4/950-0741-media-bcm2835-unicam-Retain-packing-information-on-G.patch
new file mode 100644 (file)
index 0000000..1e0051b
--- /dev/null
@@ -0,0 +1,48 @@
+From de4d7e44c08c2768de4b638af09072c80f1c3fa1 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 19 May 2020 11:46:47 +0100
+Subject: [PATCH] media: bcm2835-unicam: Retain packing information on
+ G_FMT
+
+The change to retrieve the pixel format always on g_fmt didn't
+check whether the native or unpacked version of the format
+had been requested, and always returned the packed one.
+Correct this so that the packing setting is retained whereever
+possible.
+
+Fixes "9d59e89 media: bcm2835-unicam: Re-fetch mbus code from subdev
+on a g_fmt call"
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../media/platform/bcm2835/bcm2835-unicam.c   | 19 +++++++++++++++++--
+ 1 file changed, 17 insertions(+), 2 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -974,8 +974,23 @@ static int unicam_g_fmt_vid_cap(struct f
+       if (!fmt)
+               return -EINVAL;
+-      node->fmt = fmt;
+-      node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
++      if (node->fmt != fmt) {
++              /*
++               * The sensor format has changed so the pixelformat needs to
++               * be updated. Try and retain the packed/unpacked choice if
++               * at all possible.
++               */
++              if (node->fmt->repacked_fourcc ==
++                                              node->v_fmt.fmt.pix.pixelformat)
++                      /* Using the repacked format */
++                      node->v_fmt.fmt.pix.pixelformat = fmt->repacked_fourcc;
++              else
++                      /* Using the native format */
++                      node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
++
++              node->fmt = fmt;
++      }
++
+       *f = node->v_fmt;
+       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0741-sc16is7xx-Fix-for-hardware-flow-control.patch b/target/linux/bcm27xx/patches-5.4/950-0741-sc16is7xx-Fix-for-hardware-flow-control.patch
deleted file mode 100644 (file)
index 3ff77bc..0000000
+++ /dev/null
@@ -1,70 +0,0 @@
-From 41ed4262b7398a3170399af81cf78cb8d7dd8b8d Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Wed, 13 May 2020 20:10:15 +0100
-Subject: [PATCH] sc16is7xx: Fix for hardware flow control
-
-The SC16IS7XX hardware flow control is mishandled by the driver in
-a number of ways:
-
-  1. The set_baud method accidentally clears it when setting EFR bit.
-  2. Even though hardware flow control is enabled, it isn't indicated
-     back to the serial framework.
-  3. Applying the flow control clears the EFR bit.
-  4. The CTS support is not indicated in the return from
-     sc16is7xx_get_mctrl.
-
-Address all of those issues using a mixture of patches found on the
-linked pages.
-
-See: https://github.com/raspberrypi/linux/issues/2542
-See: https://www.spinics.net/lists/linux-serial/msg21794.html
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- drivers/tty/serial/sc16is7xx.c | 14 ++++++++++----
- 1 file changed, 10 insertions(+), 4 deletions(-)
-
---- a/drivers/tty/serial/sc16is7xx.c
-+++ b/drivers/tty/serial/sc16is7xx.c
-@@ -523,8 +523,9 @@ static int sc16is7xx_set_baud(struct uar
-       /* Enable enhanced features */
-       regcache_cache_bypass(s->regmap, true);
--      sc16is7xx_port_write(port, SC16IS7XX_EFR_REG,
--                           SC16IS7XX_EFR_ENABLE_BIT);
-+      sc16is7xx_port_update(port, SC16IS7XX_EFR_REG,
-+                            SC16IS7XX_EFR_ENABLE_BIT,
-+                            SC16IS7XX_EFR_ENABLE_BIT);
-       regcache_cache_bypass(s->regmap, false);
-       /* Put LCR back to the normal mode */
-@@ -846,7 +847,7 @@ static unsigned int sc16is7xx_get_mctrl(
-       /* DCD and DSR are not wired and CTS/RTS is handled automatically
-        * so just indicate DSR and CAR asserted
-        */
--      return TIOCM_DSR | TIOCM_CAR;
-+      return TIOCM_DSR | TIOCM_CAR | TIOCM_RI | TIOCM_CTS;
- }
- static void sc16is7xx_set_mctrl(struct uart_port *port, unsigned int mctrl)
-@@ -933,14 +934,19 @@ static void sc16is7xx_set_termios(struct
-       regcache_cache_bypass(s->regmap, true);
-       sc16is7xx_port_write(port, SC16IS7XX_XON1_REG, termios->c_cc[VSTART]);
-       sc16is7xx_port_write(port, SC16IS7XX_XOFF1_REG, termios->c_cc[VSTOP]);
--      if (termios->c_cflag & CRTSCTS)
-+      if (termios->c_cflag & CRTSCTS) {
-               flow |= SC16IS7XX_EFR_AUTOCTS_BIT |
-                       SC16IS7XX_EFR_AUTORTS_BIT;
-+              port->status |= UPSTAT_AUTOCTS;
-+      };
-       if (termios->c_iflag & IXON)
-               flow |= SC16IS7XX_EFR_SWFLOW3_BIT;
-       if (termios->c_iflag & IXOFF)
-               flow |= SC16IS7XX_EFR_SWFLOW1_BIT;
-+      /* Always set enable enhanced */
-+      flow |= SC16IS7XX_EFR_ENABLE_BIT;
-+
-       sc16is7xx_port_write(port, SC16IS7XX_EFR_REG, flow);
-       regcache_cache_bypass(s->regmap, false);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0742-drm-vc4-Fix-VIC-usage-with-Broadcast-RGB.patch b/target/linux/bcm27xx/patches-5.4/950-0742-drm-vc4-Fix-VIC-usage-with-Broadcast-RGB.patch
deleted file mode 100644 (file)
index 611e5db..0000000
+++ /dev/null
@@ -1,58 +0,0 @@
-From a90dcdf7cf7ad632f5a260758a76e406403a7e3c Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Thu, 14 May 2020 14:44:15 +0100
-Subject: [PATCH] drm/vc4: Fix VIC usage with Broadcast RGB
-
-Adding the Broadcast RGB range selection broke the VIC
-field of the AVI infoframes on HDMI, zeroing them for all
-modes on an HDMI monitor.
-
-Correct this so that it is only zeroed if the range is
-contrary to the standard range of the mode.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_firmware_kms.c | 19 ++++++++++++-------
- 1 file changed, 12 insertions(+), 7 deletions(-)
-
---- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-+++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
-@@ -936,19 +936,14 @@ static void vc4_crtc_mode_set_nofb(struc
-               break;
-       }
-+      mb.timings.video_id_code = frame.avi.video_code;
-+
-       if (!vc4_encoder->hdmi_monitor) {
-               mb.timings.flags |= TIMINGS_FLAGS_DVI;
--              mb.timings.video_id_code = frame.avi.video_code;
-       } else {
-               struct vc4_fkms_connector_state *conn_state =
-                       to_vc4_fkms_connector_state(vc4_crtc->connector->state);
--              /* Do not provide a VIC as the HDMI spec requires that we do not
--               * signal the opposite of the defined range in the AVI
--               * infoframe.
--               */
--              mb.timings.video_id_code = 0;
--
-               if (conn_state->broadcast_rgb == VC4_BROADCAST_RGB_AUTO) {
-                       /* See CEA-861-E - 5.1 Default Encoding Parameters */
-                       if (drm_default_rgb_quant_range(mode) ==
-@@ -958,6 +953,16 @@ static void vc4_crtc_mode_set_nofb(struc
-                       if (conn_state->broadcast_rgb ==
-                                               VC4_BROADCAST_RGB_LIMITED)
-                               mb.timings.flags |= TIMINGS_FLAGS_RGB_LIMITED;
-+
-+                      /* If not using the default range, then do not provide
-+                       * a VIC as the HDMI spec requires that we do not
-+                       * signal the opposite of the defined range in the AVI
-+                       * infoframe.
-+                       */
-+                      if (!!(mb.timings.flags & TIMINGS_FLAGS_RGB_LIMITED) !=
-+                          (drm_default_rgb_quant_range(mode) ==
-+                                      HDMI_QUANTIZATION_RANGE_LIMITED))
-+                              mb.timings.video_id_code = 0;
-               }
-       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0742-zswap-Defer-zswap-initialisation.patch b/target/linux/bcm27xx/patches-5.4/950-0742-zswap-Defer-zswap-initialisation.patch
new file mode 100644 (file)
index 0000000..124a1d9
--- /dev/null
@@ -0,0 +1,115 @@
+From 66e0ea531f4975fed5899a2cbbfa3986fca40680 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 5 May 2020 15:23:32 +0100
+Subject: [PATCH] zswap: Defer zswap initialisation
+
+Enabling zswap support in the kernel configuration costs about 1.5MB
+of RAM, even when zswap is not enabled at runtime. This cost can be
+reduced significantly by deferring initialisation (including pool
+creation) until the "enabled" parameter is set to true. There is a
+small cost to this in that some initialisation code has to remain in
+memory after the init phase, just in case they are needed later,
+but the total size increase is negligible.
+
+See: https://github.com/raspberrypi/linux/pull/3432
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ mm/zswap.c | 48 ++++++++++++++++++++++++++++--------------------
+ 1 file changed, 28 insertions(+), 20 deletions(-)
+
+--- a/mm/zswap.c
++++ b/mm/zswap.c
+@@ -564,8 +564,9 @@ error:
+       return NULL;
+ }
+-static __init struct zswap_pool *__zswap_pool_create_fallback(void)
++static bool zswap_try_pool_create(void)
+ {
++      struct zswap_pool *pool;
+       bool has_comp, has_zpool;
+       has_comp = crypto_has_comp(zswap_compressor, 0, 0);
+@@ -599,9 +600,21 @@ static __init struct zswap_pool *__zswap
+       }
+       if (!has_comp || !has_zpool)
+-              return NULL;
++              return false;
++
++      pool = zswap_pool_create(zswap_zpool_type, zswap_compressor);
++
++      if (pool) {
++              pr_info("loaded using pool %s/%s\n", pool->tfm_name,
++                      zpool_get_type(pool->zpool));
++              list_add(&pool->list, &zswap_pools);
++              zswap_has_pool = true;
++      } else {
++              pr_err("pool creation failed\n");
++              zswap_enabled = false;
++      }
+-      return zswap_pool_create(zswap_zpool_type, zswap_compressor);
++      return zswap_enabled;
+ }
+ static void zswap_pool_destroy(struct zswap_pool *pool)
+@@ -773,16 +786,19 @@ static int zswap_zpool_param_set(const c
+ static int zswap_enabled_param_set(const char *val,
+                                  const struct kernel_param *kp)
+ {
++      int ret;
++
+       if (zswap_init_failed) {
+               pr_err("can't enable, initialization failed\n");
+               return -ENODEV;
+       }
+-      if (!zswap_has_pool && zswap_init_started) {
+-              pr_err("can't enable, no pool configured\n");
+-              return -ENODEV;
+-      }
+-      return param_set_bool(val, kp);
++      ret = param_set_bool(val, kp);
++      if (!ret && zswap_enabled && zswap_init_started && !zswap_has_pool)
++              if (!zswap_try_pool_create())
++                      ret = -ENODEV;
++
++      return ret;
+ }
+ /*********************************
+@@ -1297,7 +1313,6 @@ static void __exit zswap_debugfs_exit(vo
+ **********************************/
+ static int __init init_zswap(void)
+ {
+-      struct zswap_pool *pool;
+       int ret;
+       zswap_init_started = true;
+@@ -1321,20 +1336,13 @@ static int __init init_zswap(void)
+       if (ret)
+               goto hp_fail;
+-      pool = __zswap_pool_create_fallback();
+-      if (pool) {
+-              pr_info("loaded using pool %s/%s\n", pool->tfm_name,
+-                      zpool_get_type(pool->zpool));
+-              list_add(&pool->list, &zswap_pools);
+-              zswap_has_pool = true;
+-      } else {
+-              pr_err("pool creation failed\n");
+-              zswap_enabled = false;
+-      }
+-
+       frontswap_register_ops(&zswap_frontswap_ops);
+       if (zswap_debugfs_init())
+               pr_warn("debugfs initialization failed\n");
++
++      if (zswap_enabled)
++              zswap_try_pool_create();
++
+       return 0;
+ hp_fail:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0743-drm-vc4-Adopt-the-dma-configuration-from-the-HVS-or-.patch b/target/linux/bcm27xx/patches-5.4/950-0743-drm-vc4-Adopt-the-dma-configuration-from-the-HVS-or-.patch
new file mode 100644 (file)
index 0000000..2c9449d
--- /dev/null
@@ -0,0 +1,54 @@
+From 13c11f40ded81f258178936e9fa22788e59b82cf Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 19 May 2020 14:54:28 +0100
+Subject: [PATCH] drm/vc4: Adopt the dma configuration from the HVS or
+ V3D component
+
+vc4_drv isn't necessarily under the /soc node in DT as it is a
+virtual device, but it is the one that does the allocations.
+The DMA addresses are consumed by primarily the HVS or V3D, and
+those require VideoCore cache alias address mapping, and so will be
+under /soc.
+
+During probe find the a suitable device node for HVS or V3D,
+and adopt the DMA configuration of that node.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_drv.c | 18 ++++++++++++++++++
+ 1 file changed, 18 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_drv.c
++++ b/drivers/gpu/drm/vc4/vc4_drv.c
+@@ -249,6 +249,14 @@ static void vc4_match_add_drivers(struct
+       }
+ }
++const struct of_device_id vc4_dma_range_matches[] = {
++      { .compatible = "brcm,bcm2835-hvs" },
++      { .compatible = "brcm,bcm2835-v3d" },
++      { .compatible = "brcm,cygnus-v3d" },
++      { .compatible = "brcm,vc4-v3d" },
++      {}
++};
++
+ static int vc4_drm_bind(struct device *dev)
+ {
+       struct platform_device *pdev = to_platform_device(dev);
+@@ -269,6 +277,16 @@ static int vc4_drm_bind(struct device *d
+               vc4_drm_driver.driver_features &= ~DRIVER_RENDER;
+       of_node_put(node);
++      node = of_find_matching_node_and_match(NULL, vc4_dma_range_matches,
++                                             NULL);
++      if (node) {
++              ret = of_dma_configure(dev, node, true);
++              of_node_put(node);
++
++              if (ret)
++                      return ret;
++      }
++
+       drm = drm_dev_alloc(&vc4_drm_driver, dev);
+       if (IS_ERR(drm))
+               return PTR_ERR(drm);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0743-staging-vc04_services-mmal-vchiq-Update-parameters-l.patch b/target/linux/bcm27xx/patches-5.4/950-0743-staging-vc04_services-mmal-vchiq-Update-parameters-l.patch
deleted file mode 100644 (file)
index fd878ef..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From d121fed62ecf09a60c98fb5e8cb54596a1794622 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Fri, 15 May 2020 13:42:10 +0100
-Subject: [PATCH] staging: vc04_services: mmal-vchiq: Update parameters
- list
-
-Adds in a couple of new MMAL parameter defines.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h | 6 ++++++
- 1 file changed, 6 insertions(+)
-
---- a/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
-+++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
-@@ -668,6 +668,12 @@ enum mmal_parameter_video_type {
-       /**< Takes a @ref MMAL_PARAMETER_UINT32_T */
-       MMAL_PARAMETER_VIDEO_DROPPABLE_PFRAME_LENGTH,
-+
-+      /**< Take a @ref MMAL_PARAMETER_VIDEO_STALL_T */
-+      MMAL_PARAMETER_VIDEO_STALL_THRESHOLD,
-+
-+      /**< Take a @ref MMAL_PARAMETER_BOOLEAN_T */
-+      MMAL_PARAMETER_VIDEO_ENCODE_HEADERS_WITH_FRAME,
- };
- /** Valid mirror modes */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0744-drm-vc4-Add-FKMS-as-an-acceptable-node-for-dma-range.patch b/target/linux/bcm27xx/patches-5.4/950-0744-drm-vc4-Add-FKMS-as-an-acceptable-node-for-dma-range.patch
new file mode 100644 (file)
index 0000000..127c5ae
--- /dev/null
@@ -0,0 +1,27 @@
+From 971a2bb14b459819db1bda8fcdf953e493242b42 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 19 May 2020 16:20:30 +0100
+Subject: [PATCH] drm/vc4: Add FKMS as an acceptable node for dma
+ ranges.
+
+Under FKMS, the firmware (via FKMS) also requires the VideoCore cache
+aliases for image planes, as defined by the dma-ranges under /soc.
+
+Add rpi-firmware-kms to the list of acceptable nodes to look for
+to copy dma config from.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_drv.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_drv.c
++++ b/drivers/gpu/drm/vc4/vc4_drv.c
+@@ -251,6 +251,7 @@ static void vc4_match_add_drivers(struct
+ const struct of_device_id vc4_dma_range_matches[] = {
+       { .compatible = "brcm,bcm2835-hvs" },
++      { .compatible = "raspberrypi,rpi-firmware-kms" },
+       { .compatible = "brcm,bcm2835-v3d" },
+       { .compatible = "brcm,cygnus-v3d" },
+       { .compatible = "brcm,vc4-v3d" },
diff --git a/target/linux/bcm27xx/patches-5.4/950-0744-staging-vc04_services-bcm2835-codec-Request-headers-.patch b/target/linux/bcm27xx/patches-5.4/950-0744-staging-vc04_services-bcm2835-codec-Request-headers-.patch
deleted file mode 100644 (file)
index 7698f82..0000000
+++ /dev/null
@@ -1,29 +0,0 @@
-From 2822134c5f5c03893706df64625f3390792bb68a Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Fri, 15 May 2020 13:43:08 +0100
-Subject: [PATCH] staging:vc04_services: bcm2835-codec: Request headers
- with I-frame
-
-V4L2 wishes to have the codec header bytes in the same buffer as the
-first encoded frame, so it does become 1-in 1-out for encoding.
-The firmware now has an option to do this, so enable it.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c | 5 +++++
- 1 file changed, 5 insertions(+)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -1967,6 +1967,11 @@ static int bcm2835_codec_create_componen
-                                       MMAL_PARAMETER_VIDEO_ENCODE_SPS_TIMING,
-                                       &param, sizeof(param));
-+              /* Enable inserting headers into the first frame */
-+              vchiq_mmal_port_parameter_set(ctx->dev->instance,
-+                                            &ctx->component->control,
-+                                            MMAL_PARAMETER_VIDEO_ENCODE_HEADERS_WITH_FRAME,
-+                                            &param, sizeof(param));
-       } else {
-               if (ctx->q_data[V4L2_M2M_DST].sizeimage <
-                       ctx->component->output[0].minimum_buffer.size)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0745-media-i2c-imx477-Return-correct-result-on-sensor-id-.patch b/target/linux/bcm27xx/patches-5.4/950-0745-media-i2c-imx477-Return-correct-result-on-sensor-id-.patch
new file mode 100644 (file)
index 0000000..4585d2d
--- /dev/null
@@ -0,0 +1,25 @@
+From 7048ac9fd3b918d83a71caf5c94bb061a2866794 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Tue, 19 May 2020 16:56:33 +0100
+Subject: [PATCH] media: i2c: imx477: Return correct result on sensor
+ id verification
+
+The test should return -EIO if the register read id does not match
+the expected sensor id.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/i2c/imx477.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/media/i2c/imx477.c
++++ b/drivers/media/i2c/imx477.c
+@@ -1919,7 +1919,7 @@ static int imx477_identify_module(struct
+       if (val != IMX477_CHIP_ID) {
+               dev_err(&client->dev, "chip id mismatch: %x!=%x\n",
+                       IMX477_CHIP_ID, val);
+-              ret = -EINVAL;
++              return -EIO;
+       }
+       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0745-staging-vc04_services-bcm2835-codec-Avoid-fragmentin.patch b/target/linux/bcm27xx/patches-5.4/950-0745-staging-vc04_services-bcm2835-codec-Avoid-fragmentin.patch
deleted file mode 100644 (file)
index da273ca..0000000
+++ /dev/null
@@ -1,32 +0,0 @@
-From 39956d31d19658af7a0759e05366d4bc1c04a50a Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Fri, 15 May 2020 13:47:13 +0100
-Subject: [PATCH] staging:vc04_services: bcm2835-codec: Avoid
- fragmenting buffers
-
-The firmware by default is quite happy to fragment encoded
-frames as the original MMAL and IL APIs support this.
-V4L2 doesn't, so we need to enable the firmware option to avoid this.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c      | 8 ++++++++
- 1 file changed, 8 insertions(+)
-
---- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-+++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
-@@ -1972,6 +1972,14 @@ static int bcm2835_codec_create_componen
-                                             &ctx->component->control,
-                                             MMAL_PARAMETER_VIDEO_ENCODE_HEADERS_WITH_FRAME,
-                                             &param, sizeof(param));
-+              /*
-+               * Avoid fragmenting the buffers over multiple frames (unless
-+               * the frame is bigger than the whole buffer)
-+               */
-+              vchiq_mmal_port_parameter_set(ctx->dev->instance,
-+                                            &ctx->component->control,
-+                                            MMAL_PARAMETER_MINIMISE_FRAGMENTATION,
-+                                            &param, sizeof(param));
-       } else {
-               if (ctx->q_data[V4L2_M2M_DST].sizeimage <
-                       ctx->component->output[0].minimum_buffer.size)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0746-staging-vc04_services-bcm2835-camera-Request-headers.patch b/target/linux/bcm27xx/patches-5.4/950-0746-staging-vc04_services-bcm2835-camera-Request-headers.patch
deleted file mode 100644 (file)
index 5d400e2..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-From 1dbe31a80537e398865442562fd21d4cb3fa6dcc Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Fri, 15 May 2020 13:48:59 +0100
-Subject: [PATCH] staging:vc04_services: bcm2835-camera: Request
- headers with I-frame
-
-V4L2 wishes to have the codec header bytes in the same buffer as the
-first encoded frame, so it does become 1-in 1-out for encoding.
-The firmware now has an option to do this, so enable it.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- .../staging/vc04_services/bcm2835-camera/bcm2835-camera.c   | 6 ++++++
- 1 file changed, 6 insertions(+)
-
---- a/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
-+++ b/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
-@@ -1762,6 +1762,12 @@ static int mmal_init(struct bm2835_mmal_
-                                             MMAL_PARAMETER_MINIMISE_FRAGMENTATION,
-                                             &enable,
-                                             sizeof(enable));
-+
-+              /* Enable inserting headers into the first frame */
-+              vchiq_mmal_port_parameter_set(dev->instance,
-+                                            &dev->component[COMP_VIDEO_ENCODE]->control,
-+                                            MMAL_PARAMETER_VIDEO_ENCODE_HEADERS_WITH_FRAME,
-+                                            &enable, sizeof(enable));
-       }
-       ret = bm2835_mmal_set_all_camera_controls(dev);
-       if (ret < 0) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0746-staging-vchiq_arm-Clean-up-40-bit-DMA-support.patch b/target/linux/bcm27xx/patches-5.4/950-0746-staging-vchiq_arm-Clean-up-40-bit-DMA-support.patch
new file mode 100644 (file)
index 0000000..1dac504
--- /dev/null
@@ -0,0 +1,154 @@
+From d8cbdaa729d5d3e9a1c18150bf4de69335a85a40 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 20 May 2020 16:36:33 +0100
+Subject: [PATCH] staging: vchiq_arm: Clean up 40-bit DMA support
+
+Manage the split between addresses for the VPU and addresses for the
+40-bit DMA controller with a dedicated DMA device pointer that on non-
+BCM2711 platforms is the same as the main VCHIQ device. This allows
+the VCHIQ node to stay in the usual place in the DT, and removes the
+ugly VC_SAFE macros.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ .../interface/vchiq_arm/vchiq_2835_arm.c      | 39 ++++++++++++-------
+ .../interface/vchiq_arm/vchiq_arm.c           | 14 -------
+ 2 files changed, 25 insertions(+), 28 deletions(-)
+
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
+@@ -16,8 +16,6 @@
+ #include <soc/bcm2835/raspberrypi-firmware.h>
+ #define TOTAL_SLOTS (VCHIQ_SLOT_ZERO_SLOTS + 2 * 32)
+-#define VC_SAFE(x) (g_use_36bit_addrs ? ((u32)(x) | 0xc0000000) : (u32)(x))
+-#define IS_VC_SAFE(x) (g_use_36bit_addrs ? !((x) & ~0x3fffffffull) : 1)
+ #include "vchiq_arm.h"
+ #include "vchiq_connected.h"
+@@ -71,6 +69,7 @@ static char *g_fragments_base;
+ static char *g_free_fragments;
+ static struct semaphore g_free_fragments_sema;
+ static struct device *g_dev;
++static struct device *g_dma_dev;
+ static DEFINE_SEMAPHORE(g_free_fragments_mutex);
+@@ -87,6 +86,7 @@ free_pagelist(struct vchiq_pagelist_info
+ int vchiq_platform_init(struct platform_device *pdev, struct vchiq_state *state)
+ {
+       struct device *dev = &pdev->dev;
++      struct device *dma_dev = NULL;
+       struct vchiq_drvdata *drvdata = platform_get_drvdata(pdev);
+       struct rpi_firmware *fw = drvdata->fw;
+       struct vchiq_slot_zero *vchiq_slot_zero;
+@@ -109,7 +109,23 @@ int vchiq_platform_init(struct platform_
+       g_cache_line_size = drvdata->cache_line_size;
+       g_fragments_size = 2 * g_cache_line_size;
+-      g_use_36bit_addrs = (dev->dma_pfn_offset == 0);
++      if (drvdata->use_36bit_addrs) {
++              struct device_node *dma_node =
++                      of_find_compatible_node(NULL, NULL, "brcm,bcm2711-dma");
++
++              if (dma_node) {
++                      struct platform_device *pdev;
++
++                      pdev = of_find_device_by_node(dma_node);
++                      if (pdev)
++                              dma_dev = &pdev->dev;
++                      of_node_put(dma_node);
++                      g_use_36bit_addrs = true;
++              } else {
++                      dev_err(dev, "40-bit DMA controller not found\n");
++                      return -EINVAL;
++              }
++      }
+       /* Allocate space for the channels in coherent memory */
+       slot_mem_size = PAGE_ALIGN(TOTAL_SLOTS * VCHIQ_SLOT_SIZE);
+@@ -122,14 +138,8 @@ int vchiq_platform_init(struct platform_
+               return -ENOMEM;
+       }
+-      if (!IS_VC_SAFE(slot_phys)) {
+-              dev_err(dev, "allocated DMA memory %pad is not VC-safe\n",
+-                      &slot_phys);
+-              return -ENOMEM;
+-      }
+-
+       WARN_ON(((unsigned long)slot_mem & (PAGE_SIZE - 1)) != 0);
+-      channelbase = VC_SAFE(slot_phys);
++      channelbase = slot_phys;
+       vchiq_slot_zero = vchiq_init_slots(slot_mem, slot_mem_size);
+       if (!vchiq_slot_zero)
+@@ -178,6 +188,7 @@ int vchiq_platform_init(struct platform_
+       }
+       g_dev = dev;
++      g_dma_dev = dma_dev ?: dev;
+       g_dma_pool = dmam_pool_create("vchiq_scatter_pool", dev,
+                                     VCHIQ_DMA_POOL_SIZE, g_cache_line_size,
+                                     0);
+@@ -255,7 +266,7 @@ vchiq_prepare_bulk_data(struct vchiq_bul
+       if (!pagelistinfo)
+               return VCHIQ_ERROR;
+-      bulk->data = (void *)(uintptr_t)VC_SAFE(pagelistinfo->dma_addr);
++      bulk->data = (void *)(uintptr_t)pagelistinfo->dma_addr;
+       /*
+        * Store the pagelistinfo address in remote_data,
+@@ -354,7 +365,7 @@ static void
+ cleanup_pagelistinfo(struct vchiq_pagelist_info *pagelistinfo)
+ {
+       if (pagelistinfo->scatterlist_mapped) {
+-              dma_unmap_sg(g_dev, pagelistinfo->scatterlist,
++              dma_unmap_sg(g_dma_dev, pagelistinfo->scatterlist,
+                            pagelistinfo->num_pages, pagelistinfo->dma_dir);
+       }
+@@ -519,7 +530,7 @@ create_pagelist(char __user *buf, size_t
+               count -= len;
+       }
+-      dma_buffers = dma_map_sg(g_dev,
++      dma_buffers = dma_map_sg(g_dma_dev,
+                                scatterlist,
+                                num_pages,
+                                pagelistinfo->dma_dir);
+@@ -569,7 +580,7 @@ create_pagelist(char __user *buf, size_t
+       } else {
+               for_each_sg(scatterlist, sg, dma_buffers, i) {
+                       u32 len = sg_dma_len(sg);
+-                      u32 addr = VC_SAFE(sg_dma_address(sg));
++                      u32 addr = sg_dma_address(sg);
+                       u32 new_pages = (len + PAGE_SIZE - 1) >> PAGE_SHIFT;
+                       /* Note: addrs is the address + page_count - 1
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
+@@ -3205,22 +3205,8 @@ vchiq_register_child(struct platform_dev
+       child->dev.of_node = np;
+-      /*
+-       * We want the dma-ranges etc to be copied from a device with the
+-       * correct dma-ranges for the VPU.
+-       * VCHIQ on Pi4 is now under scb which doesn't get those dma-ranges.
+-       * Take the "dma" node as going to be suitable as it sees the world
+-       * through the same eyes as the VPU.
+-       */
+-      np = of_find_node_by_path("dma");
+-      if (!np)
+-              np = pdev->dev.of_node;
+-
+       of_dma_configure(&child->dev, np, true);
+-      if (np != pdev->dev.of_node)
+-              of_node_put(np);
+-
+       return child;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0747-ARM-dts-Update-for-new-VCHIQ-BCM2711-DMA-support.patch b/target/linux/bcm27xx/patches-5.4/950-0747-ARM-dts-Update-for-new-VCHIQ-BCM2711-DMA-support.patch
new file mode 100644 (file)
index 0000000..947fb73
--- /dev/null
@@ -0,0 +1,67 @@
+From 79495a5ecdfba69de51e88701a69c42d09806d84 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 20 May 2020 16:36:57 +0100
+Subject: [PATCH] ARM: dts: Update for new VCHIQ BCM2711 DMA support
+
+Now that the enhanced BCM2711 DMA controller is located by compatible
+string and used directly for generating bulk transfer addresses,
+remove the workaround of moving the vchiq node.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 23 ++++-------------------
+ 1 file changed, 4 insertions(+), 19 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -4,7 +4,6 @@
+ / {
+       soc {
+               /delete-node/ v3d@7ec00000;
+-              /delete-node/ mailbox@7e00b840;
+       };
+       __overrides__ {
+@@ -88,12 +87,6 @@
+               brcm,dma-channel-mask = <0x7800>;
+       };
+-      vchiq: mailbox@7e00b840 {
+-              compatible = "brcm,bcm2711-vchiq";
+-              reg = <0 0x7e00b840  0x0 0x3c>;
+-              interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
+-      };
+-
+       xhci: xhci@7e9c0000 {
+               compatible = "generic-xhci";
+               status = "disabled";
+@@ -127,18 +120,6 @@
+       };
+ };
+-&vchiq {
+-      /* Onboard audio
+-       * This node is replicated because the original from bcm270x-rpi.dtsi
+-       * was deleted when the vchiq node was deleted above.
+-       */
+-      audio: bcm2835_audio {
+-              compatible = "brcm,bcm2835-audio";
+-              brcm,pwm-channels = <8>;
+-              status = "disabled";
+-      };
+-};
+-
+ &dma {
+       /* The VPU firmware uses DMA channel 11 for VCHIQ */
+       brcm,dma-channel-mask = <0x1f5>;
+@@ -149,6 +130,10 @@
+       brcm,dma-channel-mask = <0x7000>;
+ };
++&vchiq {
++      compatible = "brcm,bcm2711-vchiq";
++};
++
+ &firmwarekms {
+       interrupts = <GIC_SPI 112 IRQ_TYPE_LEVEL_HIGH>;
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0747-overlays-Fix-audio-parameter-of-vc4-kms-v3d.patch b/target/linux/bcm27xx/patches-5.4/950-0747-overlays-Fix-audio-parameter-of-vc4-kms-v3d.patch
deleted file mode 100644 (file)
index 4e69e41..0000000
+++ /dev/null
@@ -1,25 +0,0 @@
-From 8882c25c4e92de78d767c541d1115c726f538c77 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Mon, 18 May 2020 09:46:48 +0100
-Subject: [PATCH] overlays: Fix audio parameter of vc4-kms-v3d
-
-The CMA handling change broke the audio parameter - the fragment
-numbering has changed - so fix it.
-
-See: https://github.com/raspberrypi/linux/issues/2489
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/overlays/vc4-kms-v3d-overlay.dts | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/arch/arm/boot/dts/overlays/vc4-kms-v3d-overlay.dts
-+++ b/arch/arm/boot/dts/overlays/vc4-kms-v3d-overlay.dts
-@@ -109,6 +109,6 @@
-       };
-       __overrides__ {
--              audio   = <0>,"!17";
-+              audio   = <0>,"!13";
-       };
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0748-Switch-to-snd_soc_dai_set_bclk_ratio.patch b/target/linux/bcm27xx/patches-5.4/950-0748-Switch-to-snd_soc_dai_set_bclk_ratio.patch
deleted file mode 100644 (file)
index b104f00..0000000
+++ /dev/null
@@ -1,38 +0,0 @@
-From 2e5f704305c97c5ae26420f61c0242c151c91533 Mon Sep 17 00:00:00 2001
-From: j-schambacher <joerg@i2audio.com>
-Date: Tue, 19 May 2020 13:56:17 +0200
-Subject: [PATCH] Switch to snd_soc_dai_set_bclk_ratio Replaces
- obsolete function snd_soc_dai_set_tdm_slot
-
-Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
----
- sound/soc/bcm/hifiberry_dacplusadcpro.c | 13 +++----------
- 1 file changed, 3 insertions(+), 10 deletions(-)
-
---- a/sound/soc/bcm/hifiberry_dacplusadcpro.c
-+++ b/sound/soc/bcm/hifiberry_dacplusadcpro.c
-@@ -406,21 +406,14 @@ static int snd_rpi_hifiberry_dacplusadcp
-                       return ret;
-       }
--      ret = snd_soc_dai_set_tdm_slot(rtd->cpu_dai, 0x03, 0x03,
--              channels, width);
-+      ret = snd_soc_dai_set_bclk_ratio(rtd->cpu_dai, channels * width);
-       if (ret)
-               return ret;
--      ret = snd_soc_dai_set_tdm_slot(rtd->codec_dais[0], 0x03, 0x03,
--              channels, width);
-+      ret = snd_soc_dai_set_bclk_ratio(rtd->codec_dais[0], channels * width);
-       if (ret)
-               return ret;
--      ret = snd_soc_dai_set_tdm_slot(rtd->codec_dais[1], 0x03, 0x03,
--              channels, width);
--      if (ret)
--              return ret;
--
-       if (snd_rpi_hifiberry_is_dacpro && ops->hw_params)
--                      ret = ops->hw_params(substream, params, dai);
-+              ret = ops->hw_params(substream, params, dai);
-       return ret;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0748-media-bcm2835-unicam-change-minimum-number-of-vb2_qu.patch b/target/linux/bcm27xx/patches-5.4/950-0748-media-bcm2835-unicam-change-minimum-number-of-vb2_qu.patch
new file mode 100644 (file)
index 0000000..6f14ffc
--- /dev/null
@@ -0,0 +1,28 @@
+From f70be37d1bd6b68ed38022580b16c972598901e2 Mon Sep 17 00:00:00 2001
+From: David Plowman <david.plowman@raspberrypi.com>
+Date: Thu, 28 May 2020 11:09:48 +0100
+Subject: [PATCH] media: bcm2835-unicam: change minimum number of
+ vb2_queue buffers to 1
+
+Since the unicam driver was modified to write to a dummy buffer when no
+user-supplied buffer is available, it can now write to and return a
+buffer even when there's only a single one. Enable this by changing the
+min_buffers_needed in the vb2_queue; it will be useful for enabling
+still captures without allocating more memory than absolutely necessary.
+
+Signed-off-by: David Plowman <david.plowman@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -2404,7 +2404,7 @@ static int register_node(struct unicam_d
+       q->buf_struct_size = sizeof(struct unicam_buffer);
+       q->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_MONOTONIC;
+       q->lock = &node->lock;
+-      q->min_buffers_needed = 2;
++      q->min_buffers_needed = 1;
+       q->dev = &unicam->pdev->dev;
+       ret = vb2_queue_init(q);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0749-dt-bindings-Add-Broadcom-AVS-RO-thermal.patch b/target/linux/bcm27xx/patches-5.4/950-0749-dt-bindings-Add-Broadcom-AVS-RO-thermal.patch
new file mode 100644 (file)
index 0000000..bf41ba7
--- /dev/null
@@ -0,0 +1,70 @@
+From 5bf715958613e73008ed107182306fb4f37024e5 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <stefan.wahren@i2se.com>
+Date: Mon, 13 Jan 2020 19:56:15 +0100
+Subject: [PATCH] dt-bindings: Add Broadcom AVS RO thermal
+
+Commit ee31ff373da6602e7a4e60fe11322b41ac38eb22 upstream.
+
+Since the BCM2711 doesn't have a AVS TMON block, the thermal information
+must be retrieved from the AVS ring oscillator block. This block is part
+of the AVS monitor which contains a bunch of raw sensors.
+
+Signed-off-by: Stefan Wahren <stefan.wahren@i2se.com>
+Reviewed-by: Rob Herring <robh@kernel.org>
+Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Daniel Lezcano <daniel.lezcano@linaro.org>
+Link: https://lore.kernel.org/r/1578941778-23321-2-git-send-email-stefan.wahren@i2se.com
+Signed-off-by: Chen-Yu Tsai <wens@csie.org>
+---
+ .../bindings/thermal/brcm,avs-ro-thermal.yaml | 45 +++++++++++++++++++
+ 1 file changed, 45 insertions(+)
+ create mode 100644 Documentation/devicetree/bindings/thermal/brcm,avs-ro-thermal.yaml
+
+--- /dev/null
++++ b/Documentation/devicetree/bindings/thermal/brcm,avs-ro-thermal.yaml
+@@ -0,0 +1,45 @@
++# SPDX-License-Identifier: (GPL-2.0-only OR BSD-2-Clause)
++%YAML 1.2
++---
++$id: http://devicetree.org/schemas/thermal/brcm,avs-ro-thermal.yaml#
++$schema: http://devicetree.org/meta-schemas/core.yaml#
++
++title: Broadcom AVS ring oscillator thermal
++
++maintainers:
++  - Stefan Wahren <wahrenst@gmx.net>
++
++description: |+
++  The thermal node should be the child of a syscon node with the
++  required property:
++
++  - compatible: Should be one of the following:
++                "brcm,bcm2711-avs-monitor", "syscon", "simple-mfd"
++
++  Refer to the the bindings described in
++  Documentation/devicetree/bindings/mfd/syscon.txt
++
++properties:
++  compatible:
++    const: brcm,bcm2711-thermal
++
++  reg:
++    maxItems: 1
++
++required:
++  - compatible
++  - reg
++
++examples:
++  - |
++        avs-monitor@7d5d2000 {
++                compatible = "brcm,bcm2711-avs-monitor",
++                             "syscon", "simple-mfd";
++                reg = <0x7d5d2000 0xf00>;
++
++                thermal: thermal {
++                        compatible = "brcm,bcm2711-thermal";
++                        #thermal-sensor-cells = <0>;
++                };
++        };
++...
diff --git a/target/linux/bcm27xx/patches-5.4/950-0749-media-bcm2835-unicam-Retain-packing-information-on-G.patch b/target/linux/bcm27xx/patches-5.4/950-0749-media-bcm2835-unicam-Retain-packing-information-on-G.patch
deleted file mode 100644 (file)
index 1e0051b..0000000
+++ /dev/null
@@ -1,48 +0,0 @@
-From de4d7e44c08c2768de4b638af09072c80f1c3fa1 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Tue, 19 May 2020 11:46:47 +0100
-Subject: [PATCH] media: bcm2835-unicam: Retain packing information on
- G_FMT
-
-The change to retrieve the pixel format always on g_fmt didn't
-check whether the native or unpacked version of the format
-had been requested, and always returned the packed one.
-Correct this so that the packing setting is retained whereever
-possible.
-
-Fixes "9d59e89 media: bcm2835-unicam: Re-fetch mbus code from subdev
-on a g_fmt call"
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- .../media/platform/bcm2835/bcm2835-unicam.c   | 19 +++++++++++++++++--
- 1 file changed, 17 insertions(+), 2 deletions(-)
-
---- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -974,8 +974,23 @@ static int unicam_g_fmt_vid_cap(struct f
-       if (!fmt)
-               return -EINVAL;
--      node->fmt = fmt;
--      node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
-+      if (node->fmt != fmt) {
-+              /*
-+               * The sensor format has changed so the pixelformat needs to
-+               * be updated. Try and retain the packed/unpacked choice if
-+               * at all possible.
-+               */
-+              if (node->fmt->repacked_fourcc ==
-+                                              node->v_fmt.fmt.pix.pixelformat)
-+                      /* Using the repacked format */
-+                      node->v_fmt.fmt.pix.pixelformat = fmt->repacked_fourcc;
-+              else
-+                      /* Using the native format */
-+                      node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
-+
-+              node->fmt = fmt;
-+      }
-+
-       *f = node->v_fmt;
-       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0750-thermal-Add-BCM2711-thermal-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0750-thermal-Add-BCM2711-thermal-driver.patch
new file mode 100644 (file)
index 0000000..d7e438f
--- /dev/null
@@ -0,0 +1,173 @@
+From 84477b71c184345517625f5c3eccf26a29eac4df Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <stefan.wahren@i2se.com>
+Date: Mon, 13 Jan 2020 19:56:16 +0100
+Subject: [PATCH] thermal: Add BCM2711 thermal driver
+
+Commit 59b781352dc4cb9ae27a8ddae0cda979d29d8af7 upstream.
+
+This adds the thermal sensor driver for the Broadcom BCM2711 SoC,
+which is placed on the Raspberry Pi 4. The driver only provides
+SoC temperature reading so far.
+
+Signed-off-by: Stefan Wahren <stefan.wahren@i2se.com>
+Reviewed-by: Florian Fainelli <f.fainelli@gmail.com>
+Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Daniel Lezcano <daniel.lezcano@linaro.org>
+Link: https://lore.kernel.org/r/1578941778-23321-3-git-send-email-stefan.wahren@i2se.com
+Signed-off-by: Chen-Yu Tsai <wens@csie.org>
+---
+ drivers/thermal/broadcom/Kconfig           |   7 ++
+ drivers/thermal/broadcom/Makefile          |   1 +
+ drivers/thermal/broadcom/bcm2711_thermal.c | 123 +++++++++++++++++++++
+ 3 files changed, 131 insertions(+)
+ create mode 100644 drivers/thermal/broadcom/bcm2711_thermal.c
+
+--- a/drivers/thermal/broadcom/Kconfig
++++ b/drivers/thermal/broadcom/Kconfig
+@@ -1,4 +1,11 @@
+ # SPDX-License-Identifier: GPL-2.0-only
++config BCM2711_THERMAL
++      tristate "Broadcom AVS RO thermal sensor driver"
++      depends on ARCH_BCM2835 || COMPILE_TEST
++      depends on THERMAL_OF && MFD_SYSCON
++      help
++        Support for thermal sensors on Broadcom BCM2711 SoCs.
++
+ config BCM2835_THERMAL
+       tristate "Thermal sensors on bcm2835 SoC"
+       depends on ARCH_BCM2835 || COMPILE_TEST
+--- a/drivers/thermal/broadcom/Makefile
++++ b/drivers/thermal/broadcom/Makefile
+@@ -1,4 +1,5 @@
+ # SPDX-License-Identifier: GPL-2.0-only
++obj-$(CONFIG_BCM2711_THERMAL)         += bcm2711_thermal.o
+ obj-$(CONFIG_BCM2835_THERMAL)         += bcm2835_thermal.o
+ obj-$(CONFIG_BRCMSTB_THERMAL)         += brcmstb_thermal.o
+ obj-$(CONFIG_BCM_NS_THERMAL)          += ns-thermal.o
+--- /dev/null
++++ b/drivers/thermal/broadcom/bcm2711_thermal.c
+@@ -0,0 +1,123 @@
++// SPDX-License-Identifier: GPL-2.0+
++/*
++ * Broadcom AVS RO thermal sensor driver
++ *
++ * based on brcmstb_thermal
++ *
++ * Copyright (C) 2020 Stefan Wahren
++ */
++
++#include <linux/bitops.h>
++#include <linux/clk.h>
++#include <linux/device.h>
++#include <linux/err.h>
++#include <linux/io.h>
++#include <linux/kernel.h>
++#include <linux/mfd/syscon.h>
++#include <linux/module.h>
++#include <linux/platform_device.h>
++#include <linux/of_device.h>
++#include <linux/regmap.h>
++#include <linux/thermal.h>
++
++#include "../thermal_hwmon.h"
++
++#define AVS_RO_TEMP_STATUS            0x200
++#define AVS_RO_TEMP_STATUS_VALID_MSK  (BIT(16) | BIT(10))
++#define AVS_RO_TEMP_STATUS_DATA_MSK   GENMASK(9, 0)
++
++struct bcm2711_thermal_priv {
++      struct regmap *regmap;
++      struct thermal_zone_device *thermal;
++};
++
++static int bcm2711_get_temp(void *data, int *temp)
++{
++      struct bcm2711_thermal_priv *priv = data;
++      int slope = thermal_zone_get_slope(priv->thermal);
++      int offset = thermal_zone_get_offset(priv->thermal);
++      u32 val;
++      int ret;
++      long t;
++
++      ret = regmap_read(priv->regmap, AVS_RO_TEMP_STATUS, &val);
++      if (ret)
++              return ret;
++
++      if (!(val & AVS_RO_TEMP_STATUS_VALID_MSK))
++              return -EIO;
++
++      val &= AVS_RO_TEMP_STATUS_DATA_MSK;
++
++      /* Convert a HW code to a temperature reading (millidegree celsius) */
++      t = slope * val + offset;
++
++      *temp = t < 0 ? 0 : t;
++
++      return 0;
++}
++
++static const struct thermal_zone_of_device_ops bcm2711_thermal_of_ops = {
++      .get_temp       = bcm2711_get_temp,
++};
++
++static const struct of_device_id bcm2711_thermal_id_table[] = {
++      { .compatible = "brcm,bcm2711-thermal" },
++      {},
++};
++MODULE_DEVICE_TABLE(of, bcm2711_thermal_id_table);
++
++static int bcm2711_thermal_probe(struct platform_device *pdev)
++{
++      struct thermal_zone_device *thermal;
++      struct bcm2711_thermal_priv *priv;
++      struct device *dev = &pdev->dev;
++      struct device_node *parent;
++      struct regmap *regmap;
++      int ret;
++
++      priv = devm_kzalloc(dev, sizeof(*priv), GFP_KERNEL);
++      if (!priv)
++              return -ENOMEM;
++
++      /* get regmap from syscon node */
++      parent = of_get_parent(dev->of_node); /* parent should be syscon node */
++      regmap = syscon_node_to_regmap(parent);
++      of_node_put(parent);
++      if (IS_ERR(regmap)) {
++              ret = PTR_ERR(regmap);
++              dev_err(dev, "failed to get regmap: %d\n", ret);
++              return ret;
++      }
++      priv->regmap = regmap;
++
++      thermal = devm_thermal_zone_of_sensor_register(dev, 0, priv,
++                                                     &bcm2711_thermal_of_ops);
++      if (IS_ERR(thermal)) {
++              ret = PTR_ERR(thermal);
++              dev_err(dev, "could not register sensor: %d\n", ret);
++              return ret;
++      }
++
++      priv->thermal = thermal;
++
++      thermal->tzp->no_hwmon = false;
++      ret = thermal_add_hwmon_sysfs(thermal);
++      if (ret)
++              return ret;
++
++      return 0;
++}
++
++static struct platform_driver bcm2711_thermal_driver = {
++      .probe = bcm2711_thermal_probe,
++      .driver = {
++              .name = "bcm2711_thermal",
++              .of_match_table = bcm2711_thermal_id_table,
++      },
++};
++module_platform_driver(bcm2711_thermal_driver);
++
++MODULE_LICENSE("GPL");
++MODULE_AUTHOR("Stefan Wahren");
++MODULE_DESCRIPTION("Broadcom AVS RO thermal sensor driver");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0750-zswap-Defer-zswap-initialisation.patch b/target/linux/bcm27xx/patches-5.4/950-0750-zswap-Defer-zswap-initialisation.patch
deleted file mode 100644 (file)
index 124a1d9..0000000
+++ /dev/null
@@ -1,115 +0,0 @@
-From 66e0ea531f4975fed5899a2cbbfa3986fca40680 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Tue, 5 May 2020 15:23:32 +0100
-Subject: [PATCH] zswap: Defer zswap initialisation
-
-Enabling zswap support in the kernel configuration costs about 1.5MB
-of RAM, even when zswap is not enabled at runtime. This cost can be
-reduced significantly by deferring initialisation (including pool
-creation) until the "enabled" parameter is set to true. There is a
-small cost to this in that some initialisation code has to remain in
-memory after the init phase, just in case they are needed later,
-but the total size increase is negligible.
-
-See: https://github.com/raspberrypi/linux/pull/3432
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- mm/zswap.c | 48 ++++++++++++++++++++++++++++--------------------
- 1 file changed, 28 insertions(+), 20 deletions(-)
-
---- a/mm/zswap.c
-+++ b/mm/zswap.c
-@@ -564,8 +564,9 @@ error:
-       return NULL;
- }
--static __init struct zswap_pool *__zswap_pool_create_fallback(void)
-+static bool zswap_try_pool_create(void)
- {
-+      struct zswap_pool *pool;
-       bool has_comp, has_zpool;
-       has_comp = crypto_has_comp(zswap_compressor, 0, 0);
-@@ -599,9 +600,21 @@ static __init struct zswap_pool *__zswap
-       }
-       if (!has_comp || !has_zpool)
--              return NULL;
-+              return false;
-+
-+      pool = zswap_pool_create(zswap_zpool_type, zswap_compressor);
-+
-+      if (pool) {
-+              pr_info("loaded using pool %s/%s\n", pool->tfm_name,
-+                      zpool_get_type(pool->zpool));
-+              list_add(&pool->list, &zswap_pools);
-+              zswap_has_pool = true;
-+      } else {
-+              pr_err("pool creation failed\n");
-+              zswap_enabled = false;
-+      }
--      return zswap_pool_create(zswap_zpool_type, zswap_compressor);
-+      return zswap_enabled;
- }
- static void zswap_pool_destroy(struct zswap_pool *pool)
-@@ -773,16 +786,19 @@ static int zswap_zpool_param_set(const c
- static int zswap_enabled_param_set(const char *val,
-                                  const struct kernel_param *kp)
- {
-+      int ret;
-+
-       if (zswap_init_failed) {
-               pr_err("can't enable, initialization failed\n");
-               return -ENODEV;
-       }
--      if (!zswap_has_pool && zswap_init_started) {
--              pr_err("can't enable, no pool configured\n");
--              return -ENODEV;
--      }
--      return param_set_bool(val, kp);
-+      ret = param_set_bool(val, kp);
-+      if (!ret && zswap_enabled && zswap_init_started && !zswap_has_pool)
-+              if (!zswap_try_pool_create())
-+                      ret = -ENODEV;
-+
-+      return ret;
- }
- /*********************************
-@@ -1297,7 +1313,6 @@ static void __exit zswap_debugfs_exit(vo
- **********************************/
- static int __init init_zswap(void)
- {
--      struct zswap_pool *pool;
-       int ret;
-       zswap_init_started = true;
-@@ -1321,20 +1336,13 @@ static int __init init_zswap(void)
-       if (ret)
-               goto hp_fail;
--      pool = __zswap_pool_create_fallback();
--      if (pool) {
--              pr_info("loaded using pool %s/%s\n", pool->tfm_name,
--                      zpool_get_type(pool->zpool));
--              list_add(&pool->list, &zswap_pools);
--              zswap_has_pool = true;
--      } else {
--              pr_err("pool creation failed\n");
--              zswap_enabled = false;
--      }
--
-       frontswap_register_ops(&zswap_frontswap_ops);
-       if (zswap_debugfs_init())
-               pr_warn("debugfs initialization failed\n");
-+
-+      if (zswap_enabled)
-+              zswap_try_pool_create();
-+
-       return 0;
- hp_fail:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0751-ARM-dts-bcm2711-Enable-thermal.patch b/target/linux/bcm27xx/patches-5.4/950-0751-ARM-dts-bcm2711-Enable-thermal.patch
new file mode 100644 (file)
index 0000000..3328932
--- /dev/null
@@ -0,0 +1,49 @@
+From 642811db6c894ee89808764d8240aa2b5b7ed4e0 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <stefan.wahren@i2se.com>
+Date: Mon, 13 Jan 2020 19:56:17 +0100
+Subject: [PATCH] ARM: dts: bcm2711: Enable thermal
+
+Commit a1d6989bf12b01cd9198e2c31a96678ac9c47415 upstream.
+
+This enables thermal for the BCM2711 (used on Raspberry Pi 4) by adding
+the AVS monitor and a subnode for the thermal part.
+
+Signed-off-by: Stefan Wahren <stefan.wahren@i2se.com>
+Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
+Signed-off-by: Daniel Lezcano <daniel.lezcano@linaro.org>
+Link: https://lore.kernel.org/r/1578941778-23321-4-git-send-email-stefan.wahren@i2se.com
+Signed-off-by: Chen-Yu Tsai <wens@csie.org>
+---
+ arch/arm/boot/dts/bcm2711.dtsi | 12 ++++++++++++
+ 1 file changed, 12 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -64,6 +64,17 @@
+                                                IRQ_TYPE_LEVEL_HIGH)>;
+               };
++              avs_monitor: avs-monitor@7d5d2000 {
++                      compatible = "brcm,bcm2711-avs-monitor",
++                                   "syscon", "simple-mfd";
++                      reg = <0x7d5d2000 0xf00>;
++
++                      thermal: thermal {
++                              compatible = "brcm,bcm2711-thermal";
++                              #thermal-sensor-cells = <0>;
++                      };
++              };
++
+               dma: dma@7e007000 {
+                       compatible = "brcm,bcm2835-dma";
+                       reg = <0x7e007000 0xb00>;
+@@ -514,6 +525,7 @@
+ &cpu_thermal {
+       coefficients = <(-487) 410040>;
++      thermal-sensors = <&thermal>;
+ };
+ &dsi0 {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0751-drm-vc4-Adopt-the-dma-configuration-from-the-HVS-or-.patch b/target/linux/bcm27xx/patches-5.4/950-0751-drm-vc4-Adopt-the-dma-configuration-from-the-HVS-or-.patch
deleted file mode 100644 (file)
index 2c9449d..0000000
+++ /dev/null
@@ -1,54 +0,0 @@
-From 13c11f40ded81f258178936e9fa22788e59b82cf Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Tue, 19 May 2020 14:54:28 +0100
-Subject: [PATCH] drm/vc4: Adopt the dma configuration from the HVS or
- V3D component
-
-vc4_drv isn't necessarily under the /soc node in DT as it is a
-virtual device, but it is the one that does the allocations.
-The DMA addresses are consumed by primarily the HVS or V3D, and
-those require VideoCore cache alias address mapping, and so will be
-under /soc.
-
-During probe find the a suitable device node for HVS or V3D,
-and adopt the DMA configuration of that node.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_drv.c | 18 ++++++++++++++++++
- 1 file changed, 18 insertions(+)
-
---- a/drivers/gpu/drm/vc4/vc4_drv.c
-+++ b/drivers/gpu/drm/vc4/vc4_drv.c
-@@ -249,6 +249,14 @@ static void vc4_match_add_drivers(struct
-       }
- }
-+const struct of_device_id vc4_dma_range_matches[] = {
-+      { .compatible = "brcm,bcm2835-hvs" },
-+      { .compatible = "brcm,bcm2835-v3d" },
-+      { .compatible = "brcm,cygnus-v3d" },
-+      { .compatible = "brcm,vc4-v3d" },
-+      {}
-+};
-+
- static int vc4_drm_bind(struct device *dev)
- {
-       struct platform_device *pdev = to_platform_device(dev);
-@@ -269,6 +277,16 @@ static int vc4_drm_bind(struct device *d
-               vc4_drm_driver.driver_features &= ~DRIVER_RENDER;
-       of_node_put(node);
-+      node = of_find_matching_node_and_match(NULL, vc4_dma_range_matches,
-+                                             NULL);
-+      if (node) {
-+              ret = of_dma_configure(dev, node, true);
-+              of_node_put(node);
-+
-+              if (ret)
-+                      return ret;
-+      }
-+
-       drm = drm_dev_alloc(&vc4_drm_driver, dev);
-       if (IS_ERR(drm))
-               return PTR_ERR(drm);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0752-ARM-dts-bcm2711-rpi-Remove-downstream-thermal-sensor.patch b/target/linux/bcm27xx/patches-5.4/950-0752-ARM-dts-bcm2711-rpi-Remove-downstream-thermal-sensor.patch
new file mode 100644 (file)
index 0000000..1d88563
--- /dev/null
@@ -0,0 +1,41 @@
+From 2c262ae9cfd82b5b65bbb86a98da6a10c11db367 Mon Sep 17 00:00:00 2001
+From: Chen-Yu Tsai <wens@csie.org>
+Date: Thu, 14 May 2020 17:39:30 +0800
+Subject: [PATCH] ARM: dts: bcm2711-rpi: Remove downstream thermal
+ sensor node
+
+Signed-off-by: Chen-Yu Tsai <wens@csie.org>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 14 --------------
+ 1 file changed, 14 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -50,16 +50,6 @@
+ };
+ &soc {
+-      thermal: thermal@7d5d2200 {
+-              compatible = "brcm,avs-tmon-bcm2711";
+-              reg = <0x7d5d2200 0x2c>;
+-              interrupts = <GIC_SPI 137 IRQ_TYPE_LEVEL_HIGH>;
+-              interrupt-names = "tmon";
+-              clocks = <&clocks BCM2835_CLOCK_TSENS>;
+-              #thermal-sensor-cells = <0>;
+-              status = "okay";
+-      };
+-
+       /delete-node/ audio;
+ };
+@@ -177,10 +167,6 @@
+                    <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>;
+ };
+-&cpu_thermal {
+-       thermal-sensors = <&thermal>;
+-};
+-
+ &genet {
+       compatible = "brcm,bcm2711-genet-v5", "brcm,genet-v5";
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0752-drm-vc4-Add-FKMS-as-an-acceptable-node-for-dma-range.patch b/target/linux/bcm27xx/patches-5.4/950-0752-drm-vc4-Add-FKMS-as-an-acceptable-node-for-dma-range.patch
deleted file mode 100644 (file)
index 127c5ae..0000000
+++ /dev/null
@@ -1,27 +0,0 @@
-From 971a2bb14b459819db1bda8fcdf953e493242b42 Mon Sep 17 00:00:00 2001
-From: Dave Stevenson <dave.stevenson@raspberrypi.com>
-Date: Tue, 19 May 2020 16:20:30 +0100
-Subject: [PATCH] drm/vc4: Add FKMS as an acceptable node for dma
- ranges.
-
-Under FKMS, the firmware (via FKMS) also requires the VideoCore cache
-aliases for image planes, as defined by the dma-ranges under /soc.
-
-Add rpi-firmware-kms to the list of acceptable nodes to look for
-to copy dma config from.
-
-Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
----
- drivers/gpu/drm/vc4/vc4_drv.c | 1 +
- 1 file changed, 1 insertion(+)
-
---- a/drivers/gpu/drm/vc4/vc4_drv.c
-+++ b/drivers/gpu/drm/vc4/vc4_drv.c
-@@ -251,6 +251,7 @@ static void vc4_match_add_drivers(struct
- const struct of_device_id vc4_dma_range_matches[] = {
-       { .compatible = "brcm,bcm2835-hvs" },
-+      { .compatible = "raspberrypi,rpi-firmware-kms" },
-       { .compatible = "brcm,bcm2835-v3d" },
-       { .compatible = "brcm,cygnus-v3d" },
-       { .compatible = "brcm,vc4-v3d" },
diff --git a/target/linux/bcm27xx/patches-5.4/950-0753-media-i2c-imx477-Return-correct-result-on-sensor-id-.patch b/target/linux/bcm27xx/patches-5.4/950-0753-media-i2c-imx477-Return-correct-result-on-sensor-id-.patch
deleted file mode 100644 (file)
index 4585d2d..0000000
+++ /dev/null
@@ -1,25 +0,0 @@
-From 7048ac9fd3b918d83a71caf5c94bb061a2866794 Mon Sep 17 00:00:00 2001
-From: Naushir Patuck <naush@raspberrypi.com>
-Date: Tue, 19 May 2020 16:56:33 +0100
-Subject: [PATCH] media: i2c: imx477: Return correct result on sensor
- id verification
-
-The test should return -EIO if the register read id does not match
-the expected sensor id.
-
-Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
----
- drivers/media/i2c/imx477.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/media/i2c/imx477.c
-+++ b/drivers/media/i2c/imx477.c
-@@ -1919,7 +1919,7 @@ static int imx477_identify_module(struct
-       if (val != IMX477_CHIP_ID) {
-               dev_err(&client->dev, "chip id mismatch: %x!=%x\n",
-                       IMX477_CHIP_ID, val);
--              ret = -EINVAL;
-+              return -EIO;
-       }
-       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0753-overlays-i2c-rtc-Fix-trickle-resistor-ohms-param.patch b/target/linux/bcm27xx/patches-5.4/950-0753-overlays-i2c-rtc-Fix-trickle-resistor-ohms-param.patch
new file mode 100644 (file)
index 0000000..a702ef7
--- /dev/null
@@ -0,0 +1,26 @@
+From 7871e3600c1190c6cf7968e280bd2fd1ec8bbff2 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 29 May 2020 14:41:13 +0100
+Subject: [PATCH] overlays: i2c-rtc: Fix trickle-resistor-ohms param
+
+The abx80x implementation of the trickle-resistor-ohms parameter is
+missing the ":0" indicating that the target is an integer/cell value.
+
+See: https://github.com/raspberrypi/linux/issues/3642
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts
+@@ -229,7 +229,7 @@
+                      <&m41t62>, "reg:0";
+               trickle-diode-type = <&abx80x>,"abracon,tc-diode";
+               trickle-resistor-ohms = <&ds1339>,"trickle-resistor-ohms:0",
+-                                      <&abx80x>,"abracon,tc-resistor",
++                                      <&abx80x>,"abracon,tc-resistor:0",
+                                       <&rv3028>,"trickle-resistor-ohms:0";
+               backup-switchover-mode = <&rv3028>,"backup-switchover-mode:0";
+               wakeup-source = <&ds1339>,"wakeup-source?",
diff --git a/target/linux/bcm27xx/patches-5.4/950-0754-overlays-gpio-shutdown-Add-information-for-SysV-init.patch b/target/linux/bcm27xx/patches-5.4/950-0754-overlays-gpio-shutdown-Add-information-for-SysV-init.patch
new file mode 100644 (file)
index 0000000..ca0fd49
--- /dev/null
@@ -0,0 +1,51 @@
+From 047041db91fabcb768c3e5680645b69fa9528e86 Mon Sep 17 00:00:00 2001
+From: =?UTF-8?q?Pali=20Roh=C3=A1r?= <pali@kernel.org>
+Date: Thu, 30 Apr 2020 19:40:07 +0200
+Subject: [PATCH] overlays: gpio-shutdown: Add information for SysV
+ init / inittab
+
+KeyboardSignal and kb::kbrequest can be used to call /sbin/shutdown
+---
+ arch/arm/boot/dts/overlays/README | 24 ++++++++++++++++++++++++
+ 1 file changed, 24 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -847,6 +847,7 @@ Params: gpiopin                 GPIO for
+ Name:   gpio-shutdown
+ Info:   Initiates a shutdown when GPIO pin changes. The given GPIO pin
+         is configured as an input key that generates KEY_POWER events.
++
+         This event is handled by systemd-logind by initiating a
+         shutdown. Systemd versions older than 225 need an udev rule
+         enable listening to the input device:
+@@ -855,6 +856,29 @@ Info:   Initiates a shutdown when GPIO p
+                         SUBSYSTEMS=="platform", DRIVERS=="gpio-keys", \
+                         ATTRS{keys}=="116", TAG+="power-switch"
++        Alternatively this event can be handled also on systems without
++        systemd, just by traditional SysV init daemon. KEY_POWER event
++        (keycode 116) needs to be mapped to KeyboardSignal on console
++        and then kb::kbrequest inittab action which is triggered by
++        KeyboardSignal from console can be configured to issue system
++        shutdown. Steps for this configuration are:
++
++            Add following lines to the /etc/console-setup/remap.inc file:
++
++                # Key Power as special keypress
++                keycode 116 = KeyboardSignal
++
++            Then add following lines to /etc/inittab file:
++
++                # Action on special keypress (Key Power)
++                kb::kbrequest:/sbin/shutdown -t1 -a -h -P now
++
++            And finally reload configuration by calling following commands:
++
++                # dpkg-reconfigure console-setup
++                # service console-setup reload
++                # init q
++
+         This overlay only handles shutdown. After shutdown, the system
+         can be powered up again by driving GPIO3 low. The default
+         configuration uses GPIO3 with a pullup, so if you connect a
diff --git a/target/linux/bcm27xx/patches-5.4/950-0754-staging-vchiq_arm-Clean-up-40-bit-DMA-support.patch b/target/linux/bcm27xx/patches-5.4/950-0754-staging-vchiq_arm-Clean-up-40-bit-DMA-support.patch
deleted file mode 100644 (file)
index 1dac504..0000000
+++ /dev/null
@@ -1,154 +0,0 @@
-From d8cbdaa729d5d3e9a1c18150bf4de69335a85a40 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Wed, 20 May 2020 16:36:33 +0100
-Subject: [PATCH] staging: vchiq_arm: Clean up 40-bit DMA support
-
-Manage the split between addresses for the VPU and addresses for the
-40-bit DMA controller with a dedicated DMA device pointer that on non-
-BCM2711 platforms is the same as the main VCHIQ device. This allows
-the VCHIQ node to stay in the usual place in the DT, and removes the
-ugly VC_SAFE macros.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- .../interface/vchiq_arm/vchiq_2835_arm.c      | 39 ++++++++++++-------
- .../interface/vchiq_arm/vchiq_arm.c           | 14 -------
- 2 files changed, 25 insertions(+), 28 deletions(-)
-
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
-@@ -16,8 +16,6 @@
- #include <soc/bcm2835/raspberrypi-firmware.h>
- #define TOTAL_SLOTS (VCHIQ_SLOT_ZERO_SLOTS + 2 * 32)
--#define VC_SAFE(x) (g_use_36bit_addrs ? ((u32)(x) | 0xc0000000) : (u32)(x))
--#define IS_VC_SAFE(x) (g_use_36bit_addrs ? !((x) & ~0x3fffffffull) : 1)
- #include "vchiq_arm.h"
- #include "vchiq_connected.h"
-@@ -71,6 +69,7 @@ static char *g_fragments_base;
- static char *g_free_fragments;
- static struct semaphore g_free_fragments_sema;
- static struct device *g_dev;
-+static struct device *g_dma_dev;
- static DEFINE_SEMAPHORE(g_free_fragments_mutex);
-@@ -87,6 +86,7 @@ free_pagelist(struct vchiq_pagelist_info
- int vchiq_platform_init(struct platform_device *pdev, struct vchiq_state *state)
- {
-       struct device *dev = &pdev->dev;
-+      struct device *dma_dev = NULL;
-       struct vchiq_drvdata *drvdata = platform_get_drvdata(pdev);
-       struct rpi_firmware *fw = drvdata->fw;
-       struct vchiq_slot_zero *vchiq_slot_zero;
-@@ -109,7 +109,23 @@ int vchiq_platform_init(struct platform_
-       g_cache_line_size = drvdata->cache_line_size;
-       g_fragments_size = 2 * g_cache_line_size;
--      g_use_36bit_addrs = (dev->dma_pfn_offset == 0);
-+      if (drvdata->use_36bit_addrs) {
-+              struct device_node *dma_node =
-+                      of_find_compatible_node(NULL, NULL, "brcm,bcm2711-dma");
-+
-+              if (dma_node) {
-+                      struct platform_device *pdev;
-+
-+                      pdev = of_find_device_by_node(dma_node);
-+                      if (pdev)
-+                              dma_dev = &pdev->dev;
-+                      of_node_put(dma_node);
-+                      g_use_36bit_addrs = true;
-+              } else {
-+                      dev_err(dev, "40-bit DMA controller not found\n");
-+                      return -EINVAL;
-+              }
-+      }
-       /* Allocate space for the channels in coherent memory */
-       slot_mem_size = PAGE_ALIGN(TOTAL_SLOTS * VCHIQ_SLOT_SIZE);
-@@ -122,14 +138,8 @@ int vchiq_platform_init(struct platform_
-               return -ENOMEM;
-       }
--      if (!IS_VC_SAFE(slot_phys)) {
--              dev_err(dev, "allocated DMA memory %pad is not VC-safe\n",
--                      &slot_phys);
--              return -ENOMEM;
--      }
--
-       WARN_ON(((unsigned long)slot_mem & (PAGE_SIZE - 1)) != 0);
--      channelbase = VC_SAFE(slot_phys);
-+      channelbase = slot_phys;
-       vchiq_slot_zero = vchiq_init_slots(slot_mem, slot_mem_size);
-       if (!vchiq_slot_zero)
-@@ -178,6 +188,7 @@ int vchiq_platform_init(struct platform_
-       }
-       g_dev = dev;
-+      g_dma_dev = dma_dev ?: dev;
-       g_dma_pool = dmam_pool_create("vchiq_scatter_pool", dev,
-                                     VCHIQ_DMA_POOL_SIZE, g_cache_line_size,
-                                     0);
-@@ -255,7 +266,7 @@ vchiq_prepare_bulk_data(struct vchiq_bul
-       if (!pagelistinfo)
-               return VCHIQ_ERROR;
--      bulk->data = (void *)(uintptr_t)VC_SAFE(pagelistinfo->dma_addr);
-+      bulk->data = (void *)(uintptr_t)pagelistinfo->dma_addr;
-       /*
-        * Store the pagelistinfo address in remote_data,
-@@ -354,7 +365,7 @@ static void
- cleanup_pagelistinfo(struct vchiq_pagelist_info *pagelistinfo)
- {
-       if (pagelistinfo->scatterlist_mapped) {
--              dma_unmap_sg(g_dev, pagelistinfo->scatterlist,
-+              dma_unmap_sg(g_dma_dev, pagelistinfo->scatterlist,
-                            pagelistinfo->num_pages, pagelistinfo->dma_dir);
-       }
-@@ -519,7 +530,7 @@ create_pagelist(char __user *buf, size_t
-               count -= len;
-       }
--      dma_buffers = dma_map_sg(g_dev,
-+      dma_buffers = dma_map_sg(g_dma_dev,
-                                scatterlist,
-                                num_pages,
-                                pagelistinfo->dma_dir);
-@@ -569,7 +580,7 @@ create_pagelist(char __user *buf, size_t
-       } else {
-               for_each_sg(scatterlist, sg, dma_buffers, i) {
-                       u32 len = sg_dma_len(sg);
--                      u32 addr = VC_SAFE(sg_dma_address(sg));
-+                      u32 addr = sg_dma_address(sg);
-                       u32 new_pages = (len + PAGE_SIZE - 1) >> PAGE_SHIFT;
-                       /* Note: addrs is the address + page_count - 1
---- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-+++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
-@@ -3205,22 +3205,8 @@ vchiq_register_child(struct platform_dev
-       child->dev.of_node = np;
--      /*
--       * We want the dma-ranges etc to be copied from a device with the
--       * correct dma-ranges for the VPU.
--       * VCHIQ on Pi4 is now under scb which doesn't get those dma-ranges.
--       * Take the "dma" node as going to be suitable as it sees the world
--       * through the same eyes as the VPU.
--       */
--      np = of_find_node_by_path("dma");
--      if (!np)
--              np = pdev->dev.of_node;
--
-       of_dma_configure(&child->dev, np, true);
--      if (np != pdev->dev.of_node)
--              of_node_put(np);
--
-       return child;
- }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0755-ARM-dts-Update-for-new-VCHIQ-BCM2711-DMA-support.patch b/target/linux/bcm27xx/patches-5.4/950-0755-ARM-dts-Update-for-new-VCHIQ-BCM2711-DMA-support.patch
deleted file mode 100644 (file)
index 947fb73..0000000
+++ /dev/null
@@ -1,67 +0,0 @@
-From 79495a5ecdfba69de51e88701a69c42d09806d84 Mon Sep 17 00:00:00 2001
-From: Phil Elwell <phil@raspberrypi.com>
-Date: Wed, 20 May 2020 16:36:57 +0100
-Subject: [PATCH] ARM: dts: Update for new VCHIQ BCM2711 DMA support
-
-Now that the enhanced BCM2711 DMA controller is located by compatible
-string and used directly for generating bulk transfer addresses,
-remove the workaround of moving the vchiq node.
-
-Signed-off-by: Phil Elwell <phil@raspberrypi.com>
----
- arch/arm/boot/dts/bcm2711-rpi.dtsi | 23 ++++-------------------
- 1 file changed, 4 insertions(+), 19 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -4,7 +4,6 @@
- / {
-       soc {
-               /delete-node/ v3d@7ec00000;
--              /delete-node/ mailbox@7e00b840;
-       };
-       __overrides__ {
-@@ -88,12 +87,6 @@
-               brcm,dma-channel-mask = <0x7800>;
-       };
--      vchiq: mailbox@7e00b840 {
--              compatible = "brcm,bcm2711-vchiq";
--              reg = <0 0x7e00b840  0x0 0x3c>;
--              interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
--      };
--
-       xhci: xhci@7e9c0000 {
-               compatible = "generic-xhci";
-               status = "disabled";
-@@ -127,18 +120,6 @@
-       };
- };
--&vchiq {
--      /* Onboard audio
--       * This node is replicated because the original from bcm270x-rpi.dtsi
--       * was deleted when the vchiq node was deleted above.
--       */
--      audio: bcm2835_audio {
--              compatible = "brcm,bcm2835-audio";
--              brcm,pwm-channels = <8>;
--              status = "disabled";
--      };
--};
--
- &dma {
-       /* The VPU firmware uses DMA channel 11 for VCHIQ */
-       brcm,dma-channel-mask = <0x1f5>;
-@@ -149,6 +130,10 @@
-       brcm,dma-channel-mask = <0x7000>;
- };
-+&vchiq {
-+      compatible = "brcm,bcm2711-vchiq";
-+};
-+
- &firmwarekms {
-       interrupts = <GIC_SPI 112 IRQ_TYPE_LEVEL_HIGH>;
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0755-overlays-gpio-shutdown-Add-information-for-Raspberry.patch b/target/linux/bcm27xx/patches-5.4/950-0755-overlays-gpio-shutdown-Add-information-for-Raspberry.patch
new file mode 100644 (file)
index 0000000..5bb6ee2
--- /dev/null
@@ -0,0 +1,62 @@
+From 5f9fcc99c517a517e8d74ce001fc5bc2648f0e59 Mon Sep 17 00:00:00 2001
+From: =?UTF-8?q?Pali=20Roh=C3=A1r?= <pali@kernel.org>
+Date: Thu, 30 Apr 2020 19:41:10 +0200
+Subject: [PATCH] overlays: gpio-shutdown: Add information for
+ Raspberry Pi 1 Model B rev 1
+
+Raspberry Pi 1 Model B rev 1 uses GPIO1 for power-up instead of GPIO3.
+---
+ arch/arm/boot/dts/overlays/README                    | 10 ++++++++--
+ arch/arm/boot/dts/overlays/gpio-shutdown-overlay.dts |  6 ++++--
+ 2 files changed, 12 insertions(+), 4 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -883,9 +883,14 @@ Info:   Initiates a shutdown when GPIO p
+         can be powered up again by driving GPIO3 low. The default
+         configuration uses GPIO3 with a pullup, so if you connect a
+         button between GPIO3 and GND (pin 5 and 6 on the 40-pin header),
+-        you get a shutdown and power-up button.
++        you get a shutdown and power-up button. Please note that
++        Raspberry Pi 1 Model B rev 1 uses GPIO1 instead of GPIO3.
+ Load:   dtoverlay=gpio-shutdown,<param>=<val>
+ Params: gpio_pin                GPIO pin to trigger on (default 3)
++                                For Raspberry Pi 1 Model B rev 1 set this
++                                explicitly to value 1, e.g.:
++
++                                    dtoverlay=gpio-shutdown,gpio_pin=1
+         active_low              When this is 1 (active low), a falling
+                                 edge generates a key down event and a
+@@ -897,7 +902,8 @@ Params: gpio_pin                GPIO pin
+                                 Default is "up".
+                                 Note that the default pin (GPIO3) has an
+-                                external pullup.
++                                external pullup. Same applies for GPIO1
++                                on Raspberry Pi 1 Model B rev 1.
+         debounce                Specify the debounce interval in milliseconds
+                                 (default 100)
+--- a/arch/arm/boot/dts/overlays/gpio-shutdown-overlay.dts
++++ b/arch/arm/boot/dts/overlays/gpio-shutdown-overlay.dts
+@@ -4,7 +4,9 @@
+ // This overlay sets up an input device that generates KEY_POWER events
+ // when a given GPIO pin changes. It defaults to using GPIO3, which can
+-// also be used to wake up (start) the Rpi again after shutdown. Since
++// also be used to wake up (start) the Rpi again after shutdown.
++// Raspberry Pi 1 Model B rev 1 can be wake up only by GPIO1 pin, so for
++// these boards change default GPIO pin to 1 via gpio_pin parameter. Since
+ // wakeup is active-low, this defaults to active-low with a pullup
+ // enabled, but all of this can be changed using overlay parameters (but
+ // note that GPIO3 has an external pullup on at least some boards).
+@@ -71,7 +73,7 @@
+               // Allow changing the internal pullup/down state. 0 = none, 1 = pulldown, 2 = pullup
+               // Note that GPIO3 and GPIO2 are the I2c pins and have an external pullup (at least
+-                // on some boards).
++              // on some boards). Same applies for GPIO1 on Raspberry Pi 1 Model B rev 1.
+               gpio_pull = <&pin_state>,"brcm,pull:0";
+               // Allow setting the active_low flag. 0 = active high, 1 = active low
diff --git a/target/linux/bcm27xx/patches-5.4/950-0756-media-bcm2835-unicam-change-minimum-number-of-vb2_qu.patch b/target/linux/bcm27xx/patches-5.4/950-0756-media-bcm2835-unicam-change-minimum-number-of-vb2_qu.patch
deleted file mode 100644 (file)
index 6f14ffc..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-From f70be37d1bd6b68ed38022580b16c972598901e2 Mon Sep 17 00:00:00 2001
-From: David Plowman <david.plowman@raspberrypi.com>
-Date: Thu, 28 May 2020 11:09:48 +0100
-Subject: [PATCH] media: bcm2835-unicam: change minimum number of
- vb2_queue buffers to 1
-
-Since the unicam driver was modified to write to a dummy buffer when no
-user-supplied buffer is available, it can now write to and return a
-buffer even when there's only a single one. Enable this by changing the
-min_buffers_needed in the vb2_queue; it will be useful for enabling
-still captures without allocating more memory than absolutely necessary.
-
-Signed-off-by: David Plowman <david.plowman@raspberrypi.com>
----
- drivers/media/platform/bcm2835/bcm2835-unicam.c | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
---- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
-+++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
-@@ -2404,7 +2404,7 @@ static int register_node(struct unicam_d
-       q->buf_struct_size = sizeof(struct unicam_buffer);
-       q->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_MONOTONIC;
-       q->lock = &node->lock;
--      q->min_buffers_needed = 2;
-+      q->min_buffers_needed = 1;
-       q->dev = &unicam->pdev->dev;
-       ret = vb2_queue_init(q);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0756-overlays-Add-spi0-overlay-to-support-sc16is752.patch b/target/linux/bcm27xx/patches-5.4/950-0756-overlays-Add-spi0-overlay-to-support-sc16is752.patch
new file mode 100644 (file)
index 0000000..afd760b
--- /dev/null
@@ -0,0 +1,87 @@
+From b2998ffa15aabea3292159ca20973f45ed9cb4b0 Mon Sep 17 00:00:00 2001
+From: bjorn <beikeland@gmail.com>
+Date: Thu, 7 May 2020 05:11:43 +0200
+Subject: [PATCH] overlays: Add spi0 overlay to support sc16is752
+
+Signed-off-by: Bjorn <beikeland@gmail.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  1 +
+ arch/arm/boot/dts/overlays/README             |  8 ++++
+ .../dts/overlays/sc16is752-spi0-overlay.dts   | 44 +++++++++++++++++++
+ 3 files changed, 53 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/sc16is752-spi0-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -143,6 +143,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       rra-digidac1-wm8741-audio.dtbo \
+       sc16is750-i2c.dtbo \
+       sc16is752-i2c.dtbo \
++      sc16is752-spi0.dtbo \
+       sc16is752-spi1.dtbo \
+       sdhost.dtbo \
+       sdio.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2144,6 +2144,14 @@ Params: int_pin                 GPIO use
+         xtal                    On-board crystal frequency (default 14745600)
++Name:   sc16is752-spi0
++Info:   Overlay for the NXP SC16IS752 Dual UART with SPI Interface
++        Enables the chip on SPI0.
++Load:   dtoverlay=sc16is752-spi0,<param>=<val>
++Params: int_pin                 GPIO used for IRQ (default 24)
++        xtal                    On-board crystal frequency (default 14745600)
++
++
+ Name:   sc16is752-spi1
+ Info:   Overlay for the NXP SC16IS752 Dual UART with SPI Interface
+         Enables the chip on SPI1.
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/sc16is752-spi0-overlay.dts
+@@ -0,0 +1,44 @@
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&spi0>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      sc16is752: sc16is752@0 {
++                              compatible = "nxp,sc16is752";
++                              reg = <0>; /* CE0 */
++                              clocks = <&sc16is752_clk>;
++                              interrupt-parent = <&gpio>;
++                              interrupts = <24 2>; /* IRQ_TYPE_EDGE_FALLING */
++                              #gpio-controller;
++                              #gpio-cells = <2>;
++                              spi-max-frequency = <4000000>;
++
++                              sc16is752_clk: sc16is752_clk {
++                                      compatible = "fixed-clock";
++                                      #clock-cells = <0>;
++                                      clock-frequency = <14745600>;
++                              };
++                      };
++              };
++      };
++
++      fragment@1 {
++              target = <&spidev0>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      __overrides__ {
++              int_pin = <&sc16is752>,"interrupts:0";
++              xtal = <&sc16is752_clk>, "clock-frequency:0";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0757-dt-bindings-Add-Broadcom-AVS-RO-thermal.patch b/target/linux/bcm27xx/patches-5.4/950-0757-dt-bindings-Add-Broadcom-AVS-RO-thermal.patch
deleted file mode 100644 (file)
index bf41ba7..0000000
+++ /dev/null
@@ -1,70 +0,0 @@
-From 5bf715958613e73008ed107182306fb4f37024e5 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <stefan.wahren@i2se.com>
-Date: Mon, 13 Jan 2020 19:56:15 +0100
-Subject: [PATCH] dt-bindings: Add Broadcom AVS RO thermal
-
-Commit ee31ff373da6602e7a4e60fe11322b41ac38eb22 upstream.
-
-Since the BCM2711 doesn't have a AVS TMON block, the thermal information
-must be retrieved from the AVS ring oscillator block. This block is part
-of the AVS monitor which contains a bunch of raw sensors.
-
-Signed-off-by: Stefan Wahren <stefan.wahren@i2se.com>
-Reviewed-by: Rob Herring <robh@kernel.org>
-Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Daniel Lezcano <daniel.lezcano@linaro.org>
-Link: https://lore.kernel.org/r/1578941778-23321-2-git-send-email-stefan.wahren@i2se.com
-Signed-off-by: Chen-Yu Tsai <wens@csie.org>
----
- .../bindings/thermal/brcm,avs-ro-thermal.yaml | 45 +++++++++++++++++++
- 1 file changed, 45 insertions(+)
- create mode 100644 Documentation/devicetree/bindings/thermal/brcm,avs-ro-thermal.yaml
-
---- /dev/null
-+++ b/Documentation/devicetree/bindings/thermal/brcm,avs-ro-thermal.yaml
-@@ -0,0 +1,45 @@
-+# SPDX-License-Identifier: (GPL-2.0-only OR BSD-2-Clause)
-+%YAML 1.2
-+---
-+$id: http://devicetree.org/schemas/thermal/brcm,avs-ro-thermal.yaml#
-+$schema: http://devicetree.org/meta-schemas/core.yaml#
-+
-+title: Broadcom AVS ring oscillator thermal
-+
-+maintainers:
-+  - Stefan Wahren <wahrenst@gmx.net>
-+
-+description: |+
-+  The thermal node should be the child of a syscon node with the
-+  required property:
-+
-+  - compatible: Should be one of the following:
-+                "brcm,bcm2711-avs-monitor", "syscon", "simple-mfd"
-+
-+  Refer to the the bindings described in
-+  Documentation/devicetree/bindings/mfd/syscon.txt
-+
-+properties:
-+  compatible:
-+    const: brcm,bcm2711-thermal
-+
-+  reg:
-+    maxItems: 1
-+
-+required:
-+  - compatible
-+  - reg
-+
-+examples:
-+  - |
-+        avs-monitor@7d5d2000 {
-+                compatible = "brcm,bcm2711-avs-monitor",
-+                             "syscon", "simple-mfd";
-+                reg = <0x7d5d2000 0xf00>;
-+
-+                thermal: thermal {
-+                        compatible = "brcm,bcm2711-thermal";
-+                        #thermal-sensor-cells = <0>;
-+                };
-+        };
-+...
diff --git a/target/linux/bcm27xx/patches-5.4/950-0757-overlays-i2c-rtc-gpio-Fix-trickle-resistor-ohms-para.patch b/target/linux/bcm27xx/patches-5.4/950-0757-overlays-i2c-rtc-gpio-Fix-trickle-resistor-ohms-para.patch
new file mode 100644 (file)
index 0000000..6b81afb
--- /dev/null
@@ -0,0 +1,27 @@
+From 00771f36d14f8446ad155ba6fde1f3f608e26642 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 29 May 2020 16:55:12 +0100
+Subject: [PATCH] overlays: i2c-rtc-gpio: Fix trickle-resistor-ohms
+ param
+
+The abx80x implementation of the trickle-resistor-ohms parameter is
+missing the ":0" indicating that the target is an integer/cell value.
+
+See: https://github.com/raspberrypi/linux/issues/3642
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/i2c-rtc-gpio-overlay.dts | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/i2c-rtc-gpio-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-rtc-gpio-overlay.dts
+@@ -230,7 +230,7 @@
+               trickle-diode-type = <&abx80x>,"abracon,tc-diode";
+               trickle-resistor-ohms = <&ds1339>,"trickle-resistor-ohms:0",
+-                                      <&abx80x>,"abracon,tc-resistor",
++                                      <&abx80x>,"abracon,tc-resistor:0",
+                                       <&rv3028>,"trickle-resistor-ohms:0";
+               backup-switchover-mode = <&rv3028>,"backup-switchover-mode:0";
+               wakeup-source = <&ds1339>,"wakeup-source?",
diff --git a/target/linux/bcm27xx/patches-5.4/950-0758-media-bcm2835-isp-fix-bytes-per-line-calculations-fo.patch b/target/linux/bcm27xx/patches-5.4/950-0758-media-bcm2835-isp-fix-bytes-per-line-calculations-fo.patch
new file mode 100644 (file)
index 0000000..7f4943f
--- /dev/null
@@ -0,0 +1,80 @@
+From 5b07adb57e04530dc571c2a4a1aeea4b7bc57723 Mon Sep 17 00:00:00 2001
+From: David Plowman <david.plowman@raspberrypi.com>
+Date: Fri, 29 May 2020 14:36:56 +0100
+Subject: [PATCH] media: bcm2835-isp: fix bytes per line calculations
+ for some image formats
+
+The bytes per line numbers calculated by get_bytesperline was not
+matching the equivalent calculation being performed by the VideoCore
+(mostly by the calculate_pitch function there), resulting in failures
+to set the image format with some image width values. This patches up
+the RGB24 and YUYV type formats to match the VideoCore calculation.
+
+Signed-off-by: David Plowman <david.plowman@raspberrypi.com>
+---
+ .../vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c       |  6 +++++-
+ .../vc04_services/bcm2835-isp/bcm2835_isp_fmts.h       | 10 +++++-----
+ 2 files changed, 10 insertions(+), 6 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
+@@ -676,7 +676,11 @@ struct bcm2835_isp_fmt *get_default_form
+ static inline unsigned int get_bytesperline(int width,
+                                           const struct bcm2835_isp_fmt *fmt)
+ {
+-      return ALIGN((width * fmt->depth) >> 3, fmt->bytesperline_align);
++      /* GPU aligns 24bpp images to a multiple of 32 pixels (not bytes). */
++      if (fmt->depth == 24)
++              return ALIGN(width, 32) * 3;
++      else
++              return ALIGN((width * fmt->depth) >> 3, fmt->bytesperline_align);
+ }
+ static inline unsigned int get_sizeimage(int bpl, int width, int height,
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
+@@ -71,7 +71,7 @@ static const struct bcm2835_isp_fmt supp
+       }, {
+               .fourcc             = V4L2_PIX_FMT_YUYV,
+               .depth              = 16,
+-              .bytesperline_align = 32,
++              .bytesperline_align = 64,
+               .flags              = 0,
+               .mmal_fmt           = MMAL_ENCODING_YUYV,
+               .size_multiplier_x2 = 2,
+@@ -80,7 +80,7 @@ static const struct bcm2835_isp_fmt supp
+       }, {
+               .fourcc             = V4L2_PIX_FMT_UYVY,
+               .depth              = 16,
+-              .bytesperline_align = 32,
++              .bytesperline_align = 64,
+               .flags              = 0,
+               .mmal_fmt           = MMAL_ENCODING_UYVY,
+               .size_multiplier_x2 = 2,
+@@ -89,7 +89,7 @@ static const struct bcm2835_isp_fmt supp
+       }, {
+               .fourcc             = V4L2_PIX_FMT_YVYU,
+               .depth              = 16,
+-              .bytesperline_align = 32,
++              .bytesperline_align = 64,
+               .flags              = 0,
+               .mmal_fmt           = MMAL_ENCODING_YVYU,
+               .size_multiplier_x2 = 2,
+@@ -98,7 +98,7 @@ static const struct bcm2835_isp_fmt supp
+       }, {
+               .fourcc             = V4L2_PIX_FMT_VYUY,
+               .depth              = 16,
+-              .bytesperline_align = 32,
++              .bytesperline_align = 64,
+               .flags              = 0,
+               .mmal_fmt           = MMAL_ENCODING_VYUY,
+               .size_multiplier_x2 = 2,
+@@ -135,7 +135,7 @@ static const struct bcm2835_isp_fmt supp
+       }, {
+               .fourcc             = V4L2_PIX_FMT_ABGR32,
+               .depth              = 32,
+-              .bytesperline_align = 32,
++              .bytesperline_align = 64,
+               .flags              = 0,
+               .mmal_fmt           = MMAL_ENCODING_BGRA,
+               .size_multiplier_x2 = 2,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0758-thermal-Add-BCM2711-thermal-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0758-thermal-Add-BCM2711-thermal-driver.patch
deleted file mode 100644 (file)
index d7e438f..0000000
+++ /dev/null
@@ -1,173 +0,0 @@
-From 84477b71c184345517625f5c3eccf26a29eac4df Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <stefan.wahren@i2se.com>
-Date: Mon, 13 Jan 2020 19:56:16 +0100
-Subject: [PATCH] thermal: Add BCM2711 thermal driver
-
-Commit 59b781352dc4cb9ae27a8ddae0cda979d29d8af7 upstream.
-
-This adds the thermal sensor driver for the Broadcom BCM2711 SoC,
-which is placed on the Raspberry Pi 4. The driver only provides
-SoC temperature reading so far.
-
-Signed-off-by: Stefan Wahren <stefan.wahren@i2se.com>
-Reviewed-by: Florian Fainelli <f.fainelli@gmail.com>
-Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Daniel Lezcano <daniel.lezcano@linaro.org>
-Link: https://lore.kernel.org/r/1578941778-23321-3-git-send-email-stefan.wahren@i2se.com
-Signed-off-by: Chen-Yu Tsai <wens@csie.org>
----
- drivers/thermal/broadcom/Kconfig           |   7 ++
- drivers/thermal/broadcom/Makefile          |   1 +
- drivers/thermal/broadcom/bcm2711_thermal.c | 123 +++++++++++++++++++++
- 3 files changed, 131 insertions(+)
- create mode 100644 drivers/thermal/broadcom/bcm2711_thermal.c
-
---- a/drivers/thermal/broadcom/Kconfig
-+++ b/drivers/thermal/broadcom/Kconfig
-@@ -1,4 +1,11 @@
- # SPDX-License-Identifier: GPL-2.0-only
-+config BCM2711_THERMAL
-+      tristate "Broadcom AVS RO thermal sensor driver"
-+      depends on ARCH_BCM2835 || COMPILE_TEST
-+      depends on THERMAL_OF && MFD_SYSCON
-+      help
-+        Support for thermal sensors on Broadcom BCM2711 SoCs.
-+
- config BCM2835_THERMAL
-       tristate "Thermal sensors on bcm2835 SoC"
-       depends on ARCH_BCM2835 || COMPILE_TEST
---- a/drivers/thermal/broadcom/Makefile
-+++ b/drivers/thermal/broadcom/Makefile
-@@ -1,4 +1,5 @@
- # SPDX-License-Identifier: GPL-2.0-only
-+obj-$(CONFIG_BCM2711_THERMAL)         += bcm2711_thermal.o
- obj-$(CONFIG_BCM2835_THERMAL)         += bcm2835_thermal.o
- obj-$(CONFIG_BRCMSTB_THERMAL)         += brcmstb_thermal.o
- obj-$(CONFIG_BCM_NS_THERMAL)          += ns-thermal.o
---- /dev/null
-+++ b/drivers/thermal/broadcom/bcm2711_thermal.c
-@@ -0,0 +1,123 @@
-+// SPDX-License-Identifier: GPL-2.0+
-+/*
-+ * Broadcom AVS RO thermal sensor driver
-+ *
-+ * based on brcmstb_thermal
-+ *
-+ * Copyright (C) 2020 Stefan Wahren
-+ */
-+
-+#include <linux/bitops.h>
-+#include <linux/clk.h>
-+#include <linux/device.h>
-+#include <linux/err.h>
-+#include <linux/io.h>
-+#include <linux/kernel.h>
-+#include <linux/mfd/syscon.h>
-+#include <linux/module.h>
-+#include <linux/platform_device.h>
-+#include <linux/of_device.h>
-+#include <linux/regmap.h>
-+#include <linux/thermal.h>
-+
-+#include "../thermal_hwmon.h"
-+
-+#define AVS_RO_TEMP_STATUS            0x200
-+#define AVS_RO_TEMP_STATUS_VALID_MSK  (BIT(16) | BIT(10))
-+#define AVS_RO_TEMP_STATUS_DATA_MSK   GENMASK(9, 0)
-+
-+struct bcm2711_thermal_priv {
-+      struct regmap *regmap;
-+      struct thermal_zone_device *thermal;
-+};
-+
-+static int bcm2711_get_temp(void *data, int *temp)
-+{
-+      struct bcm2711_thermal_priv *priv = data;
-+      int slope = thermal_zone_get_slope(priv->thermal);
-+      int offset = thermal_zone_get_offset(priv->thermal);
-+      u32 val;
-+      int ret;
-+      long t;
-+
-+      ret = regmap_read(priv->regmap, AVS_RO_TEMP_STATUS, &val);
-+      if (ret)
-+              return ret;
-+
-+      if (!(val & AVS_RO_TEMP_STATUS_VALID_MSK))
-+              return -EIO;
-+
-+      val &= AVS_RO_TEMP_STATUS_DATA_MSK;
-+
-+      /* Convert a HW code to a temperature reading (millidegree celsius) */
-+      t = slope * val + offset;
-+
-+      *temp = t < 0 ? 0 : t;
-+
-+      return 0;
-+}
-+
-+static const struct thermal_zone_of_device_ops bcm2711_thermal_of_ops = {
-+      .get_temp       = bcm2711_get_temp,
-+};
-+
-+static const struct of_device_id bcm2711_thermal_id_table[] = {
-+      { .compatible = "brcm,bcm2711-thermal" },
-+      {},
-+};
-+MODULE_DEVICE_TABLE(of, bcm2711_thermal_id_table);
-+
-+static int bcm2711_thermal_probe(struct platform_device *pdev)
-+{
-+      struct thermal_zone_device *thermal;
-+      struct bcm2711_thermal_priv *priv;
-+      struct device *dev = &pdev->dev;
-+      struct device_node *parent;
-+      struct regmap *regmap;
-+      int ret;
-+
-+      priv = devm_kzalloc(dev, sizeof(*priv), GFP_KERNEL);
-+      if (!priv)
-+              return -ENOMEM;
-+
-+      /* get regmap from syscon node */
-+      parent = of_get_parent(dev->of_node); /* parent should be syscon node */
-+      regmap = syscon_node_to_regmap(parent);
-+      of_node_put(parent);
-+      if (IS_ERR(regmap)) {
-+              ret = PTR_ERR(regmap);
-+              dev_err(dev, "failed to get regmap: %d\n", ret);
-+              return ret;
-+      }
-+      priv->regmap = regmap;
-+
-+      thermal = devm_thermal_zone_of_sensor_register(dev, 0, priv,
-+                                                     &bcm2711_thermal_of_ops);
-+      if (IS_ERR(thermal)) {
-+              ret = PTR_ERR(thermal);
-+              dev_err(dev, "could not register sensor: %d\n", ret);
-+              return ret;
-+      }
-+
-+      priv->thermal = thermal;
-+
-+      thermal->tzp->no_hwmon = false;
-+      ret = thermal_add_hwmon_sysfs(thermal);
-+      if (ret)
-+              return ret;
-+
-+      return 0;
-+}
-+
-+static struct platform_driver bcm2711_thermal_driver = {
-+      .probe = bcm2711_thermal_probe,
-+      .driver = {
-+              .name = "bcm2711_thermal",
-+              .of_match_table = bcm2711_thermal_id_table,
-+      },
-+};
-+module_platform_driver(bcm2711_thermal_driver);
-+
-+MODULE_LICENSE("GPL");
-+MODULE_AUTHOR("Stefan Wahren");
-+MODULE_DESCRIPTION("Broadcom AVS RO thermal sensor driver");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0759-ARM-dts-bcm2711-Enable-thermal.patch b/target/linux/bcm27xx/patches-5.4/950-0759-ARM-dts-bcm2711-Enable-thermal.patch
deleted file mode 100644 (file)
index 3328932..0000000
+++ /dev/null
@@ -1,49 +0,0 @@
-From 642811db6c894ee89808764d8240aa2b5b7ed4e0 Mon Sep 17 00:00:00 2001
-From: Stefan Wahren <stefan.wahren@i2se.com>
-Date: Mon, 13 Jan 2020 19:56:17 +0100
-Subject: [PATCH] ARM: dts: bcm2711: Enable thermal
-
-Commit a1d6989bf12b01cd9198e2c31a96678ac9c47415 upstream.
-
-This enables thermal for the BCM2711 (used on Raspberry Pi 4) by adding
-the AVS monitor and a subnode for the thermal part.
-
-Signed-off-by: Stefan Wahren <stefan.wahren@i2se.com>
-Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Tested-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
-Signed-off-by: Florian Fainelli <f.fainelli@gmail.com>
-Signed-off-by: Daniel Lezcano <daniel.lezcano@linaro.org>
-Link: https://lore.kernel.org/r/1578941778-23321-4-git-send-email-stefan.wahren@i2se.com
-Signed-off-by: Chen-Yu Tsai <wens@csie.org>
----
- arch/arm/boot/dts/bcm2711.dtsi | 12 ++++++++++++
- 1 file changed, 12 insertions(+)
-
---- a/arch/arm/boot/dts/bcm2711.dtsi
-+++ b/arch/arm/boot/dts/bcm2711.dtsi
-@@ -64,6 +64,17 @@
-                                                IRQ_TYPE_LEVEL_HIGH)>;
-               };
-+              avs_monitor: avs-monitor@7d5d2000 {
-+                      compatible = "brcm,bcm2711-avs-monitor",
-+                                   "syscon", "simple-mfd";
-+                      reg = <0x7d5d2000 0xf00>;
-+
-+                      thermal: thermal {
-+                              compatible = "brcm,bcm2711-thermal";
-+                              #thermal-sensor-cells = <0>;
-+                      };
-+              };
-+
-               dma: dma@7e007000 {
-                       compatible = "brcm,bcm2835-dma";
-                       reg = <0x7e007000 0xb00>;
-@@ -514,6 +525,7 @@
- &cpu_thermal {
-       coefficients = <(-487) 410040>;
-+      thermal-sensors = <&thermal>;
- };
- &dsi0 {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0759-Add-Micro-Crystal-RV-1805-to-i2c-rtc-overlays.patch b/target/linux/bcm27xx/patches-5.4/950-0759-Add-Micro-Crystal-RV-1805-to-i2c-rtc-overlays.patch
new file mode 100644 (file)
index 0000000..6e9951b
--- /dev/null
@@ -0,0 +1,167 @@
+From 8c16d549cd0b9e9cf890ac3ead5d58d92590140f Mon Sep 17 00:00:00 2001
+From: "Kevin P. Fleming" <kevin+linux@km6g.us>
+Date: Mon, 1 Jun 2020 07:02:00 -0400
+Subject: [PATCH] Add Micro Crystal RV-1805 to i2c-rtc overlays
+
+While the RV-1805 is supported by the rtc-abx80x driver via
+auto-detection, in order for it to be initialized properly
+it must be explcitly selected.
+
+Signed-off-by: Kevin P. Fleming <kevin+linux@km6g.us>
+---
+ arch/arm/boot/dts/overlays/README             | 12 +++++---
+ .../dts/overlays/i2c-rtc-gpio-overlay.dts     | 28 ++++++++++++++++---
+ .../arm/boot/dts/overlays/i2c-rtc-overlay.dts | 27 ++++++++++++++++--
+ 3 files changed, 56 insertions(+), 11 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1196,6 +1196,8 @@ Params: abx80x                  Select o
+         pcf8563                 Select the PCF8563 device
++        rv1805                  Select the Micro Crystal RV1805 device
++
+         rv3028                  Select the Micro Crystal RV3028 device
+         addr                    Sets the address for the RTC. Note that the
+@@ -1203,10 +1205,10 @@ Params: abx80x                  Select o
+                                 address.
+         trickle-diode-type      Diode type for trickle charge - "standard" or
+-                                "schottky" (ABx80x only)
++                                "schottky" (ABx80x and RV1805 only)
+         trickle-resistor-ohms   Resistor value for trickle charge (DS1339,
+-                                ABx80x, RV3028)
++                                ABx80x, RV1805, RV3028)
+         wakeup-source           Specify that the RTC can be used as a wakeup
+                                 source
+@@ -1243,6 +1245,8 @@ Params: abx80x                  Select o
+         pcf8563                 Select the PCF8563 device
++        rv1805                  Select the Micro Crystal RV1805 device
++
+         rv3028                  Select the Micro Crystal RV3028 device
+         addr                    Sets the address for the RTC. Note that the
+@@ -1250,10 +1254,10 @@ Params: abx80x                  Select o
+                                 address.
+         trickle-diode-type      Diode type for trickle charge - "standard" or
+-                                "schottky" (ABx80x only)
++                                "schottky" (ABx80x and RV1805 only)
+         trickle-resistor-ohms   Resistor value for trickle charge (DS1339,
+-                                ABx80x, RV3028)
++                                ABx80x, RV1805, RV3028)
+         wakeup-source           Specify that the RTC can be used as a wakeup
+                                 source
+--- a/arch/arm/boot/dts/overlays/i2c-rtc-gpio-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-rtc-gpio-overlay.dts
+@@ -204,6 +204,23 @@
+               };
+       };
++      fragment@13 {
++              target = <&i2c_gpio>;
++              __dormant__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      rv1805: rv1805@69 {
++                              compatible = "microcrystal,rv1805";
++                              reg = <0x69>;
++                              abracon,tc-diode = "standard";
++                              abracon,tc-resistor = <0>;
++                              status = "okay";
++                      };
++              };
++      };
++
+       __overrides__ {
+               abx80x = <0>,"+1";
+               ds1307 = <0>,"+2";
+@@ -217,6 +234,7 @@
+               m41t62 = <0>,"+10";
+               rv3028 = <0>,"+11";
+               pcf2129 = <0>,"+12";
++              rv1805 = <0>,"+13";
+               addr = <&abx80x>, "reg:0",
+                      <&ds1307>, "reg:0",
+@@ -226,12 +244,14 @@
+                      <&mcp7941x>, "reg:0",
+                      <&pcf8523>, "reg:0",
+                      <&pcf8563>, "reg:0",
+-                     <&m41t62>, "reg:0";
+-
+-              trickle-diode-type = <&abx80x>,"abracon,tc-diode";
++                     <&m41t62>, "reg:0",
++                     <&rv1805>, "reg:0";
++              trickle-diode-type = <&abx80x>,"abracon,tc-diode",
++                                   <&rv1805>,"abracon,tc-diode";
+               trickle-resistor-ohms = <&ds1339>,"trickle-resistor-ohms:0",
+                                       <&abx80x>,"abracon,tc-resistor:0",
+-                                      <&rv3028>,"trickle-resistor-ohms:0";
++                                      <&rv3028>,"trickle-resistor-ohms:0",
++                                      <&rv1805>,"abracon,tc-resistor:0";
+               backup-switchover-mode = <&rv3028>,"backup-switchover-mode:0";
+               wakeup-source = <&ds1339>,"wakeup-source?",
+                               <&ds3231>,"wakeup-source?",
+--- a/arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts
+@@ -203,6 +203,23 @@
+               };
+       };
++      fragment@13 {
++              target = <&i2c_arm>;
++              __dormant__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      rv1805: rv1805@69 {
++                              compatible = "microcrystal,rv1805";
++                              reg = <0x69>;
++                              abracon,tc-diode = "standard";
++                              abracon,tc-resistor = <0>;
++                              status = "okay";
++                      };
++              };
++      };
++
+       __overrides__ {
+               abx80x = <0>,"+0";
+               ds1307 = <0>,"+1";
+@@ -217,6 +234,7 @@
+               rv3028 = <0>,"+10";
+               pcf2129 = <0>,"+11";
+               pcf85363 = <0>,"+12";
++              rv1805 = <0>,"+13";
+               addr = <&abx80x>, "reg:0",
+                      <&ds1307>, "reg:0",
+@@ -226,11 +244,14 @@
+                      <&mcp7941x>, "reg:0",
+                      <&pcf8523>, "reg:0",
+                      <&pcf8563>, "reg:0",
+-                     <&m41t62>, "reg:0";
+-              trickle-diode-type = <&abx80x>,"abracon,tc-diode";
++                     <&m41t62>, "reg:0",
++                     <&rv1805>, "reg:0";
++              trickle-diode-type = <&abx80x>,"abracon,tc-diode",
++                                   <&rv1805>,"abracon,tc-diode";
+               trickle-resistor-ohms = <&ds1339>,"trickle-resistor-ohms:0",
+                                       <&abx80x>,"abracon,tc-resistor:0",
+-                                      <&rv3028>,"trickle-resistor-ohms:0";
++                                      <&rv3028>,"trickle-resistor-ohms:0",
++                                      <&rv1805>,"abracon,tc-resistor:0";
+               backup-switchover-mode = <&rv3028>,"backup-switchover-mode:0";
+               wakeup-source = <&ds1339>,"wakeup-source?",
+                               <&ds3231>,"wakeup-source?",
diff --git a/target/linux/bcm27xx/patches-5.4/950-0760-ARM-dts-bcm2711-rpi-Remove-downstream-thermal-sensor.patch b/target/linux/bcm27xx/patches-5.4/950-0760-ARM-dts-bcm2711-rpi-Remove-downstream-thermal-sensor.patch
deleted file mode 100644 (file)
index 1d88563..0000000
+++ /dev/null
@@ -1,41 +0,0 @@
-From 2c262ae9cfd82b5b65bbb86a98da6a10c11db367 Mon Sep 17 00:00:00 2001
-From: Chen-Yu Tsai <wens@csie.org>
-Date: Thu, 14 May 2020 17:39:30 +0800
-Subject: [PATCH] ARM: dts: bcm2711-rpi: Remove downstream thermal
- sensor node
-
-Signed-off-by: Chen-Yu Tsai <wens@csie.org>
----
- arch/arm/boot/dts/bcm2711-rpi.dtsi | 14 --------------
- 1 file changed, 14 deletions(-)
-
---- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
-+++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
-@@ -50,16 +50,6 @@
- };
- &soc {
--      thermal: thermal@7d5d2200 {
--              compatible = "brcm,avs-tmon-bcm2711";
--              reg = <0x7d5d2200 0x2c>;
--              interrupts = <GIC_SPI 137 IRQ_TYPE_LEVEL_HIGH>;
--              interrupt-names = "tmon";
--              clocks = <&clocks BCM2835_CLOCK_TSENS>;
--              #thermal-sensor-cells = <0>;
--              status = "okay";
--      };
--
-       /delete-node/ audio;
- };
-@@ -177,10 +167,6 @@
-                    <GIC_SPI 114 IRQ_TYPE_LEVEL_HIGH>;
- };
--&cpu_thermal {
--       thermal-sensors = <&thermal>;
--};
--
- &genet {
-       compatible = "brcm,bcm2711-genet-v5", "brcm,genet-v5";
- };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0760-vc4-Set-driver_name-for-card.patch b/target/linux/bcm27xx/patches-5.4/950-0760-vc4-Set-driver_name-for-card.patch
new file mode 100644 (file)
index 0000000..0f02d99
--- /dev/null
@@ -0,0 +1,22 @@
+From aff5900c0b389e5ad2b8f2fc67544e769f94cbf4 Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Tue, 2 Jun 2020 19:31:49 +0100
+Subject: [PATCH] vc4: Set driver_name for card
+
+Allows use of the same alsa conf file for hdmi1
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1216,6 +1216,7 @@ static int vc4_hdmi_audio_init(struct vc
+       card->dai_link = dai_link;
+       card->num_links = 1;
+       card->name = vc4_hdmi->variant->id ? "vc4-hdmi1" : "vc4-hdmi";
++      card->driver_name = "vc4-hdmi";
+       card->dev = dev;
+       card->owner = THIS_MODULE;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0761-staging-vchiq_arm-Use-g_dma_dev-for-dma_unmap_sg.patch b/target/linux/bcm27xx/patches-5.4/950-0761-staging-vchiq_arm-Use-g_dma_dev-for-dma_unmap_sg.patch
new file mode 100644 (file)
index 0000000..4af1347
--- /dev/null
@@ -0,0 +1,27 @@
+From fecd0c670aabdbe5bac8fb58be374dd02ea2e8a2 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 4 Jun 2020 17:23:36 +0100
+Subject: [PATCH] staging: vchiq_arm: Use g_dma_dev for dma_unmap_sg
+
+Commit "staging: vchiq_arm: Clean up 40-bit DMA support" failed to
+change one of the calls to dma_unmap_sg to pass in g_dma_dev (rather
+than g_dev). Correct that oversight.
+
+See: https://github.com/raspberrypi/linux/issues/3647
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ .../staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c  | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_2835_arm.c
+@@ -641,7 +641,7 @@ free_pagelist(struct vchiq_pagelist_info
+        * NOTE: dma_unmap_sg must be called before the
+        * cpu can touch any of the data/pages.
+        */
+-      dma_unmap_sg(g_dev, pagelistinfo->scatterlist,
++      dma_unmap_sg(g_dma_dev, pagelistinfo->scatterlist,
+                    pagelistinfo->num_pages, pagelistinfo->dma_dir);
+       pagelistinfo->scatterlist_mapped = 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0762-vc4-cec-Restore-cec-physical-address-on-reconnect.patch b/target/linux/bcm27xx/patches-5.4/950-0762-vc4-cec-Restore-cec-physical-address-on-reconnect.patch
new file mode 100644 (file)
index 0000000..d42f61d
--- /dev/null
@@ -0,0 +1,56 @@
+From 7776a876ce7a6eeda164aebacc965116821d3095 Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Wed, 3 Jun 2020 12:20:19 +0100
+Subject: [PATCH] vc4: cec: Restore cec physical address on reconnect
+
+Currently we call cec_phys_addr_invalidate on a hotplug deassert.
+That may be due to a TV power cycling, or an AVR being switched
+on (and switching edid). This makes CEC unusable.
+
+Set it back up again on the hotplug assert.
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 25 +++++++++++++++++--------
+ 1 file changed, 17 insertions(+), 8 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -113,20 +113,29 @@ static enum drm_connector_status
+ vc4_hdmi_connector_detect(struct drm_connector *connector, bool force)
+ {
+       struct vc4_hdmi *vc4_hdmi = connector_to_vc4_hdmi(connector);
++      bool connected = false;
+       if (vc4_hdmi->hpd_gpio) {
+               if (gpio_get_value_cansleep(vc4_hdmi->hpd_gpio) ^
+                   vc4_hdmi->hpd_active_low)
+-                      return connector_status_connected;
+-              cec_phys_addr_invalidate(vc4_hdmi->cec_adap);
+-              return connector_status_disconnected;
+-      }
+-
+-      if (drm_probe_ddc(vc4_hdmi->ddc))
+-              return connector_status_connected;
+-
++                      connected = true;
++      } else if (drm_probe_ddc(vc4_hdmi->ddc))
++              connected = true;
+       if (HDMI_READ(HDMI_HOTPLUG) & VC4_HDMI_HOTPLUG_CONNECTED)
++              connected = true;
++      if (connected) {
++              if (connector->status != connector_status_connected) {
++                      struct edid *edid = drm_get_edid(connector, vc4_hdmi->ddc);
++
++                      if (edid) {
++                              cec_s_phys_addr_from_edid(vc4_hdmi->cec_adap, edid);
++                              vc4_hdmi->encoder.hdmi_monitor = drm_detect_hdmi_monitor(edid);
++                              drm_connector_update_edid_property(connector, edid);
++                              kfree(edid);
++                      }
++              }
+               return connector_status_connected;
++      }
+       cec_phys_addr_invalidate(vc4_hdmi->cec_adap);
+       return connector_status_disconnected;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0763-snd_bcm2835-disable-HDMI-audio-when-vc4-is-used-3640.patch b/target/linux/bcm27xx/patches-5.4/950-0763-snd_bcm2835-disable-HDMI-audio-when-vc4-is-used-3640.patch
new file mode 100644 (file)
index 0000000..ed45410
--- /dev/null
@@ -0,0 +1,92 @@
+From 744543757bef8621f7791e28ecb894b2627cb449 Mon Sep 17 00:00:00 2001
+From: Hristo Venev <hristo@venev.name>
+Date: Fri, 5 Jun 2020 09:22:49 +0000
+Subject: [PATCH] snd_bcm2835: disable HDMI audio when vc4 is used
+ (#3640)
+
+Things don't work too well when both the vc4 driver and the firmware
+driver are trying to control the same audio output:
+
+[  763.569406] bcm2835_audio bcm2835_audio: vchi message timeout, msg=5
+
+Hence, when the vc4 HDMI driver is used, let it control audio. This is done
+by introducing a new device tree property to the audio node, and
+extending the vc4-kms-v3d overlays to set it appropriately.
+
+Signed-off-by: Hristo Venev <hristo@venev.name>
+---
+ arch/arm/boot/dts/overlays/README                      |  2 ++
+ arch/arm/boot/dts/overlays/vc4-kms-v3d-overlay.dts     | 10 +++++++++-
+ arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts |  8 ++++++++
+ drivers/staging/vc04_services/bcm2835-audio/bcm2835.c  |  4 +++-
+ 4 files changed, 22 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2745,6 +2745,7 @@ Params: cma-256                 CMA is 2
+         cma-size                CMA size in bytes, 4MB aligned
+         cma-default             Use upstream's default value
+         audio                   Enable or disable audio over HDMI (default "on")
++        noaudio                 Disable all HDMI audio (default "off")
+ Name:   vc4-kms-v3d-pi4
+@@ -2761,6 +2762,7 @@ Params: cma-256                 CMA is 2
+                                 "on")
+         audio1                  Enable or disable audio over HDMI1 (default
+                                 "on")
++        noaudio                 Disable all HDMI audio (default "off")
+ Name:   vga666
+--- a/arch/arm/boot/dts/overlays/vc4-kms-v3d-overlay.dts
++++ b/arch/arm/boot/dts/overlays/vc4-kms-v3d-overlay.dts
+@@ -108,7 +108,15 @@
+               };
+       };
++      fragment@14 {
++              target = <&audio>;
++              __overlay__  {
++                  brcm,disable-hdmi;
++              };
++      };
++
+       __overrides__ {
+-              audio   = <0>,"!13";
++              audio   = <0>,"!13", <0>,"=14";
++              noaudio = <0>,"=13", <0>,"!14";
+       };
+ };
+--- a/arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
++++ b/arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
+@@ -138,8 +138,16 @@
+               };
+       };
++      fragment@19 {
++              target = <&audio>;
++              __overlay__  {
++                  brcm,disable-hdmi;
++              };
++      };
++
+       __overrides__ {
+               audio   = <0>,"!17";
+               audio1   = <0>,"!18";
++              noaudio = <0>,"=17", <0>,"=18", <0>,"!19";
+       };
+ };
+--- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
++++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
+@@ -381,7 +381,9 @@ static int snd_bcm2835_alsa_probe(struct
+       }
+       if (!enable_compat_alsa) {
+-              set_hdmi_enables(dev);
++              if (!of_property_read_bool(dev->of_node, "brcm,disable-hdmi"))
++                      set_hdmi_enables(dev);
++
+               // In this mode, always enable analog output
+               enable_headphones = true;
+       } else {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0764-overlays-i2c-gpio-Avoid-open-drain-warnings.patch b/target/linux/bcm27xx/patches-5.4/950-0764-overlays-i2c-gpio-Avoid-open-drain-warnings.patch
new file mode 100644 (file)
index 0000000..9b6cb1d
--- /dev/null
@@ -0,0 +1,93 @@
+From 11f89a12530471a2b25c71416e106eaa014818c0 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 5 Jun 2020 16:07:07 +0100
+Subject: [PATCH] overlays: i2c-gpio: Avoid open-drain warnings
+
+The i2c-gpio driver expects to use a GPIO in open-drain mode. Failure
+to configure it in that way causes alarming warnings in the kernel log.
+The BCM283x and BCM2711 GPIO blocks don't support open-drain mode, but
+gpiolib can emulate it in software if configured correctly.
+
+Silence the warning by declaring the GPIOs as requiring open-drain
+mode, trusting gpiolib to manage the emulation. The previous
+incarnation of this patch took the other approach of telling the
+i2c-gpio driver that the GPIOs were configured for open-drain, but
+this had the effect of disabling the emulation. In some cases this
+appears to work, but examining the waveforms as analogue voltages
+shows contention, the success or failure depending on drive strengths.
+
+See: https://github.com/raspberrypi/firmware/issues/1381
+See: https://github.com/raspberrypi/firmware/issues/1401
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/balena-fin-overlay.dts   | 5 ++++-
+ arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts     | 6 ++++--
+ arch/arm/boot/dts/overlays/i2c-rtc-gpio-overlay.dts | 6 ++++--
+ 3 files changed, 12 insertions(+), 5 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/balena-fin-overlay.dts
++++ b/arch/arm/boot/dts/overlays/balena-fin-overlay.dts
+@@ -1,6 +1,8 @@
+ /dts-v1/;
+ /plugin/;
++#include <dt-bindings/gpio/gpio.h>
++
+ /{
+       compatible = "brcm,bcm2835";
+@@ -48,7 +50,8 @@
+                       i2c_soft: i2c@0 {
+                               compatible = "i2c-gpio";
+-                              gpios = <&gpio 43 0 /* sda */ &gpio 42 0 /* scl */>;
++                              gpios = <&gpio 43 (GPIO_ACTIVE_HIGH|GPIO_OPEN_DRAIN) /* sda */
++                                       &gpio 42 (GPIO_ACTIVE_HIGH|GPIO_OPEN_DRAIN) /* scl */>;
+                               i2c-gpio,delay-us = <5>;
+                               i2c-gpio,scl-open-drain;
+                               i2c-gpio,sda-open-drain;
+--- a/arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-gpio-overlay.dts
+@@ -2,6 +2,8 @@
+ /dts-v1/;
+ /plugin/;
++#include <dt-bindings/gpio/gpio.h>
++
+ / {
+       compatible = "brcm,bcm2835";
+@@ -12,8 +14,8 @@
+                       i2c_gpio: i2c@0 {
+                               reg = <0xffffffff>;
+                               compatible = "i2c-gpio";
+-                              gpios = <&gpio 23 0 /* sda */
+-                                       &gpio 24 0 /* scl */
++                              gpios = <&gpio 23 (GPIO_ACTIVE_HIGH|GPIO_OPEN_DRAIN) /* sda */
++                                       &gpio 24 (GPIO_ACTIVE_HIGH|GPIO_OPEN_DRAIN) /* scl */
+                                       >;
+                               i2c-gpio,delay-us = <2>;        /* ~100 kHz */
+                               #address-cells = <1>;
+--- a/arch/arm/boot/dts/overlays/i2c-rtc-gpio-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-rtc-gpio-overlay.dts
+@@ -3,6 +3,8 @@
+ /dts-v1/;
+ /plugin/;
++#include <dt-bindings/gpio/gpio.h>
++
+ / {
+       compatible = "brcm,bcm2835";
+@@ -11,8 +13,8 @@
+               __overlay__ {
+                       i2c_gpio: i2c-gpio-rtc@0 {
+                               compatible = "i2c-gpio";
+-                              gpios = <&gpio 23 0 /* sda */
+-                                       &gpio 24 0 /* scl */
++                              gpios = <&gpio 23 (GPIO_ACTIVE_HIGH|GPIO_OPEN_DRAIN) /* sda */
++                                       &gpio 24 (GPIO_ACTIVE_HIGH|GPIO_OPEN_DRAIN) /* scl */
+                                       >;
+                               i2c-gpio,delay-us = <2>;        /* ~100 kHz */
+                               #address-cells = <1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0765-overlays-Update-upstream-overlays-after-vc4-kms-v3d-.patch b/target/linux/bcm27xx/patches-5.4/950-0765-overlays-Update-upstream-overlays-after-vc4-kms-v3d-.patch
new file mode 100644 (file)
index 0000000..dd5f2ee
--- /dev/null
@@ -0,0 +1,42 @@
+From 964d9575e0a9849f197edaa243e9f2268d5ab44e Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 5 Jun 2020 16:18:52 +0100
+Subject: [PATCH] overlays: Update upstream overlays after
+ vc4-kms-v3d change
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/upstream-overlay.dts     | 6 ++++++
+ arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts | 6 ++++++
+ 2 files changed, 12 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/upstream-overlay.dts
++++ b/arch/arm/boot/dts/overlays/upstream-overlay.dts
+@@ -92,6 +92,12 @@
+               };
+       };
+       fragment@14 {
++              target = <&audio>;
++              __overlay__ {
++                      brcm,disable-hdmi;
++              };
++      };
++      fragment@15 {
+               target = <&usb>;
+               #address-cells = <1>;
+               #size-cells = <1>;
+--- a/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
++++ b/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
+@@ -122,6 +122,12 @@
+               };
+       };
+       fragment@19 {
++              target = <&audio>;
++              __overlay__ {
++                      brcm,disable-hdmi;
++              };
++      };
++      fragment@20 {
+               target = <&usb>;
+               #address-cells = <1>;
+               #size-cells = <1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0766-w1_therm-adding-code-comments-and-code-reordering.patch b/target/linux/bcm27xx/patches-5.4/950-0766-w1_therm-adding-code-comments-and-code-reordering.patch
new file mode 100644 (file)
index 0000000..5db0512
--- /dev/null
@@ -0,0 +1,580 @@
+From 7c49e87acd0412ff1fb2490c4ac6fcb5471afd9b Mon Sep 17 00:00:00 2001
+From: Akira Shimahara <akira215corp@gmail.com>
+Date: Mon, 11 May 2020 22:35:35 +0200
+Subject: [PATCH] w1_therm: adding code comments and code reordering
+
+commit 92b8d2724464bc1d2e735a84c0da5741dce33485 upstream.
+
+Adding code comments to split code in dedicated parts. After the global
+declarations (defines, macros and function declarations), code is organized
+as follow :
+ - Device and family dependent structures and functions
+ - Interfaces functions
+ - Helpers functions
+ - Hardware functions
+ - Sysfs interface functions
+
+Signed-off-by: Akira Shimahara <akira215corp@gmail.com>
+Link: https://lore.kernel.org/r/20200511203535.409599-1-akira215corp@gmail.com
+Signed-off-by: Greg Kroah-Hartman <gregkh@linuxfoundation.org>
+---
+ drivers/w1/slaves/w1_therm.c | 427 +++++++++++++++++++++--------------
+ 1 file changed, 259 insertions(+), 168 deletions(-)
+
+--- a/drivers/w1/slaves/w1_therm.c
++++ b/drivers/w1/slaves/w1_therm.c
+@@ -25,7 +25,8 @@
+ #define W1_THERM_DS1825               0x3B
+ #define W1_THERM_DS28EA00     0x42
+-/* Allow the strong pullup to be disabled, but default to enabled.
++/*
++ * Allow the strong pullup to be disabled, but default to enabled.
+  * If it was disabled a parasite powered device might not get the require
+  * current to do a temperature conversion.  If it is enabled parasite powered
+  * devices have a better chance of getting the current required.
+@@ -41,42 +42,55 @@
+ static int w1_strong_pullup = 1;
+ module_param_named(strong_pullup, w1_strong_pullup, int, 0);
++/* Helpers Macros */
++
++/* return the address of the refcnt in the family data */
++#define THERM_REFCNT(family_data) \
++      (&((struct w1_therm_family_data *)family_data)->refcnt)
++
++/* Structs definition */
++
++/**
++ * struct w1_therm_family_converter - bind device specific functions
++ * @broken: flag for non-registred families
++ * @reserved: not used here
++ * @f: pointer to the device binding structure
++ * @convert: pointer to the device conversion function
++ * @precision: pointer to the device precision function
++ * @eeprom: pointer to eeprom function
++ */
++struct w1_therm_family_converter {
++      u8              broken;
++      u16             reserved;
++      struct w1_family        *f;
++      int             (*convert)(u8 rom[9]);
++      int             (*precision)(struct device *device, int val);
++      int             (*eeprom)(struct device *device);
++};
++
++/**
++ * struct w1_therm_family_data - device data
++ * @rom: ROM device id (64bit Lasered ROM code + 1 CRC byte)
++ * @refcnt: ref count
++ */
+ struct w1_therm_family_data {
+       uint8_t rom[9];
+       atomic_t refcnt;
+ };
++/**
++ * struct therm_info - store temperature reading
++ * @rom: read device data (8 data bytes + 1 CRC byte)
++ * @crc: computed crc from rom
++ * @verdict: 1 crc checked, 0 crc not matching
++ */
+ struct therm_info {
+       u8 rom[9];
+       u8 crc;
+       u8 verdict;
+ };
+-/* return the address of the refcnt in the family data */
+-#define THERM_REFCNT(family_data) \
+-      (&((struct w1_therm_family_data *)family_data)->refcnt)
+-
+-static int w1_therm_add_slave(struct w1_slave *sl)
+-{
+-      sl->family_data = kzalloc(sizeof(struct w1_therm_family_data),
+-              GFP_KERNEL);
+-      if (!sl->family_data)
+-              return -ENOMEM;
+-      atomic_set(THERM_REFCNT(sl->family_data), 1);
+-      return 0;
+-}
+-
+-static void w1_therm_remove_slave(struct w1_slave *sl)
+-{
+-      int refcnt = atomic_sub_return(1, THERM_REFCNT(sl->family_data));
+-
+-      while (refcnt) {
+-              msleep(1000);
+-              refcnt = atomic_read(THERM_REFCNT(sl->family_data));
+-      }
+-      kfree(sl->family_data);
+-      sl->family_data = NULL;
+-}
++/* Sysfs interface declaration */
+ static ssize_t w1_slave_show(struct device *device,
+       struct device_attribute *attr, char *buf);
+@@ -87,9 +101,35 @@ static ssize_t w1_slave_store(struct dev
+ static ssize_t w1_seq_show(struct device *device,
+       struct device_attribute *attr, char *buf);
++/* Attributes declarations */
++
+ static DEVICE_ATTR_RW(w1_slave);
+ static DEVICE_ATTR_RO(w1_seq);
++/* Interface Functions declaration */
++
++/**
++ * w1_therm_add_slave() - Called when a new slave is discovered
++ * @sl: slave just discovered by the master.
++ *
++ * Called by the master when the slave is discovered on the bus. Used to
++ * initialize slave state before the beginning of any communication.
++ *
++ * Return: 0 - If success, negative kernel code otherwise
++ */
++static int w1_therm_add_slave(struct w1_slave *sl);
++
++/**
++ * w1_therm_remove_slave() - Called when a slave is removed
++ * @sl: slave to be removed.
++ *
++ * Called by the master when the slave is considered not to be on the bus
++ * anymore. Used to free memory.
++ */
++static void w1_therm_remove_slave(struct w1_slave *sl);
++
++/* Family attributes */
++
+ static struct attribute *w1_therm_attrs[] = {
+       &dev_attr_w1_slave.attr,
+       NULL,
+@@ -101,6 +141,8 @@ static struct attribute *w1_ds28ea00_att
+       NULL,
+ };
++/* Attribute groups */
++
+ ATTRIBUTE_GROUPS(w1_therm);
+ ATTRIBUTE_GROUPS(w1_ds28ea00);
+@@ -154,6 +196,8 @@ static const struct hwmon_chip_info w1_c
+ #define W1_CHIPINFO   NULL
+ #endif
++/* Family operations */
++
+ static struct w1_family_ops w1_therm_fops = {
+       .add_slave      = w1_therm_add_slave,
+       .remove_slave   = w1_therm_remove_slave,
+@@ -168,6 +212,8 @@ static struct w1_family_ops w1_ds28ea00_
+       .chip_info      = W1_CHIPINFO,
+ };
++/* Family binding operations struct */
++
+ static struct w1_family w1_therm_family_DS18S20 = {
+       .fid = W1_THERM_DS18S20,
+       .fops = &w1_therm_fops,
+@@ -193,138 +239,18 @@ static struct w1_family w1_therm_family_
+       .fops = &w1_therm_fops,
+ };
+-struct w1_therm_family_converter {
+-      u8                      broken;
+-      u16                     reserved;
+-      struct w1_family        *f;
+-      int                     (*convert)(u8 rom[9]);
+-      int                     (*precision)(struct device *device, int val);
+-      int                     (*eeprom)(struct device *device);
+-};
++/* Device dependent func */
+ /* write configuration to eeprom */
+ static inline int w1_therm_eeprom(struct device *device);
+-/* Set precision for conversion */
+-static inline int w1_DS18B20_precision(struct device *device, int val);
+-static inline int w1_DS18S20_precision(struct device *device, int val);
+-
+-/* The return value is millidegrees Centigrade. */
+-static inline int w1_DS18B20_convert_temp(u8 rom[9]);
+-static inline int w1_DS18S20_convert_temp(u8 rom[9]);
+-
+-static struct w1_therm_family_converter w1_therm_families[] = {
+-      {
+-              .f              = &w1_therm_family_DS18S20,
+-              .convert        = w1_DS18S20_convert_temp,
+-              .precision      = w1_DS18S20_precision,
+-              .eeprom         = w1_therm_eeprom
+-      },
+-      {
+-              .f              = &w1_therm_family_DS1822,
+-              .convert        = w1_DS18B20_convert_temp,
+-              .precision      = w1_DS18S20_precision,
+-              .eeprom         = w1_therm_eeprom
+-      },
+-      {
+-              .f              = &w1_therm_family_DS18B20,
+-              .convert        = w1_DS18B20_convert_temp,
+-              .precision      = w1_DS18B20_precision,
+-              .eeprom         = w1_therm_eeprom
+-      },
+-      {
+-              .f              = &w1_therm_family_DS28EA00,
+-              .convert        = w1_DS18B20_convert_temp,
+-              .precision      = w1_DS18S20_precision,
+-              .eeprom         = w1_therm_eeprom
+-      },
+-      {
+-              .f              = &w1_therm_family_DS1825,
+-              .convert        = w1_DS18B20_convert_temp,
+-              .precision      = w1_DS18S20_precision,
+-              .eeprom         = w1_therm_eeprom
+-      }
+-};
+-
+-static inline int w1_therm_eeprom(struct device *device)
+-{
+-      struct w1_slave *sl = dev_to_w1_slave(device);
+-      struct w1_master *dev = sl->master;
+-      u8 rom[9], external_power;
+-      int ret, max_trying = 10;
+-      u8 *family_data = sl->family_data;
+-
+-      if (!sl->family_data) {
+-              ret = -ENODEV;
+-              goto error;
+-      }
+-
+-      /* prevent the slave from going away in sleep */
+-      atomic_inc(THERM_REFCNT(family_data));
+-
+-      ret = mutex_lock_interruptible(&dev->bus_mutex);
+-      if (ret != 0)
+-              goto dec_refcnt;
+-
+-      memset(rom, 0, sizeof(rom));
+-
+-      while (max_trying--) {
+-              if (!w1_reset_select_slave(sl)) {
+-                      unsigned int tm = 10;
+-                      unsigned long sleep_rem;
+-
+-                      /* check if in parasite mode */
+-                      w1_write_8(dev, W1_READ_PSUPPLY);
+-                      external_power = w1_read_8(dev);
+-
+-                      if (w1_reset_select_slave(sl))
+-                              continue;
+-
+-                      /* 10ms strong pullup/delay after the copy command */
+-                      if (w1_strong_pullup == 2 ||
+-                          (!external_power && w1_strong_pullup))
+-                              w1_next_pullup(dev, tm);
+-
+-                      w1_write_8(dev, W1_COPY_SCRATCHPAD);
+-
+-                      if (external_power) {
+-                              mutex_unlock(&dev->bus_mutex);
+-
+-                              sleep_rem = msleep_interruptible(tm);
+-                              if (sleep_rem != 0) {
+-                                      ret = -EINTR;
+-                                      goto dec_refcnt;
+-                              }
+-
+-                              ret = mutex_lock_interruptible(&dev->bus_mutex);
+-                              if (ret != 0)
+-                                      goto dec_refcnt;
+-                      } else if (!w1_strong_pullup) {
+-                              sleep_rem = msleep_interruptible(tm);
+-                              if (sleep_rem != 0) {
+-                                      ret = -EINTR;
+-                                      goto mt_unlock;
+-                              }
+-                      }
+-
+-                      break;
+-              }
+-      }
+-
+-mt_unlock:
+-      mutex_unlock(&dev->bus_mutex);
+-dec_refcnt:
+-      atomic_dec(THERM_REFCNT(family_data));
+-error:
+-      return ret;
+-}
+-
+ /* DS18S20 does not feature configuration register */
+ static inline int w1_DS18S20_precision(struct device *device, int val)
+ {
+       return 0;
+ }
++/* Set precision for conversion */
+ static inline int w1_DS18B20_precision(struct device *device, int val)
+ {
+       struct w1_slave *sl = dev_to_w1_slave(device);
+@@ -407,6 +333,14 @@ error:
+       return ret;
+ }
++/**
++ * w1_DS18B20_convert_temp() - temperature computation for DS18B20
++ * @rom: data read from device RAM (8 data bytes + 1 CRC byte)
++ *
++ * Can be called for any DS18B20 compliant device.
++ *
++ * Return: value in millidegrees Celsius.
++ */
+ static inline int w1_DS18B20_convert_temp(u8 rom[9])
+ {
+       s16 t = le16_to_cpup((__le16 *)rom);
+@@ -414,6 +348,14 @@ static inline int w1_DS18B20_convert_tem
+       return t*1000/16;
+ }
++/**
++ * w1_DS18S20_convert_temp() - temperature computation for DS18S20
++ * @rom: data read from device RAM (8 data bytes + 1 CRC byte)
++ *
++ * Can be called for any DS18S20 compliant device.
++ *
++ * Return: value in millidegrees Celsius.
++ */
+ static inline int w1_DS18S20_convert_temp(u8 rom[9])
+ {
+       int t, h;
+@@ -434,6 +376,53 @@ static inline int w1_DS18S20_convert_tem
+       return t;
+ }
++/* Device capability description */
++
++static struct w1_therm_family_converter w1_therm_families[] = {
++      {
++              .f              = &w1_therm_family_DS18S20,
++              .convert        = w1_DS18S20_convert_temp,
++              .precision      = w1_DS18S20_precision,
++              .eeprom         = w1_therm_eeprom
++      },
++      {
++              .f              = &w1_therm_family_DS1822,
++              .convert        = w1_DS18B20_convert_temp,
++              .precision      = w1_DS18S20_precision,
++              .eeprom         = w1_therm_eeprom
++      },
++      {
++              .f              = &w1_therm_family_DS18B20,
++              .convert        = w1_DS18B20_convert_temp,
++              .precision      = w1_DS18B20_precision,
++              .eeprom         = w1_therm_eeprom
++      },
++      {
++              .f              = &w1_therm_family_DS28EA00,
++              .convert        = w1_DS18B20_convert_temp,
++              .precision      = w1_DS18S20_precision,
++              .eeprom         = w1_therm_eeprom
++      },
++      {
++              .f              = &w1_therm_family_DS1825,
++              .convert        = w1_DS18B20_convert_temp,
++              .precision      = w1_DS18S20_precision,
++              .eeprom         = w1_therm_eeprom
++      }
++};
++
++/* Helpers Functions */
++
++/**
++ * w1_convert_temp() - temperature conversion binding function
++ * @rom: data read from device RAM (8 data bytes + 1 CRC byte)
++ * @fid: device family id
++ *
++ * The function call the temperature computation function according to
++ * device family.
++ *
++ * Return: value in millidegrees Celsius.
++ */
+ static inline int w1_convert_temp(u8 rom[9], u8 fid)
+ {
+       int i;
+@@ -445,31 +434,32 @@ static inline int w1_convert_temp(u8 rom
+       return 0;
+ }
+-static ssize_t w1_slave_store(struct device *device,
+-                            struct device_attribute *attr, const char *buf,
+-                            size_t size)
++/* Interface Functions */
++
++static int w1_therm_add_slave(struct w1_slave *sl)
+ {
+-      int val, ret;
+-      struct w1_slave *sl = dev_to_w1_slave(device);
+-      int i;
++      sl->family_data = kzalloc(sizeof(struct w1_therm_family_data),
++              GFP_KERNEL);
++      if (!sl->family_data)
++              return -ENOMEM;
++      atomic_set(THERM_REFCNT(sl->family_data), 1);
++      return 0;
++}
+-      ret = kstrtoint(buf, 0, &val);
+-      if (ret)
+-              return ret;
++static void w1_therm_remove_slave(struct w1_slave *sl)
++{
++      int refcnt = atomic_sub_return(1, THERM_REFCNT(sl->family_data));
+-      for (i = 0; i < ARRAY_SIZE(w1_therm_families); ++i) {
+-              if (w1_therm_families[i].f->fid == sl->family->fid) {
+-                      /* zero value indicates to write current configuration to eeprom */
+-                      if (val == 0)
+-                              ret = w1_therm_families[i].eeprom(device);
+-                      else
+-                              ret = w1_therm_families[i].precision(device, val);
+-                      break;
+-              }
++      while (refcnt) {
++              msleep(1000);
++              refcnt = atomic_read(THERM_REFCNT(sl->family_data));
+       }
+-      return ret ? : size;
++      kfree(sl->family_data);
++      sl->family_data = NULL;
+ }
++/* Hardware Functions */
++
+ static ssize_t read_therm(struct device *device,
+                         struct w1_slave *sl, struct therm_info *info)
+ {
+@@ -564,6 +554,81 @@ error:
+       return ret;
+ }
++static inline int w1_therm_eeprom(struct device *device)
++{
++      struct w1_slave *sl = dev_to_w1_slave(device);
++      struct w1_master *dev = sl->master;
++      u8 rom[9], external_power;
++      int ret, max_trying = 10;
++      u8 *family_data = sl->family_data;
++
++      if (!sl->family_data) {
++              ret = -ENODEV;
++              goto error;
++      }
++
++      /* prevent the slave from going away in sleep */
++      atomic_inc(THERM_REFCNT(family_data));
++
++      ret = mutex_lock_interruptible(&dev->bus_mutex);
++      if (ret != 0)
++              goto dec_refcnt;
++
++      memset(rom, 0, sizeof(rom));
++
++      while (max_trying--) {
++              if (!w1_reset_select_slave(sl)) {
++                      unsigned int tm = 10;
++                      unsigned long sleep_rem;
++
++                      /* check if in parasite mode */
++                      w1_write_8(dev, W1_READ_PSUPPLY);
++                      external_power = w1_read_8(dev);
++
++                      if (w1_reset_select_slave(sl))
++                              continue;
++
++                      /* 10ms strong pullup/delay after the copy command */
++                      if (w1_strong_pullup == 2 ||
++                          (!external_power && w1_strong_pullup))
++                              w1_next_pullup(dev, tm);
++
++                      w1_write_8(dev, W1_COPY_SCRATCHPAD);
++
++                      if (external_power) {
++                              mutex_unlock(&dev->bus_mutex);
++
++                              sleep_rem = msleep_interruptible(tm);
++                              if (sleep_rem != 0) {
++                                      ret = -EINTR;
++                                      goto dec_refcnt;
++                              }
++
++                              ret = mutex_lock_interruptible(&dev->bus_mutex);
++                              if (ret != 0)
++                                      goto dec_refcnt;
++                      } else if (!w1_strong_pullup) {
++                              sleep_rem = msleep_interruptible(tm);
++                              if (sleep_rem != 0) {
++                                      ret = -EINTR;
++                                      goto mt_unlock;
++                              }
++                      }
++
++                      break;
++              }
++      }
++
++mt_unlock:
++      mutex_unlock(&dev->bus_mutex);
++dec_refcnt:
++      atomic_dec(THERM_REFCNT(family_data));
++error:
++      return ret;
++}
++
++/* Sysfs Interface definition */
++
+ static ssize_t w1_slave_show(struct device *device,
+                            struct device_attribute *attr, char *buf)
+ {
+@@ -597,6 +662,32 @@ static ssize_t w1_slave_show(struct devi
+       return ret;
+ }
++static ssize_t w1_slave_store(struct device *device,
++                            struct device_attribute *attr, const char *buf,
++                            size_t size)
++{
++      int val, ret;
++      struct w1_slave *sl = dev_to_w1_slave(device);
++      int i;
++
++      ret = kstrtoint(buf, 0, &val);
++      if (ret)
++              return ret;
++
++      for (i = 0; i < ARRAY_SIZE(w1_therm_families); ++i) {
++              if (w1_therm_families[i].f->fid == sl->family->fid) {
++      /* zero value indicates to write current configuration to eeprom */
++                      if (val == 0)
++                              ret = w1_therm_families[i].eeprom(device);
++                      else
++                              ret = w1_therm_families[i].precision(device,
++                                                                      val);
++                      break;
++              }
++      }
++      return ret ? : size;
++}
++
+ #if IS_REACHABLE(CONFIG_HWMON)
+ static int w1_read_temp(struct device *device, u32 attr, int channel,
+                       long *val)
+@@ -666,7 +757,7 @@ static ssize_t w1_seq_show(struct device
+       if (ack != W1_42_SUCCESS_CONFIRM_BYTE)
+               goto error;
+-      /* In case the bus fails to send 0xFF, limit*/
++      /* In case the bus fails to send 0xFF, limit */
+       for (i = 0; i <= 64; i++) {
+               if (w1_reset_bus(sl->master))
+                       goto error;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0767-w1_therm-fix-reset_select_slave-during-discovery.patch b/target/linux/bcm27xx/patches-5.4/950-0767-w1_therm-fix-reset_select_slave-during-discovery.patch
new file mode 100644 (file)
index 0000000..9881f81
--- /dev/null
@@ -0,0 +1,149 @@
+From dfc9fd0060f9103ca1f9335d529401ee8a105737 Mon Sep 17 00:00:00 2001
+From: Akira Shimahara <akira215corp@gmail.com>
+Date: Mon, 11 May 2020 22:36:10 +0200
+Subject: [PATCH] w1_therm: fix reset_select_slave during discovery
+
+commit c8ad65f6fbfdcb9b620674ef456020eef2bfeb36 upstream.
+
+Fix reset_select_slave issue during devices discovery by the master on
+bus. The w1_reset_select_slave() from w1_io.c, which was previously used,
+assume that if the slave count is 1 there is only one slave attached on
+the bus. This is not always true. For example when discovering devices,
+when the first device is discover by the bus master, its slave count is
+1, but some other slaves may be on the bus.
+
+In that case instead of adressing command to the attached slave the
+master throw a SKIP ROM command so that all slaves attached on the bus
+will answer simultenaously causing data collision.
+
+A dedicated reset_select_slave() function is implemented here,
+it always perform an adressing to each slave using the MATCH ROM
+command.
+
+Signed-off-by: Akira Shimahara <akira215corp@gmail.com>
+Link: https://lore.kernel.org/r/20200511203610.409975-1-akira215corp@gmail.com
+Signed-off-by: Greg Kroah-Hartman <gregkh@linuxfoundation.org>
+---
+ drivers/w1/slaves/w1_therm.c | 48 ++++++++++++++++++++++++++++++------
+ 1 file changed, 41 insertions(+), 7 deletions(-)
+
+--- a/drivers/w1/slaves/w1_therm.c
++++ b/drivers/w1/slaves/w1_therm.c
+@@ -16,6 +16,7 @@
+ #include <linux/slab.h>
+ #include <linux/delay.h>
+ #include <linux/hwmon.h>
++#include <linux/string.h>
+ #include <linux/w1.h>
+@@ -90,6 +91,24 @@ struct therm_info {
+       u8 verdict;
+ };
++/* Hardware Functions declaration */
++
++/**
++ * reset_select_slave() - reset and select a slave
++ * @sl: the slave to select
++ *
++ * Resets the bus and select the slave by sending a ROM MATCH cmd
++ * w1_reset_select_slave() from w1_io.c could not be used here because
++ * it sent a SKIP ROM command if only one device is on the line.
++ * At the beginning of the such process, sl->master->slave_count is 1 even if
++ * more devices are on the line, causing collision on the line.
++ *
++ * Context: The w1 master lock must be held.
++ *
++ * Return: 0 if success, negative kernel error code otherwise.
++ */
++static int reset_select_slave(struct w1_slave *sl);
++
+ /* Sysfs interface declaration */
+ static ssize_t w1_slave_show(struct device *device,
+@@ -301,7 +320,7 @@ static inline int w1_DS18B20_precision(s
+       while (max_trying--) {
+               crc = 0;
+-              if (!w1_reset_select_slave(sl)) {
++              if (!reset_select_slave(sl)) {
+                       int count = 0;
+                       /* read values to only alter precision bits */
+@@ -314,7 +333,7 @@ static inline int w1_DS18B20_precision(s
+                       if (rom[8] == crc) {
+                               rom[4] = (rom[4] & ~mask) | (precision_bits & mask);
+-                              if (!w1_reset_select_slave(sl)) {
++                              if (!reset_select_slave(sl)) {
+                                       w1_write_8(dev, W1_WRITE_SCRATCHPAD);
+                                       w1_write_8(dev, rom[2]);
+                                       w1_write_8(dev, rom[3]);
+@@ -460,6 +479,21 @@ static void w1_therm_remove_slave(struct
+ /* Hardware Functions */
++/* Safe version of reset_select_slave - avoid using the one in w_io.c */
++static int reset_select_slave(struct w1_slave *sl)
++{
++      u8 match[9] = { W1_MATCH_ROM, };
++      u64 rn = le64_to_cpu(*((u64 *)&sl->reg_num));
++
++      if (w1_reset_bus(sl->master))
++              return -ENODEV;
++
++      memcpy(&match[1], &rn, 8);
++      w1_write_block(sl->master, match, 9);
++
++      return 0;
++}
++
+ static ssize_t read_therm(struct device *device,
+                         struct w1_slave *sl, struct therm_info *info)
+ {
+@@ -487,7 +521,7 @@ static ssize_t read_therm(struct device
+               info->verdict = 0;
+               info->crc = 0;
+-              if (!w1_reset_select_slave(sl)) {
++              if (!reset_select_slave(sl)) {
+                       int count = 0;
+                       unsigned int tm = 750;
+                       unsigned long sleep_rem;
+@@ -495,7 +529,7 @@ static ssize_t read_therm(struct device
+                       w1_write_8(dev, W1_READ_PSUPPLY);
+                       external_power = w1_read_8(dev);
+-                      if (w1_reset_select_slave(sl))
++                      if (reset_select_slave(sl))
+                               continue;
+                       /* 750ms strong pullup (or delay) after the convert */
+@@ -525,7 +559,7 @@ static ssize_t read_therm(struct device
+                               }
+                       }
+-                      if (!w1_reset_select_slave(sl)) {
++                      if (!reset_select_slave(sl)) {
+                               w1_write_8(dev, W1_READ_SCRATCHPAD);
+                               count = w1_read_block(dev, info->rom, 9);
+@@ -577,7 +611,7 @@ static inline int w1_therm_eeprom(struct
+       memset(rom, 0, sizeof(rom));
+       while (max_trying--) {
+-              if (!w1_reset_select_slave(sl)) {
++              if (!reset_select_slave(sl)) {
+                       unsigned int tm = 10;
+                       unsigned long sleep_rem;
+@@ -585,7 +619,7 @@ static inline int w1_therm_eeprom(struct
+                       w1_write_8(dev, W1_READ_PSUPPLY);
+                       external_power = w1_read_8(dev);
+-                      if (w1_reset_select_slave(sl))
++                      if (reset_select_slave(sl))
+                               continue;
+                       /* 10ms strong pullup/delay after the copy command */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0768-w1_therm-adding-ext_power-sysfs-entry.patch b/target/linux/bcm27xx/patches-5.4/950-0768-w1_therm-adding-ext_power-sysfs-entry.patch
new file mode 100644 (file)
index 0000000..b2e8195
--- /dev/null
@@ -0,0 +1,293 @@
+From 5737e27d3c5e2f743421e68f926c05e8581ae21f Mon Sep 17 00:00:00 2001
+From: Akira Shimahara <akira215corp@gmail.com>
+Date: Mon, 11 May 2020 22:36:50 +0200
+Subject: [PATCH] w1_therm: adding ext_power sysfs entry
+
+commit b7bb6ca17a90f47c2fe2848531b5bbaf27a65ba7 upstream.
+
+Adding ext_power sysfs entry (RO). Return the power status of the device:
+ - 0: device parasite powered
+ - 1: device externally powered
+ - xx: xx is kernel error
+
+The power status of each device is check when the device is
+discover by the bus master, in 'w1_therm_add_slave(struct w1_slave *)'.
+The status is stored in the device structure w1_therm_family_data so
+that the driver always knows the power state of each device, which could
+be used later to determine the required strong pull up to apply on the
+line.
+
+The power status is re evaluate each time the sysfs ext_power read by
+a user.
+
+The hardware function 'read_powermode(struct w1_slave *sl)' act just as
+per device specifications, sending W1_READ_PSUPPLY command on the bus,
+and issue a read time slot, reading only one bit.
+
+A helper function 'bool bus_mutex_lock(struct mutex *lock)' is introduced.
+It try to aquire the bus mutex several times (W1_THERM_MAX_TRY), waiting
+W1_THERM_RETRY_DELAY between two attempt.
+
+Updating Documentation/ABI/testing/sysfs-driver-w1_therm accordingly.
+
+Signed-off-by: Akira Shimahara <akira215corp@gmail.com>
+Link: https://lore.kernel.org/r/20200511203650.410439-1-akira215corp@gmail.com
+Signed-off-by: Greg Kroah-Hartman <gregkh@linuxfoundation.org>
+---
+ .../ABI/testing/sysfs-driver-w1_therm         |  29 ++++
+ drivers/w1/slaves/w1_therm.c                  | 137 ++++++++++++++++++
+ 2 files changed, 166 insertions(+)
+ create mode 100644 Documentation/ABI/testing/sysfs-driver-w1_therm
+
+--- /dev/null
++++ b/Documentation/ABI/testing/sysfs-driver-w1_therm
+@@ -0,0 +1,29 @@
++What:         /sys/bus/w1/devices/.../ext_power
++Date:         May 2020
++Contact:      Akira Shimahara <akira215corp@gmail.com>
++Description:
++              (RO) return the power status by asking the device
++                      * '0': device parasite powered
++                      * '1': device externally powered
++                      * '-xx': xx is kernel error when reading power status
++Users:                any user space application which wants to communicate with
++              w1_term device
++
++
++What:         /sys/bus/w1/devices/.../w1_slave
++Date:         May 2020
++Contact:      Akira Shimahara <akira215corp@gmail.com>
++Description:
++              (RW) return the temperature in 1/1000 degC.
++              *read*: return 2 lines with the hexa output data sent on the
++              bus, return the CRC check and temperature in 1/1000 degC
++              *write* :
++                      * '0' : save the 2 or 3 bytes to the device EEPROM
++                      (i.e. TH, TL and config register)
++                      * '9..12' : set the device resolution in RAM
++                      (if supported)
++                      * Anything else: do nothing
++              refer to Documentation/w1/slaves/w1_therm.rst for detailed
++              information.
++Users:                any user space application which wants to communicate with
++              w1_term device
+\ No newline at end of file
+--- a/drivers/w1/slaves/w1_therm.c
++++ b/drivers/w1/slaves/w1_therm.c
+@@ -43,8 +43,21 @@
+ static int w1_strong_pullup = 1;
+ module_param_named(strong_pullup, w1_strong_pullup, int, 0);
++/* Nb of try for an operation */
++#define W1_THERM_MAX_TRY              5
++
++/* ms delay to retry bus mutex */
++#define W1_THERM_RETRY_DELAY          20
++
+ /* Helpers Macros */
++/*
++ * return the power mode of the sl slave : 1-ext, 0-parasite, <0 unknown
++ * always test family data existence before using this macro
++ */
++#define SLAVE_POWERMODE(sl) \
++      (((struct w1_therm_family_data *)(sl->family_data))->external_powered)
++
+ /* return the address of the refcnt in the family data */
+ #define THERM_REFCNT(family_data) \
+       (&((struct w1_therm_family_data *)family_data)->refcnt)
+@@ -73,10 +86,14 @@ struct w1_therm_family_converter {
+  * struct w1_therm_family_data - device data
+  * @rom: ROM device id (64bit Lasered ROM code + 1 CRC byte)
+  * @refcnt: ref count
++ * @external_powered: 1 device powered externally,
++ *                            0 device parasite powered,
++ *                            -x error or undefined
+  */
+ struct w1_therm_family_data {
+       uint8_t rom[9];
+       atomic_t refcnt;
++      int external_powered;
+ };
+ /**
+@@ -109,6 +126,20 @@ struct therm_info {
+  */
+ static int reset_select_slave(struct w1_slave *sl);
++/**
++ * read_powermode() - Query the power mode of the slave
++ * @sl: slave to retrieve the power mode
++ *
++ * Ask the device to get its power mode (external or parasite)
++ * and store the power status in the &struct w1_therm_family_data.
++ *
++ * Return:
++ * * 0 parasite powered device
++ * * 1 externally powered device
++ * * <0 kernel error code
++ */
++static int read_powermode(struct w1_slave *sl);
++
+ /* Sysfs interface declaration */
+ static ssize_t w1_slave_show(struct device *device,
+@@ -120,10 +151,14 @@ static ssize_t w1_slave_store(struct dev
+ static ssize_t w1_seq_show(struct device *device,
+       struct device_attribute *attr, char *buf);
++static ssize_t ext_power_show(struct device *device,
++      struct device_attribute *attr, char *buf);
++
+ /* Attributes declarations */
+ static DEVICE_ATTR_RW(w1_slave);
+ static DEVICE_ATTR_RO(w1_seq);
++static DEVICE_ATTR_RO(ext_power);
+ /* Interface Functions declaration */
+@@ -151,12 +186,14 @@ static void w1_therm_remove_slave(struct
+ static struct attribute *w1_therm_attrs[] = {
+       &dev_attr_w1_slave.attr,
++      &dev_attr_ext_power.attr,
+       NULL,
+ };
+ static struct attribute *w1_ds28ea00_attrs[] = {
+       &dev_attr_w1_slave.attr,
+       &dev_attr_w1_seq.attr,
++      &dev_attr_ext_power.attr,
+       NULL,
+ };
+@@ -433,6 +470,34 @@ static struct w1_therm_family_converter
+ /* Helpers Functions */
+ /**
++ * bus_mutex_lock() - Acquire the mutex
++ * @lock: w1 bus mutex to acquire
++ *
++ * It try to acquire the mutex W1_THERM_MAX_TRY times and wait
++ * W1_THERM_RETRY_DELAY between 2 attempts.
++ *
++ * Return: true is mutex is acquired and lock, false otherwise
++ */
++static inline bool bus_mutex_lock(struct mutex *lock)
++{
++      int max_trying = W1_THERM_MAX_TRY;
++
++      /* try to acquire the mutex, if not, sleep retry_delay before retry) */
++      while (mutex_lock_interruptible(lock) != 0 && max_trying > 0) {
++              unsigned long sleep_rem;
++
++              sleep_rem = msleep_interruptible(W1_THERM_RETRY_DELAY);
++              if (!sleep_rem)
++                      max_trying--;
++      }
++
++      if (!max_trying)
++              return false;   /* Didn't acquire the bus mutex */
++
++      return true;
++}
++
++/**
+  * w1_convert_temp() - temperature conversion binding function
+  * @rom: data read from device RAM (8 data bytes + 1 CRC byte)
+  * @fid: device family id
+@@ -461,7 +526,19 @@ static int w1_therm_add_slave(struct w1_
+               GFP_KERNEL);
+       if (!sl->family_data)
+               return -ENOMEM;
++
+       atomic_set(THERM_REFCNT(sl->family_data), 1);
++
++      /* Getting the power mode of the device {external, parasite} */
++      SLAVE_POWERMODE(sl) = read_powermode(sl);
++
++      if (SLAVE_POWERMODE(sl) < 0) {
++              /* no error returned as device has been added */
++              dev_warn(&sl->dev,
++                      "%s: Device has been added, but power_mode may be corrupted. err=%d\n",
++                       __func__, SLAVE_POWERMODE(sl));
++      }
++
+       return 0;
+ }
+@@ -661,6 +738,44 @@ error:
+       return ret;
+ }
++static int read_powermode(struct w1_slave *sl)
++{
++      struct w1_master *dev_master = sl->master;
++      int max_trying = W1_THERM_MAX_TRY;
++      int  ret = -ENODEV;
++
++      if (!sl->family_data)
++              goto error;
++
++      /* prevent the slave from going away in sleep */
++      atomic_inc(THERM_REFCNT(sl->family_data));
++
++      if (!bus_mutex_lock(&dev_master->bus_mutex)) {
++              ret = -EAGAIN;  /* Didn't acquire the mutex */
++              goto dec_refcnt;
++      }
++
++      while ((max_trying--) && (ret < 0)) {
++              /* safe version to select slave */
++              if (!reset_select_slave(sl)) {
++                      w1_write_8(dev_master, W1_READ_PSUPPLY);
++                      /*
++                       * Emit a read time slot and read only one bit,
++                       * 1 is externally powered,
++                       * 0 is parasite powered
++                       */
++                      ret = w1_touch_bit(dev_master, 1);
++                      /* ret should be either 1 either 0 */
++              }
++      }
++      mutex_unlock(&dev_master->bus_mutex);
++
++dec_refcnt:
++      atomic_dec(THERM_REFCNT(sl->family_data));
++error:
++      return ret;
++}
++
+ /* Sysfs Interface definition */
+ static ssize_t w1_slave_show(struct device *device,
+@@ -722,6 +837,28 @@ static ssize_t w1_slave_store(struct dev
+       return ret ? : size;
+ }
++static ssize_t ext_power_show(struct device *device,
++      struct device_attribute *attr, char *buf)
++{
++      struct w1_slave *sl = dev_to_w1_slave(device);
++
++      if (!sl->family_data) {
++              dev_info(device,
++                      "%s: Device not supported by the driver\n", __func__);
++              return 0;  /* No device family */
++      }
++
++      /* Getting the power mode of the device {external, parasite} */
++      SLAVE_POWERMODE(sl) = read_powermode(sl);
++
++      if (SLAVE_POWERMODE(sl) < 0) {
++              dev_dbg(device,
++                      "%s: Power_mode may be corrupted. err=%d\n",
++                      __func__, SLAVE_POWERMODE(sl));
++      }
++      return sprintf(buf, "%d\n", SLAVE_POWERMODE(sl));
++}
++
+ #if IS_REACHABLE(CONFIG_HWMON)
+ static int w1_read_temp(struct device *device, u32 attr, int channel,
+                       long *val)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0769-w1_therm-adding-resolution-sysfs-entry.patch b/target/linux/bcm27xx/patches-5.4/950-0769-w1_therm-adding-resolution-sysfs-entry.patch
new file mode 100644 (file)
index 0000000..17b047a
--- /dev/null
@@ -0,0 +1,713 @@
+From feffc2efed02adda00c6c5480292db012663e6e8 Mon Sep 17 00:00:00 2001
+From: Akira Shimahara <akira215corp@gmail.com>
+Date: Mon, 11 May 2020 22:37:08 +0200
+Subject: [PATCH] w1_therm: adding resolution sysfs entry
+
+commit 308bdb94de0c1abe7eac5193f58638b8aeaddf4b upstream.
+
+Adding resolution sysfs entry (RW) to get or set the device resolution
+Write values are managed as follow:
+       * '9..12': resolution to set in bit
+       * Anything else: do nothing
+Read values are :
+       * '9..12': device resolution in bit
+       * '-xx': xx is kernel error when reading the resolution
+
+Only supported devices will show the sysfs entry. A new family has been
+created for DS18S20 devices as they do not implement resolution feature.
+
+The resolution of each device is check when the device is
+discover by the bus master, in 'w1_therm_add_slave(struct w1_slave *)'.
+The status is stored in the device structure w1_therm_family_data so
+that the driver always knows the resolution of each device, which could
+be used later to determine the required conversion duration (resolution
+dependent).
+
+The resolution is re evaluate each time a user read or write the sysfs
+entry.
+
+To avoid looping through the w1_therm_families at run time, the pointer
+'specific_functions' is set up to the correct 'w1_therm_family_converter'
+when the slave is added (which mean when it is discovered by the master).
+This initialization is done by a helper function
+'device_family(struct w1_slave *sl)', and a dedicated macro
+'SLAVE_SPECIFIC_FUNC(sl)' allow the access to the specific function of the
+slave device.
+
+'read_scratchpad' and 'write_scratchpad' are the hardware functions to
+access the device RAM, as per protocol specification.
+
+It cancel the former 'precision' functions, which was only set and never
+read (so not stored in the device struct).
+
+Updating Documentation/ABI/testing/sysfs-driver-w1_therm accordingly.
+
+Signed-off-by: Akira Shimahara <akira215corp@gmail.com>
+Link: https://lore.kernel.org/r/20200511203708.410649-1-akira215corp@gmail.com
+Signed-off-by: Greg Kroah-Hartman <gregkh@linuxfoundation.org>
+---
+ .../ABI/testing/sysfs-driver-w1_therm         |  17 +
+ drivers/w1/slaves/w1_therm.c                  | 442 ++++++++++++++----
+ 2 files changed, 361 insertions(+), 98 deletions(-)
+
+--- a/Documentation/ABI/testing/sysfs-driver-w1_therm
++++ b/Documentation/ABI/testing/sysfs-driver-w1_therm
+@@ -10,6 +10,23 @@ Users:              any user space application which
+               w1_term device
++What:         /sys/bus/w1/devices/.../resolution
++Date:         May 2020
++Contact:      Akira Shimahara <akira215corp@gmail.com>
++Description:
++              (RW) get or set the device resolution (on supported devices,
++              if not, this entry is not present). Note that the resolution
++              will be changed only in device RAM, so it will be cleared when
++              power is lost. Trigger a 'save' to EEPROM command to keep
++              values after power-on. Read or write are :
++                      * '9..12': device resolution in bit
++                      or resolution to set in bit
++                      * '-xx': xx is kernel error when reading the resolution
++                      * Anything else: do nothing
++Users:                any user space application which wants to communicate with
++              w1_term device
++
++
+ What:         /sys/bus/w1/devices/.../w1_slave
+ Date:         May 2020
+ Contact:      Akira Shimahara <akira215corp@gmail.com>
+--- a/drivers/w1/slaves/w1_therm.c
++++ b/drivers/w1/slaves/w1_therm.c
+@@ -52,12 +52,26 @@ module_param_named(strong_pullup, w1_str
+ /* Helpers Macros */
+ /*
++ * return a pointer on the slave w1_therm_family_converter struct:
++ * always test family data existence before using this macro
++ */
++#define SLAVE_SPECIFIC_FUNC(sl) \
++      (((struct w1_therm_family_data *)(sl->family_data))->specific_functions)
++
++/*
+  * return the power mode of the sl slave : 1-ext, 0-parasite, <0 unknown
+  * always test family data existence before using this macro
+  */
+ #define SLAVE_POWERMODE(sl) \
+       (((struct w1_therm_family_data *)(sl->family_data))->external_powered)
++/*
++ * return the resolution in bit of the sl slave : <0 unknown
++ * always test family data existence before using this macro
++ */
++#define SLAVE_RESOLUTION(sl) \
++      (((struct w1_therm_family_data *)(sl->family_data))->resolution)
++
+ /* return the address of the refcnt in the family data */
+ #define THERM_REFCNT(family_data) \
+       (&((struct w1_therm_family_data *)family_data)->refcnt)
+@@ -70,7 +84,8 @@ module_param_named(strong_pullup, w1_str
+  * @reserved: not used here
+  * @f: pointer to the device binding structure
+  * @convert: pointer to the device conversion function
+- * @precision: pointer to the device precision function
++ * @set_resolution: pointer to the device set_resolution function
++ * @get_resolution: pointer to the device get_resolution function
+  * @eeprom: pointer to eeprom function
+  */
+ struct w1_therm_family_converter {
+@@ -78,7 +93,8 @@ struct w1_therm_family_converter {
+       u16             reserved;
+       struct w1_family        *f;
+       int             (*convert)(u8 rom[9]);
+-      int             (*precision)(struct device *device, int val);
++      int             (*set_resolution)(struct w1_slave *sl, int val);
++      int             (*get_resolution)(struct w1_slave *sl);
+       int             (*eeprom)(struct device *device);
+ };
+@@ -89,11 +105,15 @@ struct w1_therm_family_converter {
+  * @external_powered: 1 device powered externally,
+  *                            0 device parasite powered,
+  *                            -x error or undefined
++ * @resolution: current device resolution
++ * @specific_functions: pointer to struct of device specific function
+  */
+ struct w1_therm_family_data {
+       uint8_t rom[9];
+       atomic_t refcnt;
+       int external_powered;
++      int resolution;
++      struct w1_therm_family_converter *specific_functions;
+ };
+ /**
+@@ -127,6 +147,25 @@ struct therm_info {
+ static int reset_select_slave(struct w1_slave *sl);
+ /**
++ * read_scratchpad() - read the data in device RAM
++ * @sl: pointer to the slave to read
++ * @info: pointer to a structure to store the read results
++ *
++ * Return: 0 if success, -kernel error code otherwise
++ */
++static int read_scratchpad(struct w1_slave *sl, struct therm_info *info);
++
++/**
++ * write_scratchpad() - write nb_bytes in the device RAM
++ * @sl: pointer to the slave to write in
++ * @data: pointer to an array of 3 bytes, as 3 bytes MUST be written
++ * @nb_bytes: number of bytes to be written (2 for DS18S20, 3 otherwise)
++ *
++ * Return: 0 if success, -kernel error code otherwise
++ */
++static int write_scratchpad(struct w1_slave *sl, const u8 *data, u8 nb_bytes);
++
++/**
+  * read_powermode() - Query the power mode of the slave
+  * @sl: slave to retrieve the power mode
+  *
+@@ -154,11 +193,18 @@ static ssize_t w1_seq_show(struct device
+ static ssize_t ext_power_show(struct device *device,
+       struct device_attribute *attr, char *buf);
++static ssize_t resolution_show(struct device *device,
++      struct device_attribute *attr, char *buf);
++
++static ssize_t resolution_store(struct device *device,
++      struct device_attribute *attr, const char *buf, size_t size);
++
+ /* Attributes declarations */
+ static DEVICE_ATTR_RW(w1_slave);
+ static DEVICE_ATTR_RO(w1_seq);
+ static DEVICE_ATTR_RO(ext_power);
++static DEVICE_ATTR_RW(resolution);
+ /* Interface Functions declaration */
+@@ -187,6 +233,13 @@ static void w1_therm_remove_slave(struct
+ static struct attribute *w1_therm_attrs[] = {
+       &dev_attr_w1_slave.attr,
+       &dev_attr_ext_power.attr,
++      &dev_attr_resolution.attr,
++      NULL,
++};
++
++static struct attribute *w1_ds18s20_attrs[] = {
++      &dev_attr_w1_slave.attr,
++      &dev_attr_ext_power.attr,
+       NULL,
+ };
+@@ -194,12 +247,14 @@ static struct attribute *w1_ds28ea00_att
+       &dev_attr_w1_slave.attr,
+       &dev_attr_w1_seq.attr,
+       &dev_attr_ext_power.attr,
++      &dev_attr_resolution.attr,
+       NULL,
+ };
+ /* Attribute groups */
+ ATTRIBUTE_GROUPS(w1_therm);
++ATTRIBUTE_GROUPS(w1_ds18s20);
+ ATTRIBUTE_GROUPS(w1_ds28ea00);
+ #if IS_REACHABLE(CONFIG_HWMON)
+@@ -261,6 +316,13 @@ static struct w1_family_ops w1_therm_fop
+       .chip_info      = W1_CHIPINFO,
+ };
++static struct w1_family_ops w1_ds18s20_fops = {
++      .add_slave      = w1_therm_add_slave,
++      .remove_slave   = w1_therm_remove_slave,
++      .groups         = w1_ds18s20_groups,
++      .chip_info      = W1_CHIPINFO,
++};
++
+ static struct w1_family_ops w1_ds28ea00_fops = {
+       .add_slave      = w1_therm_add_slave,
+       .remove_slave   = w1_therm_remove_slave,
+@@ -272,7 +334,7 @@ static struct w1_family_ops w1_ds28ea00_
+ static struct w1_family w1_therm_family_DS18S20 = {
+       .fid = W1_THERM_DS18S20,
+-      .fops = &w1_therm_fops,
++      .fops = &w1_ds18s20_fops,
+ };
+ static struct w1_family w1_therm_family_DS18B20 = {
+@@ -300,92 +362,67 @@ static struct w1_family w1_therm_family_
+ /* write configuration to eeprom */
+ static inline int w1_therm_eeprom(struct device *device);
+-/* DS18S20 does not feature configuration register */
+-static inline int w1_DS18S20_precision(struct device *device, int val)
++static inline int w1_DS18B20_write_data(struct w1_slave *sl,
++                              const u8 *data)
+ {
+-      return 0;
++      return write_scratchpad(sl, data, 3);
+ }
+-/* Set precision for conversion */
+-static inline int w1_DS18B20_precision(struct device *device, int val)
++static inline int w1_DS18S20_write_data(struct w1_slave *sl,
++                              const u8 *data)
+ {
+-      struct w1_slave *sl = dev_to_w1_slave(device);
+-      struct w1_master *dev = sl->master;
+-      u8 rom[9], crc;
+-      int ret, max_trying = 10;
+-      u8 *family_data = sl->family_data;
+-      uint8_t precision_bits;
+-      uint8_t mask = 0x60;
+-
+-      if (val > 12 || val < 9) {
+-              pr_warn("Unsupported precision\n");
+-              ret = -EINVAL;
+-              goto error;
+-      }
+-
+-      if (!sl->family_data) {
+-              ret = -ENODEV;
+-              goto error;
+-      }
+-
+-      /* prevent the slave from going away in sleep */
+-      atomic_inc(THERM_REFCNT(family_data));
++      /* No config register */
++      return write_scratchpad(sl, data, 2);
++}
+-      ret = mutex_lock_interruptible(&dev->bus_mutex);
+-      if (ret != 0)
+-              goto dec_refcnt;
++static inline int w1_DS18B20_set_resolution(struct w1_slave *sl, int val)
++{
++      int ret = -ENODEV;
++      u8 new_config_register[3];      /* array of data to be written */
++      struct therm_info info;
+-      memset(rom, 0, sizeof(rom));
++      /* resolution of DS18B20 is in the range [9..12] bits */
++      if (val < 9 || val > 12)
++              return -EINVAL;
++
++      val -= 9; /* soustract 9 the lowest resolution in bit */
++      val = (val << 5); /* shift to position bit 5 & bit 6 */
++
++      /*
++       * Read the scratchpad to change only the required bits
++       * (bit5 & bit 6 from byte 4)
++       */
++      ret = read_scratchpad(sl, &info);
++      if (!ret) {
++              new_config_register[0] = info.rom[2];
++              new_config_register[1] = info.rom[3];
++              /* config register is byte 4 & mask 0b10011111*/
++              new_config_register[2] = (info.rom[4] & 0x9F) |
++                                      (u8) val;
++      } else
++              return ret;
+-      /* translate precision to bitmask (see datasheet page 9) */
+-      switch (val) {
+-      case 9:
+-              precision_bits = 0x00;
+-              break;
+-      case 10:
+-              precision_bits = 0x20;
+-              break;
+-      case 11:
+-              precision_bits = 0x40;
+-              break;
+-      case 12:
+-      default:
+-              precision_bits = 0x60;
+-              break;
+-      }
++      /* Write data in the device RAM */
++      ret = w1_DS18B20_write_data(sl, new_config_register);
+-      while (max_trying--) {
+-              crc = 0;
++      return ret;
++}
+-              if (!reset_select_slave(sl)) {
+-                      int count = 0;
++static inline int w1_DS18B20_get_resolution(struct w1_slave *sl)
++{
++      int ret = -ENODEV;
++      u8 config_register;
++      struct therm_info info;
+-                      /* read values to only alter precision bits */
+-                      w1_write_8(dev, W1_READ_SCRATCHPAD);
+-                      count = w1_read_block(dev, rom, 9);
+-                      if (count != 9)
+-                              dev_warn(device, "w1_read_block() returned %u instead of 9.\n", count);
+-
+-                      crc = w1_calc_crc8(rom, 8);
+-                      if (rom[8] == crc) {
+-                              rom[4] = (rom[4] & ~mask) | (precision_bits & mask);
+-
+-                              if (!reset_select_slave(sl)) {
+-                                      w1_write_8(dev, W1_WRITE_SCRATCHPAD);
+-                                      w1_write_8(dev, rom[2]);
+-                                      w1_write_8(dev, rom[3]);
+-                                      w1_write_8(dev, rom[4]);
++      ret = read_scratchpad(sl, &info);
+-                                      break;
+-                              }
+-                      }
+-              }
++      if (!ret)       {
++              config_register = info.rom[4]; /* config register is byte 4 */
++              config_register &= 0x60; /* 0b01100000 keep only bit 5 & 6 */
++              config_register = (config_register >> 5);       /* shift */
++              config_register += 9; /* add 9 the lowest resolution in bit */
++              ret = (int) config_register;
+       }
+-
+-      mutex_unlock(&dev->bus_mutex);
+-dec_refcnt:
+-      atomic_dec(THERM_REFCNT(family_data));
+-error:
+       return ret;
+ }
+@@ -438,31 +475,36 @@ static struct w1_therm_family_converter
+       {
+               .f              = &w1_therm_family_DS18S20,
+               .convert        = w1_DS18S20_convert_temp,
+-              .precision      = w1_DS18S20_precision,
++              .set_resolution = NULL, /* no config register */
++              .get_resolution = NULL, /* no config register */
+               .eeprom         = w1_therm_eeprom
+       },
+       {
+               .f              = &w1_therm_family_DS1822,
+               .convert        = w1_DS18B20_convert_temp,
+-              .precision      = w1_DS18S20_precision,
++              .set_resolution = w1_DS18B20_set_resolution,
++              .get_resolution = w1_DS18B20_get_resolution,
+               .eeprom         = w1_therm_eeprom
+       },
+       {
+               .f              = &w1_therm_family_DS18B20,
+               .convert        = w1_DS18B20_convert_temp,
+-              .precision      = w1_DS18B20_precision,
++              .set_resolution = w1_DS18B20_set_resolution,
++              .get_resolution = w1_DS18B20_get_resolution,
+               .eeprom         = w1_therm_eeprom
+       },
+       {
+               .f              = &w1_therm_family_DS28EA00,
+               .convert        = w1_DS18B20_convert_temp,
+-              .precision      = w1_DS18S20_precision,
++              .set_resolution = w1_DS18B20_set_resolution,
++              .get_resolution = w1_DS18B20_get_resolution,
+               .eeprom         = w1_therm_eeprom
+       },
+       {
+               .f              = &w1_therm_family_DS1825,
+               .convert        = w1_DS18B20_convert_temp,
+-              .precision      = w1_DS18S20_precision,
++              .set_resolution = w1_DS18B20_set_resolution,
++              .get_resolution = w1_DS18B20_get_resolution,
+               .eeprom         = w1_therm_eeprom
+       }
+ };
+@@ -470,6 +512,26 @@ static struct w1_therm_family_converter
+ /* Helpers Functions */
+ /**
++ * device_family() - Retrieve a pointer on &struct w1_therm_family_converter
++ * @sl: slave to retrieve the device specific structure
++ *
++ * Return: pointer to the slaves's family converter, NULL if not known
++ */
++static struct w1_therm_family_converter *device_family(struct w1_slave *sl)
++{
++      struct w1_therm_family_converter *ret = NULL;
++      int i;
++
++      for (i = 0; i < ARRAY_SIZE(w1_therm_families); ++i) {
++              if (w1_therm_families[i].f->fid == sl->family->fid) {
++                      ret = &w1_therm_families[i];
++                      break;
++              }
++      }
++      return ret;
++}
++
++/**
+  * bus_mutex_lock() - Acquire the mutex
+  * @lock: w1 bus mutex to acquire
+  *
+@@ -522,6 +584,9 @@ static inline int w1_convert_temp(u8 rom
+ static int w1_therm_add_slave(struct w1_slave *sl)
+ {
++      struct w1_therm_family_converter *sl_family_conv;
++
++      /* Allocate memory */
+       sl->family_data = kzalloc(sizeof(struct w1_therm_family_data),
+               GFP_KERNEL);
+       if (!sl->family_data)
+@@ -529,6 +594,15 @@ static int w1_therm_add_slave(struct w1_
+       atomic_set(THERM_REFCNT(sl->family_data), 1);
++      /* Get a pointer to the device specific function struct */
++      sl_family_conv = device_family(sl);
++      if (!sl_family_conv) {
++              kfree(sl->family_data);
++              return -ENODEV;
++      }
++      /* save this pointer to the device structure */
++      SLAVE_SPECIFIC_FUNC(sl) = sl_family_conv;
++
+       /* Getting the power mode of the device {external, parasite} */
+       SLAVE_POWERMODE(sl) = read_powermode(sl);
+@@ -539,6 +613,18 @@ static int w1_therm_add_slave(struct w1_
+                        __func__, SLAVE_POWERMODE(sl));
+       }
++      /* Getting the resolution of the device */
++      if (SLAVE_SPECIFIC_FUNC(sl)->get_resolution) {
++              SLAVE_RESOLUTION(sl) =
++                      SLAVE_SPECIFIC_FUNC(sl)->get_resolution(sl);
++              if (SLAVE_RESOLUTION(sl) < 0) {
++                      /* no error returned as device has been added */
++                      dev_warn(&sl->dev,
++                              "%s:Device has been added, but resolution may be corrupted. err=%d\n",
++                              __func__, SLAVE_RESOLUTION(sl));
++              }
++      }
++
+       return 0;
+ }
+@@ -665,6 +751,93 @@ error:
+       return ret;
+ }
++static int read_scratchpad(struct w1_slave *sl, struct therm_info *info)
++{
++      struct w1_master *dev_master = sl->master;
++      int max_trying = W1_THERM_MAX_TRY;
++      int ret = -ENODEV;
++
++      info->verdict = 0;
++
++      if (!sl->family_data)
++              goto error;
++
++      memset(info->rom, 0, sizeof(info->rom));
++
++      /* prevent the slave from going away in sleep */
++      atomic_inc(THERM_REFCNT(sl->family_data));
++
++      if (!bus_mutex_lock(&dev_master->bus_mutex)) {
++              ret = -EAGAIN;  /* Didn't acquire the mutex */
++              goto dec_refcnt;
++      }
++
++      while (max_trying-- && ret) { /* ret should be 0 */
++              /* safe version to select slave */
++              if (!reset_select_slave(sl)) {
++                      u8 nb_bytes_read;
++
++                      w1_write_8(dev_master, W1_READ_SCRATCHPAD);
++
++                      nb_bytes_read = w1_read_block(dev_master, info->rom, 9);
++                      if (nb_bytes_read != 9) {
++                              dev_warn(&sl->dev,
++                                      "w1_read_block(): returned %u instead of 9.\n",
++                                      nb_bytes_read);
++                              ret = -EIO;
++                      }
++
++                      info->crc = w1_calc_crc8(info->rom, 8);
++
++                      if (info->rom[8] == info->crc) {
++                              info->verdict = 1;
++                              ret = 0;
++                      } else
++                              ret = -EIO; /* CRC not checked */
++              }
++
++      }
++      mutex_unlock(&dev_master->bus_mutex);
++
++dec_refcnt:
++      atomic_dec(THERM_REFCNT(sl->family_data));
++error:
++      return ret;
++}
++
++static int write_scratchpad(struct w1_slave *sl, const u8 *data, u8 nb_bytes)
++{
++      struct w1_master *dev_master = sl->master;
++      int max_trying = W1_THERM_MAX_TRY;
++      int ret = -ENODEV;
++
++      if (!sl->family_data)
++              goto error;
++
++      /* prevent the slave from going away in sleep */
++      atomic_inc(THERM_REFCNT(sl->family_data));
++
++      if (!bus_mutex_lock(&dev_master->bus_mutex)) {
++              ret = -EAGAIN;  /* Didn't acquire the mutex */
++              goto dec_refcnt;
++      }
++
++      while (max_trying-- && ret) { /* ret should be 0 */
++              /* safe version to select slave */
++              if (!reset_select_slave(sl)) {
++                      w1_write_8(dev_master, W1_WRITE_SCRATCHPAD);
++                      w1_write_block(dev_master, data, nb_bytes);
++                      ret = 0;
++              }
++      }
++      mutex_unlock(&dev_master->bus_mutex);
++
++dec_refcnt:
++      atomic_dec(THERM_REFCNT(sl->family_data));
++error:
++      return ret;
++}
++
+ static inline int w1_therm_eeprom(struct device *device)
+ {
+       struct w1_slave *sl = dev_to_w1_slave(device);
+@@ -815,26 +988,38 @@ static ssize_t w1_slave_store(struct dev
+                             struct device_attribute *attr, const char *buf,
+                             size_t size)
+ {
+-      int val, ret;
++      int val, ret = 0;
+       struct w1_slave *sl = dev_to_w1_slave(device);
+-      int i;
+-      ret = kstrtoint(buf, 0, &val);
+-      if (ret)
+-              return ret;
++      ret = kstrtoint(buf, 10, &val); /* converting user entry to int */
+-      for (i = 0; i < ARRAY_SIZE(w1_therm_families); ++i) {
+-              if (w1_therm_families[i].f->fid == sl->family->fid) {
+-      /* zero value indicates to write current configuration to eeprom */
+-                      if (val == 0)
+-                              ret = w1_therm_families[i].eeprom(device);
+-                      else
+-                              ret = w1_therm_families[i].precision(device,
+-                                                                      val);
+-                      break;
+-              }
++      if (ret) {      /* conversion error */
++              dev_info(device,
++                      "%s: conversion error. err= %d\n", __func__, ret);
++              return size;    /* return size to avoid call back again */
++      }
++
++      if ((!sl->family_data) || (!SLAVE_SPECIFIC_FUNC(sl))) {
++              dev_info(device,
++                      "%s: Device not supported by the driver\n", __func__);
++              return size;  /* No device family */
++      }
++
++      if (val == 0)   /* val=0 : trigger a EEPROM save */
++              ret = SLAVE_SPECIFIC_FUNC(sl)->eeprom(device);
++      else {
++              if (SLAVE_SPECIFIC_FUNC(sl)->set_resolution)
++                      ret = SLAVE_SPECIFIC_FUNC(sl)->set_resolution(sl, val);
+       }
+-      return ret ? : size;
++
++      if (ret) {
++              dev_info(device,
++                      "%s: writing error %d\n", __func__, ret);
++              /* return size to avoid call back again */
++      } else
++              SLAVE_RESOLUTION(sl) = val;
++
++      return size; /* always return size to avoid infinite calling */
+ }
+ static ssize_t ext_power_show(struct device *device,
+@@ -859,6 +1044,67 @@ static ssize_t ext_power_show(struct dev
+       return sprintf(buf, "%d\n", SLAVE_POWERMODE(sl));
+ }
++static ssize_t resolution_show(struct device *device,
++      struct device_attribute *attr, char *buf)
++{
++      struct w1_slave *sl = dev_to_w1_slave(device);
++
++      if ((!sl->family_data) || (!SLAVE_SPECIFIC_FUNC(sl))) {
++              dev_info(device,
++                      "%s: Device not supported by the driver\n", __func__);
++              return 0;  /* No device family */
++      }
++
++      /* get the correct function depending on the device */
++      SLAVE_RESOLUTION(sl) = SLAVE_SPECIFIC_FUNC(sl)->get_resolution(sl);
++      if (SLAVE_RESOLUTION(sl) < 0) {
++              dev_dbg(device,
++                      "%s: Resolution may be corrupted. err=%d\n",
++                      __func__, SLAVE_RESOLUTION(sl));
++      }
++
++      return sprintf(buf, "%d\n", SLAVE_RESOLUTION(sl));
++}
++
++static ssize_t resolution_store(struct device *device,
++      struct device_attribute *attr, const char *buf, size_t size)
++{
++      struct w1_slave *sl = dev_to_w1_slave(device);
++      int val;
++      int ret = 0;
++
++      ret = kstrtoint(buf, 10, &val); /* converting user entry to int */
++
++      if (ret) {      /* conversion error */
++              dev_info(device,
++                      "%s: conversion error. err= %d\n", __func__, ret);
++              return size;    /* return size to avoid call back again */
++      }
++
++      if ((!sl->family_data) || (!SLAVE_SPECIFIC_FUNC(sl))) {
++              dev_info(device,
++                      "%s: Device not supported by the driver\n", __func__);
++              return size;  /* No device family */
++      }
++
++      /*
++       * Don't deal with the val enterd by user,
++       * only device knows what is correct or not
++       */
++
++      /* get the correct function depending on the device */
++      ret = SLAVE_SPECIFIC_FUNC(sl)->set_resolution(sl, val);
++
++      if (ret) {
++              dev_info(device,
++                      "%s: writing error %d\n", __func__, ret);
++              /* return size to avoid call back again */
++      } else
++              SLAVE_RESOLUTION(sl) = val;
++
++      return size;
++}
++
+ #if IS_REACHABLE(CONFIG_HWMON)
+ static int w1_read_temp(struct device *device, u32 attr, int channel,
+                       long *val)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0770-w1_therm-adding-eeprom-sysfs-entry.patch b/target/linux/bcm27xx/patches-5.4/950-0770-w1_therm-adding-eeprom-sysfs-entry.patch
new file mode 100644 (file)
index 0000000..58467a0
--- /dev/null
@@ -0,0 +1,372 @@
+From 855a8d82506c7c2428e13beebe8dbf7739ea6176 Mon Sep 17 00:00:00 2001
+From: Akira Shimahara <akira215corp@gmail.com>
+Date: Mon, 11 May 2020 22:37:25 +0200
+Subject: [PATCH] w1_therm: adding eeprom sysfs entry
+
+commit 45d457a4cf24455eefd076a01a3d86414fc2ff1e upstream.
+
+The driver implement 2 hardware functions to access device RAM:
+ * copy_scratchpad
+ * recall_scratchpad
+They act according to device specifications.
+
+As EEPROM operations are not device dependent (all w1_therm can perform
+EEPROM read/write operation following the same protocol), it is removed
+from device families structures.
+
+Updating Documentation/ABI/testing/sysfs-driver-w1_therm accordingly.
+
+Signed-off-by: Akira Shimahara <akira215corp@gmail.com>
+Link: https://lore.kernel.org/r/20200511203725.410844-1-akira215corp@gmail.com
+Signed-off-by: Greg Kroah-Hartman <gregkh@linuxfoundation.org>
+---
+ .../ABI/testing/sysfs-driver-w1_therm         |  14 ++
+ drivers/w1/slaves/w1_therm.c                  | 175 ++++++++++++------
+ 2 files changed, 132 insertions(+), 57 deletions(-)
+
+--- a/Documentation/ABI/testing/sysfs-driver-w1_therm
++++ b/Documentation/ABI/testing/sysfs-driver-w1_therm
+@@ -1,3 +1,17 @@
++What:         /sys/bus/w1/devices/.../eeprom
++Date:         May 2020
++Contact:      Akira Shimahara <akira215corp@gmail.com>
++Description:
++              (WO) writing that file will either trigger a save of the
++              device data to its embedded EEPROM, either restore data
++              embedded in device EEPROM. Be aware that devices support
++              limited EEPROM writing cycles (typical 50k)
++                      * 'save': save device RAM to EEPROM
++                      * 'restore': restore EEPROM data in device RAM
++Users:                any user space application which wants to communicate with
++              w1_term device
++
++
+ What:         /sys/bus/w1/devices/.../ext_power
+ Date:         May 2020
+ Contact:      Akira Shimahara <akira215corp@gmail.com>
+--- a/drivers/w1/slaves/w1_therm.c
++++ b/drivers/w1/slaves/w1_therm.c
+@@ -43,12 +43,21 @@
+ static int w1_strong_pullup = 1;
+ module_param_named(strong_pullup, w1_strong_pullup, int, 0);
++/* This command should be in public header w1.h but is not */
++#define W1_RECALL_EEPROM      0xB8
++
+ /* Nb of try for an operation */
+ #define W1_THERM_MAX_TRY              5
+ /* ms delay to retry bus mutex */
+ #define W1_THERM_RETRY_DELAY          20
++/* delay in ms to write in EEPROM */
++#define W1_THERM_EEPROM_WRITE_DELAY   10
++
++#define EEPROM_CMD_WRITE    "save"    /* cmd for write eeprom sysfs */
++#define EEPROM_CMD_READ     "restore" /* cmd for read eeprom sysfs */
++
+ /* Helpers Macros */
+ /*
+@@ -86,7 +95,6 @@ module_param_named(strong_pullup, w1_str
+  * @convert: pointer to the device conversion function
+  * @set_resolution: pointer to the device set_resolution function
+  * @get_resolution: pointer to the device get_resolution function
+- * @eeprom: pointer to eeprom function
+  */
+ struct w1_therm_family_converter {
+       u8              broken;
+@@ -95,7 +103,6 @@ struct w1_therm_family_converter {
+       int             (*convert)(u8 rom[9]);
+       int             (*set_resolution)(struct w1_slave *sl, int val);
+       int             (*get_resolution)(struct w1_slave *sl);
+-      int             (*eeprom)(struct device *device);
+ };
+ /**
+@@ -166,6 +173,22 @@ static int read_scratchpad(struct w1_sla
+ static int write_scratchpad(struct w1_slave *sl, const u8 *data, u8 nb_bytes);
+ /**
++ * copy_scratchpad() - Copy the content of scratchpad in device EEPROM
++ * @sl: slave involved
++ *
++ * Return: 0 if success, -kernel error code otherwise
++ */
++static int copy_scratchpad(struct w1_slave *sl);
++
++/**
++ * recall_eeprom() - Restore EEPROM data to device RAM
++ * @sl: slave involved
++ *
++ * Return: 0 if success, -kernel error code otherwise
++ */
++static int recall_eeprom(struct w1_slave *sl);
++
++/**
+  * read_powermode() - Query the power mode of the slave
+  * @sl: slave to retrieve the power mode
+  *
+@@ -199,12 +222,16 @@ static ssize_t resolution_show(struct de
+ static ssize_t resolution_store(struct device *device,
+       struct device_attribute *attr, const char *buf, size_t size);
++static ssize_t eeprom_store(struct device *device,
++      struct device_attribute *attr, const char *buf, size_t size);
++
+ /* Attributes declarations */
+ static DEVICE_ATTR_RW(w1_slave);
+ static DEVICE_ATTR_RO(w1_seq);
+ static DEVICE_ATTR_RO(ext_power);
+ static DEVICE_ATTR_RW(resolution);
++static DEVICE_ATTR_WO(eeprom);
+ /* Interface Functions declaration */
+@@ -234,12 +261,14 @@ static struct attribute *w1_therm_attrs[
+       &dev_attr_w1_slave.attr,
+       &dev_attr_ext_power.attr,
+       &dev_attr_resolution.attr,
++      &dev_attr_eeprom.attr,
+       NULL,
+ };
+ static struct attribute *w1_ds18s20_attrs[] = {
+       &dev_attr_w1_slave.attr,
+       &dev_attr_ext_power.attr,
++      &dev_attr_eeprom.attr,
+       NULL,
+ };
+@@ -248,6 +277,7 @@ static struct attribute *w1_ds28ea00_att
+       &dev_attr_w1_seq.attr,
+       &dev_attr_ext_power.attr,
+       &dev_attr_resolution.attr,
++      &dev_attr_eeprom.attr,
+       NULL,
+ };
+@@ -359,9 +389,6 @@ static struct w1_family w1_therm_family_
+ /* Device dependent func */
+-/* write configuration to eeprom */
+-static inline int w1_therm_eeprom(struct device *device);
+-
+ static inline int w1_DS18B20_write_data(struct w1_slave *sl,
+                               const u8 *data)
+ {
+@@ -477,35 +504,30 @@ static struct w1_therm_family_converter
+               .convert        = w1_DS18S20_convert_temp,
+               .set_resolution = NULL, /* no config register */
+               .get_resolution = NULL, /* no config register */
+-              .eeprom         = w1_therm_eeprom
+       },
+       {
+               .f              = &w1_therm_family_DS1822,
+               .convert        = w1_DS18B20_convert_temp,
+               .set_resolution = w1_DS18B20_set_resolution,
+               .get_resolution = w1_DS18B20_get_resolution,
+-              .eeprom         = w1_therm_eeprom
+       },
+       {
+               .f              = &w1_therm_family_DS18B20,
+               .convert        = w1_DS18B20_convert_temp,
+               .set_resolution = w1_DS18B20_set_resolution,
+               .get_resolution = w1_DS18B20_get_resolution,
+-              .eeprom         = w1_therm_eeprom
+       },
+       {
+               .f              = &w1_therm_family_DS28EA00,
+               .convert        = w1_DS18B20_convert_temp,
+               .set_resolution = w1_DS18B20_set_resolution,
+               .get_resolution = w1_DS18B20_get_resolution,
+-              .eeprom         = w1_therm_eeprom
+       },
+       {
+               .f              = &w1_therm_family_DS1825,
+               .convert        = w1_DS18B20_convert_temp,
+               .set_resolution = w1_DS18B20_set_resolution,
+               .get_resolution = w1_DS18B20_get_resolution,
+-              .eeprom         = w1_therm_eeprom
+       }
+ };
+@@ -838,75 +860,94 @@ error:
+       return ret;
+ }
+-static inline int w1_therm_eeprom(struct device *device)
++static int copy_scratchpad(struct w1_slave *sl)
+ {
+-      struct w1_slave *sl = dev_to_w1_slave(device);
+-      struct w1_master *dev = sl->master;
+-      u8 rom[9], external_power;
+-      int ret, max_trying = 10;
+-      u8 *family_data = sl->family_data;
++      struct w1_master *dev_master = sl->master;
++      int max_trying = W1_THERM_MAX_TRY;
++      int t_write, ret = -ENODEV;
++      bool strong_pullup;
+-      if (!sl->family_data) {
+-              ret = -ENODEV;
++      if (!sl->family_data)
+               goto error;
+-      }
++
++      t_write = W1_THERM_EEPROM_WRITE_DELAY;
++      strong_pullup = (w1_strong_pullup == 2 ||
++                                      (!SLAVE_POWERMODE(sl) &&
++                                      w1_strong_pullup));
+       /* prevent the slave from going away in sleep */
+-      atomic_inc(THERM_REFCNT(family_data));
++      atomic_inc(THERM_REFCNT(sl->family_data));
+-      ret = mutex_lock_interruptible(&dev->bus_mutex);
+-      if (ret != 0)
++      if (!bus_mutex_lock(&dev_master->bus_mutex)) {
++              ret = -EAGAIN;  /* Didn't acquire the mutex */
+               goto dec_refcnt;
++      }
+-      memset(rom, 0, sizeof(rom));
+-
+-      while (max_trying--) {
++      while (max_trying-- && ret) { /* ret should be 0 */
++              /* safe version to select slave */
+               if (!reset_select_slave(sl)) {
+-                      unsigned int tm = 10;
+                       unsigned long sleep_rem;
+-                      /* check if in parasite mode */
+-                      w1_write_8(dev, W1_READ_PSUPPLY);
+-                      external_power = w1_read_8(dev);
+-
+-                      if (reset_select_slave(sl))
+-                              continue;
+-
+-                      /* 10ms strong pullup/delay after the copy command */
+-                      if (w1_strong_pullup == 2 ||
+-                          (!external_power && w1_strong_pullup))
+-                              w1_next_pullup(dev, tm);
+-
+-                      w1_write_8(dev, W1_COPY_SCRATCHPAD);
+-
+-                      if (external_power) {
+-                              mutex_unlock(&dev->bus_mutex);
++                      /* 10ms strong pullup (or delay) after the convert */
++                      if (strong_pullup)
++                              w1_next_pullup(dev_master, t_write);
+-                              sleep_rem = msleep_interruptible(tm);
+-                              if (sleep_rem != 0) {
+-                                      ret = -EINTR;
+-                                      goto dec_refcnt;
+-                              }
++                      w1_write_8(dev_master, W1_COPY_SCRATCHPAD);
+-                              ret = mutex_lock_interruptible(&dev->bus_mutex);
+-                              if (ret != 0)
+-                                      goto dec_refcnt;
+-                      } else if (!w1_strong_pullup) {
+-                              sleep_rem = msleep_interruptible(tm);
++                      if (strong_pullup) {
++                              sleep_rem = msleep_interruptible(t_write);
+                               if (sleep_rem != 0) {
+                                       ret = -EINTR;
+                                       goto mt_unlock;
+                               }
+                       }
+-
+-                      break;
++                      ret = 0;
+               }
++
+       }
+ mt_unlock:
+-      mutex_unlock(&dev->bus_mutex);
++      mutex_unlock(&dev_master->bus_mutex);
+ dec_refcnt:
+-      atomic_dec(THERM_REFCNT(family_data));
++      atomic_dec(THERM_REFCNT(sl->family_data));
++error:
++      return ret;
++}
++
++static int recall_eeprom(struct w1_slave *sl)
++{
++      struct w1_master *dev_master = sl->master;
++      int max_trying = W1_THERM_MAX_TRY;
++      int ret = -ENODEV;
++
++      if (!sl->family_data)
++              goto error;
++
++      /* prevent the slave from going away in sleep */
++      atomic_inc(THERM_REFCNT(sl->family_data));
++
++      if (!bus_mutex_lock(&dev_master->bus_mutex)) {
++              ret = -EAGAIN;  /* Didn't acquire the mutex */
++              goto dec_refcnt;
++      }
++
++      while (max_trying-- && ret) { /* ret should be 0 */
++              /* safe version to select slave */
++              if (!reset_select_slave(sl)) {
++
++                      w1_write_8(dev_master, W1_RECALL_EEPROM);
++
++                      ret = 1; /* Slave will pull line to 0 */
++                      while (ret)
++                              ret = 1 - w1_touch_bit(dev_master, 1);
++              }
++
++      }
++
++      mutex_unlock(&dev_master->bus_mutex);
++
++dec_refcnt:
++      atomic_dec(THERM_REFCNT(sl->family_data));
+ error:
+       return ret;
+ }
+@@ -1006,7 +1047,7 @@ static ssize_t w1_slave_store(struct dev
+       }
+       if (val == 0)   /* val=0 : trigger a EEPROM save */
+-              ret = SLAVE_SPECIFIC_FUNC(sl)->eeprom(device);
++              ret = copy_scratchpad(sl);
+       else {
+               if (SLAVE_SPECIFIC_FUNC(sl)->set_resolution)
+                       ret = SLAVE_SPECIFIC_FUNC(sl)->set_resolution(sl, val);
+@@ -1104,6 +1145,26 @@ static ssize_t resolution_store(struct d
+       return size;
+ }
++
++static ssize_t eeprom_store(struct device *device,
++      struct device_attribute *attr, const char *buf, size_t size)
++{
++      struct w1_slave *sl = dev_to_w1_slave(device);
++      int ret = -EINVAL; /* Invalid argument */
++
++      if (size == sizeof(EEPROM_CMD_WRITE)) {
++              if (!strncmp(buf, EEPROM_CMD_WRITE, sizeof(EEPROM_CMD_WRITE)-1))
++                      ret = copy_scratchpad(sl);
++      } else if (size == sizeof(EEPROM_CMD_READ)) {
++              if (!strncmp(buf, EEPROM_CMD_READ, sizeof(EEPROM_CMD_READ)-1))
++                      ret = recall_eeprom(sl);
++      }
++
++      if (ret)
++              dev_info(device, "%s: error in process %d\n", __func__, ret);
++
++      return size;
++}
+ #if IS_REACHABLE(CONFIG_HWMON)
+ static int w1_read_temp(struct device *device, u32 attr, int channel,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0771-w1_therm-optimizing-temperature-read-timings.patch b/target/linux/bcm27xx/patches-5.4/950-0771-w1_therm-optimizing-temperature-read-timings.patch
new file mode 100644 (file)
index 0000000..142560c
--- /dev/null
@@ -0,0 +1,528 @@
+From 23769ad113407ceb21a4285a8194c7d788149269 Mon Sep 17 00:00:00 2001
+From: Akira Shimahara <akira215corp@gmail.com>
+Date: Mon, 11 May 2020 22:37:42 +0200
+Subject: [PATCH] w1_therm: optimizing temperature read timings
+
+commit 67b392f7b8edfa6f427fecd98722acab34c1c99f upstream.
+
+Optimizing temperature reading by reducing waiting conversion time
+according to device resolution settings, as per device specification.
+This is device dependent as not all the devices supports resolution
+setting, so it has been added in device family structures.
+
+The process to read the temperature on the device has been adapted in a
+new function 'convert_t()', which replace the former 'read_therm()', is
+introduce to deal with this timing. Strong pull up is also applied during
+the required time, according to device power status needs and
+'strong_pullup' module parameter.
+
+'temperature_from_RAM()' function is introduced to get the correct
+temperature computation (device dependent) from device RAM data.
+
+An new sysfs entry has been added to ouptut only temperature. The old
+entry w1_slave has been kept for compatibility, without changing its
+output format.
+
+Updating Documentation/ABI/testing/sysfs-driver-w1_therm accordingly.
+
+Signed-off-by: Akira Shimahara <akira215corp@gmail.com>
+Link: https://lore.kernel.org/r/20200511203742.411039-1-akira215corp@gmail.com
+Signed-off-by: Greg Kroah-Hartman <gregkh@linuxfoundation.org>
+---
+ .../ABI/testing/sysfs-driver-w1_therm         |  12 +
+ drivers/w1/slaves/w1_therm.c                  | 286 +++++++++++-------
+ 2 files changed, 197 insertions(+), 101 deletions(-)
+
+--- a/Documentation/ABI/testing/sysfs-driver-w1_therm
++++ b/Documentation/ABI/testing/sysfs-driver-w1_therm
+@@ -41,6 +41,18 @@ Users:              any user space application which
+               w1_term device
++What:         /sys/bus/w1/devices/.../temperature
++Date:         May 2020
++Contact:      Akira Shimahara <akira215corp@gmail.com>
++Description:
++              (RO) return the temperature in 1/1000 degC.
++              Note that the conversion duration depend on the resolution (if
++              device support this feature). It takes 94ms in 9bits
++              resolution, 750ms for 12bits.
++Users:                any user space application which wants to communicate with
++              w1_term device
++
++
+ What:         /sys/bus/w1/devices/.../w1_slave
+ Date:         May 2020
+ Contact:      Akira Shimahara <akira215corp@gmail.com>
+--- a/drivers/w1/slaves/w1_therm.c
++++ b/drivers/w1/slaves/w1_therm.c
+@@ -93,6 +93,7 @@ module_param_named(strong_pullup, w1_str
+  * @reserved: not used here
+  * @f: pointer to the device binding structure
+  * @convert: pointer to the device conversion function
++ * @get_conversion_time: pointer to the device conversion time function
+  * @set_resolution: pointer to the device set_resolution function
+  * @get_resolution: pointer to the device get_resolution function
+  */
+@@ -101,6 +102,7 @@ struct w1_therm_family_converter {
+       u16             reserved;
+       struct w1_family        *f;
+       int             (*convert)(u8 rom[9]);
++      int             (*get_conversion_time)(struct w1_slave *sl);
+       int             (*set_resolution)(struct w1_slave *sl, int val);
+       int             (*get_resolution)(struct w1_slave *sl);
+ };
+@@ -154,6 +156,15 @@ struct therm_info {
+ static int reset_select_slave(struct w1_slave *sl);
+ /**
++ * convert_t() - Query the device for temperature conversion and read
++ * @sl: pointer to the slave to read
++ * @info: pointer to a structure to store the read results
++ *
++ * Return: 0 if success, -kernel error code otherwise
++ */
++static int convert_t(struct w1_slave *sl, struct therm_info *info);
++
++/**
+  * read_scratchpad() - read the data in device RAM
+  * @sl: pointer to the slave to read
+  * @info: pointer to a structure to store the read results
+@@ -213,6 +224,9 @@ static ssize_t w1_slave_store(struct dev
+ static ssize_t w1_seq_show(struct device *device,
+       struct device_attribute *attr, char *buf);
++static ssize_t temperature_show(struct device *device,
++      struct device_attribute *attr, char *buf);
++
+ static ssize_t ext_power_show(struct device *device,
+       struct device_attribute *attr, char *buf);
+@@ -229,6 +243,7 @@ static ssize_t eeprom_store(struct devic
+ static DEVICE_ATTR_RW(w1_slave);
+ static DEVICE_ATTR_RO(w1_seq);
++static DEVICE_ATTR_RO(temperature);
+ static DEVICE_ATTR_RO(ext_power);
+ static DEVICE_ATTR_RW(resolution);
+ static DEVICE_ATTR_WO(eeprom);
+@@ -259,6 +274,7 @@ static void w1_therm_remove_slave(struct
+ static struct attribute *w1_therm_attrs[] = {
+       &dev_attr_w1_slave.attr,
++      &dev_attr_temperature.attr,
+       &dev_attr_ext_power.attr,
+       &dev_attr_resolution.attr,
+       &dev_attr_eeprom.attr,
+@@ -267,6 +283,7 @@ static struct attribute *w1_therm_attrs[
+ static struct attribute *w1_ds18s20_attrs[] = {
+       &dev_attr_w1_slave.attr,
++      &dev_attr_temperature.attr,
+       &dev_attr_ext_power.attr,
+       &dev_attr_eeprom.attr,
+       NULL,
+@@ -275,6 +292,7 @@ static struct attribute *w1_ds18s20_attr
+ static struct attribute *w1_ds28ea00_attrs[] = {
+       &dev_attr_w1_slave.attr,
+       &dev_attr_w1_seq.attr,
++      &dev_attr_temperature.attr,
+       &dev_attr_ext_power.attr,
+       &dev_attr_resolution.attr,
+       &dev_attr_eeprom.attr,
+@@ -389,6 +407,37 @@ static struct w1_family w1_therm_family_
+ /* Device dependent func */
++static inline int w1_DS18B20_convert_time(struct w1_slave *sl)
++{
++      int ret;
++
++      if (!sl->family_data)
++              return -ENODEV; /* device unknown */
++
++      /* return time in ms for conversion operation */
++      switch (SLAVE_RESOLUTION(sl)) {
++      case 9:
++              ret = 95;
++              break;
++      case 10:
++              ret = 190;
++              break;
++      case 11:
++              ret = 375;
++              break;
++      case 12:
++      default:
++              ret = 750;
++      }
++      return ret;
++}
++
++static inline int w1_DS18S20_convert_time(struct w1_slave *sl)
++{
++      (void)(sl);
++      return 750; /* always 750ms for DS18S20 */
++}
++
+ static inline int w1_DS18B20_write_data(struct w1_slave *sl,
+                               const u8 *data)
+ {
+@@ -480,8 +529,10 @@ static inline int w1_DS18S20_convert_tem
+ {
+       int t, h;
+-      if (!rom[7])
++      if (!rom[7]) {
++              pr_debug("%s: Invalid argument for conversion\n", __func__);
+               return 0;
++      }
+       if (rom[1] == 0)
+               t = ((s32)rom[0] >> 1)*1000;
+@@ -500,34 +551,39 @@ static inline int w1_DS18S20_convert_tem
+ static struct w1_therm_family_converter w1_therm_families[] = {
+       {
+-              .f              = &w1_therm_family_DS18S20,
+-              .convert        = w1_DS18S20_convert_temp,
+-              .set_resolution = NULL, /* no config register */
+-              .get_resolution = NULL, /* no config register */
++              .f                              = &w1_therm_family_DS18S20,
++              .convert                        = w1_DS18S20_convert_temp,
++              .get_conversion_time    = w1_DS18S20_convert_time,
++              .set_resolution         = NULL, /* no config register */
++              .get_resolution         = NULL, /* no config register */
+       },
+       {
+-              .f              = &w1_therm_family_DS1822,
+-              .convert        = w1_DS18B20_convert_temp,
+-              .set_resolution = w1_DS18B20_set_resolution,
+-              .get_resolution = w1_DS18B20_get_resolution,
++              .f                              = &w1_therm_family_DS1822,
++              .convert                        = w1_DS18B20_convert_temp,
++              .get_conversion_time    = w1_DS18B20_convert_time,
++              .set_resolution         = w1_DS18B20_set_resolution,
++              .get_resolution         = w1_DS18B20_get_resolution,
+       },
+       {
+-              .f              = &w1_therm_family_DS18B20,
+-              .convert        = w1_DS18B20_convert_temp,
+-              .set_resolution = w1_DS18B20_set_resolution,
+-              .get_resolution = w1_DS18B20_get_resolution,
++              .f                              = &w1_therm_family_DS18B20,
++              .convert                        = w1_DS18B20_convert_temp,
++              .get_conversion_time    = w1_DS18B20_convert_time,
++              .set_resolution         = w1_DS18B20_set_resolution,
++              .get_resolution         = w1_DS18B20_get_resolution,
+       },
+       {
+-              .f              = &w1_therm_family_DS28EA00,
+-              .convert        = w1_DS18B20_convert_temp,
+-              .set_resolution = w1_DS18B20_set_resolution,
+-              .get_resolution = w1_DS18B20_get_resolution,
++              .f                              = &w1_therm_family_DS28EA00,
++              .convert                        = w1_DS18B20_convert_temp,
++              .get_conversion_time    = w1_DS18B20_convert_time,
++              .set_resolution         = w1_DS18B20_set_resolution,
++              .get_resolution         = w1_DS18B20_get_resolution,
+       },
+       {
+-              .f              = &w1_therm_family_DS1825,
+-              .convert        = w1_DS18B20_convert_temp,
+-              .set_resolution = w1_DS18B20_set_resolution,
+-              .get_resolution = w1_DS18B20_get_resolution,
++              .f                              = &w1_therm_family_DS1825,
++              .convert                        = w1_DS18B20_convert_temp,
++              .get_conversion_time    = w1_DS18B20_convert_time,
++              .set_resolution         = w1_DS18B20_set_resolution,
++              .get_resolution         = w1_DS18B20_get_resolution,
+       }
+ };
+@@ -582,24 +638,44 @@ static inline bool bus_mutex_lock(struct
+ }
+ /**
+- * w1_convert_temp() - temperature conversion binding function
+- * @rom: data read from device RAM (8 data bytes + 1 CRC byte)
+- * @fid: device family id
++ * conversion_time() - get the Tconv for the slave
++ * @sl: device to get the conversion time
+  *
+- * The function call the temperature computation function according to
+- * device family.
++ * On device supporting resolution settings, conversion time depend
++ * on the resolution setting. This helper function get the slave timing,
++ * depending on its current setting.
+  *
+- * Return: value in millidegrees Celsius.
++ * Return: conversion time in ms, negative values are kernel error code
+  */
+-static inline int w1_convert_temp(u8 rom[9], u8 fid)
++static inline int conversion_time(struct w1_slave *sl)
+ {
+-      int i;
++      if (SLAVE_SPECIFIC_FUNC(sl))
++              return SLAVE_SPECIFIC_FUNC(sl)->get_conversion_time(sl);
+-      for (i = 0; i < ARRAY_SIZE(w1_therm_families); ++i)
+-              if (w1_therm_families[i].f->fid == fid)
+-                      return w1_therm_families[i].convert(rom);
++      dev_info(&sl->dev,
++              "%s: Device not supported by the driver\n", __func__);
+-      return 0;
++      return -ENODEV;  /* No device family */
++}
++
++/**
++ * temperature_from_RAM() - Convert the read info to temperature
++ * @sl: device that sent the RAM data
++ * @rom: read value on the slave device RAM
++ *
++ * Device dependent, the function bind the correct computation method.
++ *
++ * Return: temperature in 1/1000degC, 0 on error.
++ */
++static inline int temperature_from_RAM(struct w1_slave *sl, u8 rom[9])
++{
++      if (SLAVE_SPECIFIC_FUNC(sl))
++              return SLAVE_SPECIFIC_FUNC(sl)->convert(rom);
++
++      dev_info(&sl->dev,
++              "%s: Device not supported by the driver\n", __func__);
++
++      return 0;  /* No device family */
+ }
+ /* Interface Functions */
+@@ -679,96 +755,74 @@ static int reset_select_slave(struct w1_
+       return 0;
+ }
+-static ssize_t read_therm(struct device *device,
+-                        struct w1_slave *sl, struct therm_info *info)
++static int convert_t(struct w1_slave *sl, struct therm_info *info)
+ {
+-      struct w1_master *dev = sl->master;
+-      u8 external_power;
+-      int ret, max_trying = 10;
+-      u8 *family_data = sl->family_data;
++      struct w1_master *dev_master = sl->master;
++      int max_trying = W1_THERM_MAX_TRY;
++      int t_conv;
++      int ret = -ENODEV;
++      bool strong_pullup;
+-      if (!family_data) {
+-              ret = -ENODEV;
++      if (!sl->family_data)
+               goto error;
+-      }
+-      /* prevent the slave from going away in sleep */
+-      atomic_inc(THERM_REFCNT(family_data));
++      strong_pullup = (w1_strong_pullup == 2 ||
++                                      (!SLAVE_POWERMODE(sl) &&
++                                      w1_strong_pullup));
+-      ret = mutex_lock_interruptible(&dev->bus_mutex);
+-      if (ret != 0)
+-              goto dec_refcnt;
++      /* get conversion duration device and id dependent */
++      t_conv = conversion_time(sl);
+       memset(info->rom, 0, sizeof(info->rom));
+-      while (max_trying--) {
++      /* prevent the slave from going away in sleep */
++      atomic_inc(THERM_REFCNT(sl->family_data));
++
++      if (!bus_mutex_lock(&dev_master->bus_mutex)) {
++              ret = -EAGAIN;  /* Didn't acquire the mutex */
++              goto dec_refcnt;
++      }
++
++      while (max_trying-- && ret) { /* ret should be 0 */
+               info->verdict = 0;
+               info->crc = 0;
+-
++              /* safe version to select slave */
+               if (!reset_select_slave(sl)) {
+-                      int count = 0;
+-                      unsigned int tm = 750;
+                       unsigned long sleep_rem;
+-                      w1_write_8(dev, W1_READ_PSUPPLY);
+-                      external_power = w1_read_8(dev);
+-
+-                      if (reset_select_slave(sl))
+-                              continue;
+-
+                       /* 750ms strong pullup (or delay) after the convert */
+-                      if (w1_strong_pullup == 2 ||
+-                                      (!external_power && w1_strong_pullup))
+-                              w1_next_pullup(dev, tm);
+-
+-                      w1_write_8(dev, W1_CONVERT_TEMP);
++                      if (strong_pullup)
++                              w1_next_pullup(dev_master, t_conv);
+-                      if (external_power) {
+-                              mutex_unlock(&dev->bus_mutex);
++                      w1_write_8(dev_master, W1_CONVERT_TEMP);
+-                              sleep_rem = msleep_interruptible(tm);
++                      if (strong_pullup) { /*some device need pullup */
++                              sleep_rem = msleep_interruptible(t_conv);
+                               if (sleep_rem != 0) {
+                                       ret = -EINTR;
+-                                      goto dec_refcnt;
++                                      goto mt_unlock;
+                               }
++                              mutex_unlock(&dev_master->bus_mutex);
++                      } else { /*no device need pullup */
++                              mutex_unlock(&dev_master->bus_mutex);
+-                              ret = mutex_lock_interruptible(&dev->bus_mutex);
+-                              if (ret != 0)
+-                                      goto dec_refcnt;
+-                      } else if (!w1_strong_pullup) {
+-                              sleep_rem = msleep_interruptible(tm);
++                              sleep_rem = msleep_interruptible(t_conv);
+                               if (sleep_rem != 0) {
+                                       ret = -EINTR;
+-                                      goto mt_unlock;
++                                      goto dec_refcnt;
+                               }
+                       }
+-
+-                      if (!reset_select_slave(sl)) {
+-
+-                              w1_write_8(dev, W1_READ_SCRATCHPAD);
+-                              count = w1_read_block(dev, info->rom, 9);
+-                              if (count != 9) {
+-                                      dev_warn(device, "w1_read_block() "
+-                                              "returned %u instead of 9.\n",
+-                                              count);
+-                              }
+-
+-                              info->crc = w1_calc_crc8(info->rom, 8);
+-
+-                              if (info->rom[8] == info->crc)
+-                                      info->verdict = 1;
+-                      }
++                      ret = read_scratchpad(sl, info);
++                      goto dec_refcnt;
+               }
+-              if (info->verdict)
+-                      break;
+       }
+ mt_unlock:
+-      mutex_unlock(&dev->bus_mutex);
++      mutex_unlock(&dev_master->bus_mutex);
+ dec_refcnt:
+-      atomic_dec(THERM_REFCNT(family_data));
++      atomic_dec(THERM_REFCNT(sl->family_data));
+ error:
+       return ret;
+ }
+@@ -1000,27 +1054,33 @@ static ssize_t w1_slave_show(struct devi
+       u8 *family_data = sl->family_data;
+       int ret, i;
+       ssize_t c = PAGE_SIZE;
+-      u8 fid = sl->family->fid;
+-      ret = read_therm(device, sl, &info);
+-      if (ret)
+-              return ret;
++      ret = convert_t(sl, &info);
++
++      if (ret < 0) {
++              dev_dbg(device,
++                      "%s: Temperature data may be corrupted. err=%d\n",
++                      __func__, ret);
++              return 0;
++      }
+       for (i = 0; i < 9; ++i)
+               c -= snprintf(buf + PAGE_SIZE - c, c, "%02x ", info.rom[i]);
+       c -= snprintf(buf + PAGE_SIZE - c, c, ": crc=%02x %s\n",
+                     info.crc, (info.verdict) ? "YES" : "NO");
++
+       if (info.verdict)
+               memcpy(family_data, info.rom, sizeof(info.rom));
+       else
+-              dev_warn(device, "Read failed CRC check\n");
++              dev_warn(device, "%s:Read failed CRC check\n", __func__);
+       for (i = 0; i < 9; ++i)
+               c -= snprintf(buf + PAGE_SIZE - c, c, "%02x ",
+                             ((u8 *)family_data)[i]);
+       c -= snprintf(buf + PAGE_SIZE - c, c, "t=%d\n",
+-                      w1_convert_temp(info.rom, fid));
++                      temperature_from_RAM(sl, info.rom));
++
+       ret = PAGE_SIZE - c;
+       return ret;
+ }
+@@ -1063,6 +1123,31 @@ static ssize_t w1_slave_store(struct dev
+       return size; /* always return size to avoid infinite calling */
+ }
++static ssize_t temperature_show(struct device *device,
++      struct device_attribute *attr, char *buf)
++{
++      struct w1_slave *sl = dev_to_w1_slave(device);
++      struct therm_info info;
++      int ret = 0;
++
++      if ((!sl->family_data) || (!SLAVE_SPECIFIC_FUNC(sl))) {
++              dev_info(device,
++                      "%s: Device not supported by the driver\n", __func__);
++              return 0;  /* No device family */
++      }
++
++      ret = convert_t(sl, &info);
++
++      if (ret < 0) {
++              dev_dbg(device,
++                      "%s: Temperature data may be corrupted. err=%d\n",
++                      __func__, ret);
++              return 0;
++      }
++
++      return sprintf(buf, "%d\n", temperature_from_RAM(sl, info.rom));
++}
++
+ static ssize_t ext_power_show(struct device *device,
+       struct device_attribute *attr, char *buf)
+ {
+@@ -1172,12 +1257,11 @@ static int w1_read_temp(struct device *d
+ {
+       struct w1_slave *sl = dev_get_drvdata(device);
+       struct therm_info info;
+-      u8 fid = sl->family->fid;
+       int ret;
+       switch (attr) {
+       case hwmon_temp_input:
+-              ret = read_therm(device, sl, &info);
++              ret = convert_t(sl, &info);
+               if (ret)
+                       return ret;
+@@ -1186,7 +1270,7 @@ static int w1_read_temp(struct device *d
+                       return ret;
+               }
+-              *val = w1_convert_temp(info.rom, fid);
++              *val = temperature_from_RAM(sl, info.rom);
+               ret = 0;
+               break;
+       default:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0772-w1_therm-adding-alarm-sysfs-entry.patch b/target/linux/bcm27xx/patches-5.4/950-0772-w1_therm-adding-alarm-sysfs-entry.patch
new file mode 100644 (file)
index 0000000..f4b8d5d
--- /dev/null
@@ -0,0 +1,319 @@
+From 8c3d9356c3c3b9c9188f1e192c7e2541e313c7ab Mon Sep 17 00:00:00 2001
+From: Akira Shimahara <akira215corp@gmail.com>
+Date: Mon, 11 May 2020 22:38:01 +0200
+Subject: [PATCH] w1_therm: adding alarm sysfs entry
+
+commit e2c94d6f572079511945e64537eb1218643f2e68 upstream.
+
+Adding device alarms settings by a dedicated sysfs entry alarms (RW):
+read or write TH and TL in the device RAM. Checking devices in alarm
+state could be performed using the master search command.
+
+As alarms temperature level are store in a 8 bit register on the device
+and are signed values, a safe cast shall be performed using the min and
+max temperature that device are able to measure. This is done by
+int_to_short inline function.
+
+A 'write_data' field is added in the device structure, to bind the
+correct writing function, as some devices may have 2 or 3 bytes RAM.
+
+Updating Documentation/ABI/testing/sysfs-driver-w1_therm accordingly.
+
+Signed-off-by: Akira Shimahara <akira215corp@gmail.com>
+Link: https://lore.kernel.org/r/20200511203801.411253-1-akira215corp@gmail.com
+Signed-off-by: Greg Kroah-Hartman <gregkh@linuxfoundation.org>
+---
+ .../ABI/testing/sysfs-driver-w1_therm         |  16 ++
+ drivers/w1/slaves/w1_therm.c                  | 161 ++++++++++++++++++
+ 2 files changed, 177 insertions(+)
+
+--- a/Documentation/ABI/testing/sysfs-driver-w1_therm
++++ b/Documentation/ABI/testing/sysfs-driver-w1_therm
+@@ -1,3 +1,19 @@
++What:         /sys/bus/w1/devices/.../alarms
++Date:         May 2020
++Contact:      Akira Shimahara <akira215corp@gmail.com>
++Description:
++              (RW) read or write TH and TL (Temperature High an Low) alarms.
++              Values shall be space separated and in the device range
++              (typical -55 degC to 125 degC), if not values will be trimmed
++              to device min/max capabilities. Values are integer as they are
++              stored in a 8bit register in the device. Lowest value is
++              automatically put to TL. Once set, alarms could be search at
++              master level, refer to Documentation/w1/w1_generic.rst for
++              detailed information
++Users:                any user space application which wants to communicate with
++              w1_term device
++
++
+ What:         /sys/bus/w1/devices/.../eeprom
+ Date:         May 2020
+ Contact:      Akira Shimahara <akira215corp@gmail.com>
+--- a/drivers/w1/slaves/w1_therm.c
++++ b/drivers/w1/slaves/w1_therm.c
+@@ -58,6 +58,9 @@ module_param_named(strong_pullup, w1_str
+ #define EEPROM_CMD_WRITE    "save"    /* cmd for write eeprom sysfs */
+ #define EEPROM_CMD_READ     "restore" /* cmd for read eeprom sysfs */
++#define MIN_TEMP      -55     /* min temperature that can be mesured */
++#define MAX_TEMP      125     /* max temperature that can be mesured */
++
+ /* Helpers Macros */
+ /*
+@@ -96,6 +99,7 @@ module_param_named(strong_pullup, w1_str
+  * @get_conversion_time: pointer to the device conversion time function
+  * @set_resolution: pointer to the device set_resolution function
+  * @get_resolution: pointer to the device get_resolution function
++ * @write_data: pointer to the device writing function (2 or 3 bytes)
+  */
+ struct w1_therm_family_converter {
+       u8              broken;
+@@ -105,6 +109,7 @@ struct w1_therm_family_converter {
+       int             (*get_conversion_time)(struct w1_slave *sl);
+       int             (*set_resolution)(struct w1_slave *sl, int val);
+       int             (*get_resolution)(struct w1_slave *sl);
++      int             (*write_data)(struct w1_slave *sl, const u8 *data);
+ };
+ /**
+@@ -239,6 +244,12 @@ static ssize_t resolution_store(struct d
+ static ssize_t eeprom_store(struct device *device,
+       struct device_attribute *attr, const char *buf, size_t size);
++static ssize_t alarms_store(struct device *device,
++      struct device_attribute *attr, const char *buf, size_t size);
++
++static ssize_t alarms_show(struct device *device,
++      struct device_attribute *attr, char *buf);
++
+ /* Attributes declarations */
+ static DEVICE_ATTR_RW(w1_slave);
+@@ -247,6 +258,7 @@ static DEVICE_ATTR_RO(temperature);
+ static DEVICE_ATTR_RO(ext_power);
+ static DEVICE_ATTR_RW(resolution);
+ static DEVICE_ATTR_WO(eeprom);
++static DEVICE_ATTR_RW(alarms);
+ /* Interface Functions declaration */
+@@ -278,6 +290,7 @@ static struct attribute *w1_therm_attrs[
+       &dev_attr_ext_power.attr,
+       &dev_attr_resolution.attr,
+       &dev_attr_eeprom.attr,
++      &dev_attr_alarms.attr,
+       NULL,
+ };
+@@ -286,6 +299,7 @@ static struct attribute *w1_ds18s20_attr
+       &dev_attr_temperature.attr,
+       &dev_attr_ext_power.attr,
+       &dev_attr_eeprom.attr,
++      &dev_attr_alarms.attr,
+       NULL,
+ };
+@@ -296,6 +310,7 @@ static struct attribute *w1_ds28ea00_att
+       &dev_attr_ext_power.attr,
+       &dev_attr_resolution.attr,
+       &dev_attr_eeprom.attr,
++      &dev_attr_alarms.attr,
+       NULL,
+ };
+@@ -556,6 +571,7 @@ static struct w1_therm_family_converter
+               .get_conversion_time    = w1_DS18S20_convert_time,
+               .set_resolution         = NULL, /* no config register */
+               .get_resolution         = NULL, /* no config register */
++              .write_data                     = w1_DS18S20_write_data,
+       },
+       {
+               .f                              = &w1_therm_family_DS1822,
+@@ -563,6 +579,7 @@ static struct w1_therm_family_converter
+               .get_conversion_time    = w1_DS18B20_convert_time,
+               .set_resolution         = w1_DS18B20_set_resolution,
+               .get_resolution         = w1_DS18B20_get_resolution,
++              .write_data                     = w1_DS18B20_write_data,
+       },
+       {
+               .f                              = &w1_therm_family_DS18B20,
+@@ -570,6 +587,7 @@ static struct w1_therm_family_converter
+               .get_conversion_time    = w1_DS18B20_convert_time,
+               .set_resolution         = w1_DS18B20_set_resolution,
+               .get_resolution         = w1_DS18B20_get_resolution,
++              .write_data                     = w1_DS18B20_write_data,
+       },
+       {
+               .f                              = &w1_therm_family_DS28EA00,
+@@ -577,6 +595,7 @@ static struct w1_therm_family_converter
+               .get_conversion_time    = w1_DS18B20_convert_time,
+               .set_resolution         = w1_DS18B20_set_resolution,
+               .get_resolution         = w1_DS18B20_get_resolution,
++              .write_data                     = w1_DS18B20_write_data,
+       },
+       {
+               .f                              = &w1_therm_family_DS1825,
+@@ -584,6 +603,7 @@ static struct w1_therm_family_converter
+               .get_conversion_time    = w1_DS18B20_convert_time,
+               .set_resolution         = w1_DS18B20_set_resolution,
+               .get_resolution         = w1_DS18B20_get_resolution,
++              .write_data                     = w1_DS18B20_write_data,
+       }
+ };
+@@ -678,6 +698,26 @@ static inline int temperature_from_RAM(s
+       return 0;  /* No device family */
+ }
++/**
++ * int_to_short() - Safe casting of int to short
++ *
++ * @i: integer to be converted to short
++ *
++ * Device register use 1 byte to store signed integer.
++ * This helper function convert the int in a signed short,
++ * using the min/max values that device can measure as limits.
++ * min/max values are defined by macro.
++ *
++ * Return: a short in the range of min/max value
++ */
++static inline s8 int_to_short(int i)
++{
++      /* Prepare to cast to short by eliminating out of range values */
++      i = i > MAX_TEMP ? MAX_TEMP : i;
++      i = i < MIN_TEMP ? MIN_TEMP : i;
++      return (s8) i;
++}
++
+ /* Interface Functions */
+ static int w1_therm_add_slave(struct w1_slave *sl)
+@@ -1250,6 +1290,127 @@ static ssize_t eeprom_store(struct devic
+       return size;
+ }
++
++static ssize_t alarms_show(struct device *device,
++      struct device_attribute *attr, char *buf)
++{
++      struct w1_slave *sl = dev_to_w1_slave(device);
++      int ret = -ENODEV;
++      s8 th = 0, tl = 0;
++      struct therm_info scratchpad;
++
++      ret = read_scratchpad(sl, &scratchpad);
++
++      if (!ret)       {
++              th = scratchpad.rom[2]; /* TH is byte 2 */
++              tl = scratchpad.rom[3]; /* TL is byte 3 */
++      } else {
++              dev_info(device,
++                      "%s: error reading alarms register %d\n",
++                      __func__, ret);
++      }
++
++      return sprintf(buf, "%hd %hd\n", tl, th);
++}
++
++static ssize_t alarms_store(struct device *device,
++      struct device_attribute *attr, const char *buf, size_t size)
++{
++      struct w1_slave *sl = dev_to_w1_slave(device);
++      struct therm_info info;
++      u8 new_config_register[3];      /* array of data to be written */
++      int temp, ret = -EINVAL;
++      char *token = NULL;
++      s8 tl, th, tt;  /* 1 byte per value + temp ring order */
++      char *p_args = kmalloc(size, GFP_KERNEL);
++
++      /* Safe string copys as buf is const */
++      if (!p_args) {
++              dev_warn(device,
++                      "%s: error unable to allocate memory %d\n",
++                      __func__, -ENOMEM);
++              return size;
++      }
++      strcpy(p_args, buf);
++
++      /* Split string using space char */
++      token = strsep(&p_args, " ");
++
++      if (!token)     {
++              dev_info(device,
++                      "%s: error parsing args %d\n", __func__, -EINVAL);
++              goto free_m;
++      }
++
++      /* Convert 1st entry to int */
++      ret = kstrtoint (token, 10, &temp);
++      if (ret) {
++              dev_info(device,
++                      "%s: error parsing args %d\n", __func__, ret);
++              goto free_m;
++      }
++
++      tl = int_to_short(temp);
++
++      /* Split string using space char */
++      token = strsep(&p_args, " ");
++      if (!token)     {
++              dev_info(device,
++                      "%s: error parsing args %d\n", __func__, -EINVAL);
++              goto free_m;
++      }
++      /* Convert 2nd entry to int */
++      ret = kstrtoint (token, 10, &temp);
++      if (ret) {
++              dev_info(device,
++                      "%s: error parsing args %d\n", __func__, ret);
++              goto free_m;
++      }
++
++      /* Prepare to cast to short by eliminating out of range values */
++      th = int_to_short(temp);
++
++      /* Reorder if required th and tl */
++      if (tl > th) {
++              tt = tl; tl = th; th = tt;
++      }
++
++      /*
++       * Read the scratchpad to change only the required bits
++       * (th : byte 2 - tl: byte 3)
++       */
++      ret = read_scratchpad(sl, &info);
++      if (!ret) {
++              new_config_register[0] = th;    /* Byte 2 */
++              new_config_register[1] = tl;    /* Byte 3 */
++              new_config_register[2] = info.rom[4];/* Byte 4 */
++      } else {
++              dev_info(device,
++                      "%s: error reading from the slave device %d\n",
++                      __func__, ret);
++              goto free_m;
++      }
++
++      /* Write data in the device RAM */
++      if (!SLAVE_SPECIFIC_FUNC(sl)) {
++              dev_info(device,
++                      "%s: Device not supported by the driver %d\n",
++                      __func__, -ENODEV);
++              goto free_m;
++      }
++
++      ret = SLAVE_SPECIFIC_FUNC(sl)->write_data(sl, new_config_register);
++      if (ret)
++              dev_info(device,
++                      "%s: error writing to the slave device %d\n",
++                      __func__, ret);
++
++free_m:
++      /* free allocated memory */
++      kfree(p_args);
++
++      return size;
++}
+ #if IS_REACHABLE(CONFIG_HWMON)
+ static int w1_read_temp(struct device *device, u32 attr, int channel,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0773-w1_therm-adding-bulk-read-support-to-trigger-multipl.patch b/target/linux/bcm27xx/patches-5.4/950-0773-w1_therm-adding-bulk-read-support-to-trigger-multipl.patch
new file mode 100644 (file)
index 0000000..ad641e1
--- /dev/null
@@ -0,0 +1,587 @@
+From e6585a1d299375740f95f30027b8b3f4b34e7548 Mon Sep 17 00:00:00 2001
+From: Akira Shimahara <akira215corp@gmail.com>
+Date: Mon, 11 May 2020 22:38:20 +0200
+Subject: [PATCH] w1_therm: adding bulk read support to trigger
+ multiple conversion on bus
+
+commit 57c76221d5af648c8355a55c09b050c5d8d38189 upstream.
+
+Adding bulk read support:
+Sending a 'trigger' command in the dedicated sysfs entry of bus master
+device send a conversion command for all the slaves on the bus. The sysfs
+entry is added as soon as at least one device supporting this feature
+is detected on the bus.
+
+The behavior of the sysfs reading temperature on the device is as follow:
+ * If no bulk read pending, trigger a conversion on the device, wait for
+ the conversion to be done, read the temperature in device RAM
+ * If a bulk read has been trigger, access directly the device RAM
+This behavior is the same on the 2 sysfs entries ('temperature' and
+'w1_slave').
+
+Reading the therm_bulk_read sysfs give the status of bulk operations:
+ * '-1': conversion in progress on at least 1 sensor
+ * '1': conversion complete but at least one sensor has not been read yet
+ * '0': no bulk operation. Reading temperature on ecah device will trigger
+a conversion
+
+As not all devices support bulk read feature, it has been added in device
+family structure.
+
+The attribute is set at master level as soon as a supporting device is
+discover. It is removed when the last supported device leave the bus.
+The count of supported device is kept with the static counter
+bulk_read_device_counter.
+
+A strong pull up is apply on the line if at least one device required it.
+The duration of the pull up is the max time required by a device on the
+line, which depends on the resolution settings of each device. The strong
+pull up could be adjust with the a module parameter.
+
+Updating documentation in Documentation/ABI/testing/sysfs-driver-w1_therm
+and Documentation/w1/slaves/w1_therm.rst accordingly.
+
+Signed-off-by: Akira Shimahara <akira215corp@gmail.com>
+Link: https://lore.kernel.org/r/20200511203820.411483-1-akira215corp@gmail.com
+Signed-off-by: Greg Kroah-Hartman <gregkh@linuxfoundation.org>
+---
+ .../ABI/testing/sysfs-driver-w1_therm         |  36 ++-
+ Documentation/w1/slaves/w1_therm.rst          |  50 +++-
+ drivers/w1/slaves/w1_therm.c                  | 251 +++++++++++++++++-
+ 3 files changed, 322 insertions(+), 15 deletions(-)
+
+--- a/Documentation/ABI/testing/sysfs-driver-w1_therm
++++ b/Documentation/ABI/testing/sysfs-driver-w1_therm
+@@ -62,9 +62,16 @@ Date:               May 2020
+ Contact:      Akira Shimahara <akira215corp@gmail.com>
+ Description:
+               (RO) return the temperature in 1/1000 degC.
+-              Note that the conversion duration depend on the resolution (if
+-              device support this feature). It takes 94ms in 9bits
+-              resolution, 750ms for 12bits.
++                      * If a bulk read has been triggered, it will directly
++                      return the temperature computed when the bulk read
++                      occurred, if available. If not yet available, nothing
++                      is returned (a debug kernel message is sent), you
++                      should retry later on.
++                      * If no bulk read has been triggered, it will trigger
++                      a conversion and send the result. Note that the
++                      conversion duration depend on the resolution (if
++                      device support this feature). It takes 94ms in 9bits
++                      resolution, 750ms for 12bits.
+ Users:                any user space application which wants to communicate with
+               w1_term device
+@@ -85,4 +92,25 @@ Description:
+               refer to Documentation/w1/slaves/w1_therm.rst for detailed
+               information.
+ Users:                any user space application which wants to communicate with
+-              w1_term device
+\ No newline at end of file
++              w1_term device
++
++
++What:         /sys/bus/w1/devices/w1_bus_masterXX/therm_bulk_read
++Date:         May 2020
++Contact:      Akira Shimahara <akira215corp@gmail.com>
++Description:
++              (RW) trigger a bulk read conversion. read the status
++              *read*:
++                      * '-1': conversion in progress on at least 1 sensor
++                      * '1' : conversion complete but at least one sensor
++                              value has not been read yet
++                      * '0' : no bulk operation. Reading temperature will
++                              trigger a conversion on each device
++              *write*: 'trigger': trigger a bulk read on all supporting
++                      devices on the bus
++              Note that if a bulk read is sent but one sensor is not read
++              immediately, the next access to temperature on this device
++              will return the temperature measured at the time of issue
++              of the bulk read command (not the current temperature).
++Users:                any user space application which wants to communicate with
++              w1_term device
+--- a/Documentation/w1/slaves/w1_therm.rst
++++ b/Documentation/w1/slaves/w1_therm.rst
+@@ -26,20 +26,31 @@ W1_THERM_DS1825            0x3B
+ W1_THERM_DS28EA00     0x42
+ ====================  ====
+-Support is provided through the sysfs w1_slave file.  Each open and
++Support is provided through the sysfs w1_slave file. Each open and
+ read sequence will initiate a temperature conversion then provide two
+-lines of ASCII output.  The first line contains the nine hex bytes
++lines of ASCII output. The first line contains the nine hex bytes
+ read along with a calculated crc value and YES or NO if it matched.
+-If the crc matched the returned values are retained.  The second line
++If the crc matched the returned values are retained. The second line
+ displays the retained values along with a temperature in millidegrees
+ Centigrade after t=.
+-Parasite powered devices are limited to one slave performing a
+-temperature conversion at a time.  If none of the devices are parasite
+-powered it would be possible to convert all the devices at the same
+-time and then go back to read individual sensors.  That isn't
+-currently supported.  The driver also doesn't support reduced
+-precision (which would also reduce the conversion time) when reading values.
++Alternatively, temperature can be read using temperature sysfs, it
++return only temperature in millidegrees Centigrade.
++
++A bulk read of all devices on the bus could be done writing 'trigger'
++in the therm_bulk_read sysfs entry at w1_bus_master level. This will
++sent the convert command on all devices on the bus, and if parasite
++powered devices are detected on the bus (and strong pullup is enable
++in the module), it will drive the line high during the longer conversion
++time required by parasited powered device on the line. Reading
++therm_bulk_read will return 0 if no bulk conversion pending,
++-1 if at least one sensor still in conversion, 1 if conversion is complete
++but at least one sensor value has not been read yet. Result temperature is
++then accessed by reading the temperature sysfs entry of each device, which
++may return empty if conversion is still in progress. Note that if a bulk
++read is sent but one sensor is not read immediately, the next access to
++temperature on this device will return the temperature measured at the
++time of issue of the bulk read command (not the current temperature).
+ Writing a value between 9 and 12 to the sysfs w1_slave file will change the
+ precision of the sensor for the next readings. This value is in (volatile)
+@@ -49,6 +60,27 @@ To store the current precision configura
+ has to be written to the sysfs w1_slave file. Since the EEPROM has a limited
+ amount of writes (>50k), this command should be used wisely.
++Alternatively, resolution can be set or read (value from 9 to 12) using the
++dedicated resolution sysfs entry on each device. This sysfs entry is not
++present for devices not supporting this feature. Driver will adjust the
++correct conversion time for each device regarding to its resolution setting.
++In particular, strong pullup will be applied if required during the conversion
++duration.
++
++The write-only sysfs entry eeprom is an alternative for EEPROM operations:
++  * 'save': will save device RAM to EEPROM
++  * 'restore': will restore EEPROM data in device RAM.
++
++ext_power syfs entry allow tho check the power status of each device.
++  * '0': device parasite powered
++  * '1': device externally powered
++
++sysfs alarms allow read or write TH and TL (Temperature High an Low) alarms.
++Values shall be space separated and in the device range (typical -55 degC
++to 125 degC). Values are integer as they are store in a 8bit register in
++the device. Lowest value is automatically put to TL.Once set, alarms could
++be search at master level.
++
+ The module parameter strong_pullup can be set to 0 to disable the
+ strong pullup, 1 to enable autodetection or 2 to force strong pullup.
+ In case of autodetection, the driver will use the "READ POWER SUPPLY"
+--- a/drivers/w1/slaves/w1_therm.c
++++ b/drivers/w1/slaves/w1_therm.c
+@@ -43,6 +43,9 @@
+ static int w1_strong_pullup = 1;
+ module_param_named(strong_pullup, w1_strong_pullup, int, 0);
++/* Counter for devices supporting bulk reading */
++static u16 bulk_read_device_counter; /* =0 as per C standard */
++
+ /* This command should be in public header w1.h but is not */
+ #define W1_RECALL_EEPROM      0xB8
+@@ -57,6 +60,7 @@ module_param_named(strong_pullup, w1_str
+ #define EEPROM_CMD_WRITE    "save"    /* cmd for write eeprom sysfs */
+ #define EEPROM_CMD_READ     "restore" /* cmd for read eeprom sysfs */
++#define BULK_TRIGGER_CMD    "trigger" /* cmd to trigger a bulk read */
+ #define MIN_TEMP      -55     /* min temperature that can be mesured */
+ #define MAX_TEMP      125     /* max temperature that can be mesured */
+@@ -84,6 +88,15 @@ module_param_named(strong_pullup, w1_str
+ #define SLAVE_RESOLUTION(sl) \
+       (((struct w1_therm_family_data *)(sl->family_data))->resolution)
++/*
++ * return whether or not a converT command has been issued to the slave
++ * * 0: no bulk read is pending
++ * * -1: conversion is in progress
++ * * 1: conversion done, result to be read
++ */
++#define SLAVE_CONVERT_TRIGGERED(sl) \
++      (((struct w1_therm_family_data *)(sl->family_data))->convert_triggered)
++
+ /* return the address of the refcnt in the family data */
+ #define THERM_REFCNT(family_data) \
+       (&((struct w1_therm_family_data *)family_data)->refcnt)
+@@ -100,6 +113,7 @@ module_param_named(strong_pullup, w1_str
+  * @set_resolution: pointer to the device set_resolution function
+  * @get_resolution: pointer to the device get_resolution function
+  * @write_data: pointer to the device writing function (2 or 3 bytes)
++ * @bulk_read: true if device family support bulk read, false otherwise
+  */
+ struct w1_therm_family_converter {
+       u8              broken;
+@@ -110,6 +124,7 @@ struct w1_therm_family_converter {
+       int             (*set_resolution)(struct w1_slave *sl, int val);
+       int             (*get_resolution)(struct w1_slave *sl);
+       int             (*write_data)(struct w1_slave *sl, const u8 *data);
++      bool            bulk_read;
+ };
+ /**
+@@ -120,6 +135,7 @@ struct w1_therm_family_converter {
+  *                            0 device parasite powered,
+  *                            -x error or undefined
+  * @resolution: current device resolution
++ * @convert_triggered: conversion state of the device
+  * @specific_functions: pointer to struct of device specific function
+  */
+ struct w1_therm_family_data {
+@@ -127,6 +143,7 @@ struct w1_therm_family_data {
+       atomic_t refcnt;
+       int external_powered;
+       int resolution;
++      int convert_triggered;
+       struct w1_therm_family_converter *specific_functions;
+ };
+@@ -218,6 +235,18 @@ static int recall_eeprom(struct w1_slave
+  */
+ static int read_powermode(struct w1_slave *sl);
++/**
++ * trigger_bulk_read() - function to trigger a bulk read on the bus
++ * @dev_master: the device master of the bus
++ *
++ * Send a SKIP ROM follow by a CONVERT T commmand on the bus.
++ * It also set the status flag in each slave &struct w1_therm_family_data
++ * to signal that a conversion is in progress.
++ *
++ * Return: 0 if success, -kernel error code otherwise
++ */
++static int trigger_bulk_read(struct w1_master *dev_master);
++
+ /* Sysfs interface declaration */
+ static ssize_t w1_slave_show(struct device *device,
+@@ -250,6 +279,12 @@ static ssize_t alarms_store(struct devic
+ static ssize_t alarms_show(struct device *device,
+       struct device_attribute *attr, char *buf);
++static ssize_t therm_bulk_read_store(struct device *device,
++      struct device_attribute *attr, const char *buf, size_t size);
++
++static ssize_t therm_bulk_read_show(struct device *device,
++      struct device_attribute *attr, char *buf);
++
+ /* Attributes declarations */
+ static DEVICE_ATTR_RW(w1_slave);
+@@ -260,6 +295,8 @@ static DEVICE_ATTR_RW(resolution);
+ static DEVICE_ATTR_WO(eeprom);
+ static DEVICE_ATTR_RW(alarms);
++static DEVICE_ATTR_RW(therm_bulk_read); /* attribut at master level */
++
+ /* Interface Functions declaration */
+ /**
+@@ -572,6 +609,7 @@ static struct w1_therm_family_converter
+               .set_resolution         = NULL, /* no config register */
+               .get_resolution         = NULL, /* no config register */
+               .write_data                     = w1_DS18S20_write_data,
++              .bulk_read                      = true
+       },
+       {
+               .f                              = &w1_therm_family_DS1822,
+@@ -580,6 +618,7 @@ static struct w1_therm_family_converter
+               .set_resolution         = w1_DS18B20_set_resolution,
+               .get_resolution         = w1_DS18B20_get_resolution,
+               .write_data                     = w1_DS18B20_write_data,
++              .bulk_read                      = true
+       },
+       {
+               .f                              = &w1_therm_family_DS18B20,
+@@ -588,6 +627,7 @@ static struct w1_therm_family_converter
+               .set_resolution         = w1_DS18B20_set_resolution,
+               .get_resolution         = w1_DS18B20_get_resolution,
+               .write_data                     = w1_DS18B20_write_data,
++              .bulk_read                      = true
+       },
+       {
+               .f                              = &w1_therm_family_DS28EA00,
+@@ -596,6 +636,7 @@ static struct w1_therm_family_converter
+               .set_resolution         = w1_DS18B20_set_resolution,
+               .get_resolution         = w1_DS18B20_get_resolution,
+               .write_data                     = w1_DS18B20_write_data,
++              .bulk_read                      = false
+       },
+       {
+               .f                              = &w1_therm_family_DS1825,
+@@ -604,6 +645,7 @@ static struct w1_therm_family_converter
+               .set_resolution         = w1_DS18B20_set_resolution,
+               .get_resolution         = w1_DS18B20_get_resolution,
+               .write_data                     = w1_DS18B20_write_data,
++              .bulk_read                      = true
+       }
+ };
+@@ -658,6 +700,23 @@ static inline bool bus_mutex_lock(struct
+ }
+ /**
++ * support_bulk_read() - check if slave support bulk read
++ * @sl: device to check the ability
++ *
++ * Return: true if bulk read is supported, false if not or error
++ */
++static inline bool bulk_read_support(struct w1_slave *sl)
++{
++      if (SLAVE_SPECIFIC_FUNC(sl))
++              return SLAVE_SPECIFIC_FUNC(sl)->bulk_read;
++
++      dev_info(&sl->dev,
++              "%s: Device not supported by the driver\n", __func__);
++
++      return false;  /* No device family */
++}
++
++/**
+  * conversion_time() - get the Tconv for the slave
+  * @sl: device to get the conversion time
+  *
+@@ -741,6 +800,24 @@ static int w1_therm_add_slave(struct w1_
+       /* save this pointer to the device structure */
+       SLAVE_SPECIFIC_FUNC(sl) = sl_family_conv;
++      if (bulk_read_support(sl)) {
++              /*
++               * add the sys entry to trigger bulk_read
++               * at master level only the 1st time
++               */
++              if (!bulk_read_device_counter) {
++                      int err = device_create_file(&sl->master->dev,
++                              &dev_attr_therm_bulk_read);
++
++                      if (err)
++                              dev_warn(&sl->dev,
++                              "%s: Device has been added, but bulk read is unavailable. err=%d\n",
++                              __func__, err);
++              }
++              /* Increment the counter */
++              bulk_read_device_counter++;
++      }
++
+       /* Getting the power mode of the device {external, parasite} */
+       SLAVE_POWERMODE(sl) = read_powermode(sl);
+@@ -763,6 +840,9 @@ static int w1_therm_add_slave(struct w1_
+               }
+       }
++      /* Finally initialize convert_triggered flag */
++      SLAVE_CONVERT_TRIGGERED(sl) = 0;
++
+       return 0;
+ }
+@@ -770,6 +850,14 @@ static void w1_therm_remove_slave(struct
+ {
+       int refcnt = atomic_sub_return(1, THERM_REFCNT(sl->family_data));
++      if (bulk_read_support(sl)) {
++              bulk_read_device_counter--;
++              /* Delete the entry if no more device support the feature */
++              if (!bulk_read_device_counter)
++                      device_remove_file(&sl->master->dev,
++                              &dev_attr_therm_bulk_read);
++      }
++
+       while (refcnt) {
+               msleep(1000);
+               refcnt = atomic_read(THERM_REFCNT(sl->family_data));
+@@ -1084,6 +1172,96 @@ error:
+       return ret;
+ }
++static int trigger_bulk_read(struct w1_master *dev_master)
++{
++      struct w1_slave *sl = NULL; /* used to iterate through slaves */
++      int max_trying = W1_THERM_MAX_TRY;
++      int t_conv = 0;
++      int ret = -ENODEV;
++      bool strong_pullup = false;
++
++      /*
++       * Check whether there are parasite powered device on the bus,
++       * and compute duration of conversion for these devices
++       * so we can apply a strong pullup if required
++       */
++      list_for_each_entry(sl, &dev_master->slist, w1_slave_entry) {
++              if (!sl->family_data)
++                      goto error;
++              if (bulk_read_support(sl)) {
++                      int t_cur = conversion_time(sl);
++
++                      t_conv = t_cur > t_conv ? t_cur : t_conv;
++                      strong_pullup = strong_pullup ||
++                                      (w1_strong_pullup == 2 ||
++                                      (!SLAVE_POWERMODE(sl) &&
++                                      w1_strong_pullup));
++              }
++      }
++
++      /*
++       * t_conv is the max conversion time required on the bus
++       * If its 0, no device support the bulk read feature
++       */
++      if (!t_conv)
++              goto error;
++
++      if (!bus_mutex_lock(&dev_master->bus_mutex)) {
++              ret = -EAGAIN;  /* Didn't acquire the mutex */
++              goto error;
++      }
++
++      while ((max_trying--) && (ret < 0)) { /* ret should be either 0 */
++
++              if (!w1_reset_bus(dev_master)) {        /* Just reset the bus */
++                      unsigned long sleep_rem;
++
++                      w1_write_8(dev_master, W1_SKIP_ROM);
++
++                      if (strong_pullup)      /* Apply pullup if required */
++                              w1_next_pullup(dev_master, t_conv);
++
++                      w1_write_8(dev_master, W1_CONVERT_TEMP);
++
++                      /* set a flag to instruct that converT pending */
++                      list_for_each_entry(sl,
++                              &dev_master->slist, w1_slave_entry) {
++                              if (bulk_read_support(sl))
++                                      SLAVE_CONVERT_TRIGGERED(sl) = -1;
++                      }
++
++                      if (strong_pullup) { /* some device need pullup */
++                              sleep_rem = msleep_interruptible(t_conv);
++                              if (sleep_rem != 0) {
++                                      ret = -EINTR;
++                                      goto mt_unlock;
++                              }
++                              mutex_unlock(&dev_master->bus_mutex);
++                      } else {
++                              mutex_unlock(&dev_master->bus_mutex);
++                              sleep_rem = msleep_interruptible(t_conv);
++                              if (sleep_rem != 0) {
++                                      ret = -EINTR;
++                                      goto set_flag;
++                              }
++                      }
++                      ret = 0;
++                      goto set_flag;
++              }
++      }
++
++mt_unlock:
++      mutex_unlock(&dev_master->bus_mutex);
++set_flag:
++      /* set a flag to register convsersion is done */
++      list_for_each_entry(sl, &dev_master->slist, w1_slave_entry) {
++              if (bulk_read_support(sl))
++                      SLAVE_CONVERT_TRIGGERED(sl) = 1;
++      }
++error:
++      return ret;
++}
++
+ /* Sysfs Interface definition */
+ static ssize_t w1_slave_show(struct device *device,
+@@ -1095,7 +1273,20 @@ static ssize_t w1_slave_show(struct devi
+       int ret, i;
+       ssize_t c = PAGE_SIZE;
+-      ret = convert_t(sl, &info);
++      if (bulk_read_support(sl)) {
++              if (SLAVE_CONVERT_TRIGGERED(sl) < 0) {
++                      dev_dbg(device,
++                              "%s: Conversion in progress, retry later\n",
++                              __func__);
++                      return 0;
++              } else if (SLAVE_CONVERT_TRIGGERED(sl) > 0) {
++                      /* A bulk read has been issued, read the device RAM */
++                      ret = read_scratchpad(sl, &info);
++                      SLAVE_CONVERT_TRIGGERED(sl) = 0;
++              } else
++                      ret = convert_t(sl, &info);
++      } else
++              ret = convert_t(sl, &info);
+       if (ret < 0) {
+               dev_dbg(device,
+@@ -1176,7 +1367,20 @@ static ssize_t temperature_show(struct d
+               return 0;  /* No device family */
+       }
+-      ret = convert_t(sl, &info);
++      if (bulk_read_support(sl)) {
++              if (SLAVE_CONVERT_TRIGGERED(sl) < 0) {
++                      dev_dbg(device,
++                              "%s: Conversion in progress, retry later\n",
++                              __func__);
++                      return 0;
++              } else if (SLAVE_CONVERT_TRIGGERED(sl) > 0) {
++                      /* A bulk read has been issued, read the device RAM */
++                      ret = read_scratchpad(sl, &info);
++                      SLAVE_CONVERT_TRIGGERED(sl) = 0;
++              } else
++                      ret = convert_t(sl, &info);
++      } else
++              ret = convert_t(sl, &info);
+       if (ret < 0) {
+               dev_dbg(device,
+@@ -1412,6 +1616,49 @@ free_m:
+       return size;
+ }
++static ssize_t therm_bulk_read_store(struct device *device,
++      struct device_attribute *attr, const char *buf, size_t size)
++{
++      struct w1_master *dev_master = dev_to_w1_master(device);
++      int ret = -EINVAL; /* Invalid argument */
++
++      if (size == sizeof(BULK_TRIGGER_CMD))
++              if (!strncmp(buf, BULK_TRIGGER_CMD,
++                              sizeof(BULK_TRIGGER_CMD)-1))
++                      ret = trigger_bulk_read(dev_master);
++
++      if (ret)
++              dev_info(device,
++                      "%s: unable to trigger a bulk read on the bus. err=%d\n",
++                      __func__, ret);
++
++      return size;
++}
++
++static ssize_t therm_bulk_read_show(struct device *device,
++      struct device_attribute *attr, char *buf)
++{
++      struct w1_master *dev_master = dev_to_w1_master(device);
++      struct w1_slave *sl = NULL;
++      int ret = 0;
++
++      list_for_each_entry(sl, &dev_master->slist, w1_slave_entry) {
++              if (sl->family_data) {
++                      if (bulk_read_support(sl)) {
++                              if (SLAVE_CONVERT_TRIGGERED(sl) == -1) {
++                                      ret = -1;
++                                      goto show_result;
++                              }
++                              if (SLAVE_CONVERT_TRIGGERED(sl) == 1)
++                                      /* continue to check other slaves */
++                                      ret = 1;
++                      }
++              }
++      }
++show_result:
++      return sprintf(buf, "%d\n", ret);
++}
++
+ #if IS_REACHABLE(CONFIG_HWMON)
+ static int w1_read_temp(struct device *device, u32 attr, int channel,
+                       long *val)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0774-w1_therm-Free-the-correct-variable.patch b/target/linux/bcm27xx/patches-5.4/950-0774-w1_therm-Free-the-correct-variable.patch
new file mode 100644 (file)
index 0000000..fede412
--- /dev/null
@@ -0,0 +1,41 @@
+From 039babe99fda6eb04a77ca29cacf98efd1a5f406 Mon Sep 17 00:00:00 2001
+From: Dan Carpenter <dan.carpenter@oracle.com>
+Date: Wed, 20 May 2020 15:00:19 +0300
+Subject: [PATCH] w1_therm: Free the correct variable
+
+commit e420637b81f78d0fbacf539bdb1b341eba602aea upstream.
+
+The problem is that we change "p_args" to point to the middle of the
+string so when we free it at the end of the function it's not freeing
+the same pointer that we originally allocated.
+
+Fixes: e2c94d6f5720 ("w1_therm: adding alarm sysfs entry")
+Signed-off-by: Dan Carpenter <dan.carpenter@oracle.com>
+Link: https://lore.kernel.org/r/20200520120019.GA172354@mwanda
+Signed-off-by: Greg Kroah-Hartman <gregkh@linuxfoundation.org>
+---
+ drivers/w1/slaves/w1_therm.c | 5 +++--
+ 1 file changed, 3 insertions(+), 2 deletions(-)
+
+--- a/drivers/w1/slaves/w1_therm.c
++++ b/drivers/w1/slaves/w1_therm.c
+@@ -1526,8 +1526,9 @@ static ssize_t alarms_store(struct devic
+       int temp, ret = -EINVAL;
+       char *token = NULL;
+       s8 tl, th, tt;  /* 1 byte per value + temp ring order */
+-      char *p_args = kmalloc(size, GFP_KERNEL);
++      char *p_args, *orig;
++      p_args = orig = kmalloc(size, GFP_KERNEL);
+       /* Safe string copys as buf is const */
+       if (!p_args) {
+               dev_warn(device,
+@@ -1611,7 +1612,7 @@ static ssize_t alarms_store(struct devic
+ free_m:
+       /* free allocated memory */
+-      kfree(p_args);
++      kfree(orig);
+       return size;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0775-w1_therm-remove-redundant-assignments-to-variable-re.patch b/target/linux/bcm27xx/patches-5.4/950-0775-w1_therm-remove-redundant-assignments-to-variable-re.patch
new file mode 100644 (file)
index 0000000..73bc9d5
--- /dev/null
@@ -0,0 +1,58 @@
+From 7566655c9e659e890f44784a6403de98ad77ae5b Mon Sep 17 00:00:00 2001
+From: Colin Ian King <colin.king@canonical.com>
+Date: Tue, 19 May 2020 16:45:53 +0100
+Subject: [PATCH] w1_therm: remove redundant assignments to variable
+ ret
+
+commit f37d13d52c0560bd2bac40b22466af538e61a5ce upstream.
+
+The variable ret is being initialized with a value that is never read
+and it is being updated later with a new value. The initialization
+is redundant and can be removed.
+
+Addresses-Coverity: ("Unused value")
+Signed-off-by: Colin Ian King <colin.king@canonical.com>
+Link: https://lore.kernel.org/r/20200519154553.873413-1-colin.king@canonical.com
+Signed-off-by: Greg Kroah-Hartman <gregkh@linuxfoundation.org>
+---
+ drivers/w1/slaves/w1_therm.c | 8 ++++----
+ 1 file changed, 4 insertions(+), 4 deletions(-)
+
+--- a/drivers/w1/slaves/w1_therm.c
++++ b/drivers/w1/slaves/w1_therm.c
+@@ -505,7 +505,7 @@ static inline int w1_DS18S20_write_data(
+ static inline int w1_DS18B20_set_resolution(struct w1_slave *sl, int val)
+ {
+-      int ret = -ENODEV;
++      int ret;
+       u8 new_config_register[3];      /* array of data to be written */
+       struct therm_info info;
+@@ -538,7 +538,7 @@ static inline int w1_DS18B20_set_resolut
+ static inline int w1_DS18B20_get_resolution(struct w1_slave *sl)
+ {
+-      int ret = -ENODEV;
++      int ret;
+       u8 config_register;
+       struct therm_info info;
+@@ -1499,7 +1499,7 @@ static ssize_t alarms_show(struct device
+       struct device_attribute *attr, char *buf)
+ {
+       struct w1_slave *sl = dev_to_w1_slave(device);
+-      int ret = -ENODEV;
++      int ret;
+       s8 th = 0, tl = 0;
+       struct therm_info scratchpad;
+@@ -1523,7 +1523,7 @@ static ssize_t alarms_store(struct devic
+       struct w1_slave *sl = dev_to_w1_slave(device);
+       struct therm_info info;
+       u8 new_config_register[3];      /* array of data to be written */
+-      int temp, ret = -EINVAL;
++      int temp, ret;
+       char *token = NULL;
+       s8 tl, th, tt;  /* 1 byte per value + temp ring order */
+       char *p_args, *orig;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0776-PCI-brcmstb-Assert-fundamental-reset-on-initializati.patch b/target/linux/bcm27xx/patches-5.4/950-0776-PCI-brcmstb-Assert-fundamental-reset-on-initializati.patch
new file mode 100644 (file)
index 0000000..2692bed
--- /dev/null
@@ -0,0 +1,33 @@
+From d9317f90391167ebc275696d9df3c21ce754d609 Mon Sep 17 00:00:00 2001
+From: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Date: Thu, 7 May 2020 19:20:20 +0200
+Subject: [PATCH] PCI: brcmstb: Assert fundamental reset on
+ initialization
+
+commit 22e21e51ce755399fd42055a3f668ee4af370881 upstream.
+
+While preparing the driver for upstream this detail was missed.
+
+If not asserted during the initialization process, devices connected on
+the bus will not be made aware of the internal reset happening. This,
+potentially resulting in unexpected behavior.
+
+Link: https://lore.kernel.org/r/20200507172020.18000-1-nsaenzjulienne@suse.de
+Fixes: c0452137034b ("PCI: brcmstb: Add Broadcom STB PCIe host controller driver")
+Signed-off-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Lorenzo Pieralisi <lorenzo.pieralisi@arm.com>
+Acked-by: Florian Fainelli <f.fainelli@gmail.com>
+---
+ drivers/pci/controller/pcie-brcmstb.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/pci/controller/pcie-brcmstb.c
++++ b/drivers/pci/controller/pcie-brcmstb.c
+@@ -697,6 +697,7 @@ static int brcm_pcie_setup(struct brcm_p
+       /* Reset the bridge */
+       brcm_pcie_bridge_sw_init_set(pcie, 1);
++      brcm_pcie_perst_set(pcie, 1);
+       usleep_range(100, 200);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0777-clk-rpi-Adjust-DT-binding-to-match-upstream.patch b/target/linux/bcm27xx/patches-5.4/950-0777-clk-rpi-Adjust-DT-binding-to-match-upstream.patch
new file mode 100644 (file)
index 0000000..3527870
--- /dev/null
@@ -0,0 +1,189 @@
+From d8daf6289869513ed548bcb0da410d7de3e5d57a Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Wed, 10 Jun 2020 16:28:56 +0200
+Subject: [PATCH] clk: rpi: Adjust DT binding to match upstream
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ arch/arm/boot/dts/bcm270x.dtsi             |  6 ------
+ arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts |  5 +++++
+ arch/arm/boot/dts/bcm2710-rpi-3-b.dts      |  5 +++++
+ arch/arm/boot/dts/bcm2710-rpi-cm3.dts      |  5 +++++
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts      |  5 +++++
+ arch/arm/boot/dts/bcm2711.dtsi             |  6 ------
+ arch/arm/boot/dts/bcm2837-rpi-3-a-plus.dts |  5 +++++
+ arch/arm/boot/dts/bcm2837-rpi-3-b-plus.dts |  5 +++++
+ arch/arm/boot/dts/bcm2837-rpi-3-b.dts      |  5 +++++
+ arch/arm/boot/dts/bcm2837-rpi-cm3.dtsi     |  5 +++++
+ drivers/clk/bcm/clk-raspberrypi.c          | 12 +++++++++++-
+ 11 files changed, 51 insertions(+), 13 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm270x.dtsi
++++ b/arch/arm/boot/dts/bcm270x.dtsi
+@@ -7,12 +7,6 @@
+               /delete-property/ stdout-path;
+       };
+-      firmware_clocks: firmware-clocks {
+-              compatible = "raspberrypi,firmware-clocks";
+-              raspberrypi,firmware = <&firmware>;
+-              #clock-cells = <1>;
+-      };
+-
+       soc: soc {
+               watchdog: watchdog@7e100000 {
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
+@@ -85,6 +85,11 @@
+ };
+ &firmware {
++      firmware_clocks: clocks {
++              compatible = "raspberrypi,firmware-clocks";
++              #clock-cells = <1>;
++      };
++
+       expgpio: expgpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
+@@ -96,6 +96,11 @@
+ };
+ &firmware {
++      firmware_clocks: clocks {
++              compatible = "raspberrypi,firmware-clocks";
++              #clock-cells = <1>;
++      };
++
+       expgpio: expgpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2710-rpi-cm3.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-cm3.dts
+@@ -58,6 +58,11 @@
+ };
+ &firmware {
++      firmware_clocks: clocks {
++              compatible = "raspberrypi,firmware-clocks";
++              #clock-cells = <1>;
++      };
++
+       expgpio: expgpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -54,6 +54,11 @@
+ };
+ &firmware {
++      firmware_clocks: clocks {
++              compatible = "raspberrypi,firmware-clocks";
++              #clock-cells = <1>;
++      };
++
+       expgpio: gpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -24,12 +24,6 @@
+               clock-output-names = "108MHz-clock";
+       };
+-      firmware_clocks: firmware-clocks {
+-              compatible = "raspberrypi,firmware-clocks";
+-              raspberrypi,firmware = <&firmware>;
+-              #clock-cells = <1>;
+-      };
+-
+       soc {
+               /*
+                * Defined ranges:
+--- a/arch/arm/boot/dts/bcm2837-rpi-3-a-plus.dts
++++ b/arch/arm/boot/dts/bcm2837-rpi-3-a-plus.dts
+@@ -31,6 +31,11 @@
+ };
+ &firmware {
++      firmware_clocks: clocks {
++              compatible = "raspberrypi,firmware-clocks";
++              #clock-cells = <1>;
++      };
++
+       expgpio: gpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2837-rpi-3-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2837-rpi-3-b-plus.dts
+@@ -37,6 +37,11 @@
+ };
+ &firmware {
++      firmware_clocks: clocks {
++              compatible = "raspberrypi,firmware-clocks";
++              #clock-cells = <1>;
++      };
++
+       expgpio: gpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2837-rpi-3-b.dts
++++ b/arch/arm/boot/dts/bcm2837-rpi-3-b.dts
+@@ -32,6 +32,11 @@
+ };
+ &firmware {
++      firmware_clocks: clocks {
++              compatible = "raspberrypi,firmware-clocks";
++              #clock-cells = <1>;
++      };
++
+       expgpio: gpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2837-rpi-cm3.dtsi
++++ b/arch/arm/boot/dts/bcm2837-rpi-cm3.dtsi
+@@ -35,6 +35,11 @@
+ };
+ &firmware {
++      firmware_clocks: clocks {
++              compatible = "raspberrypi,firmware-clocks";
++              #clock-cells = <1>;
++      };
++
+       expgpio: gpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -383,13 +383,23 @@ static int raspberrypi_clk_probe(struct
+       struct raspberrypi_clk *rpi;
+       int ret;
+-      firmware_node = of_parse_phandle(dev->of_node, "raspberrypi,firmware", 0);
++      /*
++       * We can be probed either through the an old-fashioned
++       * platform device registration or through a DT node that is a
++       * child of the firmware node. Handle both cases.
++       */
++      if (dev->of_node)
++              firmware_node = of_get_parent(dev->of_node);
++      else
++              firmware_node = of_find_compatible_node(NULL, NULL,
++                                                      "raspberrypi,bcm2835-firmware");
+       if (!firmware_node) {
+               dev_err(dev, "Missing firmware node\n");
+               return -ENOENT;
+       }
+       firmware = rpi_firmware_get(firmware_node);
++      of_node_put(firmware_node);
+       if (!firmware)
+               return -EPROBE_DEFER;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0778-clk-bcm-rpi-Add-an-enum-for-the-firmware-clocks.patch b/target/linux/bcm27xx/patches-5.4/950-0778-clk-bcm-rpi-Add-an-enum-for-the-firmware-clocks.patch
new file mode 100644 (file)
index 0000000..c69b568
--- /dev/null
@@ -0,0 +1,80 @@
+From d9b492679a107e535cfd39ee00bd2ce6f12089e0 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 26 May 2020 14:23:04 +0200
+Subject: [PATCH] clk: bcm: rpi: Add an enum for the firmware clocks
+
+While the firmware allows us to discover the available clocks, we need to
+discriminate those clocks to only register the ones meaningful to Linux.
+The firmware also doesn't provide a clock name, so having a list of the ID
+will help us to give clocks a proper name later on.
+
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 30 ++++++++++++++++++++++++------
+ 1 file changed, 24 insertions(+), 6 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -18,7 +18,23 @@
+ #include <soc/bcm2835/raspberrypi-firmware.h>
+-#define RPI_FIRMWARE_ARM_CLK_ID               0x00000003
++enum rpi_firmware_clk_id {
++      RPI_FIRMWARE_EMMC_CLK_ID = 1,
++      RPI_FIRMWARE_UART_CLK_ID,
++      RPI_FIRMWARE_ARM_CLK_ID,
++      RPI_FIRMWARE_CORE_CLK_ID,
++      RPI_FIRMWARE_V3D_CLK_ID,
++      RPI_FIRMWARE_H264_CLK_ID,
++      RPI_FIRMWARE_ISP_CLK_ID,
++      RPI_FIRMWARE_SDRAM_CLK_ID,
++      RPI_FIRMWARE_PIXEL_CLK_ID,
++      RPI_FIRMWARE_PWM_CLK_ID,
++      RPI_FIRMWARE_HEVC_CLK_ID,
++      RPI_FIRMWARE_EMMC2_CLK_ID,
++      RPI_FIRMWARE_M2MC_CLK_ID,
++      RPI_FIRMWARE_PIXEL_BVB_CLK_ID,
++      RPI_FIRMWARE_NUM_CLK_ID,
++};
+ #define RPI_FIRMWARE_STATE_ENABLE_BIT BIT(0)
+ #define RPI_FIRMWARE_STATE_WAIT_BIT   BIT(1)
+@@ -31,8 +47,6 @@
+ #define A2W_PLL_FRAC_BITS             20
+-#define NUM_FW_CLKS                   16
+-
+ struct raspberrypi_clk {
+       struct device *dev;
+       struct rpi_firmware *firmware;
+@@ -350,12 +364,15 @@ static int raspberrypi_discover_clocks(s
+       struct rpi_firmware_get_clocks_response *clks;
+       int ret;
+-      clks = devm_kcalloc(rpi->dev, sizeof(*clks), NUM_FW_CLKS, GFP_KERNEL);
++      clks = devm_kcalloc(rpi->dev,
++                          sizeof(*clks), RPI_FIRMWARE_NUM_CLK_ID,
++                          GFP_KERNEL);
+       if (!clks)
+               return -ENOMEM;
+       ret = rpi_firmware_property(rpi->firmware, RPI_FIRMWARE_GET_CLOCKS,
+-                                  clks, sizeof(*clks) * NUM_FW_CLKS);
++                                  clks,
++                                  sizeof(*clks) * RPI_FIRMWARE_NUM_CLK_ID);
+       if (ret)
+               return ret;
+@@ -411,7 +428,8 @@ static int raspberrypi_clk_probe(struct
+       rpi->firmware = firmware;
+       platform_set_drvdata(pdev, rpi);
+-      clk_data = devm_kzalloc(dev, struct_size(clk_data, hws, NUM_FW_CLKS),
++      clk_data = devm_kzalloc(dev, struct_size(clk_data, hws,
++                                               RPI_FIRMWARE_NUM_CLK_ID),
+                               GFP_KERNEL);
+       if (!clk_data)
+               return -ENOMEM;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0779-clk-bcm-rpi-Use-CCF-boundaries-instead-of-rolling-ou.patch b/target/linux/bcm27xx/patches-5.4/950-0779-clk-bcm-rpi-Use-CCF-boundaries-instead-of-rolling-ou.patch
new file mode 100644 (file)
index 0000000..22f1aa6
--- /dev/null
@@ -0,0 +1,134 @@
+From cd72d75cfb216a7ef15ec8649e57b03b4fc48b62 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Wed, 27 May 2020 11:13:52 +0200
+Subject: [PATCH] clk: bcm: rpi: Use CCF boundaries instead of
+ rolling our own
+
+The raspberrypi firmware clock driver has a min_rate / max_rate clamping by
+storing the info it needs in a private structure.
+
+However, the CCF already provides such a facility, so we can switch to it
+to remove the boilerplate.
+
+Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 49 ++++++++++++++++++++-----------
+ 1 file changed, 32 insertions(+), 17 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -57,9 +57,6 @@ struct raspberrypi_clk_data {
+       struct clk_hw hw;
+       unsigned id;
+-      unsigned long min_rate;
+-      unsigned long max_rate;
+-
+       struct raspberrypi_clk *rpi;
+ };
+@@ -177,13 +174,11 @@ static int raspberrypi_fw_pll_set_rate(s
+ static int raspberrypi_pll_determine_rate(struct clk_hw *hw,
+                                         struct clk_rate_request *req)
+ {
+-      struct raspberrypi_clk_data *data =
+-              container_of(hw, struct raspberrypi_clk_data, hw);
+       u64 div, final_rate;
+       u32 ndiv, fdiv;
+       /* We can't use req->rate directly as it would overflow */
+-      final_rate = clamp(req->rate, data->min_rate, data->max_rate);
++      final_rate = clamp(req->rate, req->min_rate, req->max_rate);
+       div = (u64)final_rate << A2W_PLL_FRAC_BITS;
+       do_div(div, req->best_parent_rate);
+@@ -254,16 +249,15 @@ static struct clk_hw *raspberrypi_regist
+       dev_info(rpi->dev, "CPU frequency range: min %u, max %u\n",
+                min_rate, max_rate);
+-      data->min_rate = min_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
+-      data->max_rate = max_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
+-
+       data->hw.init = &init;
+       ret = devm_clk_hw_register(rpi->dev, &data->hw);
+-      if (ret)
+-              return ERR_PTR(ret);
++      if (!ret)
++              clk_hw_set_rate_range(&data->hw,
++                                    min_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE,
++                                    max_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE);
+-      return &data->hw;
++      return ret;
+ }
+ static struct clk_fixed_factor raspberrypi_clk_pllb_arm = {
+@@ -299,22 +293,22 @@ static struct clk_hw *raspberrypi_regist
+       return &raspberrypi_clk_pllb_arm.hw;
+ }
+-static long raspberrypi_fw_dumb_round_rate(struct clk_hw *hw,
+-                                         unsigned long rate,
+-                                         unsigned long *parent_rate)
++static int raspberrypi_fw_dumb_determine_rate(struct clk_hw *hw,
++                                            struct clk_rate_request *req)
+ {
+       /*
+        * The firmware will do the rounding but that isn't part of
+        * the interface with the firmware, so we just do our best
+        * here.
+        */
+-      return rate;
++      req->rate = clamp(req->rate, req->min_rate, req->max_rate);
++      return 0;
+ }
+ static const struct clk_ops raspberrypi_firmware_clk_ops = {
+       .is_prepared    = raspberrypi_fw_is_prepared,
+       .recalc_rate    = raspberrypi_fw_get_rate,
+-      .round_rate     = raspberrypi_fw_dumb_round_rate,
++      .determine_rate = raspberrypi_fw_dumb_determine_rate,
+       .set_rate       = raspberrypi_fw_set_rate,
+ };
+@@ -324,6 +318,7 @@ static struct clk_hw *raspberrypi_clk_re
+ {
+       struct raspberrypi_clk_data *data;
+       struct clk_init_data init = {};
++      u32 min_rate, max_rate;
+       int ret;
+       if (id == RPI_FIRMWARE_ARM_CLK_ID) {
+@@ -351,10 +346,30 @@ static struct clk_hw *raspberrypi_clk_re
+       data->hw.init = &init;
++      ret = raspberrypi_clock_property(rpi->firmware, data,
++                                       RPI_FIRMWARE_GET_MIN_CLOCK_RATE,
++                                       &min_rate);
++      if (ret) {
++              dev_err(rpi->dev, "Failed to get %s min freq: %d\n",
++                      init.name, ret);
++              return ERR_PTR(ret);
++      }
++
++      ret = raspberrypi_clock_property(rpi->firmware, data,
++                                       RPI_FIRMWARE_GET_MAX_CLOCK_RATE,
++                                       &max_rate);
++      if (ret) {
++              dev_err(rpi->dev, "Failed to get %s max freq: %d\n",
++                      init.name, ret);
++              return ERR_PTR(ret);
++      }
++
+       ret = devm_clk_hw_register(rpi->dev, &data->hw);
+       if (ret)
+               return ERR_PTR(ret);
++      clk_hw_set_rate_range(&data->hw, min_rate, max_rate);
++
+       return &data->hw;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0780-clk-bcm-rpi-Give-firmware-clocks-a-name.patch b/target/linux/bcm27xx/patches-5.4/950-0780-clk-bcm-rpi-Give-firmware-clocks-a-name.patch
new file mode 100644 (file)
index 0000000..745e858
--- /dev/null
@@ -0,0 +1,52 @@
+From 5f69f49a942cd31e5f8b511e166fb55e3a0df267 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 26 May 2020 14:30:31 +0200
+Subject: [PATCH] clk: bcm: rpi: Give firmware clocks a name
+
+We've registered the firmware clocks using their ID as name, but it's much
+more convenient to register them using their proper name. Since the
+firmware doesn't provide it, we have to duplicate it.
+
+Acked-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 21 ++++++++++++++++++++-
+ 1 file changed, 20 insertions(+), 1 deletion(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -36,6 +36,23 @@ enum rpi_firmware_clk_id {
+       RPI_FIRMWARE_NUM_CLK_ID,
+ };
++static char *rpi_firmware_clk_names[] = {
++      [RPI_FIRMWARE_EMMC_CLK_ID]      = "emmc",
++      [RPI_FIRMWARE_UART_CLK_ID]      = "uart",
++      [RPI_FIRMWARE_ARM_CLK_ID]       = "arm",
++      [RPI_FIRMWARE_CORE_CLK_ID]      = "core",
++      [RPI_FIRMWARE_V3D_CLK_ID]       = "v3d",
++      [RPI_FIRMWARE_H264_CLK_ID]      = "h264",
++      [RPI_FIRMWARE_ISP_CLK_ID]       = "isp",
++      [RPI_FIRMWARE_SDRAM_CLK_ID]     = "sdram",
++      [RPI_FIRMWARE_PIXEL_CLK_ID]     = "pixel",
++      [RPI_FIRMWARE_PWM_CLK_ID]       = "pwm",
++      [RPI_FIRMWARE_HEVC_CLK_ID]      = "hevc",
++      [RPI_FIRMWARE_EMMC2_CLK_ID]     = "emmc2",
++      [RPI_FIRMWARE_M2MC_CLK_ID]      = "m2mc",
++      [RPI_FIRMWARE_PIXEL_BVB_CLK_ID] = "pixel-bvb",
++};
++
+ #define RPI_FIRMWARE_STATE_ENABLE_BIT BIT(0)
+ #define RPI_FIRMWARE_STATE_WAIT_BIT   BIT(1)
+@@ -340,7 +357,9 @@ static struct clk_hw *raspberrypi_clk_re
+       data->rpi = rpi;
+       data->id = id;
+-      init.name = devm_kasprintf(rpi->dev, GFP_KERNEL, "fw-clk-%u", id);
++      init.name = devm_kasprintf(rpi->dev, GFP_KERNEL,
++                                 "fw-clk-%s",
++                                 rpi_firmware_clk_names[id]);
+       init.ops = &raspberrypi_firmware_clk_ops;
+       init.flags = CLK_GET_RATE_NOCACHE;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0781-clk-bcm-rpi-Remove-the-quirks-for-the-CPU-clock.patch b/target/linux/bcm27xx/patches-5.4/950-0781-clk-bcm-rpi-Remove-the-quirks-for-the-CPU-clock.patch
new file mode 100644 (file)
index 0000000..0e9bb9c
--- /dev/null
@@ -0,0 +1,207 @@
+From 30ae66617ee6f340343c8e75c244e370721ed2eb Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Tue, 26 May 2020 15:27:35 +0200
+Subject: [PATCH] clk: bcm: rpi: Remove the quirks for the CPU clock
+
+The CPU clock has had so far a bunch of quirks to expose the clock tree
+properly, but since we reverted to exposing them through the MMIO driver,
+we can remove that code from the firmware driver.
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 163 ++----------------------------
+ 1 file changed, 9 insertions(+), 154 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -151,13 +151,6 @@ static unsigned long raspberrypi_fw_get_
+       return val;
+ }
+-static unsigned long raspberrypi_fw_pll_get_rate(struct clk_hw *hw,
+-                                               unsigned long parent_rate)
+-{
+-      return raspberrypi_fw_get_rate(hw, parent_rate) *
+-              RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
+-}
+-
+ static int raspberrypi_fw_set_rate(struct clk_hw *hw, unsigned long rate,
+                                  unsigned long parent_rate)
+ {
+@@ -176,140 +169,6 @@ static int raspberrypi_fw_set_rate(struc
+       return ret;
+ }
+-static int raspberrypi_fw_pll_set_rate(struct clk_hw *hw, unsigned long rate,
+-                                     unsigned long parent_rate)
+-{
+-      u32 new_rate = rate / RPI_FIRMWARE_PLLB_ARM_DIV_RATE;
+-
+-      return raspberrypi_fw_set_rate(hw, new_rate, parent_rate);
+-}
+-
+-/*
+- * Sadly there is no firmware rate rounding interface. We borrowed it from
+- * clk-bcm2835.
+- */
+-static int raspberrypi_pll_determine_rate(struct clk_hw *hw,
+-                                        struct clk_rate_request *req)
+-{
+-      u64 div, final_rate;
+-      u32 ndiv, fdiv;
+-
+-      /* We can't use req->rate directly as it would overflow */
+-      final_rate = clamp(req->rate, req->min_rate, req->max_rate);
+-
+-      div = (u64)final_rate << A2W_PLL_FRAC_BITS;
+-      do_div(div, req->best_parent_rate);
+-
+-      ndiv = div >> A2W_PLL_FRAC_BITS;
+-      fdiv = div & ((1 << A2W_PLL_FRAC_BITS) - 1);
+-
+-      final_rate = ((u64)req->best_parent_rate *
+-                                      ((ndiv << A2W_PLL_FRAC_BITS) + fdiv));
+-
+-      req->rate = final_rate >> A2W_PLL_FRAC_BITS;
+-
+-      return 0;
+-}
+-
+-static const struct clk_ops raspberrypi_firmware_pll_clk_ops = {
+-      .is_prepared = raspberrypi_fw_is_prepared,
+-      .recalc_rate = raspberrypi_fw_pll_get_rate,
+-      .set_rate = raspberrypi_fw_pll_set_rate,
+-      .determine_rate = raspberrypi_pll_determine_rate,
+-};
+-
+-static struct clk_hw *raspberrypi_register_pllb(struct raspberrypi_clk *rpi)
+-{
+-      struct raspberrypi_clk_data *data;
+-      struct clk_init_data init = {};
+-      u32 min_rate = 0, max_rate = 0;
+-      int ret;
+-
+-      data = devm_kzalloc(rpi->dev, sizeof(*data), GFP_KERNEL);
+-      if (!data)
+-              return ERR_PTR(-ENOMEM);
+-      data->rpi = rpi;
+-      data->id = RPI_FIRMWARE_ARM_CLK_ID;
+-
+-      /* All of the PLLs derive from the external oscillator. */
+-      init.parent_names = (const char *[]){ "osc" };
+-      init.num_parents = 1;
+-      init.name = "pllb";
+-      init.ops = &raspberrypi_firmware_pll_clk_ops;
+-      init.flags = CLK_GET_RATE_NOCACHE | CLK_IGNORE_UNUSED;
+-
+-      /* Get min & max rates set by the firmware */
+-      ret = raspberrypi_clock_property(rpi->firmware, data,
+-                                       RPI_FIRMWARE_GET_MIN_CLOCK_RATE,
+-                                       &min_rate);
+-      if (ret) {
+-              dev_err(rpi->dev, "Failed to get %s min freq: %d\n",
+-                      init.name, ret);
+-              return ERR_PTR(ret);
+-      }
+-
+-      ret = raspberrypi_clock_property(rpi->firmware, data,
+-                                       RPI_FIRMWARE_GET_MAX_CLOCK_RATE,
+-                                       &max_rate);
+-      if (ret) {
+-              dev_err(rpi->dev, "Failed to get %s max freq: %d\n",
+-                      init.name, ret);
+-              return ERR_PTR(ret);
+-      }
+-
+-      if (!min_rate || !max_rate) {
+-              dev_err(rpi->dev, "Unexpected frequency range: min %u, max %u\n",
+-                      min_rate, max_rate);
+-              return ERR_PTR(-EINVAL);
+-      }
+-
+-      dev_info(rpi->dev, "CPU frequency range: min %u, max %u\n",
+-               min_rate, max_rate);
+-
+-      data->hw.init = &init;
+-
+-      ret = devm_clk_hw_register(rpi->dev, &data->hw);
+-      if (!ret)
+-              clk_hw_set_rate_range(&data->hw,
+-                                    min_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE,
+-                                    max_rate * RPI_FIRMWARE_PLLB_ARM_DIV_RATE);
+-
+-      return ret;
+-}
+-
+-static struct clk_fixed_factor raspberrypi_clk_pllb_arm = {
+-      .mult = 1,
+-      .div = 2,
+-      .hw.init = &(struct clk_init_data) {
+-              .name           = "pllb_arm",
+-              .parent_names   = (const char *[]){ "pllb" },
+-              .num_parents    = 1,
+-              .ops            = &clk_fixed_factor_ops,
+-              .flags          = CLK_SET_RATE_PARENT | CLK_GET_RATE_NOCACHE,
+-      },
+-};
+-
+-static struct clk_hw *raspberrypi_register_pllb_arm(struct raspberrypi_clk *rpi)
+-{
+-      int ret;
+-
+-      ret = devm_clk_hw_register(rpi->dev, &raspberrypi_clk_pllb_arm.hw);
+-      if (ret) {
+-              dev_err(rpi->dev, "Failed to initialize pllb_arm\n");
+-              return ERR_PTR(ret);
+-      }
+-
+-      ret = devm_clk_hw_register_clkdev(rpi->dev,
+-                                        &raspberrypi_clk_pllb_arm.hw,
+-                                        NULL, "cpu0");
+-      if (ret) {
+-              dev_err(rpi->dev, "Failed to initialize clkdev\n");
+-              return ERR_PTR(ret);
+-      }
+-
+-      return &raspberrypi_clk_pllb_arm.hw;
+-}
+-
+ static int raspberrypi_fw_dumb_determine_rate(struct clk_hw *hw,
+                                             struct clk_rate_request *req)
+ {
+@@ -338,19 +197,6 @@ static struct clk_hw *raspberrypi_clk_re
+       u32 min_rate, max_rate;
+       int ret;
+-      if (id == RPI_FIRMWARE_ARM_CLK_ID) {
+-              struct clk_hw *hw;
+-
+-              hw = raspberrypi_register_pllb(rpi);
+-              if (IS_ERR(hw)) {
+-                      dev_err(rpi->dev, "Failed to initialize pllb, %ld\n",
+-                              PTR_ERR(hw));
+-                      return hw;
+-              }
+-
+-              return raspberrypi_register_pllb_arm(rpi);
+-      }
+-
+       data = devm_kzalloc(rpi->dev, sizeof(*data), GFP_KERNEL);
+       if (!data)
+               return ERR_PTR(-ENOMEM);
+@@ -389,6 +235,15 @@ static struct clk_hw *raspberrypi_clk_re
+       clk_hw_set_rate_range(&data->hw, min_rate, max_rate);
++      if (id == RPI_FIRMWARE_ARM_CLK_ID) {
++              ret = devm_clk_hw_register_clkdev(rpi->dev, &data->hw,
++                                                NULL, "cpu0");
++              if (ret) {
++                      dev_err(rpi->dev, "Failed to initialize clkdev\n");
++                      return ERR_PTR(ret);
++              }
++      }
++
+       return &data->hw;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0782-clk-rpi-Only-register-a-few-firmware-clocks.patch b/target/linux/bcm27xx/patches-5.4/950-0782-clk-rpi-Only-register-a-few-firmware-clocks.patch
new file mode 100644 (file)
index 0000000..580a90c
--- /dev/null
@@ -0,0 +1,43 @@
+From 73a8443ea82fef7a1f8a466fff83da7863baed49 Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Wed, 10 Jun 2020 16:18:17 +0200
+Subject: [PATCH] clk: rpi: Only register a few firmware clocks
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 25 ++++++++++++++++++-------
+ 1 file changed, 18 insertions(+), 7 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -268,13 +268,24 @@ static int raspberrypi_discover_clocks(s
+       while (clks->id) {
+               struct clk_hw *hw;
+-              hw = raspberrypi_clk_register(rpi, clks->parent, clks->id);
+-              if (IS_ERR(hw))
+-                      return PTR_ERR(hw);
++              switch (clks->id) {
++              case RPI_FIRMWARE_ARM_CLK_ID:
++              case RPI_FIRMWARE_CORE_CLK_ID:
++              case RPI_FIRMWARE_M2MC_CLK_ID:
++              case RPI_FIRMWARE_V3D_CLK_ID:
++                      hw = raspberrypi_clk_register(rpi, clks->parent,
++                                                    clks->id);
++                      if (IS_ERR(hw))
++                              return PTR_ERR(hw);
+-              data->hws[clks->id] = hw;
+-              data->num = clks->id + 1;
+-              clks++;
++                      data->hws[clks->id] = hw;
++                      data->num = clks->id + 1;
++                      fallthrough;
++
++              default:
++                      clks++;
++                      break;
++              }
+       }
+       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0783-clk-rpi-Fix-compatible-indentation.patch b/target/linux/bcm27xx/patches-5.4/950-0783-clk-rpi-Fix-compatible-indentation.patch
new file mode 100644 (file)
index 0000000..da9d3b8
--- /dev/null
@@ -0,0 +1,23 @@
+From 4a1d8af9737868f6494f491e9a1efb0fb348588e Mon Sep 17 00:00:00 2001
+From: Maxime Ripard <maxime@cerno.tech>
+Date: Wed, 10 Jun 2020 16:29:08 +0200
+Subject: [PATCH] clk: rpi: Fix compatible indentation
+
+Signed-off-by: Maxime Ripard <maxime@cerno.tech>
+---
+ drivers/clk/bcm/clk-raspberrypi.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/clk/bcm/clk-raspberrypi.c
++++ b/drivers/clk/bcm/clk-raspberrypi.c
+@@ -359,8 +359,8 @@ static int raspberrypi_clk_remove(struct
+ }
+ static const struct of_device_id raspberrypi_clk_match[] = {
+-        { .compatible = "raspberrypi,firmware-clocks" },
+-        { },
++      { .compatible = "raspberrypi,firmware-clocks" },
++      { },
+ };
+ MODULE_DEVICE_TABLE(of, raspberrypi_clk_match);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0784-SQUASH-dts-Fix-firmware-clocks-support.patch b/target/linux/bcm27xx/patches-5.4/950-0784-SQUASH-dts-Fix-firmware-clocks-support.patch
new file mode 100644 (file)
index 0000000..35c2c44
--- /dev/null
@@ -0,0 +1,176 @@
+From 10f7562d7ce7ea6fe6324df059c865ff660811fc Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 11 Jun 2020 16:34:00 +0100
+Subject: [PATCH] SQUASH: dts: Fix firmware clocks support
+
+Commit [1] touched a lot of files and still missed some platforms.
+In particular, Pi 2 was left with no clock scaling. Simplify the
+firmware clocks DTS support and extend it to all platforms that
+use the raspberrypi-cpufreq driver.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2709-rpi.dtsi         | 7 +++++++
+ arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts | 5 -----
+ arch/arm/boot/dts/bcm2710-rpi-3-b.dts      | 5 -----
+ arch/arm/boot/dts/bcm2710-rpi-cm3.dts      | 5 -----
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts      | 5 -----
+ arch/arm/boot/dts/bcm2711-rpi.dtsi         | 7 +++++++
+ arch/arm/boot/dts/bcm2836-rpi.dtsi         | 7 +++++++
+ arch/arm/boot/dts/bcm2837-rpi-3-a-plus.dts | 5 -----
+ arch/arm/boot/dts/bcm2837-rpi-3-b-plus.dts | 5 -----
+ arch/arm/boot/dts/bcm2837-rpi-3-b.dts      | 5 -----
+ arch/arm/boot/dts/bcm2837-rpi-cm3.dtsi     | 5 -----
+ 11 files changed, 21 insertions(+), 40 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2709-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2709-rpi.dtsi
+@@ -3,3 +3,10 @@
+ &vchiq {
+       compatible = "brcm,bcm2836-vchiq", "brcm,bcm2835-vchiq";
+ };
++
++&firmware {
++      firmware_clocks: clocks {
++              compatible = "raspberrypi,firmware-clocks";
++              #clock-cells = <1>;
++      };
++};
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
+@@ -85,11 +85,6 @@
+ };
+ &firmware {
+-      firmware_clocks: clocks {
+-              compatible = "raspberrypi,firmware-clocks";
+-              #clock-cells = <1>;
+-      };
+-
+       expgpio: expgpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
+@@ -96,11 +96,6 @@
+ };
+ &firmware {
+-      firmware_clocks: clocks {
+-              compatible = "raspberrypi,firmware-clocks";
+-              #clock-cells = <1>;
+-      };
+-
+       expgpio: expgpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2710-rpi-cm3.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-cm3.dts
+@@ -58,11 +58,6 @@
+ };
+ &firmware {
+-      firmware_clocks: clocks {
+-              compatible = "raspberrypi,firmware-clocks";
+-              #clock-cells = <1>;
+-      };
+-
+       expgpio: expgpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -54,11 +54,6 @@
+ };
+ &firmware {
+-      firmware_clocks: clocks {
+-              compatible = "raspberrypi,firmware-clocks";
+-              #clock-cells = <1>;
+-      };
+-
+       expgpio: gpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -170,3 +170,10 @@
+ &genet {
+       compatible = "brcm,bcm2711-genet-v5", "brcm,genet-v5";
+ };
++
++&firmware {
++      firmware_clocks: clocks {
++              compatible = "raspberrypi,firmware-clocks";
++              #clock-cells = <1>;
++      };
++};
+--- a/arch/arm/boot/dts/bcm2836-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2836-rpi.dtsi
+@@ -4,3 +4,10 @@
+ &vchiq {
+       compatible = "brcm,bcm2836-vchiq", "brcm,bcm2835-vchiq";
+ };
++
++&firmware {
++      firmware_clocks: clocks {
++              compatible = "raspberrypi,firmware-clocks";
++              #clock-cells = <1>;
++      };
++};
+--- a/arch/arm/boot/dts/bcm2837-rpi-3-a-plus.dts
++++ b/arch/arm/boot/dts/bcm2837-rpi-3-a-plus.dts
+@@ -31,11 +31,6 @@
+ };
+ &firmware {
+-      firmware_clocks: clocks {
+-              compatible = "raspberrypi,firmware-clocks";
+-              #clock-cells = <1>;
+-      };
+-
+       expgpio: gpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2837-rpi-3-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2837-rpi-3-b-plus.dts
+@@ -37,11 +37,6 @@
+ };
+ &firmware {
+-      firmware_clocks: clocks {
+-              compatible = "raspberrypi,firmware-clocks";
+-              #clock-cells = <1>;
+-      };
+-
+       expgpio: gpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2837-rpi-3-b.dts
++++ b/arch/arm/boot/dts/bcm2837-rpi-3-b.dts
+@@ -32,11 +32,6 @@
+ };
+ &firmware {
+-      firmware_clocks: clocks {
+-              compatible = "raspberrypi,firmware-clocks";
+-              #clock-cells = <1>;
+-      };
+-
+       expgpio: gpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
+--- a/arch/arm/boot/dts/bcm2837-rpi-cm3.dtsi
++++ b/arch/arm/boot/dts/bcm2837-rpi-cm3.dtsi
+@@ -35,11 +35,6 @@
+ };
+ &firmware {
+-      firmware_clocks: clocks {
+-              compatible = "raspberrypi,firmware-clocks";
+-              #clock-cells = <1>;
+-      };
+-
+       expgpio: gpio {
+               compatible = "raspberrypi,firmware-gpio";
+               gpio-controller;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0785-ARM-dts-Add-bcm2711-rpi-cm4.dts.patch b/target/linux/bcm27xx/patches-5.4/950-0785-ARM-dts-Add-bcm2711-rpi-cm4.dts.patch
new file mode 100644 (file)
index 0000000..fa6837b
--- /dev/null
@@ -0,0 +1,631 @@
+From 104dcc1aff0ae5509ad9875c11e3e0d8c290709d Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 2 Jun 2020 17:19:51 +0100
+Subject: [PATCH] ARM: dts: Add bcm2711-rpi-cm4.dts
+
+Add initial DTS file for Compute Module 4.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/Makefile            |   3 +-
+ arch/arm/boot/dts/bcm2711-rpi-cm4.dts | 586 ++++++++++++++++++++++++++
+ arch/arm/boot/dts/overlays/README     |   6 +
+ 3 files changed, 594 insertions(+), 1 deletion(-)
+ create mode 100644 arch/arm/boot/dts/bcm2711-rpi-cm4.dts
+
+--- a/arch/arm/boot/dts/Makefile
++++ b/arch/arm/boot/dts/Makefile
+@@ -12,7 +12,8 @@ dtb-$(CONFIG_ARCH_BCM2835) += \
+       bcm2710-rpi-3-b.dtb \
+       bcm2711-rpi-4-b.dtb \
+       bcm2710-rpi-3-b-plus.dtb \
+-      bcm2710-rpi-cm3.dtb
++      bcm2710-rpi-cm3.dtb \
++      bcm2711-rpi-cm4.dtb
+ dtb-$(CONFIG_ARCH_ALPINE) += \
+       alpine-db.dtb
+--- /dev/null
++++ b/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
+@@ -0,0 +1,586 @@
++// SPDX-License-Identifier: GPL-2.0
++/dts-v1/;
++#include "bcm2711.dtsi"
++#include "bcm2835-rpi.dtsi"
++
++/ {
++      compatible = "raspberrypi,4-compute-module", "brcm,bcm2711";
++      model = "Raspberry Pi Compute Module 4";
++
++      chosen {
++              /* 8250 auxiliary UART instead of pl011 */
++              stdout-path = "serial1:115200n8";
++      };
++
++      /* Will be filled by the bootloader */
++      memory@0 {
++              device_type = "memory";
++              reg = <0 0 0>;
++      };
++
++      aliases {
++              ethernet0 = &genet;
++      };
++
++      leds {
++              act {
++                      gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
++              };
++
++              pwr {
++                      label = "PWR";
++                      gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
++              };
++      };
++
++      wifi_pwrseq: wifi-pwrseq {
++              compatible = "mmc-pwrseq-simple";
++              reset-gpios = <&expgpio 1 GPIO_ACTIVE_LOW>;
++      };
++
++      sd_io_1v8_reg: sd_io_1v8_reg {
++              compatible = "regulator-gpio";
++              regulator-name = "vdd-sd-io";
++              regulator-min-microvolt = <1800000>;
++              regulator-max-microvolt = <3300000>;
++              regulator-boot-on;
++              regulator-always-on;
++              regulator-settling-time-us = <5000>;
++              gpios = <&expgpio 4 GPIO_ACTIVE_HIGH>;
++              states = <1800000 0x1
++                        3300000 0x0>;
++              status = "okay";
++      };
++};
++
++&firmware {
++      expgpio: gpio {
++              compatible = "raspberrypi,firmware-gpio";
++              gpio-controller;
++              #gpio-cells = <2>;
++              gpio-line-names = "BT_ON",
++                                "WL_ON",
++                                "PWR_LED_OFF",
++                                "ANT1",
++                                "VDD_SD_IO_SEL",
++                                "CAM_GPIO",
++                                "SD_PWR_ON",
++                                "ANT2";
++              status = "okay";
++
++              ant1: ant1 {
++                      gpio-hog;
++                      gpios = <3 GPIO_ACTIVE_HIGH>;
++                      output-high;
++              };
++
++              ant2: ant2 {
++                      gpio-hog;
++                      gpios = <7 GPIO_ACTIVE_HIGH>;
++                      output-low;
++              };
++      };
++};
++
++&pwm1 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&pwm1_0_gpio40 &pwm1_1_gpio41>;
++      status = "okay";
++};
++
++/* SDHCI is used to control the SDIO for wireless */
++&sdhci {
++      #address-cells = <1>;
++      #size-cells = <0>;
++      pinctrl-names = "default";
++      pinctrl-0 = <&emmc_gpio34>;
++      bus-width = <4>;
++      non-removable;
++      mmc-pwrseq = <&wifi_pwrseq>;
++      status = "okay";
++
++      brcmf: wifi@1 {
++              reg = <1>;
++              compatible = "brcm,bcm4329-fmac";
++      };
++};
++
++/* EMMC2 is used to drive the SD card */
++&emmc2 {
++      vqmmc-supply = <&sd_io_1v8_reg>;
++      broken-cd;
++      status = "okay";
++};
++
++&genet {
++      phy-handle = <&phy1>;
++      phy-mode = "rgmii-rxid";
++      status = "okay";
++};
++
++&genet_mdio {
++      phy1: ethernet-phy@1 {
++              /* No PHY interrupt */
++              reg = <0x1>;
++      };
++};
++
++/* uart0 communicates with the BT module */
++&uart0 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32>;
++      uart-has-rtscts;
++      status = "okay";
++
++      bluetooth {
++              compatible = "brcm,bcm43438-bt";
++              max-speed = <2000000>;
++              shutdown-gpios = <&expgpio 0 GPIO_ACTIVE_HIGH>;
++      };
++};
++
++/* uart1 is mapped to the pin header */
++&uart1 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&uart1_gpio14>;
++      status = "okay";
++};
++
++&vchiq {
++      interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++&vc4 {
++      status = "okay";
++};
++
++&pixelvalve0 {
++      status = "okay";
++};
++
++&pixelvalve1 {
++      status = "okay";
++};
++
++&pixelvalve2 {
++      status = "okay";
++};
++
++&pixelvalve3 {
++      status = "okay";
++};
++
++&pixelvalve4 {
++      status = "okay";
++};
++
++&hdmi0 {
++      status = "okay";
++};
++
++&ddc0 {
++      status = "okay";
++};
++
++&hdmi1 {
++      status = "okay";
++};
++
++&ddc1 {
++      status = "okay";
++};
++
++// =============================================
++// Downstream rpi- changes
++
++#include "bcm270x.dtsi"
++
++/ {
++      soc {
++              /delete-node/ pixelvalve@7e807000;
++              /delete-node/ hdmi@7e902000;
++      };
++};
++
++#include "bcm2711-rpi.dtsi"
++#include "bcm283x-rpi-csi1-2lane.dtsi"
++#include "bcm283x-rpi-i2c0mux_0_44.dtsi"
++
++/delete-node/ &emmc2;
++
++/ {
++      chosen {
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
++      };
++
++      aliases {
++              serial0 = &uart1;
++              serial1 = &uart0;
++              mmc0 = &emmc2;
++              mmc1 = &mmcnr;
++              mmc2 = &sdhost;
++              /delete-property/ i2c2;
++              i2c3 = &i2c3;
++              i2c4 = &i2c4;
++              i2c5 = &i2c5;
++              i2c6 = &i2c6;
++              /delete-property/ ethernet;
++              /delete-property/ intc;
++              pcie0 = &pcie0;
++              emmc2bus = &emmc2bus;
++      };
++
++      emmc2bus: emmc2bus {
++              compatible = "simple-bus";
++              #address-cells = <2>;
++              #size-cells = <1>;
++
++              ranges = <0x0 0x7e000000  0x0 0xfe000000  0x01800000>;
++              dma-ranges = <0x0 0xc0000000  0x0 0x00000000  0x40000000>;
++
++              emmc2: emmc2@7e340000 {
++                      compatible = "brcm,bcm2711-emmc2";
++                      status = "okay";
++                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
++                      reg = <0x0 0x7e340000 0x100>;
++                      vqmmc-supply = <&sd_io_1v8_reg>;
++                      broken-cd;
++              };
++      };
++
++      /delete-node/ wifi-pwrseq;
++};
++
++&mmcnr {
++      pinctrl-names = "default";
++      pinctrl-0 = <&sdio_pins>;
++      bus-width = <4>;
++      status = "okay";
++};
++
++&uart0 {
++      pinctrl-0 = <&uart0_pins &bt_pins>;
++      status = "okay";
++
++      /delete-node/ bluetooth;
++};
++
++&uart1 {
++      pinctrl-0 = <&uart1_pins>;
++};
++
++&spi0 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&spi0_pins &spi0_cs_pins>;
++      cs-gpios = <&gpio 8 1>, <&gpio 7 1>;
++
++      spidev0: spidev@0{
++              compatible = "spidev";
++              reg = <0>;      /* CE0 */
++              #address-cells = <1>;
++              #size-cells = <0>;
++              spi-max-frequency = <125000000>;
++      };
++
++      spidev1: spidev@1{
++              compatible = "spidev";
++              reg = <1>;      /* CE1 */
++              #address-cells = <1>;
++              #size-cells = <0>;
++              spi-max-frequency = <125000000>;
++      };
++};
++
++&gpio {
++      spi0_pins: spi0_pins {
++              brcm,pins = <9 10 11>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++      };
++
++      spi0_cs_pins: spi0_cs_pins {
++              brcm,pins = <8 7>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      spi3_pins: spi3_pins {
++              brcm,pins = <1 2 3>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++      };
++
++      spi3_cs_pins: spi3_cs_pins {
++              brcm,pins = <0 24>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      spi4_pins: spi4_pins {
++              brcm,pins = <5 6 7>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++      };
++
++      spi4_cs_pins: spi4_cs_pins {
++              brcm,pins = <4 25>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      spi5_pins: spi5_pins {
++              brcm,pins = <13 14 15>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++      };
++
++      spi5_cs_pins: spi5_cs_pins {
++              brcm,pins = <12 26>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      spi6_pins: spi6_pins {
++              brcm,pins = <19 20 21>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++      };
++
++      spi6_cs_pins: spi6_cs_pins {
++              brcm,pins = <18 27>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      i2c0_pins: i2c0 {
++              brcm,pins = <0 1>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c1_pins: i2c1 {
++              brcm,pins = <2 3>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c3_pins: i2c3 {
++              brcm,pins = <4 5>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c4_pins: i2c4 {
++              brcm,pins = <8 9>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c5_pins: i2c5 {
++              brcm,pins = <12 13>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c6_pins: i2c6 {
++              brcm,pins = <22 23>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2s_pins: i2s {
++              brcm,pins = <18 19 20 21>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++      };
++
++      sdio_pins: sdio_pins {
++              brcm,pins =     <34 35 36 37 38 39>;
++              brcm,function = <BCM2835_FSEL_ALT3>; // alt3 = SD1
++              brcm,pull =     <0 2 2 2 2 2>;
++      };
++
++      bt_pins: bt_pins {
++              brcm,pins = "-"; // non-empty to keep btuart happy, //4 = 0
++                               // to fool pinctrl
++              brcm,function = <0>;
++              brcm,pull = <2>;
++      };
++
++      uart0_pins: uart0_pins {
++              brcm,pins = <32 33>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++              brcm,pull = <0 2>;
++      };
++
++      uart1_pins: uart1_pins {
++              brcm,pins;
++              brcm,function;
++              brcm,pull;
++      };
++
++      uart2_pins: uart2_pins {
++              brcm,pins = <0 1>;
++              brcm,function = <BCM2835_FSEL_ALT4>;
++              brcm,pull = <0 2>;
++      };
++
++      uart3_pins: uart3_pins {
++              brcm,pins = <4 5>;
++              brcm,function = <BCM2835_FSEL_ALT4>;
++              brcm,pull = <0 2>;
++      };
++
++      uart4_pins: uart4_pins {
++              brcm,pins = <8 9>;
++              brcm,function = <BCM2835_FSEL_ALT4>;
++              brcm,pull = <0 2>;
++      };
++
++      uart5_pins: uart5_pins {
++              brcm,pins = <12 13>;
++              brcm,function = <BCM2835_FSEL_ALT4>;
++              brcm,pull = <0 2>;
++      };
++};
++
++&i2c0if {
++      clock-frequency = <100000>;
++};
++
++&i2c1 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&i2c1_pins>;
++      clock-frequency = <100000>;
++};
++
++&i2s {
++      pinctrl-names = "default";
++      pinctrl-0 = <&i2s_pins>;
++};
++
++/ {
++      __overrides__ {
++              /delete-property/ i2c2_baudrate;
++              /delete-property/ i2c2_iknowwhatimdoing;
++      };
++};
++
++// =============================================
++// Board specific stuff here
++
++/ {
++      sd_vcc_reg: sd_vcc_reg {
++              compatible = "regulator-fixed";
++              regulator-name = "vcc-sd";
++              regulator-min-microvolt = <3300000>;
++              regulator-max-microvolt = <3300000>;
++              regulator-boot-on;
++              enable-active-high;
++              gpio = <&expgpio 6 GPIO_ACTIVE_HIGH>;
++      };
++};
++
++&sdhost {
++      status = "disabled";
++};
++
++&emmc2 {
++      vmmc-supply = <&sd_vcc_reg>;
++      bus-width = <8>;
++};
++
++&phy1 {
++      led-modes = <0x00 0x08>; /* link/activity link */
++};
++
++&gpio {
++      audio_pins: audio_pins {
++              brcm,pins = <40 41>;
++              brcm,function = <4>;
++      };
++};
++
++&leds {
++      act_led: act {
++              label = "led0";
++              linux,default-trigger = "mmc0";
++              gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
++      };
++
++      pwr_led: pwr {
++              label = "led1";
++              linux,default-trigger = "default-on";
++              gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
++      };
++};
++
++&pwm1 {
++      status = "disabled";
++};
++
++&audio {
++      pinctrl-names = "default";
++      pinctrl-0 = <&audio_pins>;
++};
++
++&vc4 {
++      status = "disabled";
++};
++
++&pixelvalve0 {
++      status = "disabled";
++};
++
++&pixelvalve1 {
++      status = "disabled";
++};
++
++&pixelvalve2 {
++      status = "disabled";
++};
++
++&pixelvalve3 {
++      status = "disabled";
++};
++
++&pixelvalve4 {
++      status = "disabled";
++};
++
++&hdmi0 {
++      status = "disabled";
++};
++
++&ddc0 {
++      status = "disabled";
++};
++
++&hdmi1 {
++      status = "disabled";
++};
++
++&ddc1 {
++      status = "disabled";
++};
++
++/ {
++      __overrides__ {
++              act_led_gpio = <&act_led>,"gpios:4";
++              act_led_activelow = <&act_led>,"gpios:8";
++              act_led_trigger = <&act_led>,"linux,default-trigger";
++
++              pwr_led_gpio = <&pwr_led>,"gpios:4";
++              pwr_led_activelow = <&pwr_led>,"gpios:8";
++              pwr_led_trigger = <&pwr_led>,"linux,default-trigger";
++
++              eth_led0 = <&phy1>,"led-modes:0";
++              eth_led1 = <&phy1>,"led-modes:4";
++
++              ant1 =  <&ant1>,"output-high?=on",
++                      <&ant1>, "output-low?=off",
++                      <&ant2>, "output-high?=off",
++                      <&ant2>, "output-low?=on";
++              ant2 =  <&ant1>,"output-high?=off",
++                      <&ant1>, "output-low?=on",
++                      <&ant2>, "output-high?=on",
++                      <&ant2>, "output-low?=off";
++              noant = <&ant1>,"output-high?=off",
++                      <&ant1>, "output-low?=on",
++                      <&ant2>, "output-high?=off",
++                      <&ant2>, "output-low?=on";
++
++              spi_dma4 = <&spi0>, "dmas:0=", <&dma40>,
++                         <&spi0>, "dmas:8=", <&dma40>;
++      };
++};
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -92,6 +92,12 @@ Name:   <The base DTB>
+ Info:   Configures the base Raspberry Pi hardware
+ Load:   <loaded automatically>
+ Params:
++        ant1                    Select antenna 1 (default). CM4 only.
++
++        ant2                    Select antenna 2. CM4 only.
++
++        noant                   Disable both antennas. CM4 only.
++
+         audio                   Set to "on" to enable the onboard ALSA audio
+                                 interface (default "off")
diff --git a/target/linux/bcm27xx/patches-5.4/950-0786-PCI-brcmstb-Add-DT-property-to-control-L1SS.patch b/target/linux/bcm27xx/patches-5.4/950-0786-PCI-brcmstb-Add-DT-property-to-control-L1SS.patch
new file mode 100644 (file)
index 0000000..1d54cff
--- /dev/null
@@ -0,0 +1,79 @@
+From faca7230ba711f7f966cd51bf46f83c5848a8623 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 11 Jun 2020 09:57:03 +0100
+Subject: [PATCH] PCI: brcmstb: Add DT property to control L1SS
+
+The BRCM PCIe block has controls to enable control of the CLKREQ#
+signal by the L1SS, and to gate the refclk with the CLKREQ# input.
+These controls are mutually exclusive - the upstream code sets the
+latter, but some use cases require the former.
+
+Add a Device Tree property - brcm,enable-l1ss - to switch to the
+L1SS configuration.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/pci/controller/pcie-brcmstb.c | 30 ++++++++++++++++++++-------
+ 1 file changed, 23 insertions(+), 7 deletions(-)
+
+--- a/drivers/pci/controller/pcie-brcmstb.c
++++ b/drivers/pci/controller/pcie-brcmstb.c
+@@ -102,8 +102,9 @@
+               PCIE_MISC_CPU_2_PCIE_MEM_WIN0_LIMIT_HI + ((win) * 8)
+ #define PCIE_MISC_HARD_PCIE_HARD_DEBUG                                        0x4204
+-#define  PCIE_MISC_HARD_PCIE_HARD_DEBUG_CLKREQ_DEBUG_ENABLE_MASK      0x2
+-#define  PCIE_MISC_HARD_PCIE_HARD_DEBUG_SERDES_IDDQ_MASK              0x08000000
++#define  PCIE_MISC_HARD_PCIE_HARD_DEBUG_CLKREQ_DEBUG_ENABLE_MASK      BIT(1)
++#define  PCIE_MISC_HARD_PCIE_HARD_DEBUG_CLKREQ_L1SS_ENABLE_MASK               BIT(21)
++#define  PCIE_MISC_HARD_PCIE_HARD_DEBUG_SERDES_IDDQ_MASK              BIT(27)
+ #define PCIE_MSI_INTR2_STATUS                         0x4500
+ #define PCIE_MSI_INTR2_CLR                            0x4508
+@@ -170,6 +171,7 @@ struct brcm_pcie {
+       struct pci_bus          *root_bus;
+       struct device_node      *np;
+       bool                    ssc;
++      bool                    l1ss;
+       int                     gen;
+       u64                     msi_target_addr;
+       struct brcm_msi         *msi;
+@@ -834,12 +836,25 @@ static int brcm_pcie_setup(struct brcm_p
+               PCIE_RC_CFG_VENDOR_VENDOR_SPECIFIC_REG1_ENDIAN_MODE_BAR2_MASK);
+       writel(tmp, base + PCIE_RC_CFG_VENDOR_VENDOR_SPECIFIC_REG1);
+-      /*
+-       * Refclk from RC should be gated with CLKREQ# input when ASPM L0s,L1
+-       * is enabled => setting the CLKREQ_DEBUG_ENABLE field to 1.
+-       */
+       tmp = readl(base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
+-      tmp |= PCIE_MISC_HARD_PCIE_HARD_DEBUG_CLKREQ_DEBUG_ENABLE_MASK;
++      if (pcie->l1ss) {
++              /*
++               * Enable CLKREQ# signalling include L1 Substate control of
++               * the CLKREQ# signal and the external reference clock buffer.
++               * meet requirement for Endpoints that require CLKREQ#
++               * assertion to clock active within 400ns.
++               */
++              tmp &= ~PCIE_MISC_HARD_PCIE_HARD_DEBUG_CLKREQ_DEBUG_ENABLE_MASK;
++              tmp |= PCIE_MISC_HARD_PCIE_HARD_DEBUG_CLKREQ_L1SS_ENABLE_MASK;
++      } else {
++              /*
++               * Refclk from RC should be gated with CLKREQ# input when
++               * ASPM L0s,L1 is enabled => setting the CLKREQ_DEBUG_ENABLE
++               * field to 1.
++               */
++              tmp &= ~PCIE_MISC_HARD_PCIE_HARD_DEBUG_CLKREQ_L1SS_ENABLE_MASK;
++              tmp |= PCIE_MISC_HARD_PCIE_HARD_DEBUG_CLKREQ_DEBUG_ENABLE_MASK;
++      }
+       writel(tmp, base + PCIE_MISC_HARD_PCIE_HARD_DEBUG);
+       return 0;
+@@ -944,6 +959,7 @@ static int brcm_pcie_probe(struct platfo
+       pcie->gen = (ret < 0) ? 0 : ret;
+       pcie->ssc = of_property_read_bool(np, "brcm,enable-ssc");
++      pcie->l1ss = of_property_read_bool(np, "brcm,enable-l1ss");
+       ret = pci_parse_request_of_pci_ranges(pcie->dev, &bridge->windows,
+                                             &bridge->dma_ranges, NULL);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0787-ARM-dts-Set-brcm-enable-l1ss-for-CM4.patch b/target/linux/bcm27xx/patches-5.4/950-0787-ARM-dts-Set-brcm-enable-l1ss-for-CM4.patch
new file mode 100644 (file)
index 0000000..4b53564
--- /dev/null
@@ -0,0 +1,27 @@
+From a883d8b6624ea9b924323920cac080cb68e02110 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 11 Jun 2020 11:22:38 +0100
+Subject: [PATCH] ARM: dts: Set brcm,enable-l1ss for CM4
+
+Enable the PCIE L1SS on Compute Module 4. It's possible that this is
+also the right thing to do for Pi 4, but it has been working as is
+up to now.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-cm4.dts | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
+@@ -252,6 +252,10 @@
+       /delete-node/ wifi-pwrseq;
+ };
++&pcie0 {
++       brcm,enable-l1ss;
++};
++
+ &mmcnr {
+       pinctrl-names = "default";
+       pinctrl-0 = <&sdio_pins>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0788-Revert-SQUASH-Fix-spi-driver-compiler-warnings.patch b/target/linux/bcm27xx/patches-5.4/950-0788-Revert-SQUASH-Fix-spi-driver-compiler-warnings.patch
new file mode 100644 (file)
index 0000000..437e5ab
--- /dev/null
@@ -0,0 +1,23 @@
+From 34072d0778e21edf69455d900b8a16be6b9ac95c Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 16 Jun 2020 10:23:03 +0100
+Subject: [PATCH] Revert "SQUASH: Fix spi driver compiler warnings"
+
+This reverts commit fe3f696b7e5229678ae45d1293e97b5ecc00c245.
+
+See: https://github.com/raspberrypi/linux/pull/3687
+---
+ drivers/spi/spi-bcm2835.c | 2 ++
+ 1 file changed, 2 insertions(+)
+
+--- a/drivers/spi/spi-bcm2835.c
++++ b/drivers/spi/spi-bcm2835.c
+@@ -1182,6 +1182,8 @@ static int bcm2835_spi_setup(struct spi_
+ {
+       struct spi_controller *ctlr = spi->controller;
+       struct bcm2835_spi *bs = spi_controller_get_devdata(ctlr);
++      struct gpio_chip *chip;
++      enum gpio_lookup_flags lflags;
+       u32 cs;
+       /*
diff --git a/target/linux/bcm27xx/patches-5.4/950-0789-Revert-spi-spi-bcm2835-Disable-forced-software-CS.patch b/target/linux/bcm27xx/patches-5.4/950-0789-Revert-spi-spi-bcm2835-Disable-forced-software-CS.patch
new file mode 100644 (file)
index 0000000..1479335
--- /dev/null
@@ -0,0 +1,59 @@
+From 1d78f72cb5a387922870e2a54228be25f84f95f6 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 16 Jun 2020 10:23:29 +0100
+Subject: [PATCH] Revert "spi: spi-bcm2835: Disable forced software
+ CS"
+
+This reverts commit 2697f0186db346176832b8eb79adaf5c874681e8.
+
+See: https://github.com/raspberrypi/linux/pull/3687
+---
+ drivers/spi/spi-bcm2835.c | 37 +++++++++++++++++++++++++++++++++++++
+ 1 file changed, 37 insertions(+)
+
+--- a/drivers/spi/spi-bcm2835.c
++++ b/drivers/spi/spi-bcm2835.c
+@@ -1235,6 +1235,43 @@ static int bcm2835_spi_setup(struct spi_
+               return -EINVAL;
+       }
++      /*
++       * Translate native CS to GPIO
++       *
++       * FIXME: poking around in the gpiolib internals like this is
++       * not very good practice. Find a way to locate the real problem
++       * and fix it. Why is the GPIO descriptor in spi->cs_gpiod
++       * sometimes not assigned correctly? Erroneous device trees?
++       */
++
++      /* get the gpio chip for the base */
++      chip = gpiochip_find("pinctrl-bcm2835", chip_match_name);
++      if (!chip)
++              return 0;
++
++      /*
++       * Retrieve the corresponding GPIO line used for CS.
++       * The inversion semantics will be handled by the GPIO core
++       * code, so we pass GPIOS_OUT_LOW for "unasserted" and
++       * the correct flag for inversion semantics. The SPI_CS_HIGH
++       * on spi->mode cannot be checked for polarity in this case
++       * as the flag use_gpio_descriptors enforces SPI_CS_HIGH.
++       */
++      if (of_property_read_bool(spi->dev.of_node, "spi-cs-high"))
++              lflags = GPIO_ACTIVE_HIGH;
++      else
++              lflags = GPIO_ACTIVE_LOW;
++      spi->cs_gpiod = gpiochip_request_own_desc(chip, 8 - spi->chip_select,
++                                                DRV_NAME,
++                                                lflags,
++                                                GPIOD_OUT_LOW);
++      if (IS_ERR(spi->cs_gpiod))
++              return PTR_ERR(spi->cs_gpiod);
++
++      /* and set up the "mode" and level */
++      dev_info(&spi->dev, "setting up native-CS%i to use GPIO\n",
++               spi->chip_select);
++
+       return 0;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0790-media-irs1125-Using-i2c_transfer-for-ic2-reads.patch b/target/linux/bcm27xx/patches-5.4/950-0790-media-irs1125-Using-i2c_transfer-for-ic2-reads.patch
new file mode 100644 (file)
index 0000000..c68c6ea
--- /dev/null
@@ -0,0 +1,65 @@
+From 167060f4e3303200d373ca55a39ba4b4c55adbcc Mon Sep 17 00:00:00 2001
+From: Markus Proeller <markus.proeller@pieye.org>
+Date: Tue, 16 Jun 2020 13:24:31 +0200
+Subject: [PATCH] media: irs1125: Using i2c_transfer for ic2 reads
+
+Reading data over i2c is done by using i2c_transfer to ensure that this
+operation can't be interrupted.
+
+Signed-off-by: Markus Proeller <markus.proeller@pieye.org>
+---
+ drivers/media/i2c/irs1125.c | 37 ++++++++++++++++++++++---------------
+ 1 file changed, 22 insertions(+), 15 deletions(-)
+
+--- a/drivers/media/i2c/irs1125.c
++++ b/drivers/media/i2c/irs1125.c
+@@ -248,27 +248,34 @@ static int irs1125_write(struct v4l2_sub
+ static int irs1125_read(struct v4l2_subdev *sd, u16 reg, u16 *val)
+ {
+-      int ret;
+-      unsigned char data_w[2] = { reg >> 8, reg & 0xff };
+-      char rdval[2];
+-
+       struct i2c_client *client = v4l2_get_subdevdata(sd);
++      struct i2c_msg msgs[2];
++      u8 addr_buf[2] = { reg >> 8, reg & 0xff };
++      u8 data_buf[2] = { 0, };
++      int ret;
+-      ret = i2c_master_send(client, data_w, 2);
+-      if (ret < 0) {
+-              dev_dbg(&client->dev, "%s: i2c write error, reg: %x\n",
+-                      __func__, reg);
++      /* Write register address */
++      msgs[0].addr = client->addr;
++      msgs[0].flags = 0;
++      msgs[0].len = ARRAY_SIZE(addr_buf);
++      msgs[0].buf = addr_buf;
++
++      /* Read data from register */
++      msgs[1].addr = client->addr;
++      msgs[1].flags = I2C_M_RD;
++      msgs[1].len = 2;
++      msgs[1].buf = data_buf;
++
++      ret = i2c_transfer(client->adapter, msgs, ARRAY_SIZE(msgs));
++      if (ret != ARRAY_SIZE(msgs)) {
++              if (ret >= 0)
++                      ret = -EIO;
+               return ret;
+       }
+-      ret = i2c_master_recv(client, rdval, 2);
+-      if (ret < 0)
+-              dev_err(&client->dev, "%s: i2c read error, reg: %x\n",
+-                      __func__, reg);
+-
+-      *val = rdval[1] | (rdval[0] << 8);
++      *val = data_buf[1] | (data_buf[0] << 8);
+-      return ret;
++      return 0;
+ }
+ static int irs1125_write_array(struct v4l2_subdev *sd,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0791-media-irs1125-Refactoring-and-debug-messages.patch b/target/linux/bcm27xx/patches-5.4/950-0791-media-irs1125-Refactoring-and-debug-messages.patch
new file mode 100644 (file)
index 0000000..c26ab8f
--- /dev/null
@@ -0,0 +1,123 @@
+From 6ba58915e8c2f884d17b651d68535959f9eff97d Mon Sep 17 00:00:00 2001
+From: Markus Proeller <markus.proeller@pieye.org>
+Date: Tue, 16 Jun 2020 13:27:42 +0200
+Subject: [PATCH] media: irs1125: Refactoring and debug messages
+
+Changed some variable names to comply with checkpatch --strict mode.
+Debug messages added.
+
+Signed-off-by: Markus Proeller <markus.proeller@pieye.org>
+---
+ drivers/media/i2c/irs1125.c | 36 ++++++++++++++++++++----------------
+ 1 file changed, 20 insertions(+), 16 deletions(-)
+
+--- a/drivers/media/i2c/irs1125.c
++++ b/drivers/media/i2c/irs1125.c
+@@ -15,6 +15,7 @@
+ #include "irs1125.h"
+ #include <linux/clk.h>
+ #include <linux/delay.h>
++#include <linux/firmware.h>
+ #include <linux/gpio/consumer.h>
+ #include <linux/i2c.h>
+ #include <linux/init.h>
+@@ -22,13 +23,13 @@
+ #include <linux/module.h>
+ #include <linux/of_graph.h>
+ #include <linux/slab.h>
++#include <linux/types.h>
+ #include <linux/videodev2.h>
+-#include <linux/firmware.h>
++#include <media/v4l2-ctrls.h>
+ #include <media/v4l2-device.h>
+ #include <media/v4l2-fwnode.h>
+ #include <media/v4l2-image-sizes.h>
+ #include <media/v4l2-mediabus.h>
+-#include <media/v4l2-ctrls.h>
+ #define CHECK_BIT(val, pos) ((val) & BIT(pos))
+@@ -38,18 +39,19 @@
+ #define IRS1125_ALTERNATE_FW "irs1125_af.bin"
+-#define IRS1125_REG_CSICFG       0xA882
+-#define IRS1125_REG_DESIGN_STEP        0xB0AD
+-#define IRS1125_REG_EFUSEVAL2  0xB09F
+-#define IRS1125_REG_EFUSEVAL3  0xB0A0
+-#define IRS1125_REG_EFUSEVAL4  0xB0A1
+-#define IRS1125_REG_DMEM_SHADOW        0xC320
++#define IRS1125_REG_SAFE_RECONFIG     0xA850
++#define IRS1125_REG_CSICFG            0xA882
++#define IRS1125_REG_DESIGN_STEP               0xB0AD
++#define IRS1125_REG_EFUSEVAL2         0xB09F
++#define IRS1125_REG_EFUSEVAL3         0xB0A0
++#define IRS1125_REG_EFUSEVAL4         0xB0A1
++#define IRS1125_REG_DMEM_SHADOW               0xC320
+-#define IRS1125_DESIGN_STEP_EXPECTED 0x0a12
++#define IRS1125_DESIGN_STEP_EXPECTED  0x0a12
+ #define IRS1125_ROW_START_DEF         0
+ #define IRS1125_COLUMN_START_DEF      0
+-#define IRS1125_WINDOW_HEIGHT_DEF      288
++#define IRS1125_WINDOW_HEIGHT_DEF     288
+ #define IRS1125_WINDOW_WIDTH_DEF      352
+ struct regval_list {
+@@ -87,7 +89,7 @@ static inline struct irs1125 *to_state(s
+       return container_of(sd, struct irs1125, sd);
+ }
+-static struct regval_list irs1125_26MHz[] = {
++static struct regval_list irs1125_26mhz[] = {
+       {0xB017, 0x0413},
+       {0xB086, 0x3535},
+       {0xB0AE, 0xEF02},
+@@ -153,7 +155,7 @@ static struct regval_list irs1125_26MHz[
+       {0xFFFF, 100}
+ };
+-static struct regval_list irs1125_seq_cfg[] = {
++static struct regval_list irs1125_seq_cfg_init[] = {
+       {0xC3A0, 0x823D},
+       {0xC3A1, 0xB13B},
+       {0xC3A2, 0x0313},
+@@ -243,6 +245,7 @@ static int irs1125_write(struct v4l2_sub
+               dev_err(&client->dev, "%s: i2c write error, reg: %x\n",
+                       __func__, reg);
++      dev_dbg(&client->dev, "write addr 0x%04x, val 0x%04x\n", reg, val);
+       return ret;
+ }
+@@ -364,8 +367,8 @@ static int __sensor_init(struct v4l2_sub
+               cnt++;
+       }
+-      ret = irs1125_write_array(sd, irs1125_26MHz,
+-                                ARRAY_SIZE(irs1125_26MHz));
++      ret = irs1125_write_array(sd, irs1125_26mhz,
++                                ARRAY_SIZE(irs1125_26mhz));
+       if (ret < 0) {
+               dev_err(&client->dev, "write sensor default regs error\n");
+               return ret;
+@@ -415,8 +418,8 @@ static int __sensor_init(struct v4l2_sub
+       }
+       release_firmware(fw);
+-      ret = irs1125_write_array(sd, irs1125_seq_cfg,
+-                                ARRAY_SIZE(irs1125_seq_cfg));
++      ret = irs1125_write_array(sd, irs1125_seq_cfg_init,
++                                ARRAY_SIZE(irs1125_seq_cfg_init));
+       if (ret < 0) {
+               dev_err(&client->dev, "write default sequence failed\n");
+               return ret;
+@@ -1037,6 +1040,7 @@ static int irs1125_probe(struct i2c_clie
+       }
+       gpio_num = desc_to_gpio(sensor->reset);
++      dev_dbg(&client->dev, "reset on GPIO num %d\n", gpio_num);
+       mutex_init(&sensor->lock);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0792-media-irs1125-Atomic-access-to-imager-reconfiguratio.patch b/target/linux/bcm27xx/patches-5.4/950-0792-media-irs1125-Atomic-access-to-imager-reconfiguratio.patch
new file mode 100644 (file)
index 0000000..2b5d4b5
--- /dev/null
@@ -0,0 +1,381 @@
+From 3afb0a757409f5186812b3ea36c61a03855e47d2 Mon Sep 17 00:00:00 2001
+From: Markus Proeller <markus.proeller@pieye.org>
+Date: Tue, 16 Jun 2020 13:31:36 +0200
+Subject: [PATCH] media: irs1125: Atomic access to imager
+ reconfiguration
+
+Instead of changing the exposure and framerate settings for all sequences,
+they can be changed for every sequence individually now. Therefore the
+IRS1125_CID_SAFE_RECONFIG ctrl has been removed and replaced by
+IRS1125_CID_SAFE_RECONFIG_S<seq_num>_EXPO and *_FRAME ctrls.
+
+The consistency check in the sequence ctrl IRS1125_CID_SEQ_CONFIG
+is removed.
+
+Signed-off-by: Markus Proeller <markus.proeller@pieye.org>
+---
+ drivers/media/i2c/irs1125.c | 224 ++++++++++++++++++++++++------------
+ drivers/media/i2c/irs1125.h |  68 ++++++++---
+ 2 files changed, 204 insertions(+), 88 deletions(-)
+
+--- a/drivers/media/i2c/irs1125.c
++++ b/drivers/media/i2c/irs1125.c
+@@ -89,6 +89,52 @@ static inline struct irs1125 *to_state(s
+       return container_of(sd, struct irs1125, sd);
+ }
++static const char *expo_ctrl_names[IRS1125_NUM_SEQ_ENTRIES] = {
++      "safe reconfiguration of exposure of sequence 0",
++      "safe reconfiguration of exposure of sequence 1",
++      "safe reconfiguration of exposure of sequence 2",
++      "safe reconfiguration of exposure of sequence 3",
++      "safe reconfiguration of exposure of sequence 4",
++      "safe reconfiguration of exposure of sequence 5",
++      "safe reconfiguration of exposure of sequence 6",
++      "safe reconfiguration of exposure of sequence 7",
++      "safe reconfiguration of exposure of sequence 8",
++      "safe reconfiguration of exposure of sequence 9",
++      "safe reconfiguration of exposure of sequence 10",
++      "safe reconfiguration of exposure of sequence 11",
++      "safe reconfiguration of exposure of sequence 12",
++      "safe reconfiguration of exposure of sequence 13",
++      "safe reconfiguration of exposure of sequence 14",
++      "safe reconfiguration of exposure of sequence 15",
++      "safe reconfiguration of exposure of sequence 16",
++      "safe reconfiguration of exposure of sequence 17",
++      "safe reconfiguration of exposure of sequence 18",
++      "safe reconfiguration of exposure of sequence 19",
++};
++
++static const char *frame_ctrl_names[IRS1125_NUM_SEQ_ENTRIES] = {
++      "safe reconfiguration of framerate of sequence 0",
++      "safe reconfiguration of framerate of sequence 1",
++      "safe reconfiguration of framerate of sequence 2",
++      "safe reconfiguration of framerate of sequence 3",
++      "safe reconfiguration of framerate of sequence 4",
++      "safe reconfiguration of framerate of sequence 5",
++      "safe reconfiguration of framerate of sequence 6",
++      "safe reconfiguration of framerate of sequence 7",
++      "safe reconfiguration of framerate of sequence 8",
++      "safe reconfiguration of framerate of sequence 9",
++      "safe reconfiguration of framerate of sequence 10",
++      "safe reconfiguration of framerate of sequence 11",
++      "safe reconfiguration of framerate of sequence 12",
++      "safe reconfiguration of framerate of sequence 13",
++      "safe reconfiguration of framerate of sequence 14",
++      "safe reconfiguration of framerate of sequence 15",
++      "safe reconfiguration of framerate of sequence 16",
++      "safe reconfiguration of framerate of sequence 17",
++      "safe reconfiguration of framerate of sequence 18",
++      "safe reconfiguration of framerate of sequence 19",
++};
++
+ static struct regval_list irs1125_26mhz[] = {
+       {0xB017, 0x0413},
+       {0xB086, 0x3535},
+@@ -561,36 +607,57 @@ static int irs1125_s_ctrl(struct v4l2_ct
+       struct irs1125 *dev = container_of(ctrl->handler,
+                                       struct irs1125, ctrl_handler);
+       struct i2c_client *client = v4l2_get_subdevdata(&dev->sd);
+-      int err, i;
+       struct irs1125_mod_pll *mod_cur, *mod_new;
+-      struct irs1125_seq_cfg *cfg_cur, *cfg_new;
+       u16 addr, val;
+-
+-      err = 0;
++      int err = 0, i;
+       switch (ctrl->id) {
+-      case IRS1125_CID_SAFE_RECONFIG:
+-      {
+-              struct irs1125_illu *illu_cur, *illu_new;
+-
+-              illu_new = (struct irs1125_illu *)ctrl->p_new.p;
+-              illu_cur = (struct irs1125_illu *)ctrl->p_cur.p;
+-              for (i = 0; i < IRS1125_NUM_SEQ_ENTRIES; i++) {
+-                      if (illu_cur[i].exposure != illu_new[i].exposure) {
+-                              addr = 0xA850 + i * 2;
+-                              val = illu_new[i].exposure;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
+-                      if (illu_cur[i].framerate != illu_new[i].framerate) {
+-                              addr = 0xA851 + i * 2;
+-                              val = illu_new[i].framerate;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
+-              }
++      case IRS1125_CID_SAFE_RECONFIG_S0_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S0_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S1_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S1_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S2_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S2_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S3_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S3_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S4_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S4_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S5_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S5_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S6_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S6_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S7_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S7_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S8_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S8_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S9_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S9_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S10_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S10_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S11_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S11_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S12_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S12_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S13_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S13_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S14_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S14_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S15_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S15_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S16_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S16_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S17_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S17_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S18_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S18_FRAME:
++      case IRS1125_CID_SAFE_RECONFIG_S19_EXPO:
++      case IRS1125_CID_SAFE_RECONFIG_S19_FRAME: {
++              unsigned int offset = ctrl->id -
++                      IRS1125_CID_SAFE_RECONFIG_S0_EXPO;
++
++              err = irs1125_write(&dev->sd,
++                                  IRS1125_REG_SAFE_RECONFIG + offset,
++                                  ctrl->val);
+               break;
+       }
+       case IRS1125_CID_MOD_PLL:
+@@ -655,40 +722,40 @@ static int irs1125_s_ctrl(struct v4l2_ct
+                       }
+               }
+               break;
+-      case IRS1125_CID_SEQ_CONFIG:
++      case IRS1125_CID_SEQ_CONFIG: {
++              struct irs1125_seq_cfg *cfg_new;
++
+               cfg_new = (struct irs1125_seq_cfg *)ctrl->p_new.p;
+-              cfg_cur = (struct irs1125_seq_cfg *)ctrl->p_cur.p;
+               for (i = 0; i < IRS1125_NUM_SEQ_ENTRIES; i++) {
+-                      if (cfg_cur[i].exposure != cfg_new[i].exposure) {
+-                              addr = IRS1125_REG_DMEM_SHADOW + i * 4;
+-                              val = cfg_new[i].exposure;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
+-                      if (cfg_cur[i].framerate != cfg_new[i].framerate) {
+-                              addr = IRS1125_REG_DMEM_SHADOW + 1 + i * 4;
+-                              val = cfg_new[i].framerate;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
+-                      if (cfg_cur[i].ps != cfg_new[i].ps) {
+-                              addr = IRS1125_REG_DMEM_SHADOW + 2 + i * 4;
+-                              val = cfg_new[i].ps;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
+-                      if (cfg_cur[i].pll != cfg_new[i].pll) {
+-                              addr = IRS1125_REG_DMEM_SHADOW + 3 + i * 4;
+-                              val = cfg_new[i].pll;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
++                      unsigned int seq_offset = i * 4;
++                      u16 addr, val;
++
++                      addr = IRS1125_REG_DMEM_SHADOW + seq_offset;
++                      val = cfg_new[i].exposure;
++                      err = irs1125_write(&dev->sd, addr, val);
++                      if (err < 0)
++                              break;
++
++                      addr = IRS1125_REG_DMEM_SHADOW + 1 + seq_offset;
++                      val = cfg_new[i].framerate;
++                      err = irs1125_write(&dev->sd, addr, val);
++                      if (err < 0)
++                              break;
++
++                      addr = IRS1125_REG_DMEM_SHADOW + 2 + seq_offset;
++                      val = cfg_new[i].ps;
++                      err = irs1125_write(&dev->sd, addr, val);
++                      if (err < 0)
++                              break;
++
++                      addr = IRS1125_REG_DMEM_SHADOW + 3 + seq_offset;
++                      val = cfg_new[i].pll;
++                      err = irs1125_write(&dev->sd, addr, val);
++                      if (err < 0)
++                              break;
+               }
+               break;
++      }
+       case IRS1125_CID_NUM_SEQS:
+               err = irs1125_write(&dev->sd, 0xA88D, ctrl->val - 1);
+               if (err >= 0)
+@@ -760,19 +827,6 @@ static const struct v4l2_ctrl_config irs
+                       IRS1125_NUM_MOD_PLLS}
+       }, {
+               .ops = &irs1125_ctrl_ops,
+-              .id = IRS1125_CID_SAFE_RECONFIG,
+-              .name = "Change exposure and pause of single seq",
+-              .type = V4L2_CTRL_TYPE_U16,
+-              .flags = V4L2_CTRL_FLAG_HAS_PAYLOAD,
+-              .min = 0,
+-              .max = U16_MAX,
+-              .step = 1,
+-              .def = 0,
+-              .elem_size = sizeof(u16),
+-              .dims = {sizeof(struct irs1125_illu) / sizeof(u16),
+-                      IRS1125_NUM_SEQ_ENTRIES}
+-      }, {
+-              .ops = &irs1125_ctrl_ops,
+               .id = IRS1125_CID_SEQ_CONFIG,
+               .name = "Change sequence settings",
+               .type = V4L2_CTRL_TYPE_U16,
+@@ -900,9 +954,16 @@ static int irs1125_ctrls_init(struct irs
+ {
+       struct v4l2_ctrl *ctrl;
+       int err, i;
+-      struct v4l2_ctrl_handler *hdl;
++      struct v4l2_ctrl_handler *hdl = &sensor->ctrl_handler;
++      struct v4l2_ctrl_config ctrl_cfg = {
++              .ops = &irs1125_ctrl_ops,
++              .type = V4L2_CTRL_TYPE_INTEGER,
++              .min = 0,
++              .max = U16_MAX,
++              .step = 1,
++              .def = 0x1000
++      };
+-      hdl = &sensor->ctrl_handler;
+       v4l2_ctrl_handler_init(hdl, ARRAY_SIZE(irs1125_custom_ctrls));
+       for (i = 0; i < ARRAY_SIZE(irs1125_custom_ctrls); i++)  {
+@@ -923,6 +984,27 @@ static int irs1125_ctrls_init(struct irs
+               goto error_ctrls;
+       }
++      for (i = 0; i < IRS1125_NUM_SEQ_ENTRIES; i++) {
++              ctrl_cfg.name = expo_ctrl_names[i];
++              ctrl_cfg.id = IRS1125_CID_SAFE_RECONFIG_S0_EXPO + i * 2;
++              ctrl = v4l2_ctrl_new_custom(hdl, &ctrl_cfg,
++                                          NULL);
++              if (!ctrl)
++                      dev_err(dev, "Failed to init exposure control %s\n",
++                              ctrl_cfg.name);
++      }
++
++      ctrl_cfg.def = 0;
++      for (i = 0; i < IRS1125_NUM_SEQ_ENTRIES; i++) {
++              ctrl_cfg.name = frame_ctrl_names[i];
++              ctrl_cfg.id = IRS1125_CID_SAFE_RECONFIG_S0_FRAME + i * 2;
++              ctrl = v4l2_ctrl_new_custom(hdl, &ctrl_cfg,
++                                          NULL);
++              if (!ctrl)
++                      dev_err(dev, "Failed to init framerate control %s\n",
++                              ctrl_cfg.name);
++      }
++
+       sensor->sd.ctrl_handler = hdl;
+       return 0;
+--- a/drivers/media/i2c/irs1125.h
++++ b/drivers/media/i2c/irs1125.h
+@@ -21,18 +21,57 @@
+ #define IRS1125_NUM_SEQ_ENTRIES 20
+ #define IRS1125_NUM_MOD_PLLS 4
+-#define IRS1125_CID_CUSTOM_BASE        (V4L2_CID_USER_BASE | 0xf000)
+-#define IRS1125_CID_SAFE_RECONFIG      (IRS1125_CID_CUSTOM_BASE + 0)
+-#define IRS1125_CID_CONTINUOUS_TRIG    (IRS1125_CID_CUSTOM_BASE + 1)
+-#define IRS1125_CID_TRIGGER            (IRS1125_CID_CUSTOM_BASE + 2)
+-#define IRS1125_CID_RECONFIG           (IRS1125_CID_CUSTOM_BASE + 3)
+-#define IRS1125_CID_ILLU_ON            (IRS1125_CID_CUSTOM_BASE + 4)
+-#define IRS1125_CID_NUM_SEQS           (IRS1125_CID_CUSTOM_BASE + 5)
+-#define IRS1125_CID_MOD_PLL            (IRS1125_CID_CUSTOM_BASE + 6)
+-#define IRS1125_CID_SEQ_CONFIG         (IRS1125_CID_CUSTOM_BASE + 7)
+-#define IRS1125_CID_IDENT0             (IRS1125_CID_CUSTOM_BASE + 8)
+-#define IRS1125_CID_IDENT1             (IRS1125_CID_CUSTOM_BASE + 9)
+-#define IRS1125_CID_IDENT2             (IRS1125_CID_CUSTOM_BASE + 10)
++#define IRS1125_CID_CUSTOM_BASE                       (V4L2_CID_USER_BASE | 0xf000)
++#define IRS1125_CID_CONTINUOUS_TRIG           (IRS1125_CID_CUSTOM_BASE + 1)
++#define IRS1125_CID_TRIGGER                   (IRS1125_CID_CUSTOM_BASE + 2)
++#define IRS1125_CID_RECONFIG                  (IRS1125_CID_CUSTOM_BASE + 3)
++#define IRS1125_CID_ILLU_ON                   (IRS1125_CID_CUSTOM_BASE + 4)
++#define IRS1125_CID_NUM_SEQS                  (IRS1125_CID_CUSTOM_BASE + 5)
++#define IRS1125_CID_MOD_PLL                   (IRS1125_CID_CUSTOM_BASE + 6)
++#define IRS1125_CID_SEQ_CONFIG                        (IRS1125_CID_CUSTOM_BASE + 7)
++#define IRS1125_CID_IDENT0                    (IRS1125_CID_CUSTOM_BASE + 8)
++#define IRS1125_CID_IDENT1                    (IRS1125_CID_CUSTOM_BASE + 9)
++#define IRS1125_CID_IDENT2                    (IRS1125_CID_CUSTOM_BASE + 10)
++#define IRS1125_CID_SAFE_RECONFIG_S0_EXPO     (IRS1125_CID_CUSTOM_BASE + 11)
++#define IRS1125_CID_SAFE_RECONFIG_S0_FRAME    (IRS1125_CID_CUSTOM_BASE + 12)
++#define IRS1125_CID_SAFE_RECONFIG_S1_EXPO     (IRS1125_CID_CUSTOM_BASE + 13)
++#define IRS1125_CID_SAFE_RECONFIG_S1_FRAME    (IRS1125_CID_CUSTOM_BASE + 14)
++#define IRS1125_CID_SAFE_RECONFIG_S2_EXPO     (IRS1125_CID_CUSTOM_BASE + 15)
++#define IRS1125_CID_SAFE_RECONFIG_S2_FRAME    (IRS1125_CID_CUSTOM_BASE + 16)
++#define IRS1125_CID_SAFE_RECONFIG_S3_EXPO     (IRS1125_CID_CUSTOM_BASE + 17)
++#define IRS1125_CID_SAFE_RECONFIG_S3_FRAME    (IRS1125_CID_CUSTOM_BASE + 18)
++#define IRS1125_CID_SAFE_RECONFIG_S4_EXPO     (IRS1125_CID_CUSTOM_BASE + 19)
++#define IRS1125_CID_SAFE_RECONFIG_S4_FRAME    (IRS1125_CID_CUSTOM_BASE + 20)
++#define IRS1125_CID_SAFE_RECONFIG_S5_EXPO     (IRS1125_CID_CUSTOM_BASE + 21)
++#define IRS1125_CID_SAFE_RECONFIG_S5_FRAME    (IRS1125_CID_CUSTOM_BASE + 22)
++#define IRS1125_CID_SAFE_RECONFIG_S6_EXPO     (IRS1125_CID_CUSTOM_BASE + 23)
++#define IRS1125_CID_SAFE_RECONFIG_S6_FRAME    (IRS1125_CID_CUSTOM_BASE + 24)
++#define IRS1125_CID_SAFE_RECONFIG_S7_EXPO     (IRS1125_CID_CUSTOM_BASE + 25)
++#define IRS1125_CID_SAFE_RECONFIG_S7_FRAME    (IRS1125_CID_CUSTOM_BASE + 26)
++#define IRS1125_CID_SAFE_RECONFIG_S8_EXPO     (IRS1125_CID_CUSTOM_BASE + 27)
++#define IRS1125_CID_SAFE_RECONFIG_S8_FRAME    (IRS1125_CID_CUSTOM_BASE + 28)
++#define IRS1125_CID_SAFE_RECONFIG_S9_EXPO     (IRS1125_CID_CUSTOM_BASE + 29)
++#define IRS1125_CID_SAFE_RECONFIG_S9_FRAME    (IRS1125_CID_CUSTOM_BASE + 30)
++#define IRS1125_CID_SAFE_RECONFIG_S10_EXPO    (IRS1125_CID_CUSTOM_BASE + 31)
++#define IRS1125_CID_SAFE_RECONFIG_S10_FRAME   (IRS1125_CID_CUSTOM_BASE + 32)
++#define IRS1125_CID_SAFE_RECONFIG_S11_EXPO    (IRS1125_CID_CUSTOM_BASE + 33)
++#define IRS1125_CID_SAFE_RECONFIG_S11_FRAME   (IRS1125_CID_CUSTOM_BASE + 34)
++#define IRS1125_CID_SAFE_RECONFIG_S12_EXPO    (IRS1125_CID_CUSTOM_BASE + 35)
++#define IRS1125_CID_SAFE_RECONFIG_S12_FRAME   (IRS1125_CID_CUSTOM_BASE + 36)
++#define IRS1125_CID_SAFE_RECONFIG_S13_EXPO    (IRS1125_CID_CUSTOM_BASE + 37)
++#define IRS1125_CID_SAFE_RECONFIG_S13_FRAME   (IRS1125_CID_CUSTOM_BASE + 38)
++#define IRS1125_CID_SAFE_RECONFIG_S14_EXPO    (IRS1125_CID_CUSTOM_BASE + 39)
++#define IRS1125_CID_SAFE_RECONFIG_S14_FRAME   (IRS1125_CID_CUSTOM_BASE + 40)
++#define IRS1125_CID_SAFE_RECONFIG_S15_EXPO    (IRS1125_CID_CUSTOM_BASE + 41)
++#define IRS1125_CID_SAFE_RECONFIG_S15_FRAME   (IRS1125_CID_CUSTOM_BASE + 42)
++#define IRS1125_CID_SAFE_RECONFIG_S16_EXPO    (IRS1125_CID_CUSTOM_BASE + 43)
++#define IRS1125_CID_SAFE_RECONFIG_S16_FRAME   (IRS1125_CID_CUSTOM_BASE + 44)
++#define IRS1125_CID_SAFE_RECONFIG_S17_EXPO    (IRS1125_CID_CUSTOM_BASE + 45)
++#define IRS1125_CID_SAFE_RECONFIG_S17_FRAME   (IRS1125_CID_CUSTOM_BASE + 46)
++#define IRS1125_CID_SAFE_RECONFIG_S18_EXPO    (IRS1125_CID_CUSTOM_BASE + 47)
++#define IRS1125_CID_SAFE_RECONFIG_S18_FRAME   (IRS1125_CID_CUSTOM_BASE + 48)
++#define IRS1125_CID_SAFE_RECONFIG_S19_EXPO    (IRS1125_CID_CUSTOM_BASE + 49)
++#define IRS1125_CID_SAFE_RECONFIG_S19_FRAME   (IRS1125_CID_CUSTOM_BASE + 50)
+ struct irs1125_seq_cfg {
+       __u16 exposure;
+@@ -41,11 +80,6 @@ struct irs1125_seq_cfg {
+       __u16 pll;
+ };
+-struct irs1125_illu {
+-      __u16 exposure;
+-      __u16 framerate;
+-};
+-
+ struct irs1125_mod_pll {
+       __u16 pllcfg1;
+       __u16 pllcfg2;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0793-media-irs1125-Keep-HW-in-sync-after-imager-reset.patch b/target/linux/bcm27xx/patches-5.4/950-0793-media-irs1125-Keep-HW-in-sync-after-imager-reset.patch
new file mode 100644 (file)
index 0000000..9e9b272
--- /dev/null
@@ -0,0 +1,181 @@
+From be2df6c864ba668364011301530372f5b8798593 Mon Sep 17 00:00:00 2001
+From: Markus Proeller <markus.proeller@pieye.org>
+Date: Tue, 16 Jun 2020 13:33:56 +0200
+Subject: [PATCH] media: irs1125: Keep HW in sync after imager reset
+
+When closing the video device, the irs1125 is put in power down state.
+To keep V4L2 ctrls and the HW in sync, v4l2_ctrl_handler_setup is
+called after power up.
+
+The compound ctrl IRS1125_CID_MOD_PLL however has a default value
+of all zeros, which puts the imager into a non responding state.
+Thus, this ctrl is not written by the driver into HW after power up.
+The userspace has to take care to write senseful data.
+
+Signed-off-by: Markus Proeller <markus.proeller@pieye.org>
+---
+ drivers/media/i2c/irs1125.c | 121 +++++++++++++++++-------------------
+ 1 file changed, 58 insertions(+), 63 deletions(-)
+
+--- a/drivers/media/i2c/irs1125.c
++++ b/drivers/media/i2c/irs1125.c
+@@ -82,6 +82,7 @@ struct irs1125 {
+       struct v4l2_ctrl *ctrl_numseq;
+       int power_count;
++      bool mod_pll_init;
+ };
+ static inline struct irs1125 *to_state(struct v4l2_subdev *sd)
+@@ -276,8 +277,7 @@ static struct regval_list irs1125_seq_cf
+       {0xC039, 0x0000},
+       {0xC401, 0x0002},
+-      {0xFFFF, 1},
+-      {0xA87C, 0x0001}
++      {0xFFFF, 1}
+ };
+ static int irs1125_write(struct v4l2_subdev *sd, u16 reg, u16 val)
+@@ -471,7 +471,11 @@ static int __sensor_init(struct v4l2_sub
+               return ret;
+       }
+-      return 0;
++      irs1125->mod_pll_init = true;
++      v4l2_ctrl_handler_setup(&irs1125->ctrl_handler);
++      irs1125->mod_pll_init = false;
++
++      return irs1125_write(sd, 0xA87C, 0x0001);
+ }
+ static int irs1125_sensor_power(struct v4l2_subdev *sd, int on)
+@@ -607,8 +611,6 @@ static int irs1125_s_ctrl(struct v4l2_ct
+       struct irs1125 *dev = container_of(ctrl->handler,
+                                       struct irs1125, ctrl_handler);
+       struct i2c_client *client = v4l2_get_subdevdata(&dev->sd);
+-      struct irs1125_mod_pll *mod_cur, *mod_new;
+-      u16 addr, val;
+       int err = 0, i;
+       switch (ctrl->id) {
+@@ -660,68 +662,61 @@ static int irs1125_s_ctrl(struct v4l2_ct
+                                   ctrl->val);
+               break;
+       }
+-      case IRS1125_CID_MOD_PLL:
++      case IRS1125_CID_MOD_PLL: {
++              struct irs1125_mod_pll *mod_new;
++
++              if (dev->mod_pll_init)
++                      break;
++
+               mod_new = (struct irs1125_mod_pll *)ctrl->p_new.p;
+-              mod_cur = (struct irs1125_mod_pll *)ctrl->p_cur.p;
+               for (i = 0; i < IRS1125_NUM_MOD_PLLS; i++) {
+-                      if (mod_cur[i].pllcfg1 != mod_new[i].pllcfg1) {
+-                              addr = 0xC3A0 + i * 3;
+-                              val = mod_new[i].pllcfg1;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
+-                      if (mod_cur[i].pllcfg2 != mod_new[i].pllcfg2) {
+-                              addr = 0xC3A1 + i * 3;
+-                              val = mod_new[i].pllcfg2;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
+-                      if (mod_cur[i].pllcfg3 != mod_new[i].pllcfg3) {
+-                              addr = 0xC3A2 + i * 3;
+-                              val = mod_new[i].pllcfg3;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
+-                      if (mod_cur[i].pllcfg4 != mod_new[i].pllcfg4) {
+-                              addr = 0xC24C + i * 5;
+-                              val = mod_new[i].pllcfg4;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
+-                      if (mod_cur[i].pllcfg5 != mod_new[i].pllcfg5) {
+-                              addr = 0xC24D + i * 5;
+-                              val = mod_new[i].pllcfg5;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
+-                      if (mod_cur[i].pllcfg6 != mod_new[i].pllcfg6) {
+-                              addr = 0xC24E + i * 5;
+-                              val = mod_new[i].pllcfg6;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
+-                      if (mod_cur[i].pllcfg7 != mod_new[i].pllcfg7) {
+-                              addr = 0xC24F + i * 5;
+-                              val = mod_new[i].pllcfg7;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
+-                      if (mod_cur[i].pllcfg8 != mod_new[i].pllcfg8) {
+-                              addr = 0xC250 + i * 5;
+-                              val = mod_new[i].pllcfg8;
+-                              err = irs1125_write(&dev->sd, addr, val);
+-                              if (err < 0)
+-                                      break;
+-                      }
++                      unsigned int pll_offset, ssc_offset;
++
++                      pll_offset = i * 3;
++                      ssc_offset = i * 5;
++
++                      err = irs1125_write(&dev->sd, 0xC3A0 + pll_offset,
++                                          mod_new[i].pllcfg1);
++                      if (err < 0)
++                              break;
++
++                      err = irs1125_write(&dev->sd, 0xC3A1 + pll_offset,
++                                          mod_new[i].pllcfg2);
++                      if (err < 0)
++                              break;
++
++                      err = irs1125_write(&dev->sd, 0xC3A2 + pll_offset,
++                                          mod_new[i].pllcfg3);
++                      if (err < 0)
++                              break;
++
++                      err = irs1125_write(&dev->sd, 0xC24C + ssc_offset,
++                                          mod_new[i].pllcfg4);
++                      if (err < 0)
++                              break;
++
++                      err = irs1125_write(&dev->sd, 0xC24D + ssc_offset,
++                                          mod_new[i].pllcfg5);
++                      if (err < 0)
++                              break;
++
++                      err = irs1125_write(&dev->sd, 0xC24E + ssc_offset,
++                                          mod_new[i].pllcfg6);
++                      if (err < 0)
++                              break;
++
++                      err = irs1125_write(&dev->sd, 0xC24F + ssc_offset,
++                                          mod_new[i].pllcfg7);
++                      if (err < 0)
++                              break;
++
++                      err = irs1125_write(&dev->sd, 0xC250 + ssc_offset,
++                                          mod_new[i].pllcfg8);
++                      if (err < 0)
++                              break;
+               }
+               break;
++      }
+       case IRS1125_CID_SEQ_CONFIG: {
+               struct irs1125_seq_cfg *cfg_new;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0794-staging-bcm2835-audio-Add-missing-MODULE_ALIAS.patch b/target/linux/bcm27xx/patches-5.4/950-0794-staging-bcm2835-audio-Add-missing-MODULE_ALIAS.patch
new file mode 100644 (file)
index 0000000..5e09644
--- /dev/null
@@ -0,0 +1,30 @@
+From 1f7c929fc7b010ceca45f8b91b22e71e697b6ec7 Mon Sep 17 00:00:00 2001
+From: Maxim Mikityanskiy <maxtram95@gmail.com>
+Date: Sat, 20 Jun 2020 15:40:00 +0300
+Subject: [PATCH] staging: bcm2835-audio: Add missing MODULE_ALIAS
+
+Commit 8353fe6f1e0f ("Revert "staging: bcm2835-audio: Drop DT
+dependency"") reverts the upstream change and makes bcm2835-audio use
+device tree again, however, it also removes the MODULE_ALIAS for the
+platform device. This MODULE_ALIAS is needed, because VCHIQ registers
+bcm2835-audio as a child platform device since commit 25c7597af20d
+("staging: vchiq_arm: Register a platform device for audio"), and this
+mechanism is adopted also in the downstream kernel.
+
+This commit puts back that MODULE_ALIAS to make bcm2835-audio
+autoprobing work again. The rest of VCHIQ children have their
+MODULE_ALIASes in place.
+
+Fixes: 8353fe6f1e0f ("Revert "staging: bcm2835-audio: Drop DT dependency"")
+Signed-off-by: Maxim Mikityanskiy <maxtram95@gmail.com>
+---
+ drivers/staging/vc04_services/bcm2835-audio/bcm2835.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
++++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
+@@ -438,3 +438,4 @@ module_platform_driver(bcm2835_alsa_driv
+ MODULE_AUTHOR("Dom Cobley");
+ MODULE_DESCRIPTION("Alsa driver for BCM2835 chip");
+ MODULE_LICENSE("GPL");
++MODULE_ALIAS("platform:bcm2835_audio");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0795-media-v4l2-subdev-Introduce-get-set-_mbus_config-pad.patch b/target/linux/bcm27xx/patches-5.4/950-0795-media-v4l2-subdev-Introduce-get-set-_mbus_config-pad.patch
new file mode 100644 (file)
index 0000000..9108284
--- /dev/null
@@ -0,0 +1,63 @@
+From 487203abdef24b7d3cdd110f1b1e699fd22aa02c Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo+renesas@jmondi.org>
+Date: Tue, 16 Jun 2020 16:12:36 +0200
+Subject: [PATCH]_mbus_config
+ pad ops
+
+Upstream https://patchwork.linuxtv.org/patch/64669/
+
+Introduce two new pad operations to allow retrieving and configuring the
+media bus parameters on a subdevice pad.
+
+The newly introduced operations aims to replace the s/g_mbus_config video
+operations, which have been on their way for deprecation since a long
+time.
+
+Signed-off-by: Jacopo Mondi <jacopo+renesas@jmondi.org>
+---
+ include/media/v4l2-subdev.h | 27 +++++++++++++++++++++++++++
+ 1 file changed, 27 insertions(+)
+
+--- a/include/media/v4l2-subdev.h
++++ b/include/media/v4l2-subdev.h
+@@ -670,6 +670,29 @@ struct v4l2_subdev_pad_config {
+  *
+  * @set_frame_desc: set the low level media bus frame parameters, @fd array
+  *                  may be adjusted by the subdev driver to device capabilities.
++ *
++ * @get_mbus_config: get the media bus configuration of a remote sub-device.
++ *                 The media bus configuration is usually retrieved from the
++ *                 firmware interface at sub-device probe time, immediately
++ *                 applied to the hardware and eventually adjusted by the
++ *                 driver. Remote sub-devices (usually video receivers) shall
++ *                 use this operation to query the transmitting end bus
++ *                 configuration in order to adjust their own one accordingly.
++ *                 Callers should make sure they get the most up-to-date as
++ *                 possible configuration from the remote end, likely calling
++ *                 this operation as close as possible to stream on time. The
++ *                 operation shall fail if the pad index it has been called on
++ *                 is not valid.
++ *
++ * @set_mbus_config: set the media bus configuration of a remote sub-device.
++ *                 This operations is intended to allow, in combination with
++ *                 the get_mbus_config operation, the negotiation of media bus
++ *                 configuration parameters between media sub-devices. The
++ *                 operation shall not fail if the requested configuration is
++ *                 not supported, but the driver shall update the content of
++ *                 the %config argument to reflect what has been actually
++ *                 applied to the hardware. The operation shall fail if the
++ *                 pad index it has been called on is not valid.
+  */
+ struct v4l2_subdev_pad_ops {
+       int (*init_cfg)(struct v4l2_subdev *sd,
+@@ -710,6 +733,10 @@ struct v4l2_subdev_pad_ops {
+                             struct v4l2_mbus_frame_desc *fd);
+       int (*set_frame_desc)(struct v4l2_subdev *sd, unsigned int pad,
+                             struct v4l2_mbus_frame_desc *fd);
++      int (*get_mbus_config)(struct v4l2_subdev *sd, unsigned int pad,
++                             struct v4l2_mbus_config *config);
++      int (*set_mbus_config)(struct v4l2_subdev *sd, unsigned int pad,
++                             struct v4l2_mbus_config *config);
+ };
+ /**
diff --git a/target/linux/bcm27xx/patches-5.4/950-0796-media-i2c-Use-the-new-get_mbus_config-pad-op.patch b/target/linux/bcm27xx/patches-5.4/950-0796-media-i2c-Use-the-new-get_mbus_config-pad-op.patch
new file mode 100644 (file)
index 0000000..5c432d0
--- /dev/null
@@ -0,0 +1,235 @@
+From 87fde70ab0ba99860153b127e6821be5ae74e73b Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo+renesas@jmondi.org>
+Date: Tue, 16 Jun 2020 16:12:37 +0200
+Subject: [PATCH] media: i2c: Use the new get_mbus_config pad op
+
+Upstream https://patchwork.linuxtv.org/patch/64669/
+
+Move the existing users of the g_mbus_config video operation to use the
+newly introduced get_mbus_config pad operations.
+
+All the ported drivers report a static media bus configuration and do no
+support s_mbus_config so the operation implementation has not changed.
+
+Bridge drivers needs to call the new pad operation and will receive an
+-ENOICTLCMD when calling the old g_mbus_config video operation
+
+Signed-off-by: Jacopo Mondi <jacopo+renesas@jmondi.org>
+---
+ drivers/media/i2c/adv7180.c   | 7 ++++---
+ drivers/media/i2c/ml86v7667.c | 7 ++++---
+ drivers/media/i2c/mt9m001.c   | 7 ++++---
+ drivers/media/i2c/mt9m111.c   | 7 ++++---
+ drivers/media/i2c/ov9640.c    | 7 ++++---
+ drivers/media/i2c/tc358743.c  | 7 ++++---
+ drivers/media/i2c/tvp5150.c   | 7 ++++---
+ 7 files changed, 28 insertions(+), 21 deletions(-)
+
+--- a/drivers/media/i2c/adv7180.c
++++ b/drivers/media/i2c/adv7180.c
+@@ -749,8 +749,9 @@ static int adv7180_set_pad_format(struct
+       return ret;
+ }
+-static int adv7180_g_mbus_config(struct v4l2_subdev *sd,
+-                               struct v4l2_mbus_config *cfg)
++static int adv7180_get_mbus_config(struct v4l2_subdev *sd,
++                                 unsigned int pad,
++                                 struct v4l2_mbus_config *cfg)
+ {
+       struct adv7180_state *state = to_state(sd);
+@@ -841,7 +842,6 @@ static const struct v4l2_subdev_video_op
+       .querystd = adv7180_querystd,
+       .g_input_status = adv7180_g_input_status,
+       .s_routing = adv7180_s_routing,
+-      .g_mbus_config = adv7180_g_mbus_config,
+       .g_pixelaspect = adv7180_g_pixelaspect,
+       .g_tvnorms = adv7180_g_tvnorms,
+       .s_stream = adv7180_s_stream,
+@@ -857,6 +857,7 @@ static const struct v4l2_subdev_pad_ops
+       .enum_mbus_code = adv7180_enum_mbus_code,
+       .set_fmt = adv7180_set_pad_format,
+       .get_fmt = adv7180_get_pad_format,
++      .get_mbus_config = adv7180_get_mbus_config,
+ };
+ static const struct v4l2_subdev_sensor_ops adv7180_sensor_ops = {
+--- a/drivers/media/i2c/ml86v7667.c
++++ b/drivers/media/i2c/ml86v7667.c
+@@ -219,8 +219,9 @@ static int ml86v7667_fill_fmt(struct v4l
+       return 0;
+ }
+-static int ml86v7667_g_mbus_config(struct v4l2_subdev *sd,
+-                                 struct v4l2_mbus_config *cfg)
++static int ml86v7667_get_mbus_config(struct v4l2_subdev *sd,
++                                   unsigned int pad,
++                                   struct v4l2_mbus_config *cfg)
+ {
+       cfg->flags = V4L2_MBUS_MASTER | V4L2_MBUS_PCLK_SAMPLE_RISING |
+                    V4L2_MBUS_DATA_ACTIVE_HIGH;
+@@ -291,13 +292,13 @@ static const struct v4l2_subdev_video_op
+       .s_std = ml86v7667_s_std,
+       .querystd = ml86v7667_querystd,
+       .g_input_status = ml86v7667_g_input_status,
+-      .g_mbus_config = ml86v7667_g_mbus_config,
+ };
+ static const struct v4l2_subdev_pad_ops ml86v7667_subdev_pad_ops = {
+       .enum_mbus_code = ml86v7667_enum_mbus_code,
+       .get_fmt = ml86v7667_fill_fmt,
+       .set_fmt = ml86v7667_fill_fmt,
++      .get_mbus_config = ml86v7667_get_mbus_config,
+ };
+ static const struct v4l2_subdev_core_ops ml86v7667_subdev_core_ops = {
+--- a/drivers/media/i2c/mt9m001.c
++++ b/drivers/media/i2c/mt9m001.c
+@@ -689,8 +689,9 @@ static int mt9m001_enum_mbus_code(struct
+       return 0;
+ }
+-static int mt9m001_g_mbus_config(struct v4l2_subdev *sd,
+-                              struct v4l2_mbus_config *cfg)
++static int mt9m001_get_mbus_config(struct v4l2_subdev *sd,
++                                 unsigned int pad,
++                                 struct v4l2_mbus_config *cfg)
+ {
+       /* MT9M001 has all capture_format parameters fixed */
+       cfg->flags = V4L2_MBUS_PCLK_SAMPLE_FALLING |
+@@ -703,7 +704,6 @@ static int mt9m001_g_mbus_config(struct
+ static const struct v4l2_subdev_video_ops mt9m001_subdev_video_ops = {
+       .s_stream       = mt9m001_s_stream,
+-      .g_mbus_config  = mt9m001_g_mbus_config,
+ };
+ static const struct v4l2_subdev_sensor_ops mt9m001_subdev_sensor_ops = {
+@@ -717,6 +717,7 @@ static const struct v4l2_subdev_pad_ops
+       .set_selection  = mt9m001_set_selection,
+       .get_fmt        = mt9m001_get_fmt,
+       .set_fmt        = mt9m001_set_fmt,
++      .get_mbus_config = mt9m001_get_mbus_config,
+ };
+ static const struct v4l2_subdev_ops mt9m001_subdev_ops = {
+--- a/drivers/media/i2c/mt9m111.c
++++ b/drivers/media/i2c/mt9m111.c
+@@ -1137,8 +1137,9 @@ static int mt9m111_init_cfg(struct v4l2_
+       return 0;
+ }
+-static int mt9m111_g_mbus_config(struct v4l2_subdev *sd,
+-                              struct v4l2_mbus_config *cfg)
++static int mt9m111_get_mbus_config(struct v4l2_subdev *sd,
++                                 unsigned int pad,
++                                 struct v4l2_mbus_config *cfg)
+ {
+       struct mt9m111 *mt9m111 = container_of(sd, struct mt9m111, subdev);
+@@ -1155,7 +1156,6 @@ static int mt9m111_g_mbus_config(struct
+ }
+ static const struct v4l2_subdev_video_ops mt9m111_subdev_video_ops = {
+-      .g_mbus_config  = mt9m111_g_mbus_config,
+       .s_stream       = mt9m111_s_stream,
+       .g_frame_interval = mt9m111_g_frame_interval,
+       .s_frame_interval = mt9m111_s_frame_interval,
+@@ -1168,6 +1168,7 @@ static const struct v4l2_subdev_pad_ops
+       .set_selection  = mt9m111_set_selection,
+       .get_fmt        = mt9m111_get_fmt,
+       .set_fmt        = mt9m111_set_fmt,
++      .get_mbus_config = mt9m111_get_mbus_config,
+ };
+ static const struct v4l2_subdev_ops mt9m111_subdev_ops = {
+--- a/drivers/media/i2c/ov9640.c
++++ b/drivers/media/i2c/ov9640.c
+@@ -648,8 +648,9 @@ static const struct v4l2_subdev_core_ops
+ };
+ /* Request bus settings on camera side */
+-static int ov9640_g_mbus_config(struct v4l2_subdev *sd,
+-                              struct v4l2_mbus_config *cfg)
++static int ov9640_get_mbus_config(struct v4l2_subdev *sd,
++                                unsigned int pad,
++                                struct v4l2_mbus_config *cfg)
+ {
+       cfg->flags = V4L2_MBUS_PCLK_SAMPLE_RISING | V4L2_MBUS_MASTER |
+               V4L2_MBUS_VSYNC_ACTIVE_HIGH | V4L2_MBUS_HSYNC_ACTIVE_HIGH |
+@@ -661,13 +662,13 @@ static int ov9640_g_mbus_config(struct v
+ static const struct v4l2_subdev_video_ops ov9640_video_ops = {
+       .s_stream       = ov9640_s_stream,
+-      .g_mbus_config  = ov9640_g_mbus_config,
+ };
+ static const struct v4l2_subdev_pad_ops ov9640_pad_ops = {
+       .enum_mbus_code = ov9640_enum_mbus_code,
+       .get_selection  = ov9640_get_selection,
+       .set_fmt        = ov9640_set_fmt,
++      .get_mbus_config = ov9640_get_mbus_config,
+ };
+ static const struct v4l2_subdev_ops ov9640_subdev_ops = {
+--- a/drivers/media/i2c/tc358743.c
++++ b/drivers/media/i2c/tc358743.c
+@@ -1621,8 +1621,9 @@ static int tc358743_dv_timings_cap(struc
+       return 0;
+ }
+-static int tc358743_g_mbus_config(struct v4l2_subdev *sd,
+-                           struct v4l2_mbus_config *cfg)
++static int tc358743_get_mbus_config(struct v4l2_subdev *sd,
++                                  unsigned int pad,
++                                  struct v4l2_mbus_config *cfg)
+ {
+       struct tc358743_state *state = to_state(sd);
+       const u32 mask = V4L2_MBUS_CSI2_LANE_MASK;
+@@ -1852,7 +1853,6 @@ static const struct v4l2_subdev_video_op
+       .s_dv_timings = tc358743_s_dv_timings,
+       .g_dv_timings = tc358743_g_dv_timings,
+       .query_dv_timings = tc358743_query_dv_timings,
+-      .g_mbus_config = tc358743_g_mbus_config,
+       .s_stream = tc358743_s_stream,
+ };
+@@ -1864,6 +1864,7 @@ static const struct v4l2_subdev_pad_ops
+       .set_edid = tc358743_s_edid,
+       .enum_dv_timings = tc358743_enum_dv_timings,
+       .dv_timings_cap = tc358743_dv_timings_cap,
++      .get_mbus_config = tc358743_get_mbus_config,
+ };
+ static const struct v4l2_subdev_ops tc358743_ops = {
+--- a/drivers/media/i2c/tvp5150.c
++++ b/drivers/media/i2c/tvp5150.c
+@@ -1104,8 +1104,9 @@ static int tvp5150_get_selection(struct
+       }
+ }
+-static int tvp5150_g_mbus_config(struct v4l2_subdev *sd,
+-                               struct v4l2_mbus_config *cfg)
++static int tvp5150_get_mbus_config(struct v4l2_subdev *sd,
++                                 unsigned int pad,
++                                 struct v4l2_mbus_config *cfg)
+ {
+       struct tvp5150 *decoder = to_tvp5150(sd);
+@@ -1411,7 +1412,6 @@ static const struct v4l2_subdev_video_op
+       .querystd = tvp5150_querystd,
+       .s_stream = tvp5150_s_stream,
+       .s_routing = tvp5150_s_routing,
+-      .g_mbus_config = tvp5150_g_mbus_config,
+ };
+ static const struct v4l2_subdev_vbi_ops tvp5150_vbi_ops = {
+@@ -1429,6 +1429,7 @@ static const struct v4l2_subdev_pad_ops
+       .get_fmt = tvp5150_fill_fmt,
+       .get_selection = tvp5150_get_selection,
+       .set_selection = tvp5150_set_selection,
++      .get_mbus_config = tvp5150_get_mbus_config,
+ };
+ static const struct v4l2_subdev_ops tvp5150_ops = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0797-media-i2c-ov6650-Use-new-get-set-_mbus_config-ops.patch b/target/linux/bcm27xx/patches-5.4/950-0797-media-i2c-ov6650-Use-new-get-set-_mbus_config-ops.patch
new file mode 100644 (file)
index 0000000..d1c0a65
--- /dev/null
@@ -0,0 +1,134 @@
+From 0dd7e12bb7dc81e09440f3330465c31349497dc3 Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo+renesas@jmondi.org>
+Date: Tue, 16 Jun 2020 16:12:38 +0200
+Subject: [PATCH]_mbus_config
+ ops
+
+Upstream https://patchwork.linuxtv.org/patch/64674/
+
+Use the new get_mbus_config and set_mbus_config pad operations in place
+of the video operations currently in use.
+
+Compared to other drivers where the same conversion has been performed,
+ov6650 proved to be a bit more tricky, as the existing g_mbus_config
+implementation did not report the currently applied configuration but
+the set of all possible configuration options.
+
+Adapt the driver to support the semantic of the two newly introduced
+operations:
+- get_mbus_config reports the current media bus configuration
+- set_mbus_config applies only changes explicitly requested and updates
+  the provided cfg parameter to report what has actually been applied to
+  the hardware.
+
+Compile-tested only.
+
+Signed-off-by: Jacopo Mondi <jacopo+renesas@jmondi.org>
+---
+ drivers/media/i2c/ov6650.c | 56 ++++++++++++++++++++++++++------------
+ 1 file changed, 39 insertions(+), 17 deletions(-)
+
+--- a/drivers/media/i2c/ov6650.c
++++ b/drivers/media/i2c/ov6650.c
+@@ -909,46 +909,68 @@ static const struct v4l2_subdev_core_ops
+ };
+ /* Request bus settings on camera side */
+-static int ov6650_g_mbus_config(struct v4l2_subdev *sd,
+-                              struct v4l2_mbus_config *cfg)
++static int ov6650_get_mbus_config(struct v4l2_subdev *sd,
++                                unsigned int pad,
++                                struct v4l2_mbus_config *cfg)
+ {
++      struct i2c_client *client = v4l2_get_subdevdata(sd);
++      u8 comj, comf;
++      int ret;
++
++      ret = ov6650_reg_read(client, REG_COMJ, &comj);
++      if (ret)
++              return ret;
++
++      ret = ov6650_reg_read(client, REG_COMF, &comf);
++      if (ret)
++              return ret;
+-      cfg->flags = V4L2_MBUS_MASTER |
+-              V4L2_MBUS_PCLK_SAMPLE_RISING | V4L2_MBUS_PCLK_SAMPLE_FALLING |
+-              V4L2_MBUS_HSYNC_ACTIVE_HIGH | V4L2_MBUS_HSYNC_ACTIVE_LOW |
+-              V4L2_MBUS_VSYNC_ACTIVE_HIGH | V4L2_MBUS_VSYNC_ACTIVE_LOW |
+-              V4L2_MBUS_DATA_ACTIVE_HIGH;
++      cfg->flags = V4L2_MBUS_MASTER
++                 | ((comj & COMJ_VSYNC_HIGH)  ? V4L2_MBUS_VSYNC_ACTIVE_HIGH
++                                              : V4L2_MBUS_VSYNC_ACTIVE_LOW)
++                 | ((comf & COMF_HREF_LOW)    ? V4L2_MBUS_HSYNC_ACTIVE_LOW
++                                              : V4L2_MBUS_HSYNC_ACTIVE_HIGH)
++                 | ((comj & COMJ_PCLK_RISING) ? V4L2_MBUS_PCLK_SAMPLE_RISING
++                                              : V4L2_MBUS_PCLK_SAMPLE_FALLING);
+       cfg->type = V4L2_MBUS_PARALLEL;
+       return 0;
+ }
+ /* Alter bus settings on camera side */
+-static int ov6650_s_mbus_config(struct v4l2_subdev *sd,
+-                              const struct v4l2_mbus_config *cfg)
++static int ov6650_set_mbus_config(struct v4l2_subdev *sd,
++                                unsigned int pad,
++                                struct v4l2_mbus_config *cfg)
+ {
+       struct i2c_client *client = v4l2_get_subdevdata(sd);
+-      int ret;
++      int ret = 0;
+       if (cfg->flags & V4L2_MBUS_PCLK_SAMPLE_RISING)
+               ret = ov6650_reg_rmw(client, REG_COMJ, COMJ_PCLK_RISING, 0);
+-      else
++      else if (cfg->flags & V4L2_MBUS_PCLK_SAMPLE_FALLING)
+               ret = ov6650_reg_rmw(client, REG_COMJ, 0, COMJ_PCLK_RISING);
+       if (ret)
+-              return ret;
++              goto error;
+       if (cfg->flags & V4L2_MBUS_HSYNC_ACTIVE_LOW)
+               ret = ov6650_reg_rmw(client, REG_COMF, COMF_HREF_LOW, 0);
+-      else
++      else if (cfg->flags & V4L2_MBUS_HSYNC_ACTIVE_HIGH)
+               ret = ov6650_reg_rmw(client, REG_COMF, 0, COMF_HREF_LOW);
+       if (ret)
+-              return ret;
++              goto error;
+       if (cfg->flags & V4L2_MBUS_VSYNC_ACTIVE_HIGH)
+               ret = ov6650_reg_rmw(client, REG_COMJ, COMJ_VSYNC_HIGH, 0);
+-      else
++      else if (cfg->flags & V4L2_MBUS_VSYNC_ACTIVE_LOW)
+               ret = ov6650_reg_rmw(client, REG_COMJ, 0, COMJ_VSYNC_HIGH);
++error:
++      /*
++       * Update the configuration to report what is actually applied to
++       * the hardware.
++       */
++      ov6650_get_mbus_config(sd, pad, cfg);
++
+       return ret;
+ }
+@@ -956,8 +978,6 @@ static const struct v4l2_subdev_video_op
+       .s_stream       = ov6650_s_stream,
+       .g_frame_interval = ov6650_g_frame_interval,
+       .s_frame_interval = ov6650_s_frame_interval,
+-      .g_mbus_config  = ov6650_g_mbus_config,
+-      .s_mbus_config  = ov6650_s_mbus_config,
+ };
+ static const struct v4l2_subdev_pad_ops ov6650_pad_ops = {
+@@ -966,6 +986,8 @@ static const struct v4l2_subdev_pad_ops
+       .set_selection  = ov6650_set_selection,
+       .get_fmt        = ov6650_get_fmt,
+       .set_fmt        = ov6650_set_fmt,
++      .get_mbus_config = ov6650_get_mbus_config,
++      .set_mbus_config = ov6650_set_mbus_config,
+ };
+ static const struct v4l2_subdev_ops ov6650_subdev_ops = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0798-media-pxa_camera-Use-the-new-set_mbus_config-op.patch b/target/linux/bcm27xx/patches-5.4/950-0798-media-pxa_camera-Use-the-new-set_mbus_config-op.patch
new file mode 100644 (file)
index 0000000..d682cf8
--- /dev/null
@@ -0,0 +1,285 @@
+From 63f4970ce038e60455a6225b317d0b259e046c94 Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo+renesas@jmondi.org>
+Date: Tue, 16 Jun 2020 16:12:39 +0200
+Subject: [PATCH] media: pxa_camera: Use the new set_mbus_config op
+
+Upstream https://patchwork.linuxtv.org/patch/64671/
+
+Move the PXA camera driver to use the new set_mbus_config pad operation.
+For this platform the change is not only cosmetic, as the pxa driver is
+currently the only driver in mainline to make use of the g_mbus_config
+and s_mbus_config video operations.
+
+The existing driver semantic is the following:
+- Collect all supported mbus config flags from the remote end
+- Match them with the supported PXA mbus configuration flags
+- If the remote subdevice allows multiple options for for VSYNC, HSYNC
+  and PCLK polarity, use platform data requested settings
+
+The semantic of the new get_mbus_config and set_mbus_config differs from
+the corresponding video ops, particularly in the fact get_mbus_config
+reports the current mbus configuration and not the set of supported
+configuration options, with set_mbus_config always reporting the actual
+mbus configuration applied to the remote subdevice.
+
+Adapt the driver to perform the following
+- Set the remote subdevice mbus configuration according to the PXA
+  platform data preferences.
+- If the applied configuration differs from the requested one (i.e. the
+  remote subdevice does not allow changing one setting) make sure that
+  - The remote end does not claim for DATA_ACTIVE_LOW, which seems not
+    supported by the platform
+  - The bus mastering roles match
+
+While at there remove a few checks performed on the media bus
+configuration at get_format() time as they do not belong there.
+
+Compile-tested only.
+
+Signed-off-by: Jacopo Mondi <jacopo+renesas@jmondi.org>
+---
+ drivers/media/platform/pxa_camera.c | 189 ++++++++--------------------
+ 1 file changed, 51 insertions(+), 138 deletions(-)
+
+--- a/drivers/media/platform/pxa_camera.c
++++ b/drivers/media/platform/pxa_camera.c
+@@ -605,42 +605,6 @@ static const struct pxa_mbus_pixelfmt *p
+       return pxa_mbus_find_fmtdesc(code, mbus_fmt, ARRAY_SIZE(mbus_fmt));
+ }
+-static unsigned int pxa_mbus_config_compatible(const struct v4l2_mbus_config *cfg,
+-                                      unsigned int flags)
+-{
+-      unsigned long common_flags;
+-      bool hsync = true, vsync = true, pclk, data, mode;
+-      bool mipi_lanes, mipi_clock;
+-
+-      common_flags = cfg->flags & flags;
+-
+-      switch (cfg->type) {
+-      case V4L2_MBUS_PARALLEL:
+-              hsync = common_flags & (V4L2_MBUS_HSYNC_ACTIVE_HIGH |
+-                                      V4L2_MBUS_HSYNC_ACTIVE_LOW);
+-              vsync = common_flags & (V4L2_MBUS_VSYNC_ACTIVE_HIGH |
+-                                      V4L2_MBUS_VSYNC_ACTIVE_LOW);
+-              /* fall through */
+-      case V4L2_MBUS_BT656:
+-              pclk = common_flags & (V4L2_MBUS_PCLK_SAMPLE_RISING |
+-                                     V4L2_MBUS_PCLK_SAMPLE_FALLING);
+-              data = common_flags & (V4L2_MBUS_DATA_ACTIVE_HIGH |
+-                                     V4L2_MBUS_DATA_ACTIVE_LOW);
+-              mode = common_flags & (V4L2_MBUS_MASTER | V4L2_MBUS_SLAVE);
+-              return (!hsync || !vsync || !pclk || !data || !mode) ?
+-                      0 : common_flags;
+-      case V4L2_MBUS_CSI2_DPHY:
+-              mipi_lanes = common_flags & V4L2_MBUS_CSI2_LANES;
+-              mipi_clock = common_flags & (V4L2_MBUS_CSI2_NONCONTINUOUS_CLOCK |
+-                                           V4L2_MBUS_CSI2_CONTINUOUS_CLOCK);
+-              return (!mipi_lanes || !mipi_clock) ? 0 : common_flags;
+-      default:
+-              WARN_ON(1);
+-              return -EINVAL;
+-      }
+-      return 0;
+-}
+-
+ /**
+  * struct pxa_camera_format_xlate - match between host and sensor formats
+  * @code: code of a sensor provided format
+@@ -1231,31 +1195,6 @@ static irqreturn_t pxa_camera_irq(int ir
+       return IRQ_HANDLED;
+ }
+-static int test_platform_param(struct pxa_camera_dev *pcdev,
+-                             unsigned char buswidth, unsigned long *flags)
+-{
+-      /*
+-       * Platform specified synchronization and pixel clock polarities are
+-       * only a recommendation and are only used during probing. The PXA270
+-       * quick capture interface supports both.
+-       */
+-      *flags = (pcdev->platform_flags & PXA_CAMERA_MASTER ?
+-                V4L2_MBUS_MASTER : V4L2_MBUS_SLAVE) |
+-              V4L2_MBUS_HSYNC_ACTIVE_HIGH |
+-              V4L2_MBUS_HSYNC_ACTIVE_LOW |
+-              V4L2_MBUS_VSYNC_ACTIVE_HIGH |
+-              V4L2_MBUS_VSYNC_ACTIVE_LOW |
+-              V4L2_MBUS_DATA_ACTIVE_HIGH |
+-              V4L2_MBUS_PCLK_SAMPLE_RISING |
+-              V4L2_MBUS_PCLK_SAMPLE_FALLING;
+-
+-      /* If requested data width is supported by the platform, use it */
+-      if ((1 << (buswidth - 1)) & pcdev->width_flags)
+-              return 0;
+-
+-      return -EINVAL;
+-}
+-
+ static void pxa_camera_setup_cicr(struct pxa_camera_dev *pcdev,
+                                 unsigned long flags, __u32 pixfmt)
+ {
+@@ -1598,99 +1537,78 @@ static int pxa_camera_init_videobuf2(str
+  */
+ static int pxa_camera_set_bus_param(struct pxa_camera_dev *pcdev)
+ {
++      unsigned int bus_width = pcdev->current_fmt->host_fmt->bits_per_sample;
+       struct v4l2_mbus_config cfg = {.type = V4L2_MBUS_PARALLEL,};
+       u32 pixfmt = pcdev->current_fmt->host_fmt->fourcc;
+-      unsigned long bus_flags, common_flags;
++      int mbus_config;
+       int ret;
+-      ret = test_platform_param(pcdev,
+-                                pcdev->current_fmt->host_fmt->bits_per_sample,
+-                                &bus_flags);
+-      if (ret < 0)
+-              return ret;
+-
+-      ret = sensor_call(pcdev, video, g_mbus_config, &cfg);
+-      if (!ret) {
+-              common_flags = pxa_mbus_config_compatible(&cfg,
+-                                                        bus_flags);
+-              if (!common_flags) {
+-                      dev_warn(pcdev_to_dev(pcdev),
+-                               "Flags incompatible: camera 0x%x, host 0x%lx\n",
+-                               cfg.flags, bus_flags);
+-                      return -EINVAL;
+-              }
+-      } else if (ret != -ENOIOCTLCMD) {
+-              return ret;
+-      } else {
+-              common_flags = bus_flags;
++      if (!((1 << (bus_width - 1)) & pcdev->width_flags)) {
++              dev_err(pcdev_to_dev(pcdev), "Unsupported bus width %u",
++                      bus_width);
++              return -EINVAL;
+       }
+       pcdev->channels = 1;
+       /* Make choices, based on platform preferences */
+-      if ((common_flags & V4L2_MBUS_HSYNC_ACTIVE_HIGH) &&
+-          (common_flags & V4L2_MBUS_HSYNC_ACTIVE_LOW)) {
+-              if (pcdev->platform_flags & PXA_CAMERA_HSP)
+-                      common_flags &= ~V4L2_MBUS_HSYNC_ACTIVE_HIGH;
+-              else
+-                      common_flags &= ~V4L2_MBUS_HSYNC_ACTIVE_LOW;
+-      }
+-
+-      if ((common_flags & V4L2_MBUS_VSYNC_ACTIVE_HIGH) &&
+-          (common_flags & V4L2_MBUS_VSYNC_ACTIVE_LOW)) {
+-              if (pcdev->platform_flags & PXA_CAMERA_VSP)
+-                      common_flags &= ~V4L2_MBUS_VSYNC_ACTIVE_HIGH;
+-              else
+-                      common_flags &= ~V4L2_MBUS_VSYNC_ACTIVE_LOW;
+-      }
+-
+-      if ((common_flags & V4L2_MBUS_PCLK_SAMPLE_RISING) &&
+-          (common_flags & V4L2_MBUS_PCLK_SAMPLE_FALLING)) {
+-              if (pcdev->platform_flags & PXA_CAMERA_PCP)
+-                      common_flags &= ~V4L2_MBUS_PCLK_SAMPLE_RISING;
+-              else
+-                      common_flags &= ~V4L2_MBUS_PCLK_SAMPLE_FALLING;
+-      }
+-
+-      cfg.flags = common_flags;
+-      ret = sensor_call(pcdev, video, s_mbus_config, &cfg);
+-      if (ret < 0 && ret != -ENOIOCTLCMD) {
+-              dev_dbg(pcdev_to_dev(pcdev),
+-                      "camera s_mbus_config(0x%lx) returned %d\n",
+-                      common_flags, ret);
+-              return ret;
+-      }
++      mbus_config = 0;
++      if (pcdev->platform_flags & PXA_CAMERA_MASTER)
++              mbus_config |= V4L2_MBUS_MASTER;
++      else
++              mbus_config |= V4L2_MBUS_SLAVE;
+-      pxa_camera_setup_cicr(pcdev, common_flags, pixfmt);
++      if (pcdev->platform_flags & PXA_CAMERA_HSP)
++              mbus_config |= V4L2_MBUS_HSYNC_ACTIVE_HIGH;
++      else
++              mbus_config |= V4L2_MBUS_HSYNC_ACTIVE_LOW;
+-      return 0;
+-}
++      if (pcdev->platform_flags & PXA_CAMERA_VSP)
++              mbus_config |= V4L2_MBUS_VSYNC_ACTIVE_HIGH;
++      else
++              mbus_config |= V4L2_MBUS_VSYNC_ACTIVE_LOW;
+-static int pxa_camera_try_bus_param(struct pxa_camera_dev *pcdev,
+-                                  unsigned char buswidth)
+-{
+-      struct v4l2_mbus_config cfg = {.type = V4L2_MBUS_PARALLEL,};
+-      unsigned long bus_flags, common_flags;
+-      int ret = test_platform_param(pcdev, buswidth, &bus_flags);
++      if (pcdev->platform_flags & PXA_CAMERA_PCP)
++              mbus_config |= V4L2_MBUS_PCLK_SAMPLE_RISING;
++      else
++              mbus_config |= V4L2_MBUS_PCLK_SAMPLE_FALLING;
++      mbus_config |= V4L2_MBUS_DATA_ACTIVE_HIGH;
+-      if (ret < 0)
++      cfg.flags = mbus_config;
++      ret = sensor_call(pcdev, pad, set_mbus_config, 0, &cfg);
++      if (ret < 0 && ret != -ENOIOCTLCMD) {
++              dev_err(pcdev_to_dev(pcdev),
++                      "Failed to call set_mbus_config: %d\n", ret);
+               return ret;
++      }
++
++      /*
++       * If the requested media bus configuration has not been fully applied
++       * make sure it is supported by the platform.
++       *
++       * PXA does not support V4L2_MBUS_DATA_ACTIVE_LOW and the bus mastering
++       * roles should match.
++       */
++      if (cfg.flags != mbus_config) {
++              unsigned int pxa_mbus_role = mbus_config & (V4L2_MBUS_MASTER |
++                                                          V4L2_MBUS_SLAVE);
++              if (pxa_mbus_role != (cfg.flags & (V4L2_MBUS_MASTER |
++                                                 V4L2_MBUS_SLAVE))) {
++                      dev_err(pcdev_to_dev(pcdev),
++                              "Unsupported mbus configuration: bus mastering\n");
++                      return -EINVAL;
++              }
+-      ret = sensor_call(pcdev, video, g_mbus_config, &cfg);
+-      if (!ret) {
+-              common_flags = pxa_mbus_config_compatible(&cfg,
+-                                                        bus_flags);
+-              if (!common_flags) {
+-                      dev_warn(pcdev_to_dev(pcdev),
+-                               "Flags incompatible: camera 0x%x, host 0x%lx\n",
+-                               cfg.flags, bus_flags);
++              if (cfg.flags & V4L2_MBUS_DATA_ACTIVE_LOW) {
++                      dev_err(pcdev_to_dev(pcdev),
++                              "Unsupported mbus configuration: DATA_ACTIVE_LOW\n");
+                       return -EINVAL;
+               }
+-      } else if (ret == -ENOIOCTLCMD) {
+-              ret = 0;
+       }
+-      return ret;
++      pxa_camera_setup_cicr(pcdev, cfg.flags, pixfmt);
++
++      return 0;
+ }
+ static const struct pxa_mbus_pixelfmt pxa_camera_formats[] = {
+@@ -1738,11 +1656,6 @@ static int pxa_camera_get_formats(struct
+               return 0;
+       }
+-      /* This also checks support for the requested bits-per-sample */
+-      ret = pxa_camera_try_bus_param(pcdev, fmt->bits_per_sample);
+-      if (ret < 0)
+-              return 0;
+-
+       switch (code.code) {
+       case MEDIA_BUS_FMT_UYVY8_2X8:
+               formats++;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0799-media-v4l2-subdev-Remove-s-g-_mbus_config-video-ops.patch b/target/linux/bcm27xx/patches-5.4/950-0799-media-v4l2-subdev-Remove-s-g-_mbus_config-video-ops.patch
new file mode 100644 (file)
index 0000000..a7186da
--- /dev/null
@@ -0,0 +1,43 @@
+From 316ebebe8edf42f264a0b2225adc5baa46451415 Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo+renesas@jmondi.org>
+Date: Tue, 16 Jun 2020 16:12:40 +0200
+Subject: [PATCH]_mbus_config video
+ ops
+
+Upstream https://patchwork.linuxtv.org/patch/64670/
+
+With all sensor and platform drivers now converted to use the new
+get_mbus_config and set_mbus_config pad operations, remove the
+deprecated video operations g_mbus_config and s_mbus_config.
+
+Signed-off-by: Jacopo Mondi <jacopo+renesas@jmondi.org>
+---
+ include/media/v4l2-subdev.h | 10 ----------
+ 1 file changed, 10 deletions(-)
+
+--- a/include/media/v4l2-subdev.h
++++ b/include/media/v4l2-subdev.h
+@@ -402,12 +402,6 @@ struct v4l2_mbus_frame_desc {
+  *
+  * @query_dv_timings: callback for VIDIOC_QUERY_DV_TIMINGS() ioctl handler code.
+  *
+- * @g_mbus_config: get supported mediabus configurations
+- *
+- * @s_mbus_config: set a certain mediabus configuration. This operation is added
+- *    for compatibility with soc-camera drivers and should not be used by new
+- *    software.
+- *
+  * @s_rx_buffer: set a host allocated memory buffer for the subdev. The subdev
+  *    can adjust @size to a lower value and must not write more data to the
+  *    buffer starting at @data than the original value of @size.
+@@ -435,10 +429,6 @@ struct v4l2_subdev_video_ops {
+                       struct v4l2_dv_timings *timings);
+       int (*query_dv_timings)(struct v4l2_subdev *sd,
+                       struct v4l2_dv_timings *timings);
+-      int (*g_mbus_config)(struct v4l2_subdev *sd,
+-                           struct v4l2_mbus_config *cfg);
+-      int (*s_mbus_config)(struct v4l2_subdev *sd,
+-                           const struct v4l2_mbus_config *cfg);
+       int (*s_rx_buffer)(struct v4l2_subdev *sd, void *buf,
+                          unsigned int *size);
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0800-staging-media-imx-Update-TODO-entry.patch b/target/linux/bcm27xx/patches-5.4/950-0800-staging-media-imx-Update-TODO-entry.patch
new file mode 100644 (file)
index 0000000..6d4b569
--- /dev/null
@@ -0,0 +1,28 @@
+From 3dff4096a98f9ebb3fbc1ed7275d2d64f299db26 Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo+renesas@jmondi.org>
+Date: Tue, 16 Jun 2020 16:12:41 +0200
+Subject: [PATCH] staging: media: imx: Update TODO entry
+
+Upstream https://patchwork.linuxtv.org/patch/64672/
+
+Update the TODO entry that mentioned a potential use case for the now
+removed g_mbus_config video operation.
+
+Signed-off-by: Jacopo Mondi <jacopo+renesas@jmondi.org>
+---
+ drivers/staging/media/imx/TODO | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/drivers/staging/media/imx/TODO
++++ b/drivers/staging/media/imx/TODO
+@@ -10,6 +10,10 @@
+   driver uses the parsed DT bus config method until this issue is
+   resolved.
++  2020-06: g_mbus has been removed in favour of the get_mbus_config pad
++  operation which should be used to avoid parsing the remote endpoint
++  configuration.
++
+ - This media driver supports inheriting V4L2 controls to the
+   video capture devices, from the subdevices in the capture device's
+   pipeline. The controls for each capture device are updated in the
diff --git a/target/linux/bcm27xx/patches-5.4/950-0801-media-i2c-adv748x-Adjust-TXA-data-lanes-number.patch b/target/linux/bcm27xx/patches-5.4/950-0801-media-i2c-adv748x-Adjust-TXA-data-lanes-number.patch
new file mode 100644 (file)
index 0000000..b4a9753
--- /dev/null
@@ -0,0 +1,123 @@
+From a3fddd6eaaa8740aceeeefea6548d5313412a062 Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo+renesas@jmondi.org>
+Date: Tue, 16 Jun 2020 16:12:42 +0200
+Subject: [PATCH] media: i2c: adv748x: Adjust TXA data lanes number
+MIME-Version: 1.0
+Content-Type: text/plain; charset=UTF-8
+Content-Transfer-Encoding: 8bit
+
+Upstream https://patchwork.linuxtv.org/patch/64673/
+
+When outputting SD-Core output through the TXA MIPI CSI-2 interface,
+the number of enabled data lanes should be reduced in order to guarantee
+that the two video formats produced by the SD-Core (480i and 576i)
+generate a MIPI CSI-2 link clock frequency compatible with the MIPI D-PHY
+specifications.
+
+Limit the number of enabled data lanes to 2, which is guaranteed to
+support 480i and 576i formats.
+
+Cache the number of enabled data lanes to be able to report it through
+the new get_mbus_config operation.
+
+Reviewed-by: Kieran Bingham <kieran.bingham+renesas@ideasonboard.com>
+Reviewed-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Reviewed-by: Niklas Söderlund <niklas.soderlund+renesas@ragnatech.se>
+Signed-off-by: Jacopo Mondi <jacopo+renesas@jmondi.org>
+---
+ drivers/media/i2c/adv748x/adv748x-core.c | 31 ++++++++++++++++++------
+ drivers/media/i2c/adv748x/adv748x.h      |  1 +
+ 2 files changed, 25 insertions(+), 7 deletions(-)
+
+--- a/drivers/media/i2c/adv748x/adv748x-core.c
++++ b/drivers/media/i2c/adv748x/adv748x-core.c
+@@ -241,10 +241,10 @@ static int adv748x_power_up_tx(struct ad
+       int ret = 0;
+       /* Enable n-lane MIPI */
+-      adv748x_write_check(state, page, 0x00, 0x80 | tx->num_lanes, &ret);
++      adv748x_write_check(state, page, 0x00, 0x80 | tx->active_lanes, &ret);
+       /* Set Auto DPHY Timing */
+-      adv748x_write_check(state, page, 0x00, 0xa0 | tx->num_lanes, &ret);
++      adv748x_write_check(state, page, 0x00, 0xa0 | tx->active_lanes, &ret);
+       /* ADI Required Write */
+       if (tx->src == &state->hdmi.sd) {
+@@ -270,7 +270,7 @@ static int adv748x_power_up_tx(struct ad
+       usleep_range(2000, 2500);
+       /* Power-up CSI-TX */
+-      adv748x_write_check(state, page, 0x00, 0x20 | tx->num_lanes, &ret);
++      adv748x_write_check(state, page, 0x00, 0x20 | tx->active_lanes, &ret);
+       usleep_range(1000, 1500);
+       /* ADI Required Writes */
+@@ -292,7 +292,7 @@ static int adv748x_power_down_tx(struct
+       adv748x_write_check(state, page, 0x1e, 0x00, &ret);
+       /* Enable n-lane MIPI */
+-      adv748x_write_check(state, page, 0x00, 0x80 | tx->num_lanes, &ret);
++      adv748x_write_check(state, page, 0x00, 0x80 | tx->active_lanes, &ret);
+       /* i2c_mipi_pll_en - 1'b1 */
+       adv748x_write_check(state, page, 0xda, 0x01, &ret);
+@@ -357,14 +357,29 @@ static int adv748x_link_setup(struct med
+       if (state->afe.tx) {
+               /* AFE Requires TXA enabled, even when output to TXB */
+               io10 |= ADV748X_IO_10_CSI4_EN;
+-              if (is_txa(tx))
++              if (is_txa(tx)) {
++                      /*
++                       * Output from the SD-core (480i and 576i) from the TXA
++                       * interface requires reducing the number of enabled
++                       * data lanes in order to guarantee a valid link
++                       * frequency.
++                       */
++                      tx->active_lanes = min(tx->num_lanes, 2U);
+                       io10 |= ADV748X_IO_10_CSI4_IN_SEL_AFE;
+-              else
++              } else {
++                      /* TXB has a single data lane, no need to adjust. */
+                       io10 |= ADV748X_IO_10_CSI1_EN;
++              }
+       }
+-      if (state->hdmi.tx)
++      if (state->hdmi.tx) {
++              /*
++               * Restore the number of active lanes, in case we have gone
++               * through an AFE->TXA streaming sessions.
++               */
++              tx->active_lanes = tx->num_lanes;
+               io10 |= ADV748X_IO_10_CSI4_EN;
++      }
+       return io_clrset(state, ADV748X_IO_10, io10_mask, io10);
+ }
+@@ -596,6 +611,7 @@ static int adv748x_parse_csi2_lanes(stru
+               }
+               state->txa.num_lanes = num_lanes;
++              state->txa.active_lanes = num_lanes;
+               adv_dbg(state, "TXA: using %u lanes\n", state->txa.num_lanes);
+       }
+@@ -607,6 +623,7 @@ static int adv748x_parse_csi2_lanes(stru
+               }
+               state->txb.num_lanes = num_lanes;
++              state->txb.active_lanes = num_lanes;
+               adv_dbg(state, "TXB: using %u lanes\n", state->txb.num_lanes);
+       }
+--- a/drivers/media/i2c/adv748x/adv748x.h
++++ b/drivers/media/i2c/adv748x/adv748x.h
+@@ -79,6 +79,7 @@ struct adv748x_csi2 {
+       unsigned int page;
+       unsigned int port;
+       unsigned int num_lanes;
++      unsigned int active_lanes;
+       struct media_pad pads[ADV748X_CSI2_NR_PADS];
+       struct v4l2_ctrl_handler ctrl_hdl;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0802-media-i2c-adv748x-Implement-get_mbus_config.patch b/target/linux/bcm27xx/patches-5.4/950-0802-media-i2c-adv748x-Implement-get_mbus_config.patch
new file mode 100644 (file)
index 0000000..19c4d4c
--- /dev/null
@@ -0,0 +1,63 @@
+From 3269627852346852f99244b2650daaa79056b29d Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo+renesas@jmondi.org>
+Date: Tue, 16 Jun 2020 16:12:43 +0200
+Subject: [PATCH] media: i2c: adv748x: Implement get_mbus_config
+MIME-Version: 1.0
+Content-Type: text/plain; charset=UTF-8
+Content-Transfer-Encoding: 8bit
+
+Upstream https://patchwork.linuxtv.org/patch/64676/
+
+Implement the newly introduced get_mbus_config operation to report the
+number of currently used data lanes on the MIPI CSI-2 interface.
+
+Reviewed-by: Kieran Bingham <kieran.bingham+renesas@ideasonboard.com>
+Reviewed-by: Niklas Söderlund <niklas.soderlund+renesas@ragnatech.se>
+Signed-off-by: Jacopo Mondi <jacopo+renesas@jmondi.org>
+---
+ drivers/media/i2c/adv748x/adv748x-csi2.c | 31 ++++++++++++++++++++++++
+ 1 file changed, 31 insertions(+)
+
+--- a/drivers/media/i2c/adv748x/adv748x-csi2.c
++++ b/drivers/media/i2c/adv748x/adv748x-csi2.c
+@@ -214,9 +214,40 @@ unlock:
+       return ret;
+ }
++static int adv748x_csi2_get_mbus_config(struct v4l2_subdev *sd, unsigned int pad,
++                                      struct v4l2_mbus_config *config)
++{
++      struct adv748x_csi2 *tx = adv748x_sd_to_csi2(sd);
++
++      if (pad != ADV748X_CSI2_SOURCE)
++              return -EINVAL;
++
++      config->type = V4L2_MBUS_CSI2_DPHY;
++      switch (tx->active_lanes) {
++      case 1:
++              config->flags = V4L2_MBUS_CSI2_1_LANE;
++              break;
++
++      case 2:
++              config->flags = V4L2_MBUS_CSI2_2_LANE;
++              break;
++
++      case 3:
++              config->flags = V4L2_MBUS_CSI2_3_LANE;
++              break;
++
++      case 4:
++              config->flags = V4L2_MBUS_CSI2_4_LANE;
++              break;
++      }
++
++      return 0;
++}
++
+ static const struct v4l2_subdev_pad_ops adv748x_csi2_pad_ops = {
+       .get_fmt = adv748x_csi2_get_format,
+       .set_fmt = adv748x_csi2_set_format,
++      .get_mbus_config = adv748x_csi2_get_mbus_config,
+ };
+ /* -----------------------------------------------------------------------------
diff --git a/target/linux/bcm27xx/patches-5.4/950-0803-media-rcar-csi2-Negotiate-data-lanes-number.patch b/target/linux/bcm27xx/patches-5.4/950-0803-media-rcar-csi2-Negotiate-data-lanes-number.patch
new file mode 100644 (file)
index 0000000..f54b33e
--- /dev/null
@@ -0,0 +1,159 @@
+From 15221304a23fd99c84a6da4b68dc0e887150d1ee Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo+renesas@jmondi.org>
+Date: Tue, 16 Jun 2020 16:12:44 +0200
+Subject: [PATCH] media: rcar-csi2: Negotiate data lanes number
+
+Upstream https://patchwork.linuxtv.org/patch/64675/
+
+Use the newly introduced get_mbus_config() subdevice pad operation to
+retrieve the remote subdevice MIPI CSI-2 bus configuration and configure
+the number of active data lanes accordingly.
+
+In order to be able to call the remote subdevice operation cache the
+index of the remote pad connected to the single CSI-2 input port.
+
+Signed-off-by: Jacopo Mondi <jacopo+renesas@jmondi.org>
+---
+ drivers/media/platform/rcar-vin/rcar-csi2.c | 74 +++++++++++++++++++--
+ 1 file changed, 67 insertions(+), 7 deletions(-)
+
+--- a/drivers/media/platform/rcar-vin/rcar-csi2.c
++++ b/drivers/media/platform/rcar-vin/rcar-csi2.c
+@@ -362,6 +362,7 @@ struct rcar_csi2 {
+       struct v4l2_async_notifier notifier;
+       struct v4l2_subdev *remote;
++      unsigned int remote_pad;
+       struct v4l2_mbus_framefmt mf;
+@@ -407,13 +408,14 @@ static void rcsi2_exit_standby(struct rc
+       reset_control_deassert(priv->rstc);
+ }
+-static int rcsi2_wait_phy_start(struct rcar_csi2 *priv)
++static int rcsi2_wait_phy_start(struct rcar_csi2 *priv,
++                              unsigned int active_lanes)
+ {
+       unsigned int timeout;
+       /* Wait for the clock and data lanes to enter LP-11 state. */
+       for (timeout = 0; timeout <= 20; timeout++) {
+-              const u32 lane_mask = (1 << priv->lanes) - 1;
++              const u32 lane_mask = (1 << active_lanes) - 1;
+               if ((rcsi2_read(priv, PHCLM_REG) & PHCLM_STOPSTATECKL)  &&
+                   (rcsi2_read(priv, PHDLM_REG) & lane_mask) == lane_mask)
+@@ -445,7 +447,8 @@ static int rcsi2_set_phypll(struct rcar_
+       return 0;
+ }
+-static int rcsi2_calc_mbps(struct rcar_csi2 *priv, unsigned int bpp)
++static int rcsi2_calc_mbps(struct rcar_csi2 *priv, unsigned int bpp,
++                         unsigned int active_lanes)
+ {
+       struct v4l2_subdev *source;
+       struct v4l2_ctrl *ctrl;
+@@ -470,15 +473,63 @@ static int rcsi2_calc_mbps(struct rcar_c
+        * bps = link_freq * 2
+        */
+       mbps = v4l2_ctrl_g_ctrl_int64(ctrl) * bpp;
+-      do_div(mbps, priv->lanes * 1000000);
++      do_div(mbps, active_lanes * 1000000);
+       return mbps;
+ }
++static int rcsi2_config_active_lanes(struct rcar_csi2 *priv,
++                                   unsigned int *active_lanes)
++{
++      struct v4l2_mbus_config mbus_config = { 0 };
++      unsigned int num_lanes = (-1U);
++      int ret;
++
++      *active_lanes = priv->lanes;
++      ret = v4l2_subdev_call(priv->remote, pad, get_mbus_config,
++                             priv->remote_pad, &mbus_config);
++      if (ret == -ENOIOCTLCMD) {
++              dev_dbg(priv->dev, "No remote mbus configuration available\n");
++              return 0;
++      }
++
++      if (ret) {
++              dev_err(priv->dev, "Failed to get remote mbus configuration\n");
++              return ret;
++      }
++
++      if (mbus_config.type != V4L2_MBUS_CSI2_DPHY) {
++              dev_err(priv->dev, "Unsupported media bus type %u\n",
++                      mbus_config.type);
++              return -EINVAL;
++      }
++
++      if (mbus_config.flags & V4L2_MBUS_CSI2_1_LANE)
++              num_lanes = 1;
++      else if (mbus_config.flags & V4L2_MBUS_CSI2_2_LANE)
++              num_lanes = 2;
++      else if (mbus_config.flags & V4L2_MBUS_CSI2_3_LANE)
++              num_lanes = 3;
++      else if (mbus_config.flags & V4L2_MBUS_CSI2_4_LANE)
++              num_lanes = 4;
++
++      if (num_lanes > priv->lanes) {
++              dev_err(priv->dev,
++                      "Unsupported mbus config: too many data lanes %u\n",
++                      num_lanes);
++              return -EINVAL;
++      }
++
++      *active_lanes = num_lanes;
++
++      return 0;
++}
++
+ static int rcsi2_start_receiver(struct rcar_csi2 *priv)
+ {
+       const struct rcar_csi2_format *format;
+       u32 phycnt, vcdt = 0, vcdt2 = 0, fld = 0;
++      unsigned int active_lanes;
+       unsigned int i;
+       int mbps, ret;
+@@ -520,10 +571,18 @@ static int rcsi2_start_receiver(struct r
+                       fld |= FLD_FLD_NUM(1);
+       }
++      /*
++       * Get the number of active data lanes inspecting the remote mbus
++       * configuration.
++       */
++      ret = rcsi2_config_active_lanes(priv, &active_lanes);
++      if (ret)
++              return ret;
++
+       phycnt = PHYCNT_ENABLECLK;
+-      phycnt |= (1 << priv->lanes) - 1;
++      phycnt |= (1 << active_lanes) - 1;
+-      mbps = rcsi2_calc_mbps(priv, format->bpp);
++      mbps = rcsi2_calc_mbps(priv, format->bpp, active_lanes);
+       if (mbps < 0)
+               return mbps;
+@@ -570,7 +629,7 @@ static int rcsi2_start_receiver(struct r
+       rcsi2_write(priv, PHYCNT_REG, phycnt | PHYCNT_SHUTDOWNZ);
+       rcsi2_write(priv, PHYCNT_REG, phycnt | PHYCNT_SHUTDOWNZ | PHYCNT_RSTZ);
+-      ret = rcsi2_wait_phy_start(priv);
++      ret = rcsi2_wait_phy_start(priv, active_lanes);
+       if (ret)
+               return ret;
+@@ -747,6 +806,7 @@ static int rcsi2_notify_bound(struct v4l
+       }
+       priv->remote = subdev;
++      priv->remote_pad = pad;
+       dev_dbg(priv->dev, "Bound %s pad: %d\n", subdev->name, pad);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0804-drivers-media-Remove-the-downstream-version-of-bcm28.patch b/target/linux/bcm27xx/patches-5.4/950-0804-drivers-media-Remove-the-downstream-version-of-bcm28.patch
new file mode 100644 (file)
index 0000000..f4a89a9
--- /dev/null
@@ -0,0 +1,3175 @@
+From c6a423459a233669b280e1a4e4836881d77c9ff0 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 23 Jun 2020 10:05:57 +0100
+Subject: [PATCH] drivers: media: Remove the downstream version of
+ bcm2835-unicam
+
+About to be replaced by the upstream version.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/Kconfig        |   14 -
+ drivers/media/platform/bcm2835/Makefile       |    3 -
+ .../media/platform/bcm2835/bcm2835-unicam.c   | 2873 -----------------
+ .../media/platform/bcm2835/vc4-regs-unicam.h  |  253 --
+ 4 files changed, 3143 deletions(-)
+ delete mode 100644 drivers/media/platform/bcm2835/Kconfig
+ delete mode 100644 drivers/media/platform/bcm2835/Makefile
+ delete mode 100644 drivers/media/platform/bcm2835/bcm2835-unicam.c
+ delete mode 100644 drivers/media/platform/bcm2835/vc4-regs-unicam.h
+
+--- a/drivers/media/platform/bcm2835/Kconfig
++++ /dev/null
+@@ -1,14 +0,0 @@
+-# Broadcom VideoCore4 V4L2 camera support
+-
+-config VIDEO_BCM2835_UNICAM
+-      tristate "Broadcom BCM2835 Unicam video capture driver"
+-      depends on VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API && MEDIA_CONTROLLER
+-      depends on ARCH_BCM2835 || COMPILE_TEST
+-      select VIDEOBUF2_DMA_CONTIG
+-      select V4L2_FWNODE
+-      help
+-        Say Y here to enable V4L2 subdevice for CSI2 receiver.
+-        This is a V4L2 subdevice that interfaces directly to the VC4 peripheral.
+-
+-         To compile this driver as a module, choose M here. The module
+-         will be called bcm2835-unicam.
+--- a/drivers/media/platform/bcm2835/Makefile
++++ /dev/null
+@@ -1,3 +0,0 @@
+-# Makefile for BCM2835 Unicam driver
+-
+-obj-$(CONFIG_VIDEO_BCM2835_UNICAM) += bcm2835-unicam.o
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ /dev/null
+@@ -1,2873 +0,0 @@
+-// SPDX-License-Identifier: GPL-2.0-only
+-/*
+- * BCM2835 Unicam Capture Driver
+- *
+- * Copyright (C) 2017-2020 - Raspberry Pi (Trading) Ltd.
+- *
+- * Dave Stevenson <dave.stevenson@raspberrypi.com>
+- *
+- * Based on TI am437x driver by
+- *   Benoit Parrot <bparrot@ti.com>
+- *   Lad, Prabhakar <prabhakar.csengg@gmail.com>
+- *
+- * and TI CAL camera interface driver by
+- *    Benoit Parrot <bparrot@ti.com>
+- *
+- *
+- * There are two camera drivers in the kernel for BCM283x - this one
+- * and bcm2835-camera (currently in staging).
+- *
+- * This driver directly controls the Unicam peripheral - there is no
+- * involvement with the VideoCore firmware. Unicam receives CSI-2 or
+- * CCP2 data and writes it into SDRAM.
+- * The only potential processing options are to repack Bayer data into an
+- * alternate format, and applying windowing.
+- * The repacking does not shift the data, so can repack V4L2_PIX_FMT_Sxxxx10P
+- * to V4L2_PIX_FMT_Sxxxx10, or V4L2_PIX_FMT_Sxxxx12P to V4L2_PIX_FMT_Sxxxx12,
+- * but not generically up to V4L2_PIX_FMT_Sxxxx16. The driver will add both
+- * formats where the relevant formats are defined, and will automatically
+- * configure the repacking as required.
+- * Support for windowing may be added later.
+- *
+- * It should be possible to connect this driver to any sensor with a
+- * suitable output interface and V4L2 subdevice driver.
+- *
+- * bcm2835-camera uses the VideoCore firmware to control the sensor,
+- * Unicam, ISP, and all tuner control loops. Fully processed frames are
+- * delivered to the driver by the firmware. It only has sensor drivers
+- * for Omnivision OV5647, and Sony IMX219 sensors.
+- *
+- * The two drivers are mutually exclusive for the same Unicam instance.
+- * The VideoCore firmware checks the device tree configuration during boot.
+- * If it finds device tree nodes called csi0 or csi1 it will block the
+- * firmware from accessing the peripheral, and bcm2835-camera will
+- * not be able to stream data.
+- */
+-
+-#include <linux/clk.h>
+-#include <linux/delay.h>
+-#include <linux/device.h>
+-#include <linux/dma-mapping.h>
+-#include <linux/err.h>
+-#include <linux/init.h>
+-#include <linux/interrupt.h>
+-#include <linux/io.h>
+-#include <linux/module.h>
+-#include <linux/of_device.h>
+-#include <linux/of_graph.h>
+-#include <linux/pinctrl/consumer.h>
+-#include <linux/platform_device.h>
+-#include <linux/pm_runtime.h>
+-#include <linux/slab.h>
+-#include <linux/uaccess.h>
+-#include <linux/videodev2.h>
+-
+-#include <media/v4l2-common.h>
+-#include <media/v4l2-ctrls.h>
+-#include <media/v4l2-dev.h>
+-#include <media/v4l2-device.h>
+-#include <media/v4l2-dv-timings.h>
+-#include <media/v4l2-event.h>
+-#include <media/v4l2-ioctl.h>
+-#include <media/v4l2-fwnode.h>
+-#include <media/videobuf2-dma-contig.h>
+-
+-#include "vc4-regs-unicam.h"
+-
+-#define UNICAM_MODULE_NAME    "unicam"
+-#define UNICAM_VERSION                "0.1.0"
+-
+-static int debug;
+-module_param(debug, int, 0644);
+-MODULE_PARM_DESC(debug, "Debug level 0-3");
+-
+-#define unicam_dbg(level, dev, fmt, arg...)   \
+-              v4l2_dbg(level, debug, &(dev)->v4l2_dev, fmt, ##arg)
+-#define unicam_info(dev, fmt, arg...) \
+-              v4l2_info(&(dev)->v4l2_dev, fmt, ##arg)
+-#define unicam_err(dev, fmt, arg...)  \
+-              v4l2_err(&(dev)->v4l2_dev, fmt, ##arg)
+-
+-/* To protect against a dodgy sensor driver never returning an error from
+- * enum_mbus_code, set a maximum index value to be used.
+- */
+-#define MAX_ENUM_MBUS_CODE    128
+-
+-/*
+- * Stride is a 16 bit register, but also has to be a multiple of 32.
+- */
+-#define BPL_ALIGNMENT         32
+-#define MAX_BYTESPERLINE      ((1 << 16) - BPL_ALIGNMENT)
+-/*
+- * Max width is therefore determined by the max stride divided by
+- * the number of bits per pixel. Take 32bpp as a
+- * worst case.
+- * No imposed limit on the height, so adopt a square image for want
+- * of anything better.
+- */
+-#define MAX_WIDTH     (MAX_BYTESPERLINE / 4)
+-#define MAX_HEIGHT    MAX_WIDTH
+-/* Define a nominal minimum image size */
+-#define MIN_WIDTH     16
+-#define MIN_HEIGHT    16
+-/* Default size of the embedded buffer */
+-#define UNICAM_EMBEDDED_SIZE  8192
+-
+-/*
+- * Size of the dummy buffer. Can be any size really, but the DMA
+- * allocation works in units of page sizes.
+- */
+-#define DUMMY_BUF_SIZE        (PAGE_SIZE)
+-
+-enum pad_types {
+-      IMAGE_PAD,
+-      METADATA_PAD,
+-      MAX_NODES
+-};
+-
+-/*
+- * struct unicam_fmt - Unicam media bus format information
+- * @pixelformat: V4L2 pixel format FCC identifier. 0 if n/a.
+- * @repacked_fourcc: V4L2 pixel format FCC identifier if the data is expanded
+- * out to 16bpp. 0 if n/a.
+- * @code: V4L2 media bus format code.
+- * @depth: Bits per pixel as delivered from the source.
+- * @csi_dt: CSI data type.
+- * @check_variants: Flag to denote that there are multiple mediabus formats
+- *            still in the list that could match this V4L2 format.
+- */
+-struct unicam_fmt {
+-      u32     fourcc;
+-      u32     repacked_fourcc;
+-      u32     code;
+-      u8      depth;
+-      u8      csi_dt;
+-      u8      check_variants;
+-};
+-
+-static const struct unicam_fmt formats[] = {
+-      /* YUV Formats */
+-      {
+-              .fourcc         = V4L2_PIX_FMT_YUYV,
+-              .code           = MEDIA_BUS_FMT_YUYV8_2X8,
+-              .depth          = 16,
+-              .csi_dt         = 0x1e,
+-              .check_variants = 1,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_UYVY,
+-              .code           = MEDIA_BUS_FMT_UYVY8_2X8,
+-              .depth          = 16,
+-              .csi_dt         = 0x1e,
+-              .check_variants = 1,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_YVYU,
+-              .code           = MEDIA_BUS_FMT_YVYU8_2X8,
+-              .depth          = 16,
+-              .csi_dt         = 0x1e,
+-              .check_variants = 1,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_VYUY,
+-              .code           = MEDIA_BUS_FMT_VYUY8_2X8,
+-              .depth          = 16,
+-              .csi_dt         = 0x1e,
+-              .check_variants = 1,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_YUYV,
+-              .code           = MEDIA_BUS_FMT_YUYV8_1X16,
+-              .depth          = 16,
+-              .csi_dt         = 0x1e,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_UYVY,
+-              .code           = MEDIA_BUS_FMT_UYVY8_1X16,
+-              .depth          = 16,
+-              .csi_dt         = 0x1e,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_YVYU,
+-              .code           = MEDIA_BUS_FMT_YVYU8_1X16,
+-              .depth          = 16,
+-              .csi_dt         = 0x1e,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_VYUY,
+-              .code           = MEDIA_BUS_FMT_VYUY8_1X16,
+-              .depth          = 16,
+-              .csi_dt         = 0x1e,
+-      }, {
+-      /* RGB Formats */
+-              .fourcc         = V4L2_PIX_FMT_RGB565, /* gggbbbbb rrrrrggg */
+-              .code           = MEDIA_BUS_FMT_RGB565_2X8_LE,
+-              .depth          = 16,
+-              .csi_dt         = 0x22,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_RGB565X, /* rrrrrggg gggbbbbb */
+-              .code           = MEDIA_BUS_FMT_RGB565_2X8_BE,
+-              .depth          = 16,
+-              .csi_dt         = 0x22
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_RGB555, /* gggbbbbb arrrrrgg */
+-              .code           = MEDIA_BUS_FMT_RGB555_2X8_PADHI_LE,
+-              .depth          = 16,
+-              .csi_dt         = 0x21,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_RGB555X, /* arrrrrgg gggbbbbb */
+-              .code           = MEDIA_BUS_FMT_RGB555_2X8_PADHI_BE,
+-              .depth          = 16,
+-              .csi_dt         = 0x21,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_RGB24, /* rgb */
+-              .code           = MEDIA_BUS_FMT_RGB888_1X24,
+-              .depth          = 24,
+-              .csi_dt         = 0x24,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_BGR24, /* bgr */
+-              .code           = MEDIA_BUS_FMT_BGR888_1X24,
+-              .depth          = 24,
+-              .csi_dt         = 0x24,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_RGB32, /* argb */
+-              .code           = MEDIA_BUS_FMT_ARGB8888_1X32,
+-              .depth          = 32,
+-              .csi_dt         = 0x0,
+-      }, {
+-      /* Bayer Formats */
+-              .fourcc         = V4L2_PIX_FMT_SBGGR8,
+-              .code           = MEDIA_BUS_FMT_SBGGR8_1X8,
+-              .depth          = 8,
+-              .csi_dt         = 0x2a,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SGBRG8,
+-              .code           = MEDIA_BUS_FMT_SGBRG8_1X8,
+-              .depth          = 8,
+-              .csi_dt         = 0x2a,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SGRBG8,
+-              .code           = MEDIA_BUS_FMT_SGRBG8_1X8,
+-              .depth          = 8,
+-              .csi_dt         = 0x2a,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SRGGB8,
+-              .code           = MEDIA_BUS_FMT_SRGGB8_1X8,
+-              .depth          = 8,
+-              .csi_dt         = 0x2a,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SBGGR10P,
+-              .repacked_fourcc = V4L2_PIX_FMT_SBGGR10,
+-              .code           = MEDIA_BUS_FMT_SBGGR10_1X10,
+-              .depth          = 10,
+-              .csi_dt         = 0x2b,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SGBRG10P,
+-              .repacked_fourcc = V4L2_PIX_FMT_SGBRG10,
+-              .code           = MEDIA_BUS_FMT_SGBRG10_1X10,
+-              .depth          = 10,
+-              .csi_dt         = 0x2b,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SGRBG10P,
+-              .repacked_fourcc = V4L2_PIX_FMT_SGRBG10,
+-              .code           = MEDIA_BUS_FMT_SGRBG10_1X10,
+-              .depth          = 10,
+-              .csi_dt         = 0x2b,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SRGGB10P,
+-              .repacked_fourcc = V4L2_PIX_FMT_SRGGB10,
+-              .code           = MEDIA_BUS_FMT_SRGGB10_1X10,
+-              .depth          = 10,
+-              .csi_dt         = 0x2b,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SBGGR12P,
+-              .repacked_fourcc = V4L2_PIX_FMT_SBGGR12,
+-              .code           = MEDIA_BUS_FMT_SBGGR12_1X12,
+-              .depth          = 12,
+-              .csi_dt         = 0x2c,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SGBRG12P,
+-              .repacked_fourcc = V4L2_PIX_FMT_SGBRG12,
+-              .code           = MEDIA_BUS_FMT_SGBRG12_1X12,
+-              .depth          = 12,
+-              .csi_dt         = 0x2c,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SGRBG12P,
+-              .repacked_fourcc = V4L2_PIX_FMT_SGRBG12,
+-              .code           = MEDIA_BUS_FMT_SGRBG12_1X12,
+-              .depth          = 12,
+-              .csi_dt         = 0x2c,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SRGGB12P,
+-              .repacked_fourcc = V4L2_PIX_FMT_SRGGB12,
+-              .code           = MEDIA_BUS_FMT_SRGGB12_1X12,
+-              .depth          = 12,
+-              .csi_dt         = 0x2c,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SBGGR14P,
+-              .code           = MEDIA_BUS_FMT_SBGGR14_1X14,
+-              .depth          = 14,
+-              .csi_dt         = 0x2d,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SGBRG14P,
+-              .code           = MEDIA_BUS_FMT_SGBRG14_1X14,
+-              .depth          = 14,
+-              .csi_dt         = 0x2d,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SGRBG14P,
+-              .code           = MEDIA_BUS_FMT_SGRBG14_1X14,
+-              .depth          = 14,
+-              .csi_dt         = 0x2d,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_SRGGB14P,
+-              .code           = MEDIA_BUS_FMT_SRGGB14_1X14,
+-              .depth          = 14,
+-              .csi_dt         = 0x2d,
+-      }, {
+-      /*
+-       * 16 bit Bayer formats could be supported, but there is no CSI2
+-       * data_type defined for raw 16, and no sensors that produce it at
+-       * present.
+-       */
+-
+-      /* Greyscale formats */
+-              .fourcc         = V4L2_PIX_FMT_GREY,
+-              .code           = MEDIA_BUS_FMT_Y8_1X8,
+-              .depth          = 8,
+-              .csi_dt         = 0x2a,
+-      }, {
+-              .fourcc         = V4L2_PIX_FMT_Y10P,
+-              .repacked_fourcc = V4L2_PIX_FMT_Y10,
+-              .code           = MEDIA_BUS_FMT_Y10_1X10,
+-              .depth          = 10,
+-              .csi_dt         = 0x2b,
+-      }, {
+-              /* NB There is no packed V4L2 fourcc for this format. */
+-              .repacked_fourcc = V4L2_PIX_FMT_Y12,
+-              .code           = MEDIA_BUS_FMT_Y12_1X12,
+-              .depth          = 12,
+-              .csi_dt         = 0x2c,
+-      },
+-      /* Embedded data format */
+-      {
+-              .fourcc         = V4L2_META_FMT_SENSOR_DATA,
+-              .code           = MEDIA_BUS_FMT_SENSOR_DATA,
+-              .depth          = 8,
+-      }
+-};
+-
+-struct unicam_dmaqueue {
+-      struct list_head        active;
+-};
+-
+-struct unicam_buffer {
+-      struct vb2_v4l2_buffer vb;
+-      struct list_head list;
+-};
+-
+-struct unicam_cfg {
+-      /* peripheral base address */
+-      void __iomem *base;
+-      /* clock gating base address */
+-      void __iomem *clk_gate_base;
+-};
+-
+-#define MAX_POSSIBLE_PIX_FMTS (ARRAY_SIZE(formats))
+-
+-struct unicam_node {
+-      int registered;
+-      int open;
+-      int streaming;
+-      unsigned int pad_id;
+-      /* Pointer pointing to current v4l2_buffer */
+-      struct unicam_buffer *cur_frm;
+-      /* Pointer pointing to next v4l2_buffer */
+-      struct unicam_buffer *next_frm;
+-      /* video capture */
+-      const struct unicam_fmt *fmt;
+-      /* Used to store current pixel format */
+-      struct v4l2_format v_fmt;
+-      /* Used to store current mbus frame format */
+-      struct v4l2_mbus_framefmt m_fmt;
+-      /* Buffer queue used in video-buf */
+-      struct vb2_queue buffer_queue;
+-      /* Queue of filled frames */
+-      struct unicam_dmaqueue dma_queue;
+-      /* IRQ lock for DMA queue */
+-      spinlock_t dma_queue_lock;
+-      /* lock used to access this structure */
+-      struct mutex lock;
+-      /* Identifies video device for this channel */
+-      struct video_device video_dev;
+-      /* Pointer to the parent handle */
+-      struct unicam_device *dev;
+-      struct media_pad pad;
+-      struct v4l2_ctrl_handler ctrl_handler;
+-      unsigned int embedded_lines;
+-      /*
+-       * Dummy buffer intended to be used by unicam
+-       * if we have no other queued buffers to swap to.
+-       */
+-      void *dummy_buf_cpu_addr;
+-      dma_addr_t dummy_buf_dma_addr;
+-};
+-
+-struct unicam_device {
+-      /* V4l2 specific parameters */
+-
+-      struct v4l2_fwnode_endpoint endpoint;
+-
+-      struct v4l2_async_subdev asd;
+-
+-      /* unicam cfg */
+-      struct unicam_cfg cfg;
+-      /* clock handle */
+-      struct clk *clock;
+-      /* V4l2 device */
+-      struct v4l2_device v4l2_dev;
+-      struct media_device mdev;
+-
+-      /* parent device */
+-      struct platform_device *pdev;
+-      /* subdevice async Notifier */
+-      struct v4l2_async_notifier notifier;
+-      unsigned int sequence;
+-
+-      /* ptr to  sub device */
+-      struct v4l2_subdev *sensor;
+-      /* Pad config for the sensor */
+-      struct v4l2_subdev_pad_config *sensor_config;
+-
+-      unsigned int virtual_channel;
+-      enum v4l2_mbus_type bus_type;
+-      /*
+-       * Stores bus.mipi_csi2.flags for CSI2 sensors, or
+-       * bus.mipi_csi1.strobe for CCP2.
+-       */
+-      unsigned int bus_flags;
+-      unsigned int max_data_lanes;
+-      unsigned int active_data_lanes;
+-      bool sensor_embedded_data;
+-
+-      struct unicam_node node[MAX_NODES];
+-};
+-
+-/* Hardware access */
+-#define clk_write(dev, val) writel((val) | 0x5a000000, (dev)->clk_gate_base)
+-#define clk_read(dev) readl((dev)->clk_gate_base)
+-
+-#define reg_read(dev, offset) readl((dev)->base + (offset))
+-#define reg_write(dev, offset, val) writel(val, (dev)->base + (offset))
+-
+-#define reg_read_field(dev, offset, mask) get_field(reg_read((dev), (offset), \
+-                                                  mask))
+-
+-static inline int get_field(u32 value, u32 mask)
+-{
+-      return (value & mask) >> __ffs(mask);
+-}
+-
+-static inline void set_field(u32 *valp, u32 field, u32 mask)
+-{
+-      u32 val = *valp;
+-
+-      val &= ~mask;
+-      val |= (field << __ffs(mask)) & mask;
+-      *valp = val;
+-}
+-
+-static inline void reg_write_field(struct unicam_cfg *dev, u32 offset,
+-                                 u32 field, u32 mask)
+-{
+-      u32 val = reg_read((dev), (offset));
+-
+-      set_field(&val, field, mask);
+-      reg_write((dev), (offset), val);
+-}
+-
+-/* Power management functions */
+-static inline int unicam_runtime_get(struct unicam_device *dev)
+-{
+-      return pm_runtime_get_sync(&dev->pdev->dev);
+-}
+-
+-static inline void unicam_runtime_put(struct unicam_device *dev)
+-{
+-      pm_runtime_put_sync(&dev->pdev->dev);
+-}
+-
+-/* Format setup functions */
+-static const struct unicam_fmt *find_format_by_code(u32 code)
+-{
+-      unsigned int i;
+-
+-      for (i = 0; i < ARRAY_SIZE(formats); i++) {
+-              if (formats[i].code == code)
+-                      return &formats[i];
+-      }
+-
+-      return NULL;
+-}
+-
+-static int check_mbus_format(struct unicam_device *dev,
+-                           const struct unicam_fmt *format)
+-{
+-      struct v4l2_subdev_mbus_code_enum mbus_code;
+-      int ret = 0;
+-      int i;
+-
+-      for (i = 0; !ret && i < MAX_ENUM_MBUS_CODE; i++) {
+-              memset(&mbus_code, 0, sizeof(mbus_code));
+-              mbus_code.index = i;
+-              mbus_code.pad = IMAGE_PAD;
+-              mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
+-
+-              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code,
+-                                     NULL, &mbus_code);
+-
+-              if (!ret && mbus_code.code == format->code)
+-                      return 1;
+-      }
+-
+-      return 0;
+-}
+-
+-static const struct unicam_fmt *find_format_by_pix(struct unicam_device *dev,
+-                                                 u32 pixelformat)
+-{
+-      unsigned int i;
+-
+-      for (i = 0; i < ARRAY_SIZE(formats); i++) {
+-              if (formats[i].fourcc == pixelformat ||
+-                  formats[i].repacked_fourcc == pixelformat) {
+-                      if (formats[i].check_variants &&
+-                          !check_mbus_format(dev, &formats[i]))
+-                              continue;
+-                      return &formats[i];
+-              }
+-      }
+-
+-      return NULL;
+-}
+-
+-static inline unsigned int bytes_per_line(u32 width,
+-                                        const struct unicam_fmt *fmt,
+-                                        u32 v4l2_fourcc)
+-{
+-      if (v4l2_fourcc == fmt->repacked_fourcc)
+-              /* Repacking always goes to 16bpp */
+-              return ALIGN(width << 1, BPL_ALIGNMENT);
+-      else
+-              return ALIGN((width * fmt->depth) >> 3, BPL_ALIGNMENT);
+-}
+-
+-static int __subdev_get_format(struct unicam_device *dev,
+-                             struct v4l2_mbus_framefmt *fmt, int pad_id)
+-{
+-      struct v4l2_subdev_format sd_fmt = {
+-              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
+-              .pad = pad_id
+-      };
+-      int ret;
+-
+-      ret = v4l2_subdev_call(dev->sensor, pad, get_fmt, dev->sensor_config,
+-                             &sd_fmt);
+-      if (ret < 0)
+-              return ret;
+-
+-      *fmt = sd_fmt.format;
+-
+-      unicam_dbg(1, dev, "%s %dx%d code:%04x\n", __func__,
+-                 fmt->width, fmt->height, fmt->code);
+-
+-      return 0;
+-}
+-
+-static int __subdev_set_format(struct unicam_device *dev,
+-                             struct v4l2_mbus_framefmt *fmt, int pad_id)
+-{
+-      struct v4l2_subdev_format sd_fmt = {
+-              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
+-              .pad = pad_id
+-      };
+-      int ret;
+-
+-      sd_fmt.format = *fmt;
+-
+-      ret = v4l2_subdev_call(dev->sensor, pad, set_fmt, dev->sensor_config,
+-                             &sd_fmt);
+-      if (ret < 0)
+-              return ret;
+-
+-      if (pad_id == IMAGE_PAD)
+-              unicam_dbg(1, dev, "%s %dx%d code:%04x\n", __func__, fmt->width,
+-                         fmt->height, fmt->code);
+-      else
+-              unicam_dbg(1, dev, "%s Embedded data code:%04x\n", __func__,
+-                         sd_fmt.format.code);
+-
+-      return 0;
+-}
+-
+-static int unicam_calc_format_size_bpl(struct unicam_device *dev,
+-                                     const struct unicam_fmt *fmt,
+-                                     struct v4l2_format *f)
+-{
+-      unsigned int min_bytesperline;
+-
+-      v4l_bound_align_image(&f->fmt.pix.width, MIN_WIDTH, MAX_WIDTH, 2,
+-                            &f->fmt.pix.height, MIN_HEIGHT, MAX_HEIGHT, 0,
+-                            0);
+-
+-      min_bytesperline = bytes_per_line(f->fmt.pix.width, fmt,
+-                                        f->fmt.pix.pixelformat);
+-
+-      if (f->fmt.pix.bytesperline > min_bytesperline &&
+-          f->fmt.pix.bytesperline <= MAX_BYTESPERLINE)
+-              f->fmt.pix.bytesperline = ALIGN(f->fmt.pix.bytesperline,
+-                                              BPL_ALIGNMENT);
+-      else
+-              f->fmt.pix.bytesperline = min_bytesperline;
+-
+-      f->fmt.pix.sizeimage = f->fmt.pix.height * f->fmt.pix.bytesperline;
+-
+-      unicam_dbg(3, dev, "%s: fourcc: %08X size: %dx%d bpl:%d img_size:%d\n",
+-                 __func__,
+-                 f->fmt.pix.pixelformat,
+-                 f->fmt.pix.width, f->fmt.pix.height,
+-                 f->fmt.pix.bytesperline, f->fmt.pix.sizeimage);
+-
+-      return 0;
+-}
+-
+-static int unicam_reset_format(struct unicam_node *node)
+-{
+-      struct unicam_device *dev = node->dev;
+-      struct v4l2_mbus_framefmt mbus_fmt;
+-      int ret;
+-
+-      if (dev->sensor_embedded_data || node->pad_id != METADATA_PAD) {
+-              ret = __subdev_get_format(dev, &mbus_fmt, node->pad_id);
+-              if (ret) {
+-                      unicam_err(dev, "Failed to get_format - ret %d\n", ret);
+-                      return ret;
+-              }
+-
+-              if (mbus_fmt.code != node->fmt->code) {
+-                      unicam_err(dev, "code mismatch - fmt->code %08x, mbus_fmt.code %08x\n",
+-                                 node->fmt->code, mbus_fmt.code);
+-                      return ret;
+-              }
+-      }
+-
+-      if (node->pad_id == IMAGE_PAD) {
+-              v4l2_fill_pix_format(&node->v_fmt.fmt.pix, &mbus_fmt);
+-              node->v_fmt.type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
+-              unicam_calc_format_size_bpl(dev, node->fmt, &node->v_fmt);
+-      } else {
+-              node->v_fmt.type = V4L2_BUF_TYPE_META_CAPTURE;
+-              node->v_fmt.fmt.meta.dataformat = V4L2_META_FMT_SENSOR_DATA;
+-              if (dev->sensor_embedded_data) {
+-                      node->v_fmt.fmt.meta.buffersize =
+-                                      mbus_fmt.width * mbus_fmt.height;
+-                      node->embedded_lines = mbus_fmt.height;
+-              } else {
+-                      node->v_fmt.fmt.meta.buffersize = UNICAM_EMBEDDED_SIZE;
+-                      node->embedded_lines = 1;
+-              }
+-      }
+-
+-      node->m_fmt = mbus_fmt;
+-      return 0;
+-}
+-
+-static void unicam_wr_dma_addr(struct unicam_cfg *cfg, dma_addr_t dmaaddr,
+-                             unsigned int buffer_size, int pad_id)
+-{
+-      dma_addr_t endaddr = dmaaddr + buffer_size;
+-
+-      /*
+-       * dmaaddr and endaddr should be a 32-bit address with the top two bits
+-       * set to 0x3 to signify uncached access through the Videocore memory
+-       * controller.
+-       */
+-      BUG_ON((dmaaddr >> 30) != 0x3 && (endaddr >> 30) != 0x3);
+-
+-      if (pad_id == IMAGE_PAD) {
+-              reg_write(cfg, UNICAM_IBSA0, dmaaddr);
+-              reg_write(cfg, UNICAM_IBEA0, endaddr);
+-      } else {
+-              reg_write(cfg, UNICAM_DBSA0, dmaaddr);
+-              reg_write(cfg, UNICAM_DBEA0, endaddr);
+-      }
+-}
+-
+-static inline unsigned int unicam_get_lines_done(struct unicam_device *dev)
+-{
+-      dma_addr_t start_addr, cur_addr;
+-      unsigned int stride = dev->node[IMAGE_PAD].v_fmt.fmt.pix.bytesperline;
+-      struct unicam_buffer *frm = dev->node[IMAGE_PAD].cur_frm;
+-
+-      if (!frm)
+-              return 0;
+-
+-      start_addr = vb2_dma_contig_plane_dma_addr(&frm->vb.vb2_buf, 0);
+-      cur_addr = reg_read(&dev->cfg, UNICAM_IBWP);
+-      return (unsigned int)(cur_addr - start_addr) / stride;
+-}
+-
+-static inline void unicam_schedule_next_buffer(struct unicam_node *node)
+-{
+-      struct unicam_device *dev = node->dev;
+-      struct unicam_dmaqueue *dma_q = &node->dma_queue;
+-      struct unicam_buffer *buf;
+-      unsigned int size;
+-      dma_addr_t addr;
+-
+-      buf = list_entry(dma_q->active.next, struct unicam_buffer, list);
+-      node->next_frm = buf;
+-      list_del(&buf->list);
+-
+-      addr = vb2_dma_contig_plane_dma_addr(&buf->vb.vb2_buf, 0);
+-      size = (node->pad_id == IMAGE_PAD) ?
+-                      dev->node[IMAGE_PAD].v_fmt.fmt.pix.sizeimage :
+-                      dev->node[METADATA_PAD].v_fmt.fmt.meta.buffersize;
+-
+-      unicam_wr_dma_addr(&dev->cfg, addr, size, node->pad_id);
+-}
+-
+-static inline void unicam_schedule_dummy_buffer(struct unicam_node *node)
+-{
+-      struct unicam_device *dev = node->dev;
+-      dma_addr_t addr = node->dummy_buf_dma_addr;
+-
+-      unicam_dbg(3, dev, "Scheduling dummy buffer for node %d\n",
+-                 node->pad_id);
+-
+-      unicam_wr_dma_addr(&dev->cfg, addr, DUMMY_BUF_SIZE, node->pad_id);
+-      node->next_frm = NULL;
+-}
+-
+-static inline void unicam_process_buffer_complete(struct unicam_node *node,
+-                                                unsigned int sequence)
+-{
+-      node->cur_frm->vb.field = node->m_fmt.field;
+-      node->cur_frm->vb.sequence = sequence;
+-
+-      vb2_buffer_done(&node->cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
+-}
+-
+-static int unicam_num_nodes_streaming(struct unicam_device *dev)
+-{
+-      return dev->node[IMAGE_PAD].streaming +
+-             dev->node[METADATA_PAD].streaming;
+-}
+-
+-static int unicam_all_nodes_streaming(struct unicam_device *dev)
+-{
+-      int ret;
+-
+-      ret = dev->node[IMAGE_PAD].open && dev->node[IMAGE_PAD].streaming;
+-      ret &= !dev->node[METADATA_PAD].open ||
+-             dev->node[METADATA_PAD].streaming;
+-      return ret;
+-}
+-
+-static void unicam_queue_event_sof(struct unicam_device *unicam)
+-{
+-      struct v4l2_event event = {
+-              .type = V4L2_EVENT_FRAME_SYNC,
+-              .u.frame_sync.frame_sequence = unicam->sequence,
+-      };
+-
+-      v4l2_event_queue(&unicam->node[IMAGE_PAD].video_dev, &event);
+-}
+-
+-/*
+- * unicam_isr : ISR handler for unicam capture
+- * @irq: irq number
+- * @dev_id: dev_id ptr
+- *
+- * It changes status of the captured buffer, takes next buffer from the queue
+- * and sets its address in unicam registers
+- */
+-static irqreturn_t unicam_isr(int irq, void *dev)
+-{
+-      struct unicam_device *unicam = (struct unicam_device *)dev;
+-      struct unicam_cfg *cfg = &unicam->cfg;
+-      unsigned int lines_done = unicam_get_lines_done(dev);
+-      unsigned int sequence = unicam->sequence;
+-      int num_nodes_streaming = unicam_num_nodes_streaming(dev);
+-      int ista, sta;
+-      u64 ts;
+-      int i;
+-
+-      sta = reg_read(cfg, UNICAM_STA);
+-      /* Write value back to clear the interrupts */
+-      reg_write(cfg, UNICAM_STA, sta);
+-
+-      ista = reg_read(cfg, UNICAM_ISTA);
+-      /* Write value back to clear the interrupts */
+-      reg_write(cfg, UNICAM_ISTA, ista);
+-
+-      unicam_dbg(3, unicam, "ISR: ISTA: 0x%X, STA: 0x%X, sequence %d, lines done %d",
+-                 ista, sta, sequence, lines_done);
+-
+-      if (!(sta && (UNICAM_IS | UNICAM_PI0)))
+-              return IRQ_HANDLED;
+-
+-      /*
+-       * We must run the frame end handler first. If we have a valid next_frm
+-       * and we get a simultaneout FE + FS interrupt, running the FS handler
+-       * first would null out the next_frm ptr and we would have lost the
+-       * buffer forever.
+-       */
+-      if (ista & UNICAM_FEI || sta & UNICAM_PI0) {
+-              /*
+-               * Ensure we have swapped buffers already as we can't
+-               * stop the peripheral. If no buffer is available, use a
+-               * dummy buffer to dump out frames until we get a new buffer
+-               * to use.
+-               */
+-              for (i = 0; i < num_nodes_streaming; i++) {
+-                      if (unicam->node[i].cur_frm)
+-                              unicam_process_buffer_complete(&unicam->node[i],
+-                                                             sequence);
+-                      unicam->node[i].cur_frm = unicam->node[i].next_frm;
+-              }
+-              unicam->sequence++;
+-      }
+-
+-      if (ista & UNICAM_FSI) {
+-              /*
+-               * Timestamp is to be when the first data byte was captured,
+-               * aka frame start.
+-               */
+-              ts = ktime_get_ns();
+-              for (i = 0; i < num_nodes_streaming; i++) {
+-                      if (unicam->node[i].cur_frm)
+-                              unicam->node[i].cur_frm->vb.vb2_buf.timestamp =
+-                                                              ts;
+-                      /*
+-                       * Set the next frame output to go to a dummy frame
+-                       * if we have not managed to obtain another frame
+-                       * from the queue.
+-                       */
+-                      unicam_schedule_dummy_buffer(&unicam->node[i]);
+-              }
+-
+-              unicam_queue_event_sof(unicam);
+-      }
+-      /*
+-       * Cannot swap buffer at frame end, there may be a race condition
+-       * where the HW does not actually swap it if the new frame has
+-       * already started.
+-       */
+-      if (ista & (UNICAM_FSI | UNICAM_LCI) && !(ista & UNICAM_FEI)) {
+-              for (i = 0; i < num_nodes_streaming; i++) {
+-                      spin_lock(&unicam->node[i].dma_queue_lock);
+-                      if (!list_empty(&unicam->node[i].dma_queue.active) &&
+-                          !unicam->node[i].next_frm)
+-                              unicam_schedule_next_buffer(&unicam->node[i]);
+-                      spin_unlock(&unicam->node[i].dma_queue_lock);
+-              }
+-      }
+-
+-      if (reg_read(&unicam->cfg, UNICAM_ICTL) & UNICAM_FCM) {
+-              /* Switch out of trigger mode if selected */
+-              reg_write_field(&unicam->cfg, UNICAM_ICTL, 1, UNICAM_TFC);
+-              reg_write_field(&unicam->cfg, UNICAM_ICTL, 0, UNICAM_FCM);
+-      }
+-      return IRQ_HANDLED;
+-}
+-
+-static int unicam_querycap(struct file *file, void *priv,
+-                         struct v4l2_capability *cap)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-
+-      strlcpy(cap->driver, UNICAM_MODULE_NAME, sizeof(cap->driver));
+-      strlcpy(cap->card, UNICAM_MODULE_NAME, sizeof(cap->card));
+-
+-      snprintf(cap->bus_info, sizeof(cap->bus_info),
+-               "platform:%s", dev->v4l2_dev.name);
+-
+-      cap->capabilities = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING |
+-                          V4L2_CAP_READWRITE | V4L2_CAP_DEVICE_CAPS |
+-                          V4L2_CAP_META_CAPTURE;
+-
+-      if (node->pad_id == IMAGE_PAD)
+-              cap->device_caps = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING;
+-      else
+-              cap->device_caps = V4L2_CAP_META_CAPTURE | V4L2_CAP_STREAMING;
+-
+-      return 0;
+-}
+-
+-static int unicam_enum_fmt_vid_cap(struct file *file, void  *priv,
+-                                 struct v4l2_fmtdesc *f)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      struct v4l2_subdev_mbus_code_enum mbus_code;
+-      const struct unicam_fmt *fmt = NULL;
+-      int index = 0;
+-      int ret = 0;
+-      int i;
+-
+-      if (node->pad_id == METADATA_PAD)
+-              return -EINVAL;
+-
+-      for (i = 0; !ret && i < MAX_ENUM_MBUS_CODE; i++) {
+-              memset(&mbus_code, 0, sizeof(mbus_code));
+-              mbus_code.index = i;
+-              mbus_code.pad = IMAGE_PAD;
+-              mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
+-
+-              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code,
+-                                     NULL, &mbus_code);
+-              if (ret < 0) {
+-                      unicam_dbg(2, dev,
+-                                 "subdev->enum_mbus_code idx %d returned %d - index invalid\n",
+-                                 i, ret);
+-                      return -EINVAL;
+-              }
+-
+-              fmt = find_format_by_code(mbus_code.code);
+-              if (fmt) {
+-                      if (fmt->fourcc) {
+-                              if (index == f->index) {
+-                                      f->pixelformat = fmt->fourcc;
+-                                      break;
+-                              }
+-                              index++;
+-                      }
+-                      if (fmt->repacked_fourcc) {
+-                              if (index == f->index) {
+-                                      f->pixelformat = fmt->repacked_fourcc;
+-                                      break;
+-                              }
+-                              index++;
+-                      }
+-              }
+-      }
+-
+-      return 0;
+-}
+-
+-static int unicam_g_fmt_vid_cap(struct file *file, void *priv,
+-                              struct v4l2_format *f)
+-{
+-      struct v4l2_mbus_framefmt mbus_fmt = {0};
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      const struct unicam_fmt *fmt = NULL;
+-      int ret;
+-
+-      if (node->pad_id != IMAGE_PAD)
+-              return -EINVAL;
+-
+-      /*
+-       * If a flip has occurred in the sensor, the fmt code might have
+-       * changed. So we will need to re-fetch the format from the subdevice.
+-       */
+-      ret = __subdev_get_format(dev, &mbus_fmt, node->pad_id);
+-      if (ret)
+-              return -EINVAL;
+-
+-      /* Find the V4L2 format from mbus code. We must match a known format. */
+-      fmt = find_format_by_code(mbus_fmt.code);
+-      if (!fmt)
+-              return -EINVAL;
+-
+-      if (node->fmt != fmt) {
+-              /*
+-               * The sensor format has changed so the pixelformat needs to
+-               * be updated. Try and retain the packed/unpacked choice if
+-               * at all possible.
+-               */
+-              if (node->fmt->repacked_fourcc ==
+-                                              node->v_fmt.fmt.pix.pixelformat)
+-                      /* Using the repacked format */
+-                      node->v_fmt.fmt.pix.pixelformat = fmt->repacked_fourcc;
+-              else
+-                      /* Using the native format */
+-                      node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
+-
+-              node->fmt = fmt;
+-      }
+-
+-      *f = node->v_fmt;
+-
+-      return 0;
+-}
+-
+-static
+-const struct unicam_fmt *get_first_supported_format(struct unicam_device *dev)
+-{
+-      struct v4l2_subdev_mbus_code_enum mbus_code;
+-      const struct unicam_fmt *fmt = NULL;
+-      int ret = 0;
+-      int j;
+-
+-      for (j = 0; ret != -EINVAL && ret != -ENOIOCTLCMD; ++j) {
+-              memset(&mbus_code, 0, sizeof(mbus_code));
+-              mbus_code.index = j;
+-              mbus_code.pad = IMAGE_PAD;
+-              mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
+-
+-              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code, NULL,
+-                                     &mbus_code);
+-              if (ret < 0) {
+-                      unicam_dbg(2, dev,
+-                                 "subdev->enum_mbus_code idx %d returned %d - continue\n",
+-                                 j, ret);
+-                      continue;
+-              }
+-
+-              unicam_dbg(2, dev, "subdev %s: code: 0x%08x idx: %d\n",
+-                         dev->sensor->name, mbus_code.code, j);
+-
+-              fmt = find_format_by_code(mbus_code.code);
+-              unicam_dbg(2, dev, "fmt 0x%08x returned as %p, V4L2 FOURCC 0x%08x, csi_dt 0x%02x\n",
+-                         mbus_code.code, fmt, fmt ? fmt->fourcc : 0,
+-                         fmt ? fmt->csi_dt : 0);
+-              if (fmt)
+-                      return fmt;
+-      }
+-
+-      return NULL;
+-}
+-
+-static int unicam_try_fmt_vid_cap(struct file *file, void *priv,
+-                                struct v4l2_format *f)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      struct v4l2_subdev_format sd_fmt = {
+-              .which = V4L2_SUBDEV_FORMAT_TRY,
+-              .pad = IMAGE_PAD
+-      };
+-      struct v4l2_mbus_framefmt *mbus_fmt = &sd_fmt.format;
+-      const struct unicam_fmt *fmt;
+-      int ret;
+-
+-      if (node->pad_id == METADATA_PAD)
+-              return -EINVAL;
+-
+-      fmt = find_format_by_pix(dev, f->fmt.pix.pixelformat);
+-      if (!fmt) {
+-              /* Pixel format not supported by unicam. Choose the first
+-               * supported format, and let the sensor choose something else.
+-               */
+-              unicam_dbg(3, dev, "Fourcc format (0x%08x) not found. Use first format.\n",
+-                         f->fmt.pix.pixelformat);
+-
+-              fmt = &formats[0];
+-              f->fmt.pix.pixelformat = fmt->fourcc;
+-      }
+-
+-      v4l2_fill_mbus_format(mbus_fmt, &f->fmt.pix, fmt->code);
+-      /*
+-       * No support for receiving interlaced video, so never
+-       * request it from the sensor subdev.
+-       */
+-      mbus_fmt->field = V4L2_FIELD_NONE;
+-
+-      ret = v4l2_subdev_call(dev->sensor, pad, set_fmt, dev->sensor_config,
+-                             &sd_fmt);
+-      if (ret && ret != -ENOIOCTLCMD && ret != -ENODEV)
+-              return ret;
+-
+-      if (mbus_fmt->field != V4L2_FIELD_NONE)
+-              unicam_info(dev, "Sensor trying to send interlaced video - results may be unpredictable\n");
+-
+-      v4l2_fill_pix_format(&f->fmt.pix, &sd_fmt.format);
+-      if (mbus_fmt->code != fmt->code) {
+-              /* Sensor has returned an alternate format */
+-              fmt = find_format_by_code(mbus_fmt->code);
+-              if (!fmt) {
+-                      /* The alternate format is one unicam can't support.
+-                       * Find the first format that is supported by both, and
+-                       * then set that.
+-                       */
+-                      fmt = get_first_supported_format(dev);
+-                      mbus_fmt->code = fmt->code;
+-
+-                      ret = v4l2_subdev_call(dev->sensor, pad, set_fmt,
+-                                             dev->sensor_config, &sd_fmt);
+-                      if (ret && ret != -ENOIOCTLCMD && ret != -ENODEV)
+-                              return ret;
+-
+-                      if (mbus_fmt->field != V4L2_FIELD_NONE)
+-                              unicam_info(dev, "Sensor trying to send interlaced video - results may be unpredictable\n");
+-
+-                      v4l2_fill_pix_format(&f->fmt.pix, &sd_fmt.format);
+-
+-                      if (mbus_fmt->code != fmt->code) {
+-                              /* We've set a format that the sensor reports
+-                               * as being supported, but it refuses to set it.
+-                               * Not much else we can do.
+-                               * Assume that the sensor driver may accept the
+-                               * format when it is set (rather than tried).
+-                               */
+-                              unicam_err(dev, "Sensor won't accept default format, and Unicam can't support sensor default\n");
+-                      }
+-              }
+-
+-              if (fmt->fourcc)
+-                      f->fmt.pix.pixelformat = fmt->fourcc;
+-              else
+-                      f->fmt.pix.pixelformat = fmt->repacked_fourcc;
+-      }
+-
+-      return unicam_calc_format_size_bpl(dev, fmt, f);
+-}
+-
+-static int unicam_s_fmt_vid_cap(struct file *file, void *priv,
+-                              struct v4l2_format *f)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      struct vb2_queue *q = &node->buffer_queue;
+-      struct v4l2_mbus_framefmt mbus_fmt = {0};
+-      const struct unicam_fmt *fmt;
+-      int ret;
+-
+-      if (vb2_is_busy(q))
+-              return -EBUSY;
+-
+-      ret = unicam_try_fmt_vid_cap(file, priv, f);
+-      if (ret < 0)
+-              return ret;
+-
+-      fmt = find_format_by_pix(dev, f->fmt.pix.pixelformat);
+-      if (!fmt) {
+-              /* Unknown pixel format - adopt a default.
+-               * This shouldn't happen as try_fmt should have resolved any
+-               * issues first.
+-               */
+-              fmt = get_first_supported_format(dev);
+-              if (!fmt)
+-                      /* It shouldn't be possible to get here with no
+-                       * supported formats
+-                       */
+-                      return -EINVAL;
+-              f->fmt.pix.pixelformat = fmt->fourcc;
+-              return -EINVAL;
+-      }
+-
+-      v4l2_fill_mbus_format(&mbus_fmt, &f->fmt.pix, fmt->code);
+-
+-      ret = __subdev_set_format(dev, &mbus_fmt, node->pad_id);
+-      if (ret) {
+-              unicam_dbg(3, dev, "%s __subdev_set_format failed %d\n",
+-                         __func__, ret);
+-              return ret;
+-      }
+-
+-      /* Just double check nothing has gone wrong */
+-      if (mbus_fmt.code != fmt->code) {
+-              unicam_dbg(3, dev,
+-                         "%s subdev changed format on us, this should not happen\n",
+-                         __func__);
+-              return -EINVAL;
+-      }
+-
+-      node->fmt = fmt;
+-      node->v_fmt.fmt.pix.pixelformat = f->fmt.pix.pixelformat;
+-      node->v_fmt.fmt.pix.bytesperline = f->fmt.pix.bytesperline;
+-      unicam_reset_format(node);
+-
+-      unicam_dbg(3, dev,
+-                 "%s %dx%d, mbus_fmt 0x%08X, V4L2 pix 0x%08X.\n",
+-                 __func__, node->v_fmt.fmt.pix.width,
+-                 node->v_fmt.fmt.pix.height, mbus_fmt.code,
+-                 node->v_fmt.fmt.pix.pixelformat);
+-
+-      *f = node->v_fmt;
+-
+-      return 0;
+-}
+-
+-static int unicam_enum_fmt_meta_cap(struct file *file, void *priv,
+-                                  struct v4l2_fmtdesc *f)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      struct v4l2_subdev_mbus_code_enum mbus_code;
+-      const struct unicam_fmt *fmt = NULL;
+-      int ret = 0;
+-
+-      if (node->pad_id != METADATA_PAD || f->index != 0)
+-              return -EINVAL;
+-
+-      if (dev->sensor_embedded_data) {
+-              memset(&mbus_code, 0, sizeof(mbus_code));
+-              mbus_code.index = f->index;
+-              mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
+-              mbus_code.pad = METADATA_PAD;
+-
+-              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code, NULL,
+-                                     &mbus_code);
+-              if (ret < 0) {
+-                      unicam_dbg(2, dev,
+-                                 "subdev->enum_mbus_code idx 0 returned %d - index invalid\n",
+-                                 ret);
+-                      return -EINVAL;
+-              }
+-      } else {
+-              mbus_code.code = MEDIA_BUS_FMT_SENSOR_DATA;
+-      }
+-
+-      fmt = find_format_by_code(mbus_code.code);
+-      if (fmt)
+-              f->pixelformat = fmt->fourcc;
+-
+-      return 0;
+-}
+-
+-static int unicam_g_fmt_meta_cap(struct file *file, void *priv,
+-                               struct v4l2_format *f)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-
+-      if (node->pad_id != METADATA_PAD)
+-              return -EINVAL;
+-
+-      *f = node->v_fmt;
+-
+-      return 0;
+-}
+-
+-static int unicam_try_fmt_meta_cap(struct file *file, void *priv,
+-                                 struct v4l2_format *f)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-
+-      if (node->pad_id != METADATA_PAD)
+-              return -EINVAL;
+-
+-      *f = node->v_fmt;
+-
+-      return 0;
+-}
+-
+-static int unicam_s_fmt_meta_cap(struct file *file, void *priv,
+-                               struct v4l2_format *f)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      struct v4l2_mbus_framefmt mbus_fmt = { 0 };
+-      const struct unicam_fmt *fmt;
+-      int ret;
+-
+-      if (node->pad_id == IMAGE_PAD)
+-              return -EINVAL;
+-
+-      if (dev->sensor_embedded_data) {
+-              fmt = find_format_by_pix(dev, f->fmt.meta.dataformat);
+-              if (!fmt) {
+-                      unicam_err(dev, "unknown format: V4L2 pix 0x%08x\n",
+-                                 f->fmt.meta.dataformat);
+-                      return -EINVAL;
+-              }
+-              mbus_fmt.code = fmt->code;
+-              ret = __subdev_set_format(dev, &mbus_fmt, node->pad_id);
+-              if (ret) {
+-                      unicam_dbg(3, dev, "%s __subdev_set_format failed %d\n",
+-                                 __func__, ret);
+-                      return ret;
+-              }
+-      }
+-
+-      *f = node->v_fmt;
+-
+-      unicam_dbg(3, dev, "%s size %d, V4L2 pix 0x%08x\n",
+-                 __func__, node->v_fmt.fmt.meta.buffersize,
+-                 node->v_fmt.fmt.meta.dataformat);
+-
+-      return 0;
+-}
+-
+-static int unicam_queue_setup(struct vb2_queue *vq,
+-                            unsigned int *nbuffers,
+-                            unsigned int *nplanes,
+-                            unsigned int sizes[],
+-                            struct device *alloc_devs[])
+-{
+-      struct unicam_node *node = vb2_get_drv_priv(vq);
+-      struct unicam_device *dev = node->dev;
+-      unsigned int size = node->pad_id == IMAGE_PAD ?
+-                                  node->v_fmt.fmt.pix.sizeimage :
+-                                  node->v_fmt.fmt.meta.buffersize;
+-
+-      if (vq->num_buffers + *nbuffers < 3)
+-              *nbuffers = 3 - vq->num_buffers;
+-
+-      if (*nplanes) {
+-              if (sizes[0] < size) {
+-                      unicam_err(dev, "sizes[0] %i < size %u\n", sizes[0],
+-                                 size);
+-                      return -EINVAL;
+-              }
+-              size = sizes[0];
+-      }
+-
+-      *nplanes = 1;
+-      sizes[0] = size;
+-
+-      return 0;
+-}
+-
+-static int unicam_buffer_prepare(struct vb2_buffer *vb)
+-{
+-      struct unicam_node *node = vb2_get_drv_priv(vb->vb2_queue);
+-      struct unicam_device *dev = node->dev;
+-      struct unicam_buffer *buf = container_of(vb, struct unicam_buffer,
+-                                            vb.vb2_buf);
+-      unsigned long size;
+-
+-      if (WARN_ON(!node->fmt))
+-              return -EINVAL;
+-
+-      size = node->pad_id == IMAGE_PAD ? node->v_fmt.fmt.pix.sizeimage :
+-                                         node->v_fmt.fmt.meta.buffersize;
+-      if (vb2_plane_size(vb, 0) < size) {
+-              unicam_err(dev, "data will not fit into plane (%lu < %lu)\n",
+-                         vb2_plane_size(vb, 0), size);
+-              return -EINVAL;
+-      }
+-
+-      vb2_set_plane_payload(&buf->vb.vb2_buf, 0, size);
+-      return 0;
+-}
+-
+-static void unicam_buffer_queue(struct vb2_buffer *vb)
+-{
+-      struct unicam_node *node = vb2_get_drv_priv(vb->vb2_queue);
+-      struct unicam_buffer *buf = container_of(vb, struct unicam_buffer,
+-                                            vb.vb2_buf);
+-      struct unicam_dmaqueue *dma_queue = &node->dma_queue;
+-      unsigned long flags = 0;
+-
+-      spin_lock_irqsave(&node->dma_queue_lock, flags);
+-      list_add_tail(&buf->list, &dma_queue->active);
+-      spin_unlock_irqrestore(&node->dma_queue_lock, flags);
+-}
+-
+-static void unicam_set_packing_config(struct unicam_device *dev)
+-{
+-      int pack, unpack;
+-      u32 val;
+-
+-      if (dev->node[IMAGE_PAD].v_fmt.fmt.pix.pixelformat ==
+-          dev->node[IMAGE_PAD].fmt->fourcc) {
+-              unpack = UNICAM_PUM_NONE;
+-              pack = UNICAM_PPM_NONE;
+-      } else {
+-              switch (dev->node[IMAGE_PAD].fmt->depth) {
+-              case 8:
+-                      unpack = UNICAM_PUM_UNPACK8;
+-                      break;
+-              case 10:
+-                      unpack = UNICAM_PUM_UNPACK10;
+-                      break;
+-              case 12:
+-                      unpack = UNICAM_PUM_UNPACK12;
+-                      break;
+-              case 14:
+-                      unpack = UNICAM_PUM_UNPACK14;
+-                      break;
+-              case 16:
+-                      unpack = UNICAM_PUM_UNPACK16;
+-                      break;
+-              default:
+-                      unpack = UNICAM_PUM_NONE;
+-                      break;
+-              }
+-
+-              /* Repacking is always to 16bpp */
+-              pack = UNICAM_PPM_PACK16;
+-      }
+-
+-      val = 0;
+-      set_field(&val, unpack, UNICAM_PUM_MASK);
+-      set_field(&val, pack, UNICAM_PPM_MASK);
+-      reg_write(&dev->cfg, UNICAM_IPIPE, val);
+-}
+-
+-static void unicam_cfg_image_id(struct unicam_device *dev)
+-{
+-      struct unicam_cfg *cfg = &dev->cfg;
+-
+-      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
+-              /* CSI2 mode */
+-              reg_write(cfg, UNICAM_IDI0,
+-                        (dev->virtual_channel << 6) |
+-                                            dev->node[IMAGE_PAD].fmt->csi_dt);
+-      } else {
+-              /* CCP2 mode */
+-              reg_write(cfg, UNICAM_IDI0,
+-                        0x80 | dev->node[IMAGE_PAD].fmt->csi_dt);
+-      }
+-}
+-
+-static void unicam_enable_ed(struct unicam_device *dev)
+-{
+-      struct unicam_cfg *cfg = &dev->cfg;
+-      u32 val = reg_read(cfg, UNICAM_DCS);
+-
+-      set_field(&val, 2, UNICAM_EDL_MASK);
+-      /* Do not wrap at the end of the embedded data buffer */
+-      set_field(&val, 0, UNICAM_DBOB);
+-
+-      reg_write(cfg, UNICAM_DCS, val);
+-}
+-
+-static void unicam_start_rx(struct unicam_device *dev, dma_addr_t *addr)
+-{
+-      struct unicam_cfg *cfg = &dev->cfg;
+-      int line_int_freq = dev->node[IMAGE_PAD].v_fmt.fmt.pix.height >> 2;
+-      unsigned int size, i;
+-      u32 val;
+-
+-      if (line_int_freq < 128)
+-              line_int_freq = 128;
+-
+-      /* Enable lane clocks */
+-      val = 1;
+-      for (i = 0; i < dev->active_data_lanes; i++)
+-              val = val << 2 | 1;
+-      clk_write(cfg, val);
+-
+-      /* Basic init */
+-      reg_write(cfg, UNICAM_CTRL, UNICAM_MEM);
+-
+-      /* Enable analogue control, and leave in reset. */
+-      val = UNICAM_AR;
+-      set_field(&val, 7, UNICAM_CTATADJ_MASK);
+-      set_field(&val, 7, UNICAM_PTATADJ_MASK);
+-      reg_write(cfg, UNICAM_ANA, val);
+-      usleep_range(1000, 2000);
+-
+-      /* Come out of reset */
+-      reg_write_field(cfg, UNICAM_ANA, 0, UNICAM_AR);
+-
+-      /* Peripheral reset */
+-      reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_CPR);
+-      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPR);
+-
+-      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPE);
+-
+-      /* Enable Rx control. */
+-      val = reg_read(cfg, UNICAM_CTRL);
+-      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
+-              set_field(&val, UNICAM_CPM_CSI2, UNICAM_CPM_MASK);
+-              set_field(&val, UNICAM_DCM_STROBE, UNICAM_DCM_MASK);
+-      } else {
+-              set_field(&val, UNICAM_CPM_CCP2, UNICAM_CPM_MASK);
+-              set_field(&val, dev->bus_flags, UNICAM_DCM_MASK);
+-      }
+-      /* Packet framer timeout */
+-      set_field(&val, 0xf, UNICAM_PFT_MASK);
+-      set_field(&val, 128, UNICAM_OET_MASK);
+-      reg_write(cfg, UNICAM_CTRL, val);
+-
+-      reg_write(cfg, UNICAM_IHWIN, 0);
+-      reg_write(cfg, UNICAM_IVWIN, 0);
+-
+-      /* AXI bus access QoS setup */
+-      val = reg_read(&dev->cfg, UNICAM_PRI);
+-      set_field(&val, 0, UNICAM_BL_MASK);
+-      set_field(&val, 0, UNICAM_BS_MASK);
+-      set_field(&val, 0xe, UNICAM_PP_MASK);
+-      set_field(&val, 8, UNICAM_NP_MASK);
+-      set_field(&val, 2, UNICAM_PT_MASK);
+-      set_field(&val, 1, UNICAM_PE);
+-      reg_write(cfg, UNICAM_PRI, val);
+-
+-      reg_write_field(cfg, UNICAM_ANA, 0, UNICAM_DDL);
+-
+-      /* Always start in trigger frame capture mode (UNICAM_FCM set) */
+-      val = UNICAM_FSIE | UNICAM_FEIE | UNICAM_FCM | UNICAM_IBOB;
+-      set_field(&val,  line_int_freq, UNICAM_LCIE_MASK);
+-      reg_write(cfg, UNICAM_ICTL, val);
+-      reg_write(cfg, UNICAM_STA, UNICAM_STA_MASK_ALL);
+-      reg_write(cfg, UNICAM_ISTA, UNICAM_ISTA_MASK_ALL);
+-
+-      /* tclk_term_en */
+-      reg_write_field(cfg, UNICAM_CLT, 2, UNICAM_CLT1_MASK);
+-      /* tclk_settle */
+-      reg_write_field(cfg, UNICAM_CLT, 6, UNICAM_CLT2_MASK);
+-      /* td_term_en */
+-      reg_write_field(cfg, UNICAM_DLT, 2, UNICAM_DLT1_MASK);
+-      /* ths_settle */
+-      reg_write_field(cfg, UNICAM_DLT, 6, UNICAM_DLT2_MASK);
+-      /* trx_enable */
+-      reg_write_field(cfg, UNICAM_DLT, 0, UNICAM_DLT3_MASK);
+-
+-      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_SOE);
+-
+-      /* Packet compare setup - required to avoid missing frame ends */
+-      val = 0;
+-      set_field(&val, 1, UNICAM_PCE);
+-      set_field(&val, 1, UNICAM_GI);
+-      set_field(&val, 1, UNICAM_CPH);
+-      set_field(&val, 0, UNICAM_PCVC_MASK);
+-      set_field(&val, 1, UNICAM_PCDT_MASK);
+-      reg_write(cfg, UNICAM_CMP0, val);
+-
+-      /* Enable clock lane and set up terminations */
+-      val = 0;
+-      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
+-              /* CSI2 */
+-              set_field(&val, 1, UNICAM_CLE);
+-              set_field(&val, 1, UNICAM_CLLPE);
+-              if (dev->bus_flags & V4L2_MBUS_CSI2_CONTINUOUS_CLOCK) {
+-                      set_field(&val, 1, UNICAM_CLTRE);
+-                      set_field(&val, 1, UNICAM_CLHSE);
+-              }
+-      } else {
+-              /* CCP2 */
+-              set_field(&val, 1, UNICAM_CLE);
+-              set_field(&val, 1, UNICAM_CLHSE);
+-              set_field(&val, 1, UNICAM_CLTRE);
+-      }
+-      reg_write(cfg, UNICAM_CLK, val);
+-
+-      /*
+-       * Enable required data lanes with appropriate terminations.
+-       * The same value needs to be written to UNICAM_DATn registers for
+-       * the active lanes, and 0 for inactive ones.
+-       */
+-      val = 0;
+-      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
+-              /* CSI2 */
+-              set_field(&val, 1, UNICAM_DLE);
+-              set_field(&val, 1, UNICAM_DLLPE);
+-              if (dev->bus_flags & V4L2_MBUS_CSI2_CONTINUOUS_CLOCK) {
+-                      set_field(&val, 1, UNICAM_DLTRE);
+-                      set_field(&val, 1, UNICAM_DLHSE);
+-              }
+-      } else {
+-              /* CCP2 */
+-              set_field(&val, 1, UNICAM_DLE);
+-              set_field(&val, 1, UNICAM_DLHSE);
+-              set_field(&val, 1, UNICAM_DLTRE);
+-      }
+-      reg_write(cfg, UNICAM_DAT0, val);
+-
+-      if (dev->active_data_lanes == 1)
+-              val = 0;
+-      reg_write(cfg, UNICAM_DAT1, val);
+-
+-      if (dev->max_data_lanes > 2) {
+-              /*
+-               * Registers UNICAM_DAT2 and UNICAM_DAT3 only valid if the
+-               * instance supports more than 2 data lanes.
+-               */
+-              if (dev->active_data_lanes == 2)
+-                      val = 0;
+-              reg_write(cfg, UNICAM_DAT2, val);
+-
+-              if (dev->active_data_lanes == 3)
+-                      val = 0;
+-              reg_write(cfg, UNICAM_DAT3, val);
+-      }
+-
+-      reg_write(&dev->cfg, UNICAM_IBLS,
+-                dev->node[IMAGE_PAD].v_fmt.fmt.pix.bytesperline);
+-      size = dev->node[IMAGE_PAD].v_fmt.fmt.pix.sizeimage;
+-      unicam_wr_dma_addr(&dev->cfg, addr[IMAGE_PAD], size, IMAGE_PAD);
+-      unicam_set_packing_config(dev);
+-      unicam_cfg_image_id(dev);
+-
+-      val = reg_read(cfg, UNICAM_MISC);
+-      set_field(&val, 1, UNICAM_FL0);
+-      set_field(&val, 1, UNICAM_FL1);
+-      reg_write(cfg, UNICAM_MISC, val);
+-
+-      if (dev->node[METADATA_PAD].streaming && dev->sensor_embedded_data) {
+-              size = dev->node[METADATA_PAD].v_fmt.fmt.meta.buffersize;
+-              unicam_enable_ed(dev);
+-              unicam_wr_dma_addr(&dev->cfg, addr[METADATA_PAD], size,
+-                                 METADATA_PAD);
+-      }
+-
+-      /* Enable peripheral */
+-      reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_CPE);
+-
+-      /* Load image pointers */
+-      reg_write_field(cfg, UNICAM_ICTL, 1, UNICAM_LIP_MASK);
+-
+-      /* Load embedded data buffer pointers if needed */
+-      if (dev->node[METADATA_PAD].streaming && dev->sensor_embedded_data)
+-              reg_write_field(cfg, UNICAM_DCS, 1, UNICAM_LDP);
+-
+-      /*
+-       * Enable trigger only for the first frame to
+-       * sync correctly to the FS from the source.
+-       */
+-      reg_write_field(cfg, UNICAM_ICTL, 1, UNICAM_TFC);
+-}
+-
+-static void unicam_disable(struct unicam_device *dev)
+-{
+-      struct unicam_cfg *cfg = &dev->cfg;
+-
+-      /* Analogue lane control disable */
+-      reg_write_field(cfg, UNICAM_ANA, 1, UNICAM_DDL);
+-
+-      /* Stop the output engine */
+-      reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_SOE);
+-
+-      /* Disable the data lanes. */
+-      reg_write(cfg, UNICAM_DAT0, 0);
+-      reg_write(cfg, UNICAM_DAT1, 0);
+-
+-      if (dev->max_data_lanes > 2) {
+-              reg_write(cfg, UNICAM_DAT2, 0);
+-              reg_write(cfg, UNICAM_DAT3, 0);
+-      }
+-
+-      /* Peripheral reset */
+-      reg_write_field(cfg, UNICAM_CTRL, 1, UNICAM_CPR);
+-      usleep_range(50, 100);
+-      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPR);
+-
+-      /* Disable peripheral */
+-      reg_write_field(cfg, UNICAM_CTRL, 0, UNICAM_CPE);
+-
+-      /* Clear ED setup */
+-      reg_write(cfg, UNICAM_DCS, 0);
+-
+-      /* Disable all lane clocks */
+-      clk_write(cfg, 0);
+-}
+-
+-static int unicam_start_streaming(struct vb2_queue *vq, unsigned int count)
+-{
+-      struct unicam_node *node = vb2_get_drv_priv(vq);
+-      struct unicam_device *dev = node->dev;
+-      struct unicam_buffer *buf;
+-      dma_addr_t buffer_addr[MAX_NODES] = { 0 };
+-      int num_nodes_streaming;
+-      unsigned long flags;
+-      int ret, i;
+-
+-      node->streaming = 1;
+-      if (!unicam_all_nodes_streaming(dev)) {
+-              unicam_dbg(3, dev, "Not all nodes are streaming yet.");
+-              return 0;
+-      }
+-
+-      dev->sequence = 0;
+-      ret = unicam_runtime_get(dev);
+-      if (ret < 0) {
+-              unicam_dbg(3, dev, "unicam_runtime_get failed\n");
+-              return ret;
+-      }
+-
+-      dev->active_data_lanes = dev->max_data_lanes;
+-      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY &&
+-          v4l2_subdev_has_op(dev->sensor, video, g_mbus_config)) {
+-              struct v4l2_mbus_config mbus_config;
+-
+-              ret = v4l2_subdev_call(dev->sensor, video, g_mbus_config,
+-                                     &mbus_config);
+-              if (ret < 0) {
+-                      unicam_dbg(3, dev, "g_mbus_config failed\n");
+-                      goto err_pm_put;
+-              }
+-
+-              dev->active_data_lanes =
+-                      (mbus_config.flags & V4L2_MBUS_CSI2_LANE_MASK) >>
+-                                      __ffs(V4L2_MBUS_CSI2_LANE_MASK);
+-              if (!dev->active_data_lanes)
+-                      dev->active_data_lanes = dev->max_data_lanes;
+-      }
+-      if (dev->active_data_lanes > dev->max_data_lanes) {
+-              unicam_err(dev, "Device has requested %u data lanes, which is >%u configured in DT\n",
+-                         dev->active_data_lanes, dev->max_data_lanes);
+-              ret = -EINVAL;
+-              goto err_pm_put;
+-      }
+-
+-      unicam_dbg(1, dev, "Running with %u data lanes\n",
+-                 dev->active_data_lanes);
+-
+-      ret = clk_set_rate(dev->clock, 100 * 1000 * 1000);
+-      if (ret) {
+-              unicam_err(dev, "failed to set up clock\n");
+-              goto err_pm_put;
+-      }
+-
+-      ret = clk_prepare_enable(dev->clock);
+-      if (ret) {
+-              unicam_err(dev, "Failed to enable CSI clock: %d\n", ret);
+-              goto err_pm_put;
+-      }
+-
+-      num_nodes_streaming = unicam_num_nodes_streaming(dev);
+-      for (i = 0; i < num_nodes_streaming; i++) {
+-              spin_lock_irqsave(&dev->node[i].dma_queue_lock, flags);
+-              buf = list_entry(dev->node[i].dma_queue.active.next,
+-                               struct unicam_buffer, list);
+-              dev->node[i].cur_frm = buf;
+-              dev->node[i].next_frm = buf;
+-              list_del(&buf->list);
+-              spin_unlock_irqrestore(&dev->node[i].dma_queue_lock, flags);
+-              buffer_addr[i] =
+-              vb2_dma_contig_plane_dma_addr(&dev->node[i].cur_frm->vb.vb2_buf,
+-                                            0);
+-      }
+-
+-      unicam_start_rx(dev, buffer_addr);
+-
+-      ret = v4l2_subdev_call(dev->sensor, video, s_stream, 1);
+-      if (ret < 0) {
+-              unicam_err(dev, "stream on failed in subdev\n");
+-              goto err_disable_unicam;
+-      }
+-
+-      return 0;
+-
+-err_disable_unicam:
+-      node->streaming = 0;
+-      unicam_disable(dev);
+-      clk_disable_unprepare(dev->clock);
+-err_pm_put:
+-      unicam_runtime_put(dev);
+-
+-      return ret;
+-}
+-
+-static void unicam_stop_streaming(struct vb2_queue *vq)
+-{
+-      struct unicam_node *node = vb2_get_drv_priv(vq);
+-      struct unicam_device *dev = node->dev;
+-      struct unicam_dmaqueue *dma_q = &node->dma_queue;
+-      struct unicam_buffer *buf, *tmp;
+-      unsigned long flags;
+-
+-      node->streaming = 0;
+-
+-      if (node->pad_id == IMAGE_PAD) {
+-              /* Stop streaming the sensor and disable the peripheral.
+-               * We cannot continue streaming embedded data with the
+-               * image pad disabled.
+-               */
+-              if (v4l2_subdev_call(dev->sensor, video, s_stream, 0) < 0)
+-                      unicam_err(dev, "stream off failed in subdev\n");
+-
+-              unicam_disable(dev);
+-              clk_disable_unprepare(dev->clock);
+-              unicam_runtime_put(dev);
+-
+-      } else if (node->pad_id == METADATA_PAD) {
+-              /* Allow the hardware to spin in the dummy buffer.
+-               * This is only really needed if the embedded data pad is
+-               * disabled before the image pad.  The 0x3 in the top two bits
+-               * signifies uncached accesses through the Videocore memory
+-               * controller.
+-               */
+-              unicam_wr_dma_addr(&dev->cfg, node->dummy_buf_dma_addr,
+-                                 DUMMY_BUF_SIZE, METADATA_PAD);
+-      }
+-
+-      /* Clear all queued buffers for the node */
+-      spin_lock_irqsave(&node->dma_queue_lock, flags);
+-      list_for_each_entry_safe(buf, tmp, &dma_q->active, list) {
+-              list_del(&buf->list);
+-              vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_ERROR);
+-      }
+-
+-      if (node->cur_frm)
+-              vb2_buffer_done(&node->cur_frm->vb.vb2_buf,
+-                              VB2_BUF_STATE_ERROR);
+-      if (node->next_frm && node->cur_frm != node->next_frm)
+-              vb2_buffer_done(&node->next_frm->vb.vb2_buf,
+-                              VB2_BUF_STATE_ERROR);
+-
+-      node->cur_frm = NULL;
+-      node->next_frm = NULL;
+-      spin_unlock_irqrestore(&node->dma_queue_lock, flags);
+-}
+-
+-static int unicam_enum_input(struct file *file, void *priv,
+-                           struct v4l2_input *inp)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-
+-      if (inp->index != 0)
+-              return -EINVAL;
+-
+-      inp->type = V4L2_INPUT_TYPE_CAMERA;
+-      if (v4l2_subdev_has_op(dev->sensor, video, s_dv_timings)) {
+-              inp->capabilities = V4L2_IN_CAP_DV_TIMINGS;
+-              inp->std = 0;
+-      } else if (v4l2_subdev_has_op(dev->sensor, video, s_std)) {
+-              inp->capabilities = V4L2_IN_CAP_STD;
+-              if (v4l2_subdev_call(dev->sensor, video, g_tvnorms, &inp->std)
+-                                      < 0)
+-                      inp->std = V4L2_STD_ALL;
+-      } else {
+-              inp->capabilities = 0;
+-              inp->std = 0;
+-      }
+-      sprintf(inp->name, "Camera 0");
+-      return 0;
+-}
+-
+-static int unicam_g_input(struct file *file, void *priv, unsigned int *i)
+-{
+-      *i = 0;
+-
+-      return 0;
+-}
+-
+-static int unicam_s_input(struct file *file, void *priv, unsigned int i)
+-{
+-      /*
+-       * FIXME: Ideally we would like to be able to query the source
+-       * subdevice for information over the input connectors it supports,
+-       * and map that through in to a call to video_ops->s_routing.
+-       * There is no infrastructure support for defining that within
+-       * devicetree at present. Until that is implemented we can't
+-       * map a user physical connector number to s_routing input number.
+-       */
+-      if (i > 0)
+-              return -EINVAL;
+-
+-      return 0;
+-}
+-
+-static int unicam_querystd(struct file *file, void *priv,
+-                         v4l2_std_id *std)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-
+-      return v4l2_subdev_call(dev->sensor, video, querystd, std);
+-}
+-
+-static int unicam_g_std(struct file *file, void *priv, v4l2_std_id *std)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-
+-      return v4l2_subdev_call(dev->sensor, video, g_std, std);
+-}
+-
+-static int unicam_s_std(struct file *file, void *priv, v4l2_std_id std)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      int ret;
+-      v4l2_std_id current_std;
+-
+-      ret = v4l2_subdev_call(dev->sensor, video, g_std, &current_std);
+-      if (ret)
+-              return ret;
+-
+-      if (std == current_std)
+-              return 0;
+-
+-      if (vb2_is_busy(&node->buffer_queue))
+-              return -EBUSY;
+-
+-      ret = v4l2_subdev_call(dev->sensor, video, s_std, std);
+-
+-      /* Force recomputation of bytesperline */
+-      node->v_fmt.fmt.pix.bytesperline = 0;
+-
+-      unicam_reset_format(node);
+-
+-      return ret;
+-}
+-
+-static int unicam_s_edid(struct file *file, void *priv, struct v4l2_edid *edid)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-
+-      return v4l2_subdev_call(dev->sensor, pad, set_edid, edid);
+-}
+-
+-static int unicam_g_edid(struct file *file, void *priv, struct v4l2_edid *edid)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-
+-      return v4l2_subdev_call(dev->sensor, pad, get_edid, edid);
+-}
+-
+-static int unicam_s_selection(struct file *file, void *priv,
+-                            struct v4l2_selection *sel)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      struct v4l2_subdev_selection sdsel = {
+-              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
+-              .target = sel->target,
+-              .flags = sel->flags,
+-              .r = sel->r,
+-      };
+-
+-      return v4l2_subdev_call(dev->sensor, pad, set_selection, NULL, &sdsel);
+-}
+-
+-static int unicam_g_selection(struct file *file, void *priv,
+-                            struct v4l2_selection *sel)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      struct v4l2_subdev_selection sdsel = {
+-              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
+-              .target = sel->target,
+-      };
+-      int ret;
+-
+-      ret = v4l2_subdev_call(dev->sensor, pad, get_selection, NULL, &sdsel);
+-      if (!ret)
+-              sel->r = sdsel.r;
+-
+-      return ret;
+-}
+-
+-static int unicam_enum_framesizes(struct file *file, void *priv,
+-                                struct v4l2_frmsizeenum *fsize)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      const struct unicam_fmt *fmt;
+-      struct v4l2_subdev_frame_size_enum fse;
+-      int ret;
+-
+-      if (node->pad_id == IMAGE_PAD) {
+-              /* check for valid format */
+-              fmt = find_format_by_pix(dev, fsize->pixel_format);
+-              if (!fmt) {
+-                      unicam_dbg(3, dev, "Invalid pixel code: %x\n",
+-                                 fsize->pixel_format);
+-                      return -EINVAL;
+-              }
+-              fse.code = fmt->code;
+-      } else {
+-              /* This pad is for embedded data, so just set the format */
+-              fse.code = MEDIA_BUS_FMT_SENSOR_DATA;
+-      }
+-
+-      fse.which = V4L2_SUBDEV_FORMAT_ACTIVE;
+-      fse.index = fsize->index;
+-      fse.pad = node->pad_id;
+-
+-      ret = v4l2_subdev_call(dev->sensor, pad, enum_frame_size, NULL, &fse);
+-      if (ret)
+-              return ret;
+-
+-      unicam_dbg(1, dev, "%s: index: %d code: %x W:[%d,%d] H:[%d,%d]\n",
+-                 __func__, fse.index, fse.code, fse.min_width, fse.max_width,
+-                 fse.min_height, fse.max_height);
+-
+-      fsize->type = V4L2_FRMSIZE_TYPE_DISCRETE;
+-      fsize->discrete.width = fse.max_width;
+-      fsize->discrete.height = fse.max_height;
+-
+-      return 0;
+-}
+-
+-static int unicam_enum_frameintervals(struct file *file, void *priv,
+-                                    struct v4l2_frmivalenum *fival)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      const struct unicam_fmt *fmt;
+-      struct v4l2_subdev_frame_interval_enum fie = {
+-              .index = fival->index,
+-              .width = fival->width,
+-              .height = fival->height,
+-              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
+-      };
+-      int ret;
+-
+-      fmt = find_format_by_pix(dev, fival->pixel_format);
+-      if (!fmt)
+-              return -EINVAL;
+-
+-      fie.code = fmt->code;
+-      ret = v4l2_subdev_call(dev->sensor, pad, enum_frame_interval,
+-                             NULL, &fie);
+-      if (ret)
+-              return ret;
+-
+-      fival->type = V4L2_FRMIVAL_TYPE_DISCRETE;
+-      fival->discrete = fie.interval;
+-
+-      return 0;
+-}
+-
+-static int unicam_g_parm(struct file *file, void *fh, struct v4l2_streamparm *a)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-
+-      return v4l2_g_parm_cap(video_devdata(file), dev->sensor, a);
+-}
+-
+-static int unicam_s_parm(struct file *file, void *fh, struct v4l2_streamparm *a)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-
+-      return v4l2_s_parm_cap(video_devdata(file), dev->sensor, a);
+-}
+-
+-static int unicam_g_dv_timings(struct file *file, void *priv,
+-                             struct v4l2_dv_timings *timings)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-
+-      return v4l2_subdev_call(dev->sensor, video, g_dv_timings, timings);
+-}
+-
+-static int unicam_s_dv_timings(struct file *file, void *priv,
+-                             struct v4l2_dv_timings *timings)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      struct v4l2_dv_timings current_timings;
+-      int ret;
+-
+-      ret = v4l2_subdev_call(dev->sensor, video, g_dv_timings,
+-                             &current_timings);
+-
+-      if (v4l2_match_dv_timings(timings, &current_timings, 0, false))
+-              return 0;
+-
+-      if (vb2_is_busy(&node->buffer_queue))
+-              return -EBUSY;
+-
+-      ret = v4l2_subdev_call(dev->sensor, video, s_dv_timings, timings);
+-
+-      /* Force recomputation of bytesperline */
+-      node->v_fmt.fmt.pix.bytesperline = 0;
+-
+-      unicam_reset_format(node);
+-
+-      return ret;
+-}
+-
+-static int unicam_query_dv_timings(struct file *file, void *priv,
+-                                 struct v4l2_dv_timings *timings)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-
+-      return v4l2_subdev_call(dev->sensor, video, query_dv_timings, timings);
+-}
+-
+-static int unicam_enum_dv_timings(struct file *file, void *priv,
+-                                struct v4l2_enum_dv_timings *timings)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-
+-      return v4l2_subdev_call(dev->sensor, pad, enum_dv_timings, timings);
+-}
+-
+-static int unicam_dv_timings_cap(struct file *file, void *priv,
+-                               struct v4l2_dv_timings_cap *cap)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-
+-      return v4l2_subdev_call(dev->sensor, pad, dv_timings_cap, cap);
+-}
+-
+-static int unicam_subscribe_event(struct v4l2_fh *fh,
+-                                const struct v4l2_event_subscription *sub)
+-{
+-      switch (sub->type) {
+-      case V4L2_EVENT_FRAME_SYNC:
+-              return v4l2_event_subscribe(fh, sub, 2, NULL);
+-      case V4L2_EVENT_SOURCE_CHANGE:
+-              return v4l2_event_subscribe(fh, sub, 4, NULL);
+-      }
+-
+-      return v4l2_ctrl_subscribe_event(fh, sub);
+-}
+-
+-static int unicam_log_status(struct file *file, void *fh)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      struct unicam_cfg *cfg = &dev->cfg;
+-      u32 reg;
+-
+-      /* status for sub devices */
+-      v4l2_device_call_all(&dev->v4l2_dev, 0, core, log_status);
+-
+-      unicam_info(dev, "-----Receiver status-----\n");
+-      unicam_info(dev, "V4L2 width/height:   %ux%u\n",
+-                  node->v_fmt.fmt.pix.width, node->v_fmt.fmt.pix.height);
+-      unicam_info(dev, "Mediabus format:     %08x\n", node->fmt->code);
+-      unicam_info(dev, "V4L2 format:         %08x\n",
+-                  node->v_fmt.fmt.pix.pixelformat);
+-      reg = reg_read(&dev->cfg, UNICAM_IPIPE);
+-      unicam_info(dev, "Unpacking/packing:   %u / %u\n",
+-                  get_field(reg, UNICAM_PUM_MASK),
+-                  get_field(reg, UNICAM_PPM_MASK));
+-      unicam_info(dev, "----Live data----\n");
+-      unicam_info(dev, "Programmed stride:   %4u\n",
+-                  reg_read(cfg, UNICAM_IBLS));
+-      unicam_info(dev, "Detected resolution: %ux%u\n",
+-                  reg_read(cfg, UNICAM_IHSTA),
+-                  reg_read(cfg, UNICAM_IVSTA));
+-      unicam_info(dev, "Write pointer:       %08x\n",
+-                  reg_read(cfg, UNICAM_IBWP));
+-
+-      return 0;
+-}
+-
+-static void unicam_notify(struct v4l2_subdev *sd,
+-                        unsigned int notification, void *arg)
+-{
+-      struct unicam_device *dev =
+-              container_of(sd->v4l2_dev, struct unicam_device, v4l2_dev);
+-
+-      switch (notification) {
+-      case V4L2_DEVICE_NOTIFY_EVENT:
+-              v4l2_event_queue(&dev->node[IMAGE_PAD].video_dev, arg);
+-              break;
+-      default:
+-              break;
+-      }
+-}
+-
+-static const struct vb2_ops unicam_video_qops = {
+-      .wait_prepare           = vb2_ops_wait_prepare,
+-      .wait_finish            = vb2_ops_wait_finish,
+-      .queue_setup            = unicam_queue_setup,
+-      .buf_prepare            = unicam_buffer_prepare,
+-      .buf_queue              = unicam_buffer_queue,
+-      .start_streaming        = unicam_start_streaming,
+-      .stop_streaming         = unicam_stop_streaming,
+-};
+-
+-/*
+- * unicam_open : This function is based on the v4l2_fh_open helper function.
+- * It has been augmented to handle sensor subdevice power management,
+- */
+-static int unicam_open(struct file *file)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      int ret;
+-
+-      mutex_lock(&node->lock);
+-
+-      ret = v4l2_fh_open(file);
+-      if (ret) {
+-              unicam_err(dev, "v4l2_fh_open failed\n");
+-              goto unlock;
+-      }
+-
+-      node->open++;
+-
+-      if (!v4l2_fh_is_singular_file(file))
+-              goto unlock;
+-
+-      ret = v4l2_subdev_call(dev->sensor, core, s_power, 1);
+-      if (ret < 0 && ret != -ENOIOCTLCMD) {
+-              v4l2_fh_release(file);
+-              node->open--;
+-              goto unlock;
+-      }
+-
+-      ret = 0;
+-
+-unlock:
+-      mutex_unlock(&node->lock);
+-      return ret;
+-}
+-
+-static int unicam_release(struct file *file)
+-{
+-      struct unicam_node *node = video_drvdata(file);
+-      struct unicam_device *dev = node->dev;
+-      struct v4l2_subdev *sd = dev->sensor;
+-      bool fh_singular;
+-      int ret;
+-
+-      mutex_lock(&node->lock);
+-
+-      fh_singular = v4l2_fh_is_singular_file(file);
+-
+-      ret = _vb2_fop_release(file, NULL);
+-
+-      if (fh_singular)
+-              v4l2_subdev_call(sd, core, s_power, 0);
+-
+-      node->open--;
+-      mutex_unlock(&node->lock);
+-
+-      return ret;
+-}
+-
+-/* unicam capture driver file operations */
+-static const struct v4l2_file_operations unicam_fops = {
+-      .owner          = THIS_MODULE,
+-      .open           = unicam_open,
+-      .release        = unicam_release,
+-      .read           = vb2_fop_read,
+-      .poll           = vb2_fop_poll,
+-      .unlocked_ioctl = video_ioctl2,
+-      .mmap           = vb2_fop_mmap,
+-};
+-
+-/* unicam capture ioctl operations */
+-static const struct v4l2_ioctl_ops unicam_ioctl_ops = {
+-      .vidioc_querycap                = unicam_querycap,
+-      .vidioc_enum_fmt_vid_cap        = unicam_enum_fmt_vid_cap,
+-      .vidioc_g_fmt_vid_cap           = unicam_g_fmt_vid_cap,
+-      .vidioc_s_fmt_vid_cap           = unicam_s_fmt_vid_cap,
+-      .vidioc_try_fmt_vid_cap         = unicam_try_fmt_vid_cap,
+-
+-      .vidioc_enum_fmt_meta_cap       = unicam_enum_fmt_meta_cap,
+-      .vidioc_g_fmt_meta_cap          = unicam_g_fmt_meta_cap,
+-      .vidioc_s_fmt_meta_cap          = unicam_s_fmt_meta_cap,
+-      .vidioc_try_fmt_meta_cap        = unicam_try_fmt_meta_cap,
+-
+-      .vidioc_enum_input              = unicam_enum_input,
+-      .vidioc_g_input                 = unicam_g_input,
+-      .vidioc_s_input                 = unicam_s_input,
+-
+-      .vidioc_querystd                = unicam_querystd,
+-      .vidioc_s_std                   = unicam_s_std,
+-      .vidioc_g_std                   = unicam_g_std,
+-
+-      .vidioc_g_edid                  = unicam_g_edid,
+-      .vidioc_s_edid                  = unicam_s_edid,
+-
+-      .vidioc_enum_framesizes         = unicam_enum_framesizes,
+-      .vidioc_enum_frameintervals     = unicam_enum_frameintervals,
+-
+-      .vidioc_g_selection             = unicam_g_selection,
+-      .vidioc_s_selection             = unicam_s_selection,
+-
+-      .vidioc_g_parm                  = unicam_g_parm,
+-      .vidioc_s_parm                  = unicam_s_parm,
+-
+-      .vidioc_s_dv_timings            = unicam_s_dv_timings,
+-      .vidioc_g_dv_timings            = unicam_g_dv_timings,
+-      .vidioc_query_dv_timings        = unicam_query_dv_timings,
+-      .vidioc_enum_dv_timings         = unicam_enum_dv_timings,
+-      .vidioc_dv_timings_cap          = unicam_dv_timings_cap,
+-
+-      .vidioc_reqbufs                 = vb2_ioctl_reqbufs,
+-      .vidioc_create_bufs             = vb2_ioctl_create_bufs,
+-      .vidioc_prepare_buf             = vb2_ioctl_prepare_buf,
+-      .vidioc_querybuf                = vb2_ioctl_querybuf,
+-      .vidioc_qbuf                    = vb2_ioctl_qbuf,
+-      .vidioc_dqbuf                   = vb2_ioctl_dqbuf,
+-      .vidioc_expbuf                  = vb2_ioctl_expbuf,
+-      .vidioc_streamon                = vb2_ioctl_streamon,
+-      .vidioc_streamoff               = vb2_ioctl_streamoff,
+-
+-      .vidioc_log_status              = unicam_log_status,
+-      .vidioc_subscribe_event         = unicam_subscribe_event,
+-      .vidioc_unsubscribe_event       = v4l2_event_unsubscribe,
+-};
+-
+-static int
+-unicam_async_bound(struct v4l2_async_notifier *notifier,
+-                 struct v4l2_subdev *subdev,
+-                 struct v4l2_async_subdev *asd)
+-{
+-      struct unicam_device *unicam = container_of(notifier->v4l2_dev,
+-                                             struct unicam_device, v4l2_dev);
+-
+-      if (unicam->sensor) {
+-              unicam_info(unicam, "Rejecting subdev %s (Already set!!)",
+-                          subdev->name);
+-              return 0;
+-      }
+-
+-      unicam->sensor = subdev;
+-      unicam_dbg(1, unicam, "Using sensor %s for capture\n", subdev->name);
+-
+-      return 0;
+-}
+-
+-static int register_node(struct unicam_device *unicam, struct unicam_node *node,
+-                       enum v4l2_buf_type type, int pad_id)
+-{
+-      struct video_device *vdev;
+-      struct vb2_queue *q;
+-      struct v4l2_mbus_framefmt mbus_fmt = {0};
+-      const struct unicam_fmt *fmt;
+-      int ret;
+-
+-      if (unicam->sensor_embedded_data || pad_id != METADATA_PAD) {
+-              ret = __subdev_get_format(unicam, &mbus_fmt, pad_id);
+-              if (ret) {
+-                      unicam_err(unicam, "Failed to get_format - ret %d\n",
+-                                 ret);
+-                      return ret;
+-              }
+-
+-              fmt = find_format_by_code(mbus_fmt.code);
+-              if (!fmt) {
+-                      /* Find the first format that the sensor and unicam both
+-                       * support
+-                       */
+-                      fmt = get_first_supported_format(unicam);
+-
+-                      if (!fmt)
+-                              /* No compatible formats */
+-                              return -EINVAL;
+-
+-                      mbus_fmt.code = fmt->code;
+-                      ret = __subdev_set_format(unicam, &mbus_fmt, pad_id);
+-                      if (ret)
+-                              return -EINVAL;
+-              }
+-              if (mbus_fmt.field != V4L2_FIELD_NONE) {
+-                      /* Interlaced not supported - disable it now. */
+-                      mbus_fmt.field = V4L2_FIELD_NONE;
+-                      ret = __subdev_set_format(unicam, &mbus_fmt, pad_id);
+-                      if (ret)
+-                              return -EINVAL;
+-              }
+-      } else {
+-              /* Fix this node format as embedded data. */
+-              fmt = find_format_by_code(MEDIA_BUS_FMT_SENSOR_DATA);
+-      }
+-
+-      node->dev = unicam;
+-      node->pad_id = pad_id;
+-      node->fmt = fmt;
+-      if (fmt->fourcc) {
+-              if (fmt->fourcc != V4L2_META_FMT_SENSOR_DATA)
+-                      node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
+-              else
+-                      node->v_fmt.fmt.meta.dataformat = fmt->fourcc;
+-      } else {
+-              node->v_fmt.fmt.pix.pixelformat = fmt->repacked_fourcc;
+-      }
+-
+-      /* Read current subdev format */
+-      unicam_reset_format(node);
+-
+-      if (v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
+-              v4l2_std_id tvnorms;
+-
+-              if (WARN_ON(!v4l2_subdev_has_op(unicam->sensor, video,
+-                                              g_tvnorms)))
+-                      /*
+-                       * Subdevice should not advertise s_std but not
+-                       * g_tvnorms
+-                       */
+-                      return -EINVAL;
+-
+-              ret = v4l2_subdev_call(unicam->sensor, video,
+-                                     g_tvnorms, &tvnorms);
+-              if (WARN_ON(ret))
+-                      return -EINVAL;
+-              node->video_dev.tvnorms |= tvnorms;
+-      }
+-
+-      spin_lock_init(&node->dma_queue_lock);
+-      mutex_init(&node->lock);
+-
+-      vdev = &node->video_dev;
+-      if (pad_id == IMAGE_PAD) {
+-              /* Add controls from the subdevice */
+-              ret = v4l2_ctrl_add_handler(&node->ctrl_handler,
+-                                          unicam->sensor->ctrl_handler, NULL,
+-                                          true);
+-              if (ret < 0)
+-                      return ret;
+-
+-              /*
+-               * If the sensor subdevice has any controls, associate the node
+-               *  with the ctrl handler to allow access from userland.
+-               */
+-              if (!list_empty(&node->ctrl_handler.ctrls))
+-                      vdev->ctrl_handler = &node->ctrl_handler;
+-      }
+-
+-      q = &node->buffer_queue;
+-      q->type = type;
+-      q->io_modes = VB2_MMAP | VB2_DMABUF | VB2_READ;
+-      q->drv_priv = node;
+-      q->ops = &unicam_video_qops;
+-      q->mem_ops = &vb2_dma_contig_memops;
+-      q->buf_struct_size = sizeof(struct unicam_buffer);
+-      q->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_MONOTONIC;
+-      q->lock = &node->lock;
+-      q->min_buffers_needed = 1;
+-      q->dev = &unicam->pdev->dev;
+-
+-      ret = vb2_queue_init(q);
+-      if (ret) {
+-              unicam_err(unicam, "vb2_queue_init() failed\n");
+-              return ret;
+-      }
+-
+-      INIT_LIST_HEAD(&node->dma_queue.active);
+-
+-      vdev->release = video_device_release_empty;
+-      vdev->fops = &unicam_fops;
+-      vdev->ioctl_ops = &unicam_ioctl_ops;
+-      vdev->v4l2_dev = &unicam->v4l2_dev;
+-      vdev->vfl_dir = VFL_DIR_RX;
+-      vdev->queue = q;
+-      vdev->lock = &node->lock;
+-      vdev->device_caps = (pad_id == IMAGE_PAD) ?
+-                          (V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING) :
+-                          (V4L2_CAP_META_CAPTURE | V4L2_CAP_STREAMING);
+-
+-      /* Define the device names */
+-      snprintf(vdev->name, sizeof(vdev->name), "%s-%s", UNICAM_MODULE_NAME,
+-               node->pad_id == IMAGE_PAD ? "image" : "embedded");
+-
+-      video_set_drvdata(vdev, node);
+-      vdev->entity.flags |= MEDIA_ENT_FL_DEFAULT;
+-
+-      node->dummy_buf_cpu_addr = dma_alloc_coherent(&unicam->pdev->dev,
+-                                                    DUMMY_BUF_SIZE,
+-                                                    &node->dummy_buf_dma_addr,
+-                                                    GFP_ATOMIC);
+-      if (!node->dummy_buf_cpu_addr) {
+-              unicam_err(unicam, "Unable to allocate dummy buffer.\n");
+-              return -ENOMEM;
+-      }
+-
+-      if (node->pad_id == METADATA_PAD) {
+-              v4l2_disable_ioctl(vdev, VIDIOC_DQEVENT);
+-              v4l2_disable_ioctl(vdev, VIDIOC_SUBSCRIBE_EVENT);
+-              v4l2_disable_ioctl(vdev, VIDIOC_UNSUBSCRIBE_EVENT);
+-      }
+-      if (node->pad_id == METADATA_PAD ||
+-          !v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_STD);
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_STD);
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUMSTD);
+-      }
+-      if (node->pad_id == METADATA_PAD ||
+-          !v4l2_subdev_has_op(unicam->sensor, video, querystd))
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_QUERYSTD);
+-      if (node->pad_id == METADATA_PAD ||
+-          !v4l2_subdev_has_op(unicam->sensor, video, s_dv_timings)) {
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_EDID);
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_EDID);
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_DV_TIMINGS_CAP);
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_DV_TIMINGS);
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_DV_TIMINGS);
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_DV_TIMINGS);
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_QUERY_DV_TIMINGS);
+-      }
+-      if (node->pad_id == METADATA_PAD ||
+-          !v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_interval))
+-              v4l2_disable_ioctl(&node->video_dev,
+-                                 VIDIOC_ENUM_FRAMEINTERVALS);
+-      if (node->pad_id == METADATA_PAD ||
+-          !v4l2_subdev_has_op(unicam->sensor, video, g_frame_interval))
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_PARM);
+-      if (node->pad_id == METADATA_PAD ||
+-          !v4l2_subdev_has_op(unicam->sensor, video, s_frame_interval))
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_PARM);
+-
+-      if (node->pad_id == METADATA_PAD ||
+-          !v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_size))
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_FRAMESIZES);
+-
+-      if (node->pad_id == METADATA_PAD ||
+-          !v4l2_subdev_has_op(unicam->sensor, pad, set_selection))
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_SELECTION);
+-
+-      if (node->pad_id == METADATA_PAD ||
+-          !v4l2_subdev_has_op(unicam->sensor, pad, get_selection))
+-              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_SELECTION);
+-
+-      ret = video_register_device(vdev, VFL_TYPE_GRABBER, -1);
+-      if (ret) {
+-              unicam_err(unicam, "Unable to register video device.\n");
+-              return ret;
+-      }
+-      node->registered = 1;
+-
+-      if (unicam->sensor_embedded_data) {
+-              ret = media_create_pad_link(&unicam->sensor->entity, pad_id,
+-                                          &node->video_dev.entity, 0,
+-                                          MEDIA_LNK_FL_ENABLED |
+-                                          MEDIA_LNK_FL_IMMUTABLE);
+-              if (ret)
+-                      unicam_err(unicam, "Unable to create pad links.\n");
+-      }
+-
+-      return ret;
+-}
+-
+-static void unregister_nodes(struct unicam_device *unicam)
+-{
+-      struct unicam_node *node;
+-      int i;
+-
+-      for (i = 0; i < MAX_NODES; i++) {
+-              node = &unicam->node[i];
+-              if (node->dummy_buf_cpu_addr) {
+-                      dma_free_coherent(&unicam->pdev->dev, DUMMY_BUF_SIZE,
+-                                        node->dummy_buf_cpu_addr,
+-                                        node->dummy_buf_dma_addr);
+-              }
+-              if (node->registered) {
+-                      video_unregister_device(&node->video_dev);
+-                      node->registered = 0;
+-              }
+-      }
+-}
+-
+-static int unicam_probe_complete(struct unicam_device *unicam)
+-{
+-      int ret;
+-
+-      v4l2_set_subdev_hostdata(unicam->sensor, unicam);
+-
+-      unicam->v4l2_dev.notify = unicam_notify;
+-
+-      unicam->sensor_config = v4l2_subdev_alloc_pad_config(unicam->sensor);
+-      if (!unicam->sensor_config)
+-              return -ENOMEM;
+-
+-      unicam->sensor_embedded_data = (unicam->sensor->entity.num_pads >= 2);
+-
+-      ret = register_node(unicam, &unicam->node[IMAGE_PAD],
+-                          V4L2_BUF_TYPE_VIDEO_CAPTURE, IMAGE_PAD);
+-      if (ret) {
+-              unicam_err(unicam, "Unable to register subdev node 0.\n");
+-              goto unregister;
+-      }
+-
+-      ret = register_node(unicam, &unicam->node[METADATA_PAD],
+-                          V4L2_BUF_TYPE_META_CAPTURE, METADATA_PAD);
+-      if (ret) {
+-              unicam_err(unicam, "Unable to register subdev node 1.\n");
+-              goto unregister;
+-      }
+-
+-      ret = v4l2_device_register_ro_subdev_nodes(&unicam->v4l2_dev);
+-      if (ret) {
+-              unicam_err(unicam, "Unable to register subdev nodes.\n");
+-              goto unregister;
+-      }
+-
+-      return 0;
+-
+-unregister:
+-      unregister_nodes(unicam);
+-
+-      return ret;
+-}
+-
+-static int unicam_async_complete(struct v4l2_async_notifier *notifier)
+-{
+-      struct unicam_device *unicam = container_of(notifier->v4l2_dev,
+-                                      struct unicam_device, v4l2_dev);
+-
+-      return unicam_probe_complete(unicam);
+-}
+-
+-static const struct v4l2_async_notifier_operations unicam_async_ops = {
+-      .bound = unicam_async_bound,
+-      .complete = unicam_async_complete,
+-};
+-
+-static int of_unicam_connect_subdevs(struct unicam_device *dev)
+-{
+-      struct platform_device *pdev = dev->pdev;
+-      struct device_node *parent, *ep_node = NULL, *remote_ep = NULL,
+-                      *sensor_node = NULL;
+-      struct v4l2_fwnode_endpoint *ep;
+-      struct v4l2_async_subdev *asd;
+-      unsigned int peripheral_data_lanes;
+-      int ret = -EINVAL;
+-      unsigned int lane;
+-
+-      parent = pdev->dev.of_node;
+-
+-      asd = &dev->asd;
+-      ep = &dev->endpoint;
+-
+-      ep_node = of_graph_get_next_endpoint(parent, NULL);
+-      if (!ep_node) {
+-              unicam_dbg(3, dev, "can't get next endpoint\n");
+-              goto cleanup_exit;
+-      }
+-
+-      unicam_dbg(3, dev, "ep_node is %s\n", ep_node->name);
+-
+-      v4l2_fwnode_endpoint_parse(of_fwnode_handle(ep_node), ep);
+-
+-      for (lane = 0; lane < ep->bus.mipi_csi2.num_data_lanes; lane++) {
+-              if (ep->bus.mipi_csi2.data_lanes[lane] != lane + 1) {
+-                      unicam_err(dev, "Local endpoint - data lane reordering not supported\n");
+-                      goto cleanup_exit;
+-              }
+-      }
+-
+-      peripheral_data_lanes = ep->bus.mipi_csi2.num_data_lanes;
+-
+-      sensor_node = of_graph_get_remote_port_parent(ep_node);
+-      if (!sensor_node) {
+-              unicam_dbg(3, dev, "can't get remote parent\n");
+-              goto cleanup_exit;
+-      }
+-      unicam_dbg(3, dev, "sensor_node is %s\n", sensor_node->name);
+-      asd->match_type = V4L2_ASYNC_MATCH_FWNODE;
+-      asd->match.fwnode = of_fwnode_handle(sensor_node);
+-
+-      remote_ep = of_graph_get_remote_endpoint(ep_node);
+-      if (!remote_ep) {
+-              unicam_dbg(3, dev, "can't get remote-endpoint\n");
+-              goto cleanup_exit;
+-      }
+-      unicam_dbg(3, dev, "remote_ep is %s\n", remote_ep->name);
+-      v4l2_fwnode_endpoint_parse(of_fwnode_handle(remote_ep), ep);
+-      unicam_dbg(3, dev, "parsed remote_ep to endpoint. nr_of_link_frequencies %u, bus_type %u\n",
+-                 ep->nr_of_link_frequencies, ep->bus_type);
+-
+-      switch (ep->bus_type) {
+-      case V4L2_MBUS_CSI2_DPHY:
+-              if (ep->bus.mipi_csi2.num_data_lanes >
+-                              peripheral_data_lanes) {
+-                      unicam_err(dev, "Subdevice %s wants too many data lanes (%u > %u)\n",
+-                                 sensor_node->name,
+-                                 ep->bus.mipi_csi2.num_data_lanes,
+-                                 peripheral_data_lanes);
+-                      goto cleanup_exit;
+-              }
+-              for (lane = 0;
+-                   lane < ep->bus.mipi_csi2.num_data_lanes;
+-                   lane++) {
+-                      if (ep->bus.mipi_csi2.data_lanes[lane] != lane + 1) {
+-                              unicam_err(dev, "Subdevice %s - incompatible data lane config\n",
+-                                         sensor_node->name);
+-                              goto cleanup_exit;
+-                      }
+-              }
+-              dev->max_data_lanes = ep->bus.mipi_csi2.num_data_lanes;
+-              dev->bus_flags = ep->bus.mipi_csi2.flags;
+-              break;
+-      case V4L2_MBUS_CCP2:
+-              if (ep->bus.mipi_csi1.clock_lane != 0 ||
+-                  ep->bus.mipi_csi1.data_lane != 1) {
+-                      unicam_err(dev, "Subdevice %s incompatible lane config\n",
+-                                 sensor_node->name);
+-                      goto cleanup_exit;
+-              }
+-              dev->max_data_lanes = 1;
+-              dev->bus_flags = ep->bus.mipi_csi1.strobe;
+-              break;
+-      default:
+-              /* Unsupported bus type */
+-              unicam_err(dev, "sub-device %s is not a CSI2 or CCP2 device %d\n",
+-                         sensor_node->name, ep->bus_type);
+-              goto cleanup_exit;
+-      }
+-
+-      /* Store bus type - CSI2 or CCP2 */
+-      dev->bus_type = ep->bus_type;
+-      unicam_dbg(3, dev, "bus_type is %d\n", dev->bus_type);
+-
+-      /* Store Virtual Channel number */
+-      dev->virtual_channel = ep->base.id;
+-
+-      unicam_dbg(3, dev, "v4l2-endpoint: %s\n",
+-                 dev->bus_type == V4L2_MBUS_CSI2_DPHY ? "CSI2" : "CCP2");
+-      unicam_dbg(3, dev, "Virtual Channel=%d\n", dev->virtual_channel);
+-      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY)
+-              unicam_dbg(3, dev, "flags=0x%08x\n", ep->bus.mipi_csi2.flags);
+-      unicam_dbg(3, dev, "num_data_lanes=%d\n", dev->max_data_lanes);
+-
+-      unicam_dbg(1, dev, "found sub-device %s\n", sensor_node->name);
+-
+-      v4l2_async_notifier_init(&dev->notifier);
+-
+-      ret = v4l2_async_notifier_add_subdev(&dev->notifier, asd);
+-      if (ret) {
+-              unicam_err(dev, "Error adding subdevice - ret %d\n", ret);
+-              goto cleanup_exit;
+-      }
+-
+-      dev->notifier.ops = &unicam_async_ops;
+-      ret = v4l2_async_notifier_register(&dev->v4l2_dev,
+-                                         &dev->notifier);
+-      if (ret) {
+-              unicam_err(dev, "Error registering async notifier - ret %d\n",
+-                         ret);
+-              ret = -EINVAL;
+-      }
+-
+-cleanup_exit:
+-      if (remote_ep)
+-              of_node_put(remote_ep);
+-      if (sensor_node)
+-              of_node_put(sensor_node);
+-      if (ep_node)
+-              of_node_put(ep_node);
+-
+-      return ret;
+-}
+-
+-static int unicam_probe(struct platform_device *pdev)
+-{
+-      struct unicam_cfg *unicam_cfg;
+-      struct unicam_device *unicam;
+-      struct v4l2_ctrl_handler *hdl;
+-      struct resource *res;
+-      int ret;
+-
+-      unicam = devm_kzalloc(&pdev->dev, sizeof(*unicam), GFP_KERNEL);
+-      if (!unicam)
+-              return -ENOMEM;
+-
+-      unicam->pdev = pdev;
+-      unicam_cfg = &unicam->cfg;
+-
+-      res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
+-      unicam_cfg->base = devm_ioremap_resource(&pdev->dev, res);
+-      if (IS_ERR(unicam_cfg->base)) {
+-              unicam_err(unicam, "Failed to get main io block\n");
+-              return PTR_ERR(unicam_cfg->base);
+-      }
+-
+-      res = platform_get_resource(pdev, IORESOURCE_MEM, 1);
+-      unicam_cfg->clk_gate_base = devm_ioremap_resource(&pdev->dev, res);
+-      if (IS_ERR(unicam_cfg->clk_gate_base)) {
+-              unicam_err(unicam, "Failed to get 2nd io block\n");
+-              return PTR_ERR(unicam_cfg->clk_gate_base);
+-      }
+-
+-      unicam->clock = devm_clk_get(&pdev->dev, "lp");
+-      if (IS_ERR(unicam->clock)) {
+-              unicam_err(unicam, "Failed to get clock\n");
+-              return PTR_ERR(unicam->clock);
+-      }
+-
+-      ret = platform_get_irq(pdev, 0);
+-      if (ret <= 0) {
+-              dev_err(&pdev->dev, "No IRQ resource\n");
+-              return -ENODEV;
+-      }
+-
+-      ret = devm_request_irq(&pdev->dev, ret, unicam_isr, 0,
+-                             "unicam_capture0", unicam);
+-      if (ret) {
+-              dev_err(&pdev->dev, "Unable to request interrupt\n");
+-              return -EINVAL;
+-      }
+-
+-      unicam->mdev.dev = &pdev->dev;
+-      strscpy(unicam->mdev.model, UNICAM_MODULE_NAME,
+-              sizeof(unicam->mdev.model));
+-      strscpy(unicam->mdev.serial, "", sizeof(unicam->mdev.serial));
+-      snprintf(unicam->mdev.bus_info, sizeof(unicam->mdev.bus_info),
+-               "platform:%s %s",
+-               pdev->dev.driver->name, dev_name(&pdev->dev));
+-      unicam->mdev.hw_revision = 1;
+-
+-      media_entity_pads_init(&unicam->node[IMAGE_PAD].video_dev.entity, 1,
+-                             &unicam->node[IMAGE_PAD].pad);
+-      media_entity_pads_init(&unicam->node[METADATA_PAD].video_dev.entity, 1,
+-                             &unicam->node[METADATA_PAD].pad);
+-      media_device_init(&unicam->mdev);
+-
+-      unicam->v4l2_dev.mdev = &unicam->mdev;
+-
+-      ret = v4l2_device_register(&pdev->dev, &unicam->v4l2_dev);
+-      if (ret) {
+-              unicam_err(unicam,
+-                         "Unable to register v4l2 device.\n");
+-              goto media_cleanup;
+-      }
+-
+-      ret = media_device_register(&unicam->mdev);
+-      if (ret < 0) {
+-              unicam_err(unicam,
+-                         "Unable to register media-controller device.\n");
+-              goto probe_out_v4l2_unregister;
+-      }
+-
+-      /* Reserve space for the controls */
+-      hdl = &unicam->node[IMAGE_PAD].ctrl_handler;
+-      ret = v4l2_ctrl_handler_init(hdl, 16);
+-      if (ret < 0)
+-              goto media_unregister;
+-
+-      /* set the driver data in platform device */
+-      platform_set_drvdata(pdev, unicam);
+-
+-      ret = of_unicam_connect_subdevs(unicam);
+-      if (ret) {
+-              dev_err(&pdev->dev, "Failed to connect subdevs\n");
+-              goto free_hdl;
+-      }
+-
+-      /* Enable the block power domain */
+-      pm_runtime_enable(&pdev->dev);
+-
+-      return 0;
+-
+-free_hdl:
+-      v4l2_ctrl_handler_free(hdl);
+-media_unregister:
+-      media_device_unregister(&unicam->mdev);
+-probe_out_v4l2_unregister:
+-      v4l2_device_unregister(&unicam->v4l2_dev);
+-media_cleanup:
+-      media_device_cleanup(&unicam->mdev);
+-
+-      return ret;
+-}
+-
+-static int unicam_remove(struct platform_device *pdev)
+-{
+-      struct unicam_device *unicam = platform_get_drvdata(pdev);
+-
+-      unicam_dbg(2, unicam, "%s\n", __func__);
+-
+-      pm_runtime_disable(&pdev->dev);
+-
+-      v4l2_async_notifier_unregister(&unicam->notifier);
+-      v4l2_ctrl_handler_free(&unicam->node[IMAGE_PAD].ctrl_handler);
+-      v4l2_device_unregister(&unicam->v4l2_dev);
+-      unregister_nodes(unicam);
+-      if (unicam->sensor_config)
+-              v4l2_subdev_free_pad_config(unicam->sensor_config);
+-      media_device_unregister(&unicam->mdev);
+-      media_device_cleanup(&unicam->mdev);
+-
+-      return 0;
+-}
+-
+-static const struct of_device_id unicam_of_match[] = {
+-      { .compatible = "brcm,bcm2835-unicam", },
+-      { /* sentinel */ },
+-};
+-MODULE_DEVICE_TABLE(of, unicam_of_match);
+-
+-static struct platform_driver unicam_driver = {
+-      .probe          = unicam_probe,
+-      .remove         = unicam_remove,
+-      .driver = {
+-              .name   = UNICAM_MODULE_NAME,
+-              .of_match_table = of_match_ptr(unicam_of_match),
+-      },
+-};
+-
+-module_platform_driver(unicam_driver);
+-
+-MODULE_AUTHOR("Dave Stevenson <dave.stevenson@raspberrypi.com>");
+-MODULE_DESCRIPTION("BCM2835 Unicam driver");
+-MODULE_LICENSE("GPL");
+-MODULE_VERSION(UNICAM_VERSION);
+--- a/drivers/media/platform/bcm2835/vc4-regs-unicam.h
++++ /dev/null
+@@ -1,253 +0,0 @@
+-/* SPDX-License-Identifier: GPL-2.0-only */
+-
+-/*
+- * Copyright (C) 2017-2020 Raspberry Pi Trading.
+- * Dave Stevenson <dave.stevenson@raspberrypi.com>
+- */
+-
+-#ifndef VC4_REGS_UNICAM_H
+-#define VC4_REGS_UNICAM_H
+-
+-/*
+- * The following values are taken from files found within the code drop
+- * made by Broadcom for the BCM21553 Graphics Driver, predominantly in
+- * brcm_usrlib/dag/vmcsx/vcinclude/hardware_vc4.h.
+- * They have been modified to be only the register offset.
+- */
+-#define UNICAM_CTRL   0x000
+-#define UNICAM_STA    0x004
+-#define UNICAM_ANA    0x008
+-#define UNICAM_PRI    0x00c
+-#define UNICAM_CLK    0x010
+-#define UNICAM_CLT    0x014
+-#define UNICAM_DAT0   0x018
+-#define UNICAM_DAT1   0x01c
+-#define UNICAM_DAT2   0x020
+-#define UNICAM_DAT3   0x024
+-#define UNICAM_DLT    0x028
+-#define UNICAM_CMP0   0x02c
+-#define UNICAM_CMP1   0x030
+-#define UNICAM_CAP0   0x034
+-#define UNICAM_CAP1   0x038
+-#define UNICAM_ICTL   0x100
+-#define UNICAM_ISTA   0x104
+-#define UNICAM_IDI0   0x108
+-#define UNICAM_IPIPE  0x10c
+-#define UNICAM_IBSA0  0x110
+-#define UNICAM_IBEA0  0x114
+-#define UNICAM_IBLS   0x118
+-#define UNICAM_IBWP   0x11c
+-#define UNICAM_IHWIN  0x120
+-#define UNICAM_IHSTA  0x124
+-#define UNICAM_IVWIN  0x128
+-#define UNICAM_IVSTA  0x12c
+-#define UNICAM_ICC    0x130
+-#define UNICAM_ICS    0x134
+-#define UNICAM_IDC    0x138
+-#define UNICAM_IDPO   0x13c
+-#define UNICAM_IDCA   0x140
+-#define UNICAM_IDCD   0x144
+-#define UNICAM_IDS    0x148
+-#define UNICAM_DCS    0x200
+-#define UNICAM_DBSA0  0x204
+-#define UNICAM_DBEA0  0x208
+-#define UNICAM_DBWP   0x20c
+-#define UNICAM_DBCTL  0x300
+-#define UNICAM_IBSA1  0x304
+-#define UNICAM_IBEA1  0x308
+-#define UNICAM_IDI1   0x30c
+-#define UNICAM_DBSA1  0x310
+-#define UNICAM_DBEA1  0x314
+-#define UNICAM_MISC   0x400
+-
+-/*
+- * The following bitmasks are from the kernel released by Broadcom
+- * for Android - https://android.googlesource.com/kernel/bcm/
+- * The Rhea, Hawaii, and Java chips all contain the same VideoCore4
+- * Unicam block as BCM2835, as defined in eg
+- * arch/arm/mach-rhea/include/mach/rdb_A0/brcm_rdb_cam.h and similar.
+- * Values reworked to use the kernel BIT and GENMASK macros.
+- *
+- * Some of the bit mnenomics have been amended to match the datasheet.
+- */
+-/* UNICAM_CTRL Register */
+-#define UNICAM_CPE            BIT(0)
+-#define UNICAM_MEM            BIT(1)
+-#define UNICAM_CPR            BIT(2)
+-#define UNICAM_CPM_MASK               GENMASK(3, 3)
+-#define UNICAM_CPM_CSI2               0
+-#define UNICAM_CPM_CCP2               1
+-#define UNICAM_SOE            BIT(4)
+-#define UNICAM_DCM_MASK               GENMASK(5, 5)
+-#define UNICAM_DCM_STROBE     0
+-#define UNICAM_DCM_DATA               1
+-#define UNICAM_SLS            BIT(6)
+-#define UNICAM_PFT_MASK               GENMASK(11, 8)
+-#define UNICAM_OET_MASK               GENMASK(20, 12)
+-
+-/* UNICAM_STA Register */
+-#define UNICAM_SYN            BIT(0)
+-#define UNICAM_CS             BIT(1)
+-#define UNICAM_SBE            BIT(2)
+-#define UNICAM_PBE            BIT(3)
+-#define UNICAM_HOE            BIT(4)
+-#define UNICAM_PLE            BIT(5)
+-#define UNICAM_SSC            BIT(6)
+-#define UNICAM_CRCE           BIT(7)
+-#define UNICAM_OES            BIT(8)
+-#define UNICAM_IFO            BIT(9)
+-#define UNICAM_OFO            BIT(10)
+-#define UNICAM_BFO            BIT(11)
+-#define UNICAM_DL             BIT(12)
+-#define UNICAM_PS             BIT(13)
+-#define UNICAM_IS             BIT(14)
+-#define UNICAM_PI0            BIT(15)
+-#define UNICAM_PI1            BIT(16)
+-#define UNICAM_FSI_S          BIT(17)
+-#define UNICAM_FEI_S          BIT(18)
+-#define UNICAM_LCI_S          BIT(19)
+-#define UNICAM_BUF0_RDY               BIT(20)
+-#define UNICAM_BUF0_NO                BIT(21)
+-#define UNICAM_BUF1_RDY               BIT(22)
+-#define UNICAM_BUF1_NO                BIT(23)
+-#define UNICAM_DI             BIT(24)
+-
+-#define UNICAM_STA_MASK_ALL \
+-              (UNICAM_DL + \
+-              UNICAM_SBE + \
+-              UNICAM_PBE + \
+-              UNICAM_HOE + \
+-              UNICAM_PLE + \
+-              UNICAM_SSC + \
+-              UNICAM_CRCE + \
+-              UNICAM_IFO + \
+-              UNICAM_OFO + \
+-              UNICAM_PS + \
+-              UNICAM_PI0 + \
+-              UNICAM_PI1)
+-
+-/* UNICAM_ANA Register */
+-#define UNICAM_APD            BIT(0)
+-#define UNICAM_BPD            BIT(1)
+-#define UNICAM_AR             BIT(2)
+-#define UNICAM_DDL            BIT(3)
+-#define UNICAM_CTATADJ_MASK   GENMASK(7, 4)
+-#define UNICAM_PTATADJ_MASK   GENMASK(11, 8)
+-
+-/* UNICAM_PRI Register */
+-#define UNICAM_PE             BIT(0)
+-#define UNICAM_PT_MASK                GENMASK(2, 1)
+-#define UNICAM_NP_MASK                GENMASK(7, 4)
+-#define UNICAM_PP_MASK                GENMASK(11, 8)
+-#define UNICAM_BS_MASK                GENMASK(15, 12)
+-#define UNICAM_BL_MASK                GENMASK(17, 16)
+-
+-/* UNICAM_CLK Register */
+-#define UNICAM_CLE            BIT(0)
+-#define UNICAM_CLPD           BIT(1)
+-#define UNICAM_CLLPE          BIT(2)
+-#define UNICAM_CLHSE          BIT(3)
+-#define UNICAM_CLTRE          BIT(4)
+-#define UNICAM_CLAC_MASK      GENMASK(8, 5)
+-#define UNICAM_CLSTE          BIT(29)
+-
+-/* UNICAM_CLT Register */
+-#define UNICAM_CLT1_MASK      GENMASK(7, 0)
+-#define UNICAM_CLT2_MASK      GENMASK(15, 8)
+-
+-/* UNICAM_DATn Registers */
+-#define UNICAM_DLE            BIT(0)
+-#define UNICAM_DLPD           BIT(1)
+-#define UNICAM_DLLPE          BIT(2)
+-#define UNICAM_DLHSE          BIT(3)
+-#define UNICAM_DLTRE          BIT(4)
+-#define UNICAM_DLSM           BIT(5)
+-#define UNICAM_DLFO           BIT(28)
+-#define UNICAM_DLSTE          BIT(29)
+-
+-#define UNICAM_DAT_MASK_ALL (UNICAM_DLSTE + UNICAM_DLFO)
+-
+-/* UNICAM_DLT Register */
+-#define UNICAM_DLT1_MASK      GENMASK(7, 0)
+-#define UNICAM_DLT2_MASK      GENMASK(15, 8)
+-#define UNICAM_DLT3_MASK      GENMASK(23, 16)
+-
+-/* UNICAM_ICTL Register */
+-#define UNICAM_FSIE           BIT(0)
+-#define UNICAM_FEIE           BIT(1)
+-#define UNICAM_IBOB           BIT(2)
+-#define UNICAM_FCM            BIT(3)
+-#define UNICAM_TFC            BIT(4)
+-#define UNICAM_LIP_MASK               GENMASK(6, 5)
+-#define UNICAM_LCIE_MASK      GENMASK(28, 16)
+-
+-/* UNICAM_IDI0/1 Register */
+-#define UNICAM_ID0_MASK               GENMASK(7, 0)
+-#define UNICAM_ID1_MASK               GENMASK(15, 8)
+-#define UNICAM_ID2_MASK               GENMASK(23, 16)
+-#define UNICAM_ID3_MASK               GENMASK(31, 24)
+-
+-/* UNICAM_ISTA Register */
+-#define UNICAM_FSI            BIT(0)
+-#define UNICAM_FEI            BIT(1)
+-#define UNICAM_LCI            BIT(2)
+-
+-#define UNICAM_ISTA_MASK_ALL (UNICAM_FSI + UNICAM_FEI + UNICAM_LCI)
+-
+-/* UNICAM_IPIPE Register */
+-#define UNICAM_PUM_MASK               GENMASK(2, 0)
+-              /* Unpacking modes */
+-              #define UNICAM_PUM_NONE         0
+-              #define UNICAM_PUM_UNPACK6      1
+-              #define UNICAM_PUM_UNPACK7      2
+-              #define UNICAM_PUM_UNPACK8      3
+-              #define UNICAM_PUM_UNPACK10     4
+-              #define UNICAM_PUM_UNPACK12     5
+-              #define UNICAM_PUM_UNPACK14     6
+-              #define UNICAM_PUM_UNPACK16     7
+-#define UNICAM_DDM_MASK               GENMASK(6, 3)
+-#define UNICAM_PPM_MASK               GENMASK(9, 7)
+-              /* Packing modes */
+-              #define UNICAM_PPM_NONE         0
+-              #define UNICAM_PPM_PACK8        1
+-              #define UNICAM_PPM_PACK10       2
+-              #define UNICAM_PPM_PACK12       3
+-              #define UNICAM_PPM_PACK14       4
+-              #define UNICAM_PPM_PACK16       5
+-#define UNICAM_DEM_MASK               GENMASK(11, 10)
+-#define UNICAM_DEBL_MASK      GENMASK(14, 12)
+-#define UNICAM_ICM_MASK               GENMASK(16, 15)
+-#define UNICAM_IDM_MASK               GENMASK(17, 17)
+-
+-/* UNICAM_ICC Register */
+-#define UNICAM_ICFL_MASK      GENMASK(4, 0)
+-#define UNICAM_ICFH_MASK      GENMASK(9, 5)
+-#define UNICAM_ICST_MASK      GENMASK(12, 10)
+-#define UNICAM_ICLT_MASK      GENMASK(15, 13)
+-#define UNICAM_ICLL_MASK      GENMASK(31, 16)
+-
+-/* UNICAM_DCS Register */
+-#define UNICAM_DIE            BIT(0)
+-#define UNICAM_DIM            BIT(1)
+-#define UNICAM_DBOB           BIT(3)
+-#define UNICAM_FDE            BIT(4)
+-#define UNICAM_LDP            BIT(5)
+-#define UNICAM_EDL_MASK               GENMASK(15, 8)
+-
+-/* UNICAM_DBCTL Register */
+-#define UNICAM_DBEN           BIT(0)
+-#define UNICAM_BUF0_IE                BIT(1)
+-#define UNICAM_BUF1_IE                BIT(2)
+-
+-/* UNICAM_CMP[0,1] register */
+-#define UNICAM_PCE            BIT(31)
+-#define UNICAM_GI             BIT(9)
+-#define UNICAM_CPH            BIT(8)
+-#define UNICAM_PCVC_MASK      GENMASK(7, 6)
+-#define UNICAM_PCDT_MASK      GENMASK(5, 0)
+-
+-/* UNICAM_MISC register */
+-#define UNICAM_FL0            BIT(6)
+-#define UNICAM_FL1            BIT(9)
+-
+-#endif
diff --git a/target/linux/bcm27xx/patches-5.4/950-0805-include-media-Add-vfl_devnode_type-of-VFL_TYPE_VIDEO.patch b/target/linux/bcm27xx/patches-5.4/950-0805-include-media-Add-vfl_devnode_type-of-VFL_TYPE_VIDEO.patch
new file mode 100644 (file)
index 0000000..acd3034
--- /dev/null
@@ -0,0 +1,25 @@
+From 7c74b86873fd5252becee33d092d8317ceff5f5b Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 23 Jun 2020 10:35:24 +0100
+Subject: [PATCH] include: media: Add vfl_devnode_type of
+ VFL_TYPE_VIDEO
+
+Upsstream are renaming VFL_TYPE_GRABBER to VFL_TYPE_VIDEO.
+To make backporting the upstream Unicam driver easier, add an
+extra enum entry (same as VFL_TYPE_GRABBER) to match that.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ include/media/v4l2-dev.h | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/include/media/v4l2-dev.h
++++ b/include/media/v4l2-dev.h
+@@ -34,6 +34,7 @@
+  */
+ enum vfl_devnode_type {
+       VFL_TYPE_GRABBER        = 0,
++      VFL_TYPE_VIDEO = VFL_TYPE_GRABBER,
+       VFL_TYPE_VBI,
+       VFL_TYPE_RADIO,
+       VFL_TYPE_SUBDEV,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0806-media-bcm2835-unicam-Driver-for-CCP2-CSI2-camera-int.patch b/target/linux/bcm27xx/patches-5.4/950-0806-media-bcm2835-unicam-Driver-for-CCP2-CSI2-camera-int.patch
new file mode 100644 (file)
index 0000000..561b1fd
--- /dev/null
@@ -0,0 +1,3150 @@
+From c339b677f34884fdbe0e6bcdda6d59b7ae30d118 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Mon, 4 May 2020 12:25:41 +0300
+Subject: [PATCH] media: bcm2835-unicam: Driver for CCP2/CSI2 camera
+ interface
+
+Add a driver for the Unicam camera receiver block on BCM283x processors.
+Compared to the bcm2835-camera driver present in staging, this driver
+handles the Unicam block only (CSI-2 receiver), and doesn't depend on
+the VC4 firmware running on the VPU.
+
+The commit is made up of a series of changes cherry-picked from the
+rpi-5.4.y branch of https://github.com/raspberrypi/linux/ with
+additional enhancements, forward-ported to the mainline kernel.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Reported-by: kbuild test robot <lkp@intel.com>
+---
+ MAINTAINERS                                   |    2 +-
+ drivers/media/platform/bcm2835/Kconfig        |   15 +
+ drivers/media/platform/bcm2835/Makefile       |    3 +
+ .../media/platform/bcm2835/bcm2835-unicam.c   | 2825 +++++++++++++++++
+ .../media/platform/bcm2835/vc4-regs-unicam.h  |  253 ++
+ 5 files changed, 3097 insertions(+), 1 deletion(-)
+ create mode 100644 drivers/media/platform/bcm2835/Kconfig
+ create mode 100644 drivers/media/platform/bcm2835/Makefile
+ create mode 100644 drivers/media/platform/bcm2835/bcm2835-unicam.c
+ create mode 100644 drivers/media/platform/bcm2835/vc4-regs-unicam.h
+
+--- a/MAINTAINERS
++++ b/MAINTAINERS
+@@ -3210,7 +3210,7 @@ M:       Raspberry Pi Kernel Maintenance <kern
+ L:    linux-media@vger.kernel.org
+ S:    Maintained
+ F:    drivers/media/platform/bcm2835/
+-F:    Documentation/devicetree/bindings/media/bcm2835-unicam.txt
++F:    Documentation/devicetree/bindings/media/brcm,bcm2835-unicam.yaml
+ BROADCOM BCM2835 ISP DRIVER
+ M:    Raspberry Pi Kernel Maintenance <kernel-list@raspberrypi.com>
+--- /dev/null
++++ b/drivers/media/platform/bcm2835/Kconfig
+@@ -0,0 +1,15 @@
++# Broadcom VideoCore4 V4L2 camera support
++
++config VIDEO_BCM2835_UNICAM
++      tristate "Broadcom BCM2835 Unicam video capture driver"
++      depends on VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API && MEDIA_CONTROLLER
++      depends on ARCH_BCM2835 || COMPILE_TEST
++      select VIDEOBUF2_DMA_CONTIG
++      select V4L2_FWNODE
++      help
++        Say Y here to enable support for the BCM2835 CSI-2 receiver. This is a
++        V4L2 driver that controls the CSI-2 receiver directly, independently
++        from the VC4 firmware.
++
++        To compile this driver as a module, choose M here. The module will be
++        called bcm2835-unicam.
+--- /dev/null
++++ b/drivers/media/platform/bcm2835/Makefile
+@@ -0,0 +1,3 @@
++# Makefile for BCM2835 Unicam driver
++
++obj-$(CONFIG_VIDEO_BCM2835_UNICAM) += bcm2835-unicam.o
+--- /dev/null
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -0,0 +1,2825 @@
++// SPDX-License-Identifier: GPL-2.0-only
++/*
++ * BCM2835 Unicam Capture Driver
++ *
++ * Copyright (C) 2017-2020 - Raspberry Pi (Trading) Ltd.
++ *
++ * Dave Stevenson <dave.stevenson@raspberrypi.com>
++ *
++ * Based on TI am437x driver by
++ *   Benoit Parrot <bparrot@ti.com>
++ *   Lad, Prabhakar <prabhakar.csengg@gmail.com>
++ *
++ * and TI CAL camera interface driver by
++ *    Benoit Parrot <bparrot@ti.com>
++ *
++ *
++ * There are two camera drivers in the kernel for BCM283x - this one
++ * and bcm2835-camera (currently in staging).
++ *
++ * This driver directly controls the Unicam peripheral - there is no
++ * involvement with the VideoCore firmware. Unicam receives CSI-2 or
++ * CCP2 data and writes it into SDRAM.
++ * The only potential processing options are to repack Bayer data into an
++ * alternate format, and applying windowing.
++ * The repacking does not shift the data, so can repack V4L2_PIX_FMT_Sxxxx10P
++ * to V4L2_PIX_FMT_Sxxxx10, or V4L2_PIX_FMT_Sxxxx12P to V4L2_PIX_FMT_Sxxxx12,
++ * but not generically up to V4L2_PIX_FMT_Sxxxx16. The driver will add both
++ * formats where the relevant formats are defined, and will automatically
++ * configure the repacking as required.
++ * Support for windowing may be added later.
++ *
++ * It should be possible to connect this driver to any sensor with a
++ * suitable output interface and V4L2 subdevice driver.
++ *
++ * bcm2835-camera uses the VideoCore firmware to control the sensor,
++ * Unicam, ISP, and all tuner control loops. Fully processed frames are
++ * delivered to the driver by the firmware. It only has sensor drivers
++ * for Omnivision OV5647, and Sony IMX219 sensors.
++ *
++ * The two drivers are mutually exclusive for the same Unicam instance.
++ * The VideoCore firmware checks the device tree configuration during boot.
++ * If it finds device tree nodes called csi0 or csi1 it will block the
++ * firmware from accessing the peripheral, and bcm2835-camera will
++ * not be able to stream data.
++ */
++
++#include <linux/clk.h>
++#include <linux/delay.h>
++#include <linux/device.h>
++#include <linux/dma-mapping.h>
++#include <linux/err.h>
++#include <linux/init.h>
++#include <linux/interrupt.h>
++#include <linux/io.h>
++#include <linux/module.h>
++#include <linux/of_device.h>
++#include <linux/of_graph.h>
++#include <linux/pinctrl/consumer.h>
++#include <linux/platform_device.h>
++#include <linux/pm_runtime.h>
++#include <linux/slab.h>
++#include <linux/uaccess.h>
++#include <linux/videodev2.h>
++
++#include <media/v4l2-common.h>
++#include <media/v4l2-ctrls.h>
++#include <media/v4l2-dev.h>
++#include <media/v4l2-device.h>
++#include <media/v4l2-dv-timings.h>
++#include <media/v4l2-event.h>
++#include <media/v4l2-ioctl.h>
++#include <media/v4l2-fwnode.h>
++#include <media/videobuf2-dma-contig.h>
++
++#include "vc4-regs-unicam.h"
++
++#define UNICAM_MODULE_NAME    "unicam"
++#define UNICAM_VERSION                "0.1.0"
++
++static int debug;
++module_param(debug, int, 0644);
++MODULE_PARM_DESC(debug, "Debug level 0-3");
++
++#define unicam_dbg(level, dev, fmt, arg...)   \
++              v4l2_dbg(level, debug, &(dev)->v4l2_dev, fmt, ##arg)
++#define unicam_info(dev, fmt, arg...) \
++              v4l2_info(&(dev)->v4l2_dev, fmt, ##arg)
++#define unicam_err(dev, fmt, arg...)  \
++              v4l2_err(&(dev)->v4l2_dev, fmt, ##arg)
++
++/*
++ * To protect against a dodgy sensor driver never returning an error from
++ * enum_mbus_code, set a maximum index value to be used.
++ */
++#define MAX_ENUM_MBUS_CODE    128
++
++/*
++ * Stride is a 16 bit register, but also has to be a multiple of 32.
++ */
++#define BPL_ALIGNMENT         32
++#define MAX_BYTESPERLINE      ((1 << 16) - BPL_ALIGNMENT)
++/*
++ * Max width is therefore determined by the max stride divided by
++ * the number of bits per pixel. Take 32bpp as a
++ * worst case.
++ * No imposed limit on the height, so adopt a square image for want
++ * of anything better.
++ */
++#define MAX_WIDTH             (MAX_BYTESPERLINE / 4)
++#define MAX_HEIGHT            MAX_WIDTH
++/* Define a nominal minimum image size */
++#define MIN_WIDTH             16
++#define MIN_HEIGHT            16
++/* Default size of the embedded buffer */
++#define UNICAM_EMBEDDED_SIZE  8192
++
++/*
++ * Size of the dummy buffer. Can be any size really, but the DMA
++ * allocation works in units of page sizes.
++ */
++#define DUMMY_BUF_SIZE                (PAGE_SIZE)
++
++enum pad_types {
++      IMAGE_PAD,
++      METADATA_PAD,
++      MAX_NODES
++};
++
++/*
++ * struct unicam_fmt - Unicam media bus format information
++ * @pixelformat: V4L2 pixel format FCC identifier. 0 if n/a.
++ * @repacked_fourcc: V4L2 pixel format FCC identifier if the data is expanded
++ * out to 16bpp. 0 if n/a.
++ * @code: V4L2 media bus format code.
++ * @depth: Bits per pixel as delivered from the source.
++ * @csi_dt: CSI data type.
++ * @check_variants: Flag to denote that there are multiple mediabus formats
++ *            still in the list that could match this V4L2 format.
++ */
++struct unicam_fmt {
++      u32     fourcc;
++      u32     repacked_fourcc;
++      u32     code;
++      u8      depth;
++      u8      csi_dt;
++      u8      check_variants;
++};
++
++static const struct unicam_fmt formats[] = {
++      /* YUV Formats */
++      {
++              .fourcc         = V4L2_PIX_FMT_YUYV,
++              .code           = MEDIA_BUS_FMT_YUYV8_2X8,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++              .check_variants = 1,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_UYVY,
++              .code           = MEDIA_BUS_FMT_UYVY8_2X8,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++              .check_variants = 1,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_YVYU,
++              .code           = MEDIA_BUS_FMT_YVYU8_2X8,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++              .check_variants = 1,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_VYUY,
++              .code           = MEDIA_BUS_FMT_VYUY8_2X8,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++              .check_variants = 1,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_YUYV,
++              .code           = MEDIA_BUS_FMT_YUYV8_1X16,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_UYVY,
++              .code           = MEDIA_BUS_FMT_UYVY8_1X16,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_YVYU,
++              .code           = MEDIA_BUS_FMT_YVYU8_1X16,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_VYUY,
++              .code           = MEDIA_BUS_FMT_VYUY8_1X16,
++              .depth          = 16,
++              .csi_dt         = 0x1e,
++      }, {
++      /* RGB Formats */
++              .fourcc         = V4L2_PIX_FMT_RGB565, /* gggbbbbb rrrrrggg */
++              .code           = MEDIA_BUS_FMT_RGB565_2X8_LE,
++              .depth          = 16,
++              .csi_dt         = 0x22,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_RGB565X, /* rrrrrggg gggbbbbb */
++              .code           = MEDIA_BUS_FMT_RGB565_2X8_BE,
++              .depth          = 16,
++              .csi_dt         = 0x22
++      }, {
++              .fourcc         = V4L2_PIX_FMT_RGB555, /* gggbbbbb arrrrrgg */
++              .code           = MEDIA_BUS_FMT_RGB555_2X8_PADHI_LE,
++              .depth          = 16,
++              .csi_dt         = 0x21,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_RGB555X, /* arrrrrgg gggbbbbb */
++              .code           = MEDIA_BUS_FMT_RGB555_2X8_PADHI_BE,
++              .depth          = 16,
++              .csi_dt         = 0x21,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_RGB24, /* rgb */
++              .code           = MEDIA_BUS_FMT_RGB888_1X24,
++              .depth          = 24,
++              .csi_dt         = 0x24,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_BGR24, /* bgr */
++              .code           = MEDIA_BUS_FMT_BGR888_1X24,
++              .depth          = 24,
++              .csi_dt         = 0x24,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_RGB32, /* argb */
++              .code           = MEDIA_BUS_FMT_ARGB8888_1X32,
++              .depth          = 32,
++              .csi_dt         = 0x0,
++      }, {
++      /* Bayer Formats */
++              .fourcc         = V4L2_PIX_FMT_SBGGR8,
++              .code           = MEDIA_BUS_FMT_SBGGR8_1X8,
++              .depth          = 8,
++              .csi_dt         = 0x2a,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGBRG8,
++              .code           = MEDIA_BUS_FMT_SGBRG8_1X8,
++              .depth          = 8,
++              .csi_dt         = 0x2a,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGRBG8,
++              .code           = MEDIA_BUS_FMT_SGRBG8_1X8,
++              .depth          = 8,
++              .csi_dt         = 0x2a,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SRGGB8,
++              .code           = MEDIA_BUS_FMT_SRGGB8_1X8,
++              .depth          = 8,
++              .csi_dt         = 0x2a,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SBGGR10P,
++              .repacked_fourcc = V4L2_PIX_FMT_SBGGR10,
++              .code           = MEDIA_BUS_FMT_SBGGR10_1X10,
++              .depth          = 10,
++              .csi_dt         = 0x2b,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGBRG10P,
++              .repacked_fourcc = V4L2_PIX_FMT_SGBRG10,
++              .code           = MEDIA_BUS_FMT_SGBRG10_1X10,
++              .depth          = 10,
++              .csi_dt         = 0x2b,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGRBG10P,
++              .repacked_fourcc = V4L2_PIX_FMT_SGRBG10,
++              .code           = MEDIA_BUS_FMT_SGRBG10_1X10,
++              .depth          = 10,
++              .csi_dt         = 0x2b,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SRGGB10P,
++              .repacked_fourcc = V4L2_PIX_FMT_SRGGB10,
++              .code           = MEDIA_BUS_FMT_SRGGB10_1X10,
++              .depth          = 10,
++              .csi_dt         = 0x2b,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SBGGR12P,
++              .repacked_fourcc = V4L2_PIX_FMT_SBGGR12,
++              .code           = MEDIA_BUS_FMT_SBGGR12_1X12,
++              .depth          = 12,
++              .csi_dt         = 0x2c,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGBRG12P,
++              .repacked_fourcc = V4L2_PIX_FMT_SGBRG12,
++              .code           = MEDIA_BUS_FMT_SGBRG12_1X12,
++              .depth          = 12,
++              .csi_dt         = 0x2c,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGRBG12P,
++              .repacked_fourcc = V4L2_PIX_FMT_SGRBG12,
++              .code           = MEDIA_BUS_FMT_SGRBG12_1X12,
++              .depth          = 12,
++              .csi_dt         = 0x2c,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SRGGB12P,
++              .repacked_fourcc = V4L2_PIX_FMT_SRGGB12,
++              .code           = MEDIA_BUS_FMT_SRGGB12_1X12,
++              .depth          = 12,
++              .csi_dt         = 0x2c,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SBGGR14P,
++              .code           = MEDIA_BUS_FMT_SBGGR14_1X14,
++              .depth          = 14,
++              .csi_dt         = 0x2d,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGBRG14P,
++              .code           = MEDIA_BUS_FMT_SGBRG14_1X14,
++              .depth          = 14,
++              .csi_dt         = 0x2d,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SGRBG14P,
++              .code           = MEDIA_BUS_FMT_SGRBG14_1X14,
++              .depth          = 14,
++              .csi_dt         = 0x2d,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_SRGGB14P,
++              .code           = MEDIA_BUS_FMT_SRGGB14_1X14,
++              .depth          = 14,
++              .csi_dt         = 0x2d,
++      }, {
++      /*
++       * 16 bit Bayer formats could be supported, but there is no CSI2
++       * data_type defined for raw 16, and no sensors that produce it at
++       * present.
++       */
++
++      /* Greyscale formats */
++              .fourcc         = V4L2_PIX_FMT_GREY,
++              .code           = MEDIA_BUS_FMT_Y8_1X8,
++              .depth          = 8,
++              .csi_dt         = 0x2a,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_Y10P,
++              .repacked_fourcc = V4L2_PIX_FMT_Y10,
++              .code           = MEDIA_BUS_FMT_Y10_1X10,
++              .depth          = 10,
++              .csi_dt         = 0x2b,
++      }, {
++              /* NB There is no packed V4L2 fourcc for this format. */
++              .repacked_fourcc = V4L2_PIX_FMT_Y12,
++              .code           = MEDIA_BUS_FMT_Y12_1X12,
++              .depth          = 12,
++              .csi_dt         = 0x2c,
++      },
++      /* Embedded data format */
++      {
++              .fourcc         = V4L2_META_FMT_SENSOR_DATA,
++              .code           = MEDIA_BUS_FMT_SENSOR_DATA,
++              .depth          = 8,
++      }
++};
++
++struct unicam_buffer {
++      struct vb2_v4l2_buffer vb;
++      struct list_head list;
++};
++
++static inline struct unicam_buffer *to_unicam_buffer(struct vb2_buffer *vb)
++{
++      return container_of(vb, struct unicam_buffer, vb.vb2_buf);
++}
++
++struct unicam_node {
++      bool registered;
++      int open;
++      bool streaming;
++      unsigned int pad_id;
++      /* Pointer pointing to current v4l2_buffer */
++      struct unicam_buffer *cur_frm;
++      /* Pointer pointing to next v4l2_buffer */
++      struct unicam_buffer *next_frm;
++      /* video capture */
++      const struct unicam_fmt *fmt;
++      /* Used to store current pixel format */
++      struct v4l2_format v_fmt;
++      /* Used to store current mbus frame format */
++      struct v4l2_mbus_framefmt m_fmt;
++      /* Buffer queue used in video-buf */
++      struct vb2_queue buffer_queue;
++      /* Queue of filled frames */
++      struct list_head dma_queue;
++      /* IRQ lock for DMA queue */
++      spinlock_t dma_queue_lock;
++      /* lock used to access this structure */
++      struct mutex lock;
++      /* Identifies video device for this channel */
++      struct video_device video_dev;
++      /* Pointer to the parent handle */
++      struct unicam_device *dev;
++      struct media_pad pad;
++      unsigned int embedded_lines;
++      /*
++       * Dummy buffer intended to be used by unicam
++       * if we have no other queued buffers to swap to.
++       */
++      void *dummy_buf_cpu_addr;
++      dma_addr_t dummy_buf_dma_addr;
++};
++
++struct unicam_device {
++      struct kref kref;
++
++      /* V4l2 specific parameters */
++      struct v4l2_async_subdev asd;
++
++      /* peripheral base address */
++      void __iomem *base;
++      /* clock gating base address */
++      void __iomem *clk_gate_base;
++      /* clock handle */
++      struct clk *clock;
++      /* V4l2 device */
++      struct v4l2_device v4l2_dev;
++      struct media_device mdev;
++
++      /* parent device */
++      struct platform_device *pdev;
++      /* subdevice async Notifier */
++      struct v4l2_async_notifier notifier;
++      unsigned int sequence;
++
++      /* ptr to  sub device */
++      struct v4l2_subdev *sensor;
++      /* Pad config for the sensor */
++      struct v4l2_subdev_pad_config *sensor_config;
++
++      enum v4l2_mbus_type bus_type;
++      /*
++       * Stores bus.mipi_csi2.flags for CSI2 sensors, or
++       * bus.mipi_csi1.strobe for CCP2.
++       */
++      unsigned int bus_flags;
++      unsigned int max_data_lanes;
++      unsigned int active_data_lanes;
++      bool sensor_embedded_data;
++
++      struct unicam_node node[MAX_NODES];
++      struct v4l2_ctrl_handler ctrl_handler;
++};
++
++static inline struct unicam_device *
++to_unicam_device(struct v4l2_device *v4l2_dev)
++{
++      return container_of(v4l2_dev, struct unicam_device, v4l2_dev);
++}
++
++/* Hardware access */
++static inline void clk_write(struct unicam_device *dev, u32 val)
++{
++      writel(val | 0x5a000000, dev->clk_gate_base);
++}
++
++static inline u32 reg_read(struct unicam_device *dev, u32 offset)
++{
++      return readl(dev->base + offset);
++}
++
++static inline void reg_write(struct unicam_device *dev, u32 offset, u32 val)
++{
++      writel(val, dev->base + offset);
++}
++
++static inline int get_field(u32 value, u32 mask)
++{
++      return (value & mask) >> __ffs(mask);
++}
++
++static inline void set_field(u32 *valp, u32 field, u32 mask)
++{
++      u32 val = *valp;
++
++      val &= ~mask;
++      val |= (field << __ffs(mask)) & mask;
++      *valp = val;
++}
++
++static inline u32 reg_read_field(struct unicam_device *dev, u32 offset,
++                               u32 mask)
++{
++      return get_field(reg_read(dev, offset), mask);
++}
++
++static inline void reg_write_field(struct unicam_device *dev, u32 offset,
++                                 u32 field, u32 mask)
++{
++      u32 val = reg_read(dev, offset);
++
++      set_field(&val, field, mask);
++      reg_write(dev, offset, val);
++}
++
++/* Power management functions */
++static inline int unicam_runtime_get(struct unicam_device *dev)
++{
++      return pm_runtime_get_sync(&dev->pdev->dev);
++}
++
++static inline void unicam_runtime_put(struct unicam_device *dev)
++{
++      pm_runtime_put_sync(&dev->pdev->dev);
++}
++
++/* Format setup functions */
++static const struct unicam_fmt *find_format_by_code(u32 code)
++{
++      unsigned int i;
++
++      for (i = 0; i < ARRAY_SIZE(formats); i++) {
++              if (formats[i].code == code)
++                      return &formats[i];
++      }
++
++      return NULL;
++}
++
++static int check_mbus_format(struct unicam_device *dev,
++                           const struct unicam_fmt *format)
++{
++      unsigned int i;
++      int ret = 0;
++
++      for (i = 0; !ret && i < MAX_ENUM_MBUS_CODE; i++) {
++              struct v4l2_subdev_mbus_code_enum mbus_code = {
++                      .index = i,
++                      .pad = IMAGE_PAD,
++                      .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++              };
++
++              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code,
++                                     NULL, &mbus_code);
++
++              if (!ret && mbus_code.code == format->code)
++                      return 1;
++      }
++
++      return 0;
++}
++
++static const struct unicam_fmt *find_format_by_pix(struct unicam_device *dev,
++                                                 u32 pixelformat)
++{
++      unsigned int i;
++
++      for (i = 0; i < ARRAY_SIZE(formats); i++) {
++              if (formats[i].fourcc == pixelformat ||
++                  formats[i].repacked_fourcc == pixelformat) {
++                      if (formats[i].check_variants &&
++                          !check_mbus_format(dev, &formats[i]))
++                              continue;
++                      return &formats[i];
++              }
++      }
++
++      return NULL;
++}
++
++static inline unsigned int bytes_per_line(u32 width,
++                                        const struct unicam_fmt *fmt,
++                                        u32 v4l2_fourcc)
++{
++      if (v4l2_fourcc == fmt->repacked_fourcc)
++              /* Repacking always goes to 16bpp */
++              return ALIGN(width << 1, BPL_ALIGNMENT);
++      else
++              return ALIGN((width * fmt->depth) >> 3, BPL_ALIGNMENT);
++}
++
++static int __subdev_get_format(struct unicam_device *dev,
++                             struct v4l2_mbus_framefmt *fmt, int pad_id)
++{
++      struct v4l2_subdev_format sd_fmt = {
++              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++              .pad = pad_id
++      };
++      int ret;
++
++      ret = v4l2_subdev_call(dev->sensor, pad, get_fmt, dev->sensor_config,
++                             &sd_fmt);
++      if (ret < 0)
++              return ret;
++
++      *fmt = sd_fmt.format;
++
++      unicam_dbg(1, dev, "%s %dx%d code:%04x\n", __func__,
++                 fmt->width, fmt->height, fmt->code);
++
++      return 0;
++}
++
++static int __subdev_set_format(struct unicam_device *dev,
++                             struct v4l2_mbus_framefmt *fmt, int pad_id)
++{
++      struct v4l2_subdev_format sd_fmt = {
++              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++              .pad = pad_id
++      };
++      int ret;
++
++      sd_fmt.format = *fmt;
++
++      ret = v4l2_subdev_call(dev->sensor, pad, set_fmt, dev->sensor_config,
++                             &sd_fmt);
++      if (ret < 0)
++              return ret;
++
++      *fmt = sd_fmt.format;
++
++      if (pad_id == IMAGE_PAD)
++              unicam_dbg(1, dev, "%s %dx%d code:%04x\n", __func__, fmt->width,
++                         fmt->height, fmt->code);
++      else
++              unicam_dbg(1, dev, "%s Embedded data code:%04x\n", __func__,
++                         sd_fmt.format.code);
++
++      return 0;
++}
++
++static int unicam_calc_format_size_bpl(struct unicam_device *dev,
++                                     const struct unicam_fmt *fmt,
++                                     struct v4l2_format *f)
++{
++      unsigned int min_bytesperline;
++
++      v4l_bound_align_image(&f->fmt.pix.width, MIN_WIDTH, MAX_WIDTH, 2,
++                            &f->fmt.pix.height, MIN_HEIGHT, MAX_HEIGHT, 0,
++                            0);
++
++      min_bytesperline = bytes_per_line(f->fmt.pix.width, fmt,
++                                        f->fmt.pix.pixelformat);
++
++      if (f->fmt.pix.bytesperline > min_bytesperline &&
++          f->fmt.pix.bytesperline <= MAX_BYTESPERLINE)
++              f->fmt.pix.bytesperline = ALIGN(f->fmt.pix.bytesperline,
++                                              BPL_ALIGNMENT);
++      else
++              f->fmt.pix.bytesperline = min_bytesperline;
++
++      f->fmt.pix.sizeimage = f->fmt.pix.height * f->fmt.pix.bytesperline;
++
++      unicam_dbg(3, dev, "%s: fourcc: %08X size: %dx%d bpl:%d img_size:%d\n",
++                 __func__,
++                 f->fmt.pix.pixelformat,
++                 f->fmt.pix.width, f->fmt.pix.height,
++                 f->fmt.pix.bytesperline, f->fmt.pix.sizeimage);
++
++      return 0;
++}
++
++static int unicam_reset_format(struct unicam_node *node)
++{
++      struct unicam_device *dev = node->dev;
++      struct v4l2_mbus_framefmt mbus_fmt;
++      int ret;
++
++      if (dev->sensor_embedded_data || node->pad_id != METADATA_PAD) {
++              ret = __subdev_get_format(dev, &mbus_fmt, node->pad_id);
++              if (ret) {
++                      unicam_err(dev, "Failed to get_format - ret %d\n", ret);
++                      return ret;
++              }
++
++              if (mbus_fmt.code != node->fmt->code) {
++                      unicam_err(dev, "code mismatch - fmt->code %08x, mbus_fmt.code %08x\n",
++                                 node->fmt->code, mbus_fmt.code);
++                      return ret;
++              }
++      }
++
++      if (node->pad_id == IMAGE_PAD) {
++              v4l2_fill_pix_format(&node->v_fmt.fmt.pix, &mbus_fmt);
++              node->v_fmt.type = V4L2_BUF_TYPE_VIDEO_CAPTURE;
++              unicam_calc_format_size_bpl(dev, node->fmt, &node->v_fmt);
++      } else {
++              node->v_fmt.type = V4L2_BUF_TYPE_META_CAPTURE;
++              node->v_fmt.fmt.meta.dataformat = V4L2_META_FMT_SENSOR_DATA;
++              if (dev->sensor_embedded_data) {
++                      node->v_fmt.fmt.meta.buffersize =
++                                      mbus_fmt.width * mbus_fmt.height;
++                      node->embedded_lines = mbus_fmt.height;
++              } else {
++                      node->v_fmt.fmt.meta.buffersize = UNICAM_EMBEDDED_SIZE;
++                      node->embedded_lines = 1;
++              }
++      }
++
++      node->m_fmt = mbus_fmt;
++      return 0;
++}
++
++static void unicam_wr_dma_addr(struct unicam_device *dev, dma_addr_t dmaaddr,
++                             unsigned int buffer_size, int pad_id)
++{
++      dma_addr_t endaddr = dmaaddr + buffer_size;
++
++      /*
++       * dmaaddr and endaddr should be a 32-bit address with the top two bits
++       * set to 0x3 to signify uncached access through the Videocore memory
++       * controller.
++       */
++      WARN_ON((dmaaddr >> 30) != 0x3 || (endaddr >> 30) != 0x3);
++
++      if (pad_id == IMAGE_PAD) {
++              reg_write(dev, UNICAM_IBSA0, dmaaddr);
++              reg_write(dev, UNICAM_IBEA0, endaddr);
++      } else {
++              reg_write(dev, UNICAM_DBSA0, dmaaddr);
++              reg_write(dev, UNICAM_DBEA0, endaddr);
++      }
++}
++
++static inline unsigned int unicam_get_lines_done(struct unicam_device *dev)
++{
++      dma_addr_t start_addr, cur_addr;
++      unsigned int stride = dev->node[IMAGE_PAD].v_fmt.fmt.pix.bytesperline;
++      struct unicam_buffer *frm = dev->node[IMAGE_PAD].cur_frm;
++
++      if (!frm)
++              return 0;
++
++      start_addr = vb2_dma_contig_plane_dma_addr(&frm->vb.vb2_buf, 0);
++      cur_addr = reg_read(dev, UNICAM_IBWP);
++      return (unsigned int)(cur_addr - start_addr) / stride;
++}
++
++static inline void unicam_schedule_next_buffer(struct unicam_node *node)
++{
++      struct unicam_device *dev = node->dev;
++      struct unicam_buffer *buf;
++      unsigned int size;
++      dma_addr_t addr;
++
++      buf = list_first_entry(&node->dma_queue, struct unicam_buffer, list);
++      node->next_frm = buf;
++      list_del(&buf->list);
++
++      addr = vb2_dma_contig_plane_dma_addr(&buf->vb.vb2_buf, 0);
++      size = (node->pad_id == IMAGE_PAD) ?
++                      node->v_fmt.fmt.pix.sizeimage :
++                      node->v_fmt.fmt.meta.buffersize;
++
++      unicam_wr_dma_addr(dev, addr, size, node->pad_id);
++}
++
++static inline void unicam_schedule_dummy_buffer(struct unicam_node *node)
++{
++      struct unicam_device *dev = node->dev;
++
++      unicam_dbg(3, dev, "Scheduling dummy buffer for node %d\n",
++                 node->pad_id);
++
++      unicam_wr_dma_addr(dev, node->dummy_buf_dma_addr, DUMMY_BUF_SIZE,
++                         node->pad_id);
++      node->next_frm = NULL;
++}
++
++static inline void unicam_process_buffer_complete(struct unicam_node *node,
++                                                unsigned int sequence)
++{
++      node->cur_frm->vb.field = node->m_fmt.field;
++      node->cur_frm->vb.sequence = sequence;
++
++      vb2_buffer_done(&node->cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
++}
++
++static bool unicam_all_nodes_streaming(struct unicam_device *dev)
++{
++      bool ret;
++
++      ret = dev->node[IMAGE_PAD].open && dev->node[IMAGE_PAD].streaming;
++      ret &= !dev->node[METADATA_PAD].open ||
++             dev->node[METADATA_PAD].streaming;
++      return ret;
++}
++
++static bool unicam_all_nodes_disabled(struct unicam_device *dev)
++{
++      return !dev->node[IMAGE_PAD].streaming &&
++             !dev->node[METADATA_PAD].streaming;
++}
++
++static void unicam_queue_event_sof(struct unicam_device *unicam)
++{
++      struct v4l2_event event = {
++              .type = V4L2_EVENT_FRAME_SYNC,
++              .u.frame_sync.frame_sequence = unicam->sequence,
++      };
++
++      v4l2_event_queue(&unicam->node[IMAGE_PAD].video_dev, &event);
++}
++
++/*
++ * unicam_isr : ISR handler for unicam capture
++ * @irq: irq number
++ * @dev_id: dev_id ptr
++ *
++ * It changes status of the captured buffer, takes next buffer from the queue
++ * and sets its address in unicam registers
++ */
++static irqreturn_t unicam_isr(int irq, void *dev)
++{
++      struct unicam_device *unicam = dev;
++      unsigned int lines_done = unicam_get_lines_done(dev);
++      unsigned int sequence = unicam->sequence;
++      unsigned int i;
++      u32 ista, sta;
++      u64 ts;
++
++      /*
++       * Don't service interrupts if not streaming.
++       * Avoids issues if the VPU should enable the
++       * peripheral without the kernel knowing (that
++       * shouldn't happen, but causes issues if it does).
++       */
++      if (unicam_all_nodes_disabled(unicam))
++              return IRQ_NONE;
++
++      sta = reg_read(unicam, UNICAM_STA);
++      /* Write value back to clear the interrupts */
++      reg_write(unicam, UNICAM_STA, sta);
++
++      ista = reg_read(unicam, UNICAM_ISTA);
++      /* Write value back to clear the interrupts */
++      reg_write(unicam, UNICAM_ISTA, ista);
++
++      unicam_dbg(3, unicam, "ISR: ISTA: 0x%X, STA: 0x%X, sequence %d, lines done %d",
++                 ista, sta, sequence, lines_done);
++
++      if (!(sta & (UNICAM_IS | UNICAM_PI0)))
++              return IRQ_HANDLED;
++
++      /*
++       * We must run the frame end handler first. If we have a valid next_frm
++       * and we get a simultaneout FE + FS interrupt, running the FS handler
++       * first would null out the next_frm ptr and we would have lost the
++       * buffer forever.
++       */
++      if (ista & UNICAM_FEI || sta & UNICAM_PI0) {
++              /*
++               * Ensure we have swapped buffers already as we can't
++               * stop the peripheral. If no buffer is available, use a
++               * dummy buffer to dump out frames until we get a new buffer
++               * to use.
++               */
++              for (i = 0; i < ARRAY_SIZE(unicam->node); i++) {
++                      if (!unicam->node[i].streaming)
++                              continue;
++
++                      if (unicam->node[i].cur_frm)
++                              unicam_process_buffer_complete(&unicam->node[i],
++                                                             sequence);
++                      unicam->node[i].cur_frm = unicam->node[i].next_frm;
++              }
++              unicam->sequence++;
++      }
++
++      if (ista & UNICAM_FSI) {
++              /*
++               * Timestamp is to be when the first data byte was captured,
++               * aka frame start.
++               */
++              ts = ktime_get_ns();
++              for (i = 0; i < ARRAY_SIZE(unicam->node); i++) {
++                      if (!unicam->node[i].streaming)
++                              continue;
++
++                      if (unicam->node[i].cur_frm)
++                              unicam->node[i].cur_frm->vb.vb2_buf.timestamp =
++                                                              ts;
++                      /*
++                       * Set the next frame output to go to a dummy frame
++                       * if we have not managed to obtain another frame
++                       * from the queue.
++                       */
++                      unicam_schedule_dummy_buffer(&unicam->node[i]);
++              }
++
++              unicam_queue_event_sof(unicam);
++      }
++
++      /*
++       * Cannot swap buffer at frame end, there may be a race condition
++       * where the HW does not actually swap it if the new frame has
++       * already started.
++       */
++      if (ista & (UNICAM_FSI | UNICAM_LCI) && !(ista & UNICAM_FEI)) {
++              for (i = 0; i < ARRAY_SIZE(unicam->node); i++) {
++                      if (!unicam->node[i].streaming)
++                              continue;
++
++                      spin_lock(&unicam->node[i].dma_queue_lock);
++                      if (!list_empty(&unicam->node[i].dma_queue) &&
++                          !unicam->node[i].next_frm)
++                              unicam_schedule_next_buffer(&unicam->node[i]);
++                      spin_unlock(&unicam->node[i].dma_queue_lock);
++              }
++      }
++
++      if (reg_read(unicam, UNICAM_ICTL) & UNICAM_FCM) {
++              /* Switch out of trigger mode if selected */
++              reg_write_field(unicam, UNICAM_ICTL, 1, UNICAM_TFC);
++              reg_write_field(unicam, UNICAM_ICTL, 0, UNICAM_FCM);
++      }
++      return IRQ_HANDLED;
++}
++
++static int unicam_querycap(struct file *file, void *priv,
++                         struct v4l2_capability *cap)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++
++      strlcpy(cap->driver, UNICAM_MODULE_NAME, sizeof(cap->driver));
++      strlcpy(cap->card, UNICAM_MODULE_NAME, sizeof(cap->card));
++
++      snprintf(cap->bus_info, sizeof(cap->bus_info),
++               "platform:%s", dev_name(&dev->pdev->dev));
++
++      cap->capabilities |= V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_META_CAPTURE;
++
++      return 0;
++}
++
++static int unicam_enum_fmt_vid_cap(struct file *file, void  *priv,
++                                 struct v4l2_fmtdesc *f)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      unsigned int index = 0;
++      unsigned int i;
++      int ret = 0;
++
++      if (node->pad_id != IMAGE_PAD)
++              return -EINVAL;
++
++      for (i = 0; !ret && i < MAX_ENUM_MBUS_CODE; i++) {
++              struct v4l2_subdev_mbus_code_enum mbus_code = {
++                      .index = i,
++                      .pad = IMAGE_PAD,
++                      .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++              };
++              const struct unicam_fmt *fmt;
++
++              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code,
++                                     NULL, &mbus_code);
++              if (ret < 0) {
++                      unicam_dbg(2, dev,
++                                 "subdev->enum_mbus_code idx %d returned %d - index invalid\n",
++                                 i, ret);
++                      return -EINVAL;
++              }
++
++              fmt = find_format_by_code(mbus_code.code);
++              if (fmt) {
++                      if (fmt->fourcc) {
++                              if (index == f->index) {
++                                      f->pixelformat = fmt->fourcc;
++                                      break;
++                              }
++                              index++;
++                      }
++                      if (fmt->repacked_fourcc) {
++                              if (index == f->index) {
++                                      f->pixelformat = fmt->repacked_fourcc;
++                                      break;
++                              }
++                              index++;
++                      }
++              }
++      }
++
++      return 0;
++}
++
++static int unicam_g_fmt_vid_cap(struct file *file, void *priv,
++                              struct v4l2_format *f)
++{
++      struct v4l2_mbus_framefmt mbus_fmt = {0};
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      const struct unicam_fmt *fmt = NULL;
++      int ret;
++
++      if (node->pad_id != IMAGE_PAD)
++              return -EINVAL;
++
++      /*
++       * If a flip has occurred in the sensor, the fmt code might have
++       * changed. So we will need to re-fetch the format from the subdevice.
++       */
++      ret = __subdev_get_format(dev, &mbus_fmt, node->pad_id);
++      if (ret)
++              return -EINVAL;
++
++      /* Find the V4L2 format from mbus code. We must match a known format. */
++      fmt = find_format_by_code(mbus_fmt.code);
++      if (!fmt)
++              return -EINVAL;
++
++      node->fmt = fmt;
++      node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
++      *f = node->v_fmt;
++
++      return 0;
++}
++
++static
++const struct unicam_fmt *get_first_supported_format(struct unicam_device *dev)
++{
++      struct v4l2_subdev_mbus_code_enum mbus_code;
++      const struct unicam_fmt *fmt = NULL;
++      unsigned int i;
++      int ret;
++
++      for (i = 0; ret != -EINVAL && ret != -ENOIOCTLCMD; ++i) {
++              memset(&mbus_code, 0, sizeof(mbus_code));
++              mbus_code.index = i;
++              mbus_code.pad = IMAGE_PAD;
++              mbus_code.which = V4L2_SUBDEV_FORMAT_ACTIVE;
++
++              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code, NULL,
++                                     &mbus_code);
++              if (ret < 0) {
++                      unicam_dbg(2, dev,
++                                 "subdev->enum_mbus_code idx %u returned %d - continue\n",
++                                 i, ret);
++                      continue;
++              }
++
++              unicam_dbg(2, dev, "subdev %s: code: 0x%08x idx: %u\n",
++                         dev->sensor->name, mbus_code.code, i);
++
++              fmt = find_format_by_code(mbus_code.code);
++              unicam_dbg(2, dev, "fmt 0x%08x returned as %p, V4L2 FOURCC 0x%08x, csi_dt 0x%02x\n",
++                         mbus_code.code, fmt, fmt ? fmt->fourcc : 0,
++                         fmt ? fmt->csi_dt : 0);
++              if (fmt)
++                      return fmt;
++      }
++
++      return NULL;
++}
++
++static int unicam_try_fmt_vid_cap(struct file *file, void *priv,
++                                struct v4l2_format *f)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      struct v4l2_subdev_format sd_fmt = {
++              .which = V4L2_SUBDEV_FORMAT_TRY,
++              .pad = IMAGE_PAD
++      };
++      struct v4l2_mbus_framefmt *mbus_fmt = &sd_fmt.format;
++      const struct unicam_fmt *fmt;
++      int ret;
++
++      if (node->pad_id != IMAGE_PAD)
++              return -EINVAL;
++
++      fmt = find_format_by_pix(dev, f->fmt.pix.pixelformat);
++      if (!fmt) {
++              /*
++               * Pixel format not supported by unicam. Choose the first
++               * supported format, and let the sensor choose something else.
++               */
++              unicam_dbg(3, dev, "Fourcc format (0x%08x) not found. Use first format.\n",
++                         f->fmt.pix.pixelformat);
++
++              fmt = &formats[0];
++              f->fmt.pix.pixelformat = fmt->fourcc;
++      }
++
++      v4l2_fill_mbus_format(mbus_fmt, &f->fmt.pix, fmt->code);
++      /*
++       * No support for receiving interlaced video, so never
++       * request it from the sensor subdev.
++       */
++      mbus_fmt->field = V4L2_FIELD_NONE;
++
++      ret = v4l2_subdev_call(dev->sensor, pad, set_fmt, dev->sensor_config,
++                             &sd_fmt);
++      if (ret && ret != -ENOIOCTLCMD && ret != -ENODEV)
++              return ret;
++
++      if (mbus_fmt->field != V4L2_FIELD_NONE)
++              unicam_info(dev, "Sensor trying to send interlaced video - results may be unpredictable\n");
++
++      v4l2_fill_pix_format(&f->fmt.pix, &sd_fmt.format);
++      if (mbus_fmt->code != fmt->code) {
++              /* Sensor has returned an alternate format */
++              fmt = find_format_by_code(mbus_fmt->code);
++              if (!fmt) {
++                      /*
++                       * The alternate format is one unicam can't support.
++                       * Find the first format that is supported by both, and
++                       * then set that.
++                       */
++                      fmt = get_first_supported_format(dev);
++                      mbus_fmt->code = fmt->code;
++
++                      ret = v4l2_subdev_call(dev->sensor, pad, set_fmt,
++                                             dev->sensor_config, &sd_fmt);
++                      if (ret && ret != -ENOIOCTLCMD && ret != -ENODEV)
++                              return ret;
++
++                      if (mbus_fmt->field != V4L2_FIELD_NONE)
++                              unicam_info(dev, "Sensor trying to send interlaced video - results may be unpredictable\n");
++
++                      v4l2_fill_pix_format(&f->fmt.pix, &sd_fmt.format);
++
++                      if (mbus_fmt->code != fmt->code) {
++                              /*
++                               * We've set a format that the sensor reports
++                               * as being supported, but it refuses to set it.
++                               * Not much else we can do.
++                               * Assume that the sensor driver may accept the
++                               * format when it is set (rather than tried).
++                               */
++                              unicam_err(dev, "Sensor won't accept default format, and Unicam can't support sensor default\n");
++                      }
++              }
++
++              if (fmt->fourcc)
++                      f->fmt.pix.pixelformat = fmt->fourcc;
++              else
++                      f->fmt.pix.pixelformat = fmt->repacked_fourcc;
++      }
++
++      return unicam_calc_format_size_bpl(dev, fmt, f);
++}
++
++static int unicam_s_fmt_vid_cap(struct file *file, void *priv,
++                              struct v4l2_format *f)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      struct vb2_queue *q = &node->buffer_queue;
++      struct v4l2_mbus_framefmt mbus_fmt = {0};
++      const struct unicam_fmt *fmt;
++      int ret;
++
++      if (vb2_is_busy(q))
++              return -EBUSY;
++
++      ret = unicam_try_fmt_vid_cap(file, priv, f);
++      if (ret < 0)
++              return ret;
++
++      fmt = find_format_by_pix(dev, f->fmt.pix.pixelformat);
++      if (!fmt) {
++              /*
++               * Unknown pixel format - adopt a default.
++               * This shouldn't happen as try_fmt should have resolved any
++               * issues first.
++               */
++              fmt = get_first_supported_format(dev);
++              if (!fmt)
++                      /*
++                       * It shouldn't be possible to get here with no
++                       * supported formats
++                       */
++                      return -EINVAL;
++              f->fmt.pix.pixelformat = fmt->fourcc;
++              return -EINVAL;
++      }
++
++      v4l2_fill_mbus_format(&mbus_fmt, &f->fmt.pix, fmt->code);
++
++      ret = __subdev_set_format(dev, &mbus_fmt, node->pad_id);
++      if (ret) {
++              unicam_dbg(3, dev, "%s __subdev_set_format failed %d\n",
++                         __func__, ret);
++              return ret;
++      }
++
++      /* Just double check nothing has gone wrong */
++      if (mbus_fmt.code != fmt->code) {
++              unicam_dbg(3, dev,
++                         "%s subdev changed format on us, this should not happen\n",
++                         __func__);
++              return -EINVAL;
++      }
++
++      node->fmt = fmt;
++      node->v_fmt.fmt.pix.pixelformat = f->fmt.pix.pixelformat;
++      node->v_fmt.fmt.pix.bytesperline = f->fmt.pix.bytesperline;
++      unicam_reset_format(node);
++
++      unicam_dbg(3, dev,
++                 "%s %dx%d, mbus_fmt 0x%08X, V4L2 pix 0x%08X.\n",
++                 __func__, node->v_fmt.fmt.pix.width,
++                 node->v_fmt.fmt.pix.height, mbus_fmt.code,
++                 node->v_fmt.fmt.pix.pixelformat);
++
++      *f = node->v_fmt;
++
++      return 0;
++}
++
++static int unicam_enum_fmt_meta_cap(struct file *file, void *priv,
++                                  struct v4l2_fmtdesc *f)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      const struct unicam_fmt *fmt;
++      u32 code;
++      int ret = 0;
++
++      if (node->pad_id != METADATA_PAD || f->index != 0)
++              return -EINVAL;
++
++      if (dev->sensor_embedded_data) {
++              struct v4l2_subdev_mbus_code_enum mbus_code = {
++                      .index = f->index,
++                      .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++                      .pad = METADATA_PAD,
++              };
++
++              ret = v4l2_subdev_call(dev->sensor, pad, enum_mbus_code, NULL,
++                                     &mbus_code);
++              if (ret < 0) {
++                      unicam_dbg(2, dev,
++                                 "subdev->enum_mbus_code idx 0 returned %d - index invalid\n",
++                                 ret);
++                      return -EINVAL;
++              }
++
++              code = mbus_code.code;
++      } else {
++              code = MEDIA_BUS_FMT_SENSOR_DATA;
++      }
++
++      fmt = find_format_by_code(code);
++      if (fmt)
++              f->pixelformat = fmt->fourcc;
++
++      return 0;
++}
++
++static int unicam_g_fmt_meta_cap(struct file *file, void *priv,
++                               struct v4l2_format *f)
++{
++      struct unicam_node *node = video_drvdata(file);
++
++      if (node->pad_id != METADATA_PAD)
++              return -EINVAL;
++
++      *f = node->v_fmt;
++
++      return 0;
++}
++
++static int unicam_queue_setup(struct vb2_queue *vq,
++                            unsigned int *nbuffers,
++                            unsigned int *nplanes,
++                            unsigned int sizes[],
++                            struct device *alloc_devs[])
++{
++      struct unicam_node *node = vb2_get_drv_priv(vq);
++      struct unicam_device *dev = node->dev;
++      unsigned int size = node->pad_id == IMAGE_PAD ?
++                                  node->v_fmt.fmt.pix.sizeimage :
++                                  node->v_fmt.fmt.meta.buffersize;
++
++      if (vq->num_buffers + *nbuffers < 3)
++              *nbuffers = 3 - vq->num_buffers;
++
++      if (*nplanes) {
++              if (sizes[0] < size) {
++                      unicam_err(dev, "sizes[0] %i < size %u\n", sizes[0],
++                                 size);
++                      return -EINVAL;
++              }
++              size = sizes[0];
++      }
++
++      *nplanes = 1;
++      sizes[0] = size;
++
++      return 0;
++}
++
++static int unicam_buffer_prepare(struct vb2_buffer *vb)
++{
++      struct unicam_node *node = vb2_get_drv_priv(vb->vb2_queue);
++      struct unicam_device *dev = node->dev;
++      struct unicam_buffer *buf = to_unicam_buffer(vb);
++      unsigned long size;
++
++      if (WARN_ON(!node->fmt))
++              return -EINVAL;
++
++      size = node->pad_id == IMAGE_PAD ? node->v_fmt.fmt.pix.sizeimage :
++                                         node->v_fmt.fmt.meta.buffersize;
++      if (vb2_plane_size(vb, 0) < size) {
++              unicam_err(dev, "data will not fit into plane (%lu < %lu)\n",
++                         vb2_plane_size(vb, 0), size);
++              return -EINVAL;
++      }
++
++      vb2_set_plane_payload(&buf->vb.vb2_buf, 0, size);
++      return 0;
++}
++
++static void unicam_buffer_queue(struct vb2_buffer *vb)
++{
++      struct unicam_node *node = vb2_get_drv_priv(vb->vb2_queue);
++      struct unicam_buffer *buf = to_unicam_buffer(vb);
++      unsigned long flags;
++
++      spin_lock_irqsave(&node->dma_queue_lock, flags);
++      list_add_tail(&buf->list, &node->dma_queue);
++      spin_unlock_irqrestore(&node->dma_queue_lock, flags);
++}
++
++static void unicam_set_packing_config(struct unicam_device *dev)
++{
++      u32 pack, unpack;
++      u32 val;
++
++      if (dev->node[IMAGE_PAD].v_fmt.fmt.pix.pixelformat ==
++          dev->node[IMAGE_PAD].fmt->fourcc) {
++              unpack = UNICAM_PUM_NONE;
++              pack = UNICAM_PPM_NONE;
++      } else {
++              switch (dev->node[IMAGE_PAD].fmt->depth) {
++              case 8:
++                      unpack = UNICAM_PUM_UNPACK8;
++                      break;
++              case 10:
++                      unpack = UNICAM_PUM_UNPACK10;
++                      break;
++              case 12:
++                      unpack = UNICAM_PUM_UNPACK12;
++                      break;
++              case 14:
++                      unpack = UNICAM_PUM_UNPACK14;
++                      break;
++              case 16:
++                      unpack = UNICAM_PUM_UNPACK16;
++                      break;
++              default:
++                      unpack = UNICAM_PUM_NONE;
++                      break;
++              }
++
++              /* Repacking is always to 16bpp */
++              pack = UNICAM_PPM_PACK16;
++      }
++
++      val = 0;
++      set_field(&val, unpack, UNICAM_PUM_MASK);
++      set_field(&val, pack, UNICAM_PPM_MASK);
++      reg_write(dev, UNICAM_IPIPE, val);
++}
++
++static void unicam_cfg_image_id(struct unicam_device *dev)
++{
++      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
++              /* CSI2 mode, hardcode VC 0 for now. */
++              reg_write(dev, UNICAM_IDI0,
++                        (0 << 6) | dev->node[IMAGE_PAD].fmt->csi_dt);
++      } else {
++              /* CCP2 mode */
++              reg_write(dev, UNICAM_IDI0,
++                        0x80 | dev->node[IMAGE_PAD].fmt->csi_dt);
++      }
++}
++
++static void unicam_enable_ed(struct unicam_device *dev)
++{
++      u32 val = reg_read(dev, UNICAM_DCS);
++
++      set_field(&val, 2, UNICAM_EDL_MASK);
++      /* Do not wrap at the end of the embedded data buffer */
++      set_field(&val, 0, UNICAM_DBOB);
++
++      reg_write(dev, UNICAM_DCS, val);
++}
++
++static void unicam_start_rx(struct unicam_device *dev, dma_addr_t *addr)
++{
++      int line_int_freq = dev->node[IMAGE_PAD].v_fmt.fmt.pix.height >> 2;
++      unsigned int size, i;
++      u32 val;
++
++      if (line_int_freq < 128)
++              line_int_freq = 128;
++
++      /* Enable lane clocks */
++      val = 1;
++      for (i = 0; i < dev->active_data_lanes; i++)
++              val = val << 2 | 1;
++      clk_write(dev, val);
++
++      /* Basic init */
++      reg_write(dev, UNICAM_CTRL, UNICAM_MEM);
++
++      /* Enable analogue control, and leave in reset. */
++      val = UNICAM_AR;
++      set_field(&val, 7, UNICAM_CTATADJ_MASK);
++      set_field(&val, 7, UNICAM_PTATADJ_MASK);
++      reg_write(dev, UNICAM_ANA, val);
++      usleep_range(1000, 2000);
++
++      /* Come out of reset */
++      reg_write_field(dev, UNICAM_ANA, 0, UNICAM_AR);
++
++      /* Peripheral reset */
++      reg_write_field(dev, UNICAM_CTRL, 1, UNICAM_CPR);
++      reg_write_field(dev, UNICAM_CTRL, 0, UNICAM_CPR);
++
++      reg_write_field(dev, UNICAM_CTRL, 0, UNICAM_CPE);
++
++      /* Enable Rx control. */
++      val = reg_read(dev, UNICAM_CTRL);
++      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
++              set_field(&val, UNICAM_CPM_CSI2, UNICAM_CPM_MASK);
++              set_field(&val, UNICAM_DCM_STROBE, UNICAM_DCM_MASK);
++      } else {
++              set_field(&val, UNICAM_CPM_CCP2, UNICAM_CPM_MASK);
++              set_field(&val, dev->bus_flags, UNICAM_DCM_MASK);
++      }
++      /* Packet framer timeout */
++      set_field(&val, 0xf, UNICAM_PFT_MASK);
++      set_field(&val, 128, UNICAM_OET_MASK);
++      reg_write(dev, UNICAM_CTRL, val);
++
++      reg_write(dev, UNICAM_IHWIN, 0);
++      reg_write(dev, UNICAM_IVWIN, 0);
++
++      /* AXI bus access QoS setup */
++      val = reg_read(dev, UNICAM_PRI);
++      set_field(&val, 0, UNICAM_BL_MASK);
++      set_field(&val, 0, UNICAM_BS_MASK);
++      set_field(&val, 0xe, UNICAM_PP_MASK);
++      set_field(&val, 8, UNICAM_NP_MASK);
++      set_field(&val, 2, UNICAM_PT_MASK);
++      set_field(&val, 1, UNICAM_PE);
++      reg_write(dev, UNICAM_PRI, val);
++
++      reg_write_field(dev, UNICAM_ANA, 0, UNICAM_DDL);
++
++      /* Always start in trigger frame capture mode (UNICAM_FCM set) */
++      val = UNICAM_FSIE | UNICAM_FEIE | UNICAM_FCM | UNICAM_IBOB;
++      set_field(&val, line_int_freq, UNICAM_LCIE_MASK);
++      reg_write(dev, UNICAM_ICTL, val);
++      reg_write(dev, UNICAM_STA, UNICAM_STA_MASK_ALL);
++      reg_write(dev, UNICAM_ISTA, UNICAM_ISTA_MASK_ALL);
++
++      /* tclk_term_en */
++      reg_write_field(dev, UNICAM_CLT, 2, UNICAM_CLT1_MASK);
++      /* tclk_settle */
++      reg_write_field(dev, UNICAM_CLT, 6, UNICAM_CLT2_MASK);
++      /* td_term_en */
++      reg_write_field(dev, UNICAM_DLT, 2, UNICAM_DLT1_MASK);
++      /* ths_settle */
++      reg_write_field(dev, UNICAM_DLT, 6, UNICAM_DLT2_MASK);
++      /* trx_enable */
++      reg_write_field(dev, UNICAM_DLT, 0, UNICAM_DLT3_MASK);
++
++      reg_write_field(dev, UNICAM_CTRL, 0, UNICAM_SOE);
++
++      /* Packet compare setup - required to avoid missing frame ends */
++      val = 0;
++      set_field(&val, 1, UNICAM_PCE);
++      set_field(&val, 1, UNICAM_GI);
++      set_field(&val, 1, UNICAM_CPH);
++      set_field(&val, 0, UNICAM_PCVC_MASK);
++      set_field(&val, 1, UNICAM_PCDT_MASK);
++      reg_write(dev, UNICAM_CMP0, val);
++
++      /* Enable clock lane and set up terminations */
++      val = 0;
++      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
++              /* CSI2 */
++              set_field(&val, 1, UNICAM_CLE);
++              set_field(&val, 1, UNICAM_CLLPE);
++              if (dev->bus_flags & V4L2_MBUS_CSI2_CONTINUOUS_CLOCK) {
++                      set_field(&val, 1, UNICAM_CLTRE);
++                      set_field(&val, 1, UNICAM_CLHSE);
++              }
++      } else {
++              /* CCP2 */
++              set_field(&val, 1, UNICAM_CLE);
++              set_field(&val, 1, UNICAM_CLHSE);
++              set_field(&val, 1, UNICAM_CLTRE);
++      }
++      reg_write(dev, UNICAM_CLK, val);
++
++      /*
++       * Enable required data lanes with appropriate terminations.
++       * The same value needs to be written to UNICAM_DATn registers for
++       * the active lanes, and 0 for inactive ones.
++       */
++      val = 0;
++      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
++              /* CSI2 */
++              set_field(&val, 1, UNICAM_DLE);
++              set_field(&val, 1, UNICAM_DLLPE);
++              if (dev->bus_flags & V4L2_MBUS_CSI2_CONTINUOUS_CLOCK) {
++                      set_field(&val, 1, UNICAM_DLTRE);
++                      set_field(&val, 1, UNICAM_DLHSE);
++              }
++      } else {
++              /* CCP2 */
++              set_field(&val, 1, UNICAM_DLE);
++              set_field(&val, 1, UNICAM_DLHSE);
++              set_field(&val, 1, UNICAM_DLTRE);
++      }
++      reg_write(dev, UNICAM_DAT0, val);
++
++      if (dev->active_data_lanes == 1)
++              val = 0;
++      reg_write(dev, UNICAM_DAT1, val);
++
++      if (dev->max_data_lanes > 2) {
++              /*
++               * Registers UNICAM_DAT2 and UNICAM_DAT3 only valid if the
++               * instance supports more than 2 data lanes.
++               */
++              if (dev->active_data_lanes == 2)
++                      val = 0;
++              reg_write(dev, UNICAM_DAT2, val);
++
++              if (dev->active_data_lanes == 3)
++                      val = 0;
++              reg_write(dev, UNICAM_DAT3, val);
++      }
++
++      reg_write(dev, UNICAM_IBLS,
++                dev->node[IMAGE_PAD].v_fmt.fmt.pix.bytesperline);
++      size = dev->node[IMAGE_PAD].v_fmt.fmt.pix.sizeimage;
++      unicam_wr_dma_addr(dev, addr[IMAGE_PAD], size, IMAGE_PAD);
++      unicam_set_packing_config(dev);
++      unicam_cfg_image_id(dev);
++
++      val = reg_read(dev, UNICAM_MISC);
++      set_field(&val, 1, UNICAM_FL0);
++      set_field(&val, 1, UNICAM_FL1);
++      reg_write(dev, UNICAM_MISC, val);
++
++      if (dev->node[METADATA_PAD].streaming && dev->sensor_embedded_data) {
++              size = dev->node[METADATA_PAD].v_fmt.fmt.meta.buffersize;
++              unicam_enable_ed(dev);
++              unicam_wr_dma_addr(dev, addr[METADATA_PAD], size, METADATA_PAD);
++      }
++
++      /* Enable peripheral */
++      reg_write_field(dev, UNICAM_CTRL, 1, UNICAM_CPE);
++
++      /* Load image pointers */
++      reg_write_field(dev, UNICAM_ICTL, 1, UNICAM_LIP_MASK);
++
++      /* Load embedded data buffer pointers if needed */
++      if (dev->node[METADATA_PAD].streaming && dev->sensor_embedded_data)
++              reg_write_field(dev, UNICAM_DCS, 1, UNICAM_LDP);
++
++      /*
++       * Enable trigger only for the first frame to
++       * sync correctly to the FS from the source.
++       */
++      reg_write_field(dev, UNICAM_ICTL, 1, UNICAM_TFC);
++}
++
++static void unicam_disable(struct unicam_device *dev)
++{
++      /* Analogue lane control disable */
++      reg_write_field(dev, UNICAM_ANA, 1, UNICAM_DDL);
++
++      /* Stop the output engine */
++      reg_write_field(dev, UNICAM_CTRL, 1, UNICAM_SOE);
++
++      /* Disable the data lanes. */
++      reg_write(dev, UNICAM_DAT0, 0);
++      reg_write(dev, UNICAM_DAT1, 0);
++
++      if (dev->max_data_lanes > 2) {
++              reg_write(dev, UNICAM_DAT2, 0);
++              reg_write(dev, UNICAM_DAT3, 0);
++      }
++
++      /* Peripheral reset */
++      reg_write_field(dev, UNICAM_CTRL, 1, UNICAM_CPR);
++      usleep_range(50, 100);
++      reg_write_field(dev, UNICAM_CTRL, 0, UNICAM_CPR);
++
++      /* Disable peripheral */
++      reg_write_field(dev, UNICAM_CTRL, 0, UNICAM_CPE);
++
++      /* Clear ED setup */
++      reg_write(dev, UNICAM_DCS, 0);
++
++      /* Disable all lane clocks */
++      clk_write(dev, 0);
++}
++
++static void unicam_return_buffers(struct unicam_node *node)
++{
++      struct unicam_buffer *buf, *tmp;
++      unsigned long flags;
++
++      spin_lock_irqsave(&node->dma_queue_lock, flags);
++      list_for_each_entry_safe(buf, tmp, &node->dma_queue, list) {
++              list_del(&buf->list);
++              vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_ERROR);
++      }
++
++      if (node->cur_frm)
++              vb2_buffer_done(&node->cur_frm->vb.vb2_buf,
++                              VB2_BUF_STATE_ERROR);
++      if (node->next_frm && node->cur_frm != node->next_frm)
++              vb2_buffer_done(&node->next_frm->vb.vb2_buf,
++                              VB2_BUF_STATE_ERROR);
++
++      node->cur_frm = NULL;
++      node->next_frm = NULL;
++      spin_unlock_irqrestore(&node->dma_queue_lock, flags);
++}
++
++static int unicam_start_streaming(struct vb2_queue *vq, unsigned int count)
++{
++      struct unicam_node *node = vb2_get_drv_priv(vq);
++      struct unicam_device *dev = node->dev;
++      dma_addr_t buffer_addr[MAX_NODES] = { 0 };
++      unsigned long flags;
++      unsigned int i;
++      int ret;
++
++      node->streaming = true;
++      if (!unicam_all_nodes_streaming(dev)) {
++              unicam_dbg(3, dev, "Not all nodes are streaming yet.");
++              return 0;
++      }
++
++      dev->sequence = 0;
++      ret = unicam_runtime_get(dev);
++      if (ret < 0) {
++              unicam_dbg(3, dev, "unicam_runtime_get failed\n");
++              goto err_streaming;
++      }
++
++      /*
++       * TODO: Retrieve the number of active data lanes from the connected
++       * subdevice.
++       */
++      dev->active_data_lanes = dev->max_data_lanes;
++
++      ret = clk_set_rate(dev->clock, 100 * 1000 * 1000);
++      if (ret) {
++              unicam_err(dev, "failed to set up clock\n");
++              goto err_pm_put;
++      }
++
++      ret = clk_prepare_enable(dev->clock);
++      if (ret) {
++              unicam_err(dev, "Failed to enable CSI clock: %d\n", ret);
++              goto err_pm_put;
++      }
++
++      for (i = 0; i < ARRAY_SIZE(dev->node); i++) {
++              struct unicam_buffer *buf;
++
++              if (!dev->node[i].streaming)
++                      continue;
++
++              spin_lock_irqsave(&dev->node[i].dma_queue_lock, flags);
++              buf = list_first_entry(&dev->node[i].dma_queue,
++                                     struct unicam_buffer, list);
++              dev->node[i].cur_frm = buf;
++              dev->node[i].next_frm = buf;
++              list_del(&buf->list);
++              spin_unlock_irqrestore(&dev->node[i].dma_queue_lock, flags);
++
++              buffer_addr[i] =
++                      vb2_dma_contig_plane_dma_addr(&buf->vb.vb2_buf, 0);
++      }
++
++      unicam_start_rx(dev, buffer_addr);
++
++      ret = v4l2_subdev_call(dev->sensor, video, s_stream, 1);
++      if (ret < 0) {
++              unicam_err(dev, "stream on failed in subdev\n");
++              goto err_disable_unicam;
++      }
++
++      return 0;
++
++err_disable_unicam:
++      unicam_disable(dev);
++      clk_disable_unprepare(dev->clock);
++err_pm_put:
++      unicam_runtime_put(dev);
++err_streaming:
++      unicam_return_buffers(node);
++      node->streaming = false;
++
++      return ret;
++}
++
++static void unicam_stop_streaming(struct vb2_queue *vq)
++{
++      struct unicam_node *node = vb2_get_drv_priv(vq);
++      struct unicam_device *dev = node->dev;
++
++      node->streaming = false;
++
++      if (node->pad_id == IMAGE_PAD) {
++              /*
++               * Stop streaming the sensor and disable the peripheral.
++               * We cannot continue streaming embedded data with the
++               * image pad disabled.
++               */
++              if (v4l2_subdev_call(dev->sensor, video, s_stream, 0) < 0)
++                      unicam_err(dev, "stream off failed in subdev\n");
++
++              unicam_disable(dev);
++              clk_disable_unprepare(dev->clock);
++              unicam_runtime_put(dev);
++
++      } else if (node->pad_id == METADATA_PAD) {
++              /*
++               * Allow the hardware to spin in the dummy buffer.
++               * This is only really needed if the embedded data pad is
++               * disabled before the image pad.
++               */
++              unicam_wr_dma_addr(dev, node->dummy_buf_dma_addr,
++                                 DUMMY_BUF_SIZE, METADATA_PAD);
++      }
++
++      /* Clear all queued buffers for the node */
++      unicam_return_buffers(node);
++}
++
++static int unicam_enum_input(struct file *file, void *priv,
++                           struct v4l2_input *inp)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++
++      if (inp->index != 0)
++              return -EINVAL;
++
++      inp->type = V4L2_INPUT_TYPE_CAMERA;
++      if (v4l2_subdev_has_op(dev->sensor, video, s_dv_timings)) {
++              inp->capabilities = V4L2_IN_CAP_DV_TIMINGS;
++              inp->std = 0;
++      } else if (v4l2_subdev_has_op(dev->sensor, video, s_std)) {
++              inp->capabilities = V4L2_IN_CAP_STD;
++              if (v4l2_subdev_call(dev->sensor, video, g_tvnorms, &inp->std)
++                                      < 0)
++                      inp->std = V4L2_STD_ALL;
++      } else {
++              inp->capabilities = 0;
++              inp->std = 0;
++      }
++      sprintf(inp->name, "Camera 0");
++      return 0;
++}
++
++static int unicam_g_input(struct file *file, void *priv, unsigned int *i)
++{
++      *i = 0;
++
++      return 0;
++}
++
++static int unicam_s_input(struct file *file, void *priv, unsigned int i)
++{
++      /*
++       * FIXME: Ideally we would like to be able to query the source
++       * subdevice for information over the input connectors it supports,
++       * and map that through in to a call to video_ops->s_routing.
++       * There is no infrastructure support for defining that within
++       * devicetree at present. Until that is implemented we can't
++       * map a user physical connector number to s_routing input number.
++       */
++      if (i > 0)
++              return -EINVAL;
++
++      return 0;
++}
++
++static int unicam_querystd(struct file *file, void *priv,
++                         v4l2_std_id *std)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++
++      return v4l2_subdev_call(dev->sensor, video, querystd, std);
++}
++
++static int unicam_g_std(struct file *file, void *priv, v4l2_std_id *std)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++
++      return v4l2_subdev_call(dev->sensor, video, g_std, std);
++}
++
++static int unicam_s_std(struct file *file, void *priv, v4l2_std_id std)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      int ret;
++      v4l2_std_id current_std;
++
++      ret = v4l2_subdev_call(dev->sensor, video, g_std, &current_std);
++      if (ret)
++              return ret;
++
++      if (std == current_std)
++              return 0;
++
++      if (vb2_is_busy(&node->buffer_queue))
++              return -EBUSY;
++
++      ret = v4l2_subdev_call(dev->sensor, video, s_std, std);
++
++      /* Force recomputation of bytesperline */
++      node->v_fmt.fmt.pix.bytesperline = 0;
++
++      unicam_reset_format(node);
++
++      return ret;
++}
++
++static int unicam_s_edid(struct file *file, void *priv, struct v4l2_edid *edid)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++
++      return v4l2_subdev_call(dev->sensor, pad, set_edid, edid);
++}
++
++static int unicam_g_edid(struct file *file, void *priv, struct v4l2_edid *edid)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++
++      return v4l2_subdev_call(dev->sensor, pad, get_edid, edid);
++}
++
++static int unicam_s_selection(struct file *file, void *priv,
++                            struct v4l2_selection *sel)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      struct v4l2_subdev_selection sdsel = {
++              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++              .target = sel->target,
++              .flags = sel->flags,
++              .r = sel->r,
++      };
++
++      return v4l2_subdev_call(dev->sensor, pad, set_selection, NULL, &sdsel);
++}
++
++static int unicam_g_selection(struct file *file, void *priv,
++                            struct v4l2_selection *sel)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      struct v4l2_subdev_selection sdsel = {
++              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++              .target = sel->target,
++      };
++      int ret;
++
++      ret = v4l2_subdev_call(dev->sensor, pad, get_selection, NULL, &sdsel);
++      if (!ret)
++              sel->r = sdsel.r;
++
++      return ret;
++}
++
++static int unicam_enum_framesizes(struct file *file, void *priv,
++                                struct v4l2_frmsizeenum *fsize)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      const struct unicam_fmt *fmt;
++      struct v4l2_subdev_frame_size_enum fse;
++      int ret;
++
++      /* check for valid format */
++      fmt = find_format_by_pix(dev, fsize->pixel_format);
++      if (!fmt) {
++              unicam_dbg(3, dev, "Invalid pixel code: %x\n",
++                         fsize->pixel_format);
++              return -EINVAL;
++      }
++      fse.code = fmt->code;
++
++      fse.which = V4L2_SUBDEV_FORMAT_ACTIVE;
++      fse.index = fsize->index;
++      fse.pad = node->pad_id;
++
++      ret = v4l2_subdev_call(dev->sensor, pad, enum_frame_size, NULL, &fse);
++      if (ret)
++              return ret;
++
++      unicam_dbg(1, dev, "%s: index: %d code: %x W:[%d,%d] H:[%d,%d]\n",
++                 __func__, fse.index, fse.code, fse.min_width, fse.max_width,
++                 fse.min_height, fse.max_height);
++
++      fsize->type = V4L2_FRMSIZE_TYPE_DISCRETE;
++      fsize->discrete.width = fse.max_width;
++      fsize->discrete.height = fse.max_height;
++
++      return 0;
++}
++
++static int unicam_enum_frameintervals(struct file *file, void *priv,
++                                    struct v4l2_frmivalenum *fival)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      const struct unicam_fmt *fmt;
++      struct v4l2_subdev_frame_interval_enum fie = {
++              .index = fival->index,
++              .width = fival->width,
++              .height = fival->height,
++              .which = V4L2_SUBDEV_FORMAT_ACTIVE,
++      };
++      int ret;
++
++      fmt = find_format_by_pix(dev, fival->pixel_format);
++      if (!fmt)
++              return -EINVAL;
++
++      fie.code = fmt->code;
++      ret = v4l2_subdev_call(dev->sensor, pad, enum_frame_interval,
++                             NULL, &fie);
++      if (ret)
++              return ret;
++
++      fival->type = V4L2_FRMIVAL_TYPE_DISCRETE;
++      fival->discrete = fie.interval;
++
++      return 0;
++}
++
++static int unicam_g_parm(struct file *file, void *fh, struct v4l2_streamparm *a)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++
++      return v4l2_g_parm_cap(video_devdata(file), dev->sensor, a);
++}
++
++static int unicam_s_parm(struct file *file, void *fh, struct v4l2_streamparm *a)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++
++      return v4l2_s_parm_cap(video_devdata(file), dev->sensor, a);
++}
++
++static int unicam_g_dv_timings(struct file *file, void *priv,
++                             struct v4l2_dv_timings *timings)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++
++      return v4l2_subdev_call(dev->sensor, video, g_dv_timings, timings);
++}
++
++static int unicam_s_dv_timings(struct file *file, void *priv,
++                             struct v4l2_dv_timings *timings)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      struct v4l2_dv_timings current_timings;
++      int ret;
++
++      ret = v4l2_subdev_call(dev->sensor, video, g_dv_timings,
++                             &current_timings);
++
++      if (v4l2_match_dv_timings(timings, &current_timings, 0, false))
++              return 0;
++
++      if (vb2_is_busy(&node->buffer_queue))
++              return -EBUSY;
++
++      ret = v4l2_subdev_call(dev->sensor, video, s_dv_timings, timings);
++
++      /* Force recomputation of bytesperline */
++      node->v_fmt.fmt.pix.bytesperline = 0;
++
++      unicam_reset_format(node);
++
++      return ret;
++}
++
++static int unicam_query_dv_timings(struct file *file, void *priv,
++                                 struct v4l2_dv_timings *timings)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++
++      return v4l2_subdev_call(dev->sensor, video, query_dv_timings, timings);
++}
++
++static int unicam_enum_dv_timings(struct file *file, void *priv,
++                                struct v4l2_enum_dv_timings *timings)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++
++      return v4l2_subdev_call(dev->sensor, pad, enum_dv_timings, timings);
++}
++
++static int unicam_dv_timings_cap(struct file *file, void *priv,
++                               struct v4l2_dv_timings_cap *cap)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++
++      return v4l2_subdev_call(dev->sensor, pad, dv_timings_cap, cap);
++}
++
++static int unicam_subscribe_event(struct v4l2_fh *fh,
++                                const struct v4l2_event_subscription *sub)
++{
++      switch (sub->type) {
++      case V4L2_EVENT_FRAME_SYNC:
++              return v4l2_event_subscribe(fh, sub, 2, NULL);
++      case V4L2_EVENT_SOURCE_CHANGE:
++              return v4l2_event_subscribe(fh, sub, 4, NULL);
++      }
++
++      return v4l2_ctrl_subscribe_event(fh, sub);
++}
++
++static int unicam_log_status(struct file *file, void *fh)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      u32 reg;
++
++      /* status for sub devices */
++      v4l2_device_call_all(&dev->v4l2_dev, 0, core, log_status);
++
++      unicam_info(dev, "-----Receiver status-----\n");
++      unicam_info(dev, "V4L2 width/height:   %ux%u\n",
++                  node->v_fmt.fmt.pix.width, node->v_fmt.fmt.pix.height);
++      unicam_info(dev, "Mediabus format:     %08x\n", node->fmt->code);
++      unicam_info(dev, "V4L2 format:         %08x\n",
++                  node->v_fmt.fmt.pix.pixelformat);
++      reg = reg_read(dev, UNICAM_IPIPE);
++      unicam_info(dev, "Unpacking/packing:   %u / %u\n",
++                  get_field(reg, UNICAM_PUM_MASK),
++                  get_field(reg, UNICAM_PPM_MASK));
++      unicam_info(dev, "----Live data----\n");
++      unicam_info(dev, "Programmed stride:   %4u\n",
++                  reg_read(dev, UNICAM_IBLS));
++      unicam_info(dev, "Detected resolution: %ux%u\n",
++                  reg_read(dev, UNICAM_IHSTA),
++                  reg_read(dev, UNICAM_IVSTA));
++      unicam_info(dev, "Write pointer:       %08x\n",
++                  reg_read(dev, UNICAM_IBWP));
++
++      return 0;
++}
++
++static void unicam_notify(struct v4l2_subdev *sd,
++                        unsigned int notification, void *arg)
++{
++      struct unicam_device *dev = to_unicam_device(sd->v4l2_dev);
++
++      switch (notification) {
++      case V4L2_DEVICE_NOTIFY_EVENT:
++              v4l2_event_queue(&dev->node[IMAGE_PAD].video_dev, arg);
++              break;
++      default:
++              break;
++      }
++}
++
++static const struct vb2_ops unicam_video_qops = {
++      .wait_prepare           = vb2_ops_wait_prepare,
++      .wait_finish            = vb2_ops_wait_finish,
++      .queue_setup            = unicam_queue_setup,
++      .buf_prepare            = unicam_buffer_prepare,
++      .buf_queue              = unicam_buffer_queue,
++      .start_streaming        = unicam_start_streaming,
++      .stop_streaming         = unicam_stop_streaming,
++};
++
++/*
++ * unicam_v4l2_open : This function is based on the v4l2_fh_open helper
++ * function. It has been augmented to handle sensor subdevice power management,
++ */
++static int unicam_v4l2_open(struct file *file)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      int ret;
++
++      mutex_lock(&node->lock);
++
++      ret = v4l2_fh_open(file);
++      if (ret) {
++              unicam_err(dev, "v4l2_fh_open failed\n");
++              goto unlock;
++      }
++
++      node->open++;
++
++      if (!v4l2_fh_is_singular_file(file))
++              goto unlock;
++
++      ret = v4l2_subdev_call(dev->sensor, core, s_power, 1);
++      if (ret < 0 && ret != -ENOIOCTLCMD) {
++              v4l2_fh_release(file);
++              node->open--;
++              goto unlock;
++      }
++
++      ret = 0;
++
++unlock:
++      mutex_unlock(&node->lock);
++      return ret;
++}
++
++static int unicam_v4l2_release(struct file *file)
++{
++      struct unicam_node *node = video_drvdata(file);
++      struct unicam_device *dev = node->dev;
++      struct v4l2_subdev *sd = dev->sensor;
++      bool fh_singular;
++      int ret;
++
++      mutex_lock(&node->lock);
++
++      fh_singular = v4l2_fh_is_singular_file(file);
++
++      ret = _vb2_fop_release(file, NULL);
++
++      if (fh_singular)
++              v4l2_subdev_call(sd, core, s_power, 0);
++
++      node->open--;
++      mutex_unlock(&node->lock);
++
++      return ret;
++}
++
++/* unicam capture driver file operations */
++static const struct v4l2_file_operations unicam_fops = {
++      .owner          = THIS_MODULE,
++      .open           = unicam_v4l2_open,
++      .release        = unicam_v4l2_release,
++      .read           = vb2_fop_read,
++      .poll           = vb2_fop_poll,
++      .unlocked_ioctl = video_ioctl2,
++      .mmap           = vb2_fop_mmap,
++};
++
++/* unicam capture ioctl operations */
++static const struct v4l2_ioctl_ops unicam_ioctl_ops = {
++      .vidioc_querycap                = unicam_querycap,
++      .vidioc_enum_fmt_vid_cap        = unicam_enum_fmt_vid_cap,
++      .vidioc_g_fmt_vid_cap           = unicam_g_fmt_vid_cap,
++      .vidioc_s_fmt_vid_cap           = unicam_s_fmt_vid_cap,
++      .vidioc_try_fmt_vid_cap         = unicam_try_fmt_vid_cap,
++
++      .vidioc_enum_fmt_meta_cap       = unicam_enum_fmt_meta_cap,
++      .vidioc_g_fmt_meta_cap          = unicam_g_fmt_meta_cap,
++      .vidioc_s_fmt_meta_cap          = unicam_g_fmt_meta_cap,
++      .vidioc_try_fmt_meta_cap        = unicam_g_fmt_meta_cap,
++
++      .vidioc_enum_input              = unicam_enum_input,
++      .vidioc_g_input                 = unicam_g_input,
++      .vidioc_s_input                 = unicam_s_input,
++
++      .vidioc_querystd                = unicam_querystd,
++      .vidioc_s_std                   = unicam_s_std,
++      .vidioc_g_std                   = unicam_g_std,
++
++      .vidioc_g_edid                  = unicam_g_edid,
++      .vidioc_s_edid                  = unicam_s_edid,
++
++      .vidioc_enum_framesizes         = unicam_enum_framesizes,
++      .vidioc_enum_frameintervals     = unicam_enum_frameintervals,
++
++      .vidioc_g_selection             = unicam_g_selection,
++      .vidioc_s_selection             = unicam_s_selection,
++
++      .vidioc_g_parm                  = unicam_g_parm,
++      .vidioc_s_parm                  = unicam_s_parm,
++
++      .vidioc_s_dv_timings            = unicam_s_dv_timings,
++      .vidioc_g_dv_timings            = unicam_g_dv_timings,
++      .vidioc_query_dv_timings        = unicam_query_dv_timings,
++      .vidioc_enum_dv_timings         = unicam_enum_dv_timings,
++      .vidioc_dv_timings_cap          = unicam_dv_timings_cap,
++
++      .vidioc_reqbufs                 = vb2_ioctl_reqbufs,
++      .vidioc_create_bufs             = vb2_ioctl_create_bufs,
++      .vidioc_prepare_buf             = vb2_ioctl_prepare_buf,
++      .vidioc_querybuf                = vb2_ioctl_querybuf,
++      .vidioc_qbuf                    = vb2_ioctl_qbuf,
++      .vidioc_dqbuf                   = vb2_ioctl_dqbuf,
++      .vidioc_expbuf                  = vb2_ioctl_expbuf,
++      .vidioc_streamon                = vb2_ioctl_streamon,
++      .vidioc_streamoff               = vb2_ioctl_streamoff,
++
++      .vidioc_log_status              = unicam_log_status,
++      .vidioc_subscribe_event         = unicam_subscribe_event,
++      .vidioc_unsubscribe_event       = v4l2_event_unsubscribe,
++};
++
++static int
++unicam_async_bound(struct v4l2_async_notifier *notifier,
++                 struct v4l2_subdev *subdev,
++                 struct v4l2_async_subdev *asd)
++{
++      struct unicam_device *unicam = to_unicam_device(notifier->v4l2_dev);
++
++      if (unicam->sensor) {
++              unicam_info(unicam, "Rejecting subdev %s (Already set!!)",
++                          subdev->name);
++              return 0;
++      }
++
++      unicam->sensor = subdev;
++      unicam_dbg(1, unicam, "Using sensor %s for capture\n", subdev->name);
++
++      return 0;
++}
++
++static void unicam_release(struct kref *kref)
++{
++      struct unicam_device *unicam =
++              container_of(kref, struct unicam_device, kref);
++
++      v4l2_ctrl_handler_free(&unicam->ctrl_handler);
++      media_device_cleanup(&unicam->mdev);
++
++      if (unicam->sensor_config)
++              v4l2_subdev_free_pad_config(unicam->sensor_config);
++
++      kfree(unicam);
++}
++
++static void unicam_put(struct unicam_device *unicam)
++{
++      kref_put(&unicam->kref, unicam_release);
++}
++
++static void unicam_get(struct unicam_device *unicam)
++{
++      kref_get(&unicam->kref);
++}
++
++static void unicam_node_release(struct video_device *vdev)
++{
++      struct unicam_node *node = video_get_drvdata(vdev);
++
++      unicam_put(node->dev);
++}
++
++static int register_node(struct unicam_device *unicam, struct unicam_node *node,
++                       enum v4l2_buf_type type, int pad_id)
++{
++      struct video_device *vdev;
++      struct vb2_queue *q;
++      struct v4l2_mbus_framefmt mbus_fmt = {0};
++      const struct unicam_fmt *fmt;
++      int ret;
++
++      if (pad_id == IMAGE_PAD) {
++              ret = __subdev_get_format(unicam, &mbus_fmt, pad_id);
++              if (ret) {
++                      unicam_err(unicam, "Failed to get_format - ret %d\n",
++                                 ret);
++                      return ret;
++              }
++
++              fmt = find_format_by_code(mbus_fmt.code);
++              if (!fmt) {
++                      /*
++                       * Find the first format that the sensor and unicam both
++                       * support
++                       */
++                      fmt = get_first_supported_format(unicam);
++
++                      if (!fmt)
++                              /* No compatible formats */
++                              return -EINVAL;
++
++                      mbus_fmt.code = fmt->code;
++                      ret = __subdev_set_format(unicam, &mbus_fmt, pad_id);
++                      if (ret)
++                              return -EINVAL;
++              }
++              if (mbus_fmt.field != V4L2_FIELD_NONE) {
++                      /* Interlaced not supported - disable it now. */
++                      mbus_fmt.field = V4L2_FIELD_NONE;
++                      ret = __subdev_set_format(unicam, &mbus_fmt, pad_id);
++                      if (ret)
++                              return -EINVAL;
++              }
++
++              node->v_fmt.fmt.pix.pixelformat = fmt->fourcc ? fmt->fourcc
++                                              : fmt->repacked_fourcc;
++      } else {
++              /* Fix this node format as embedded data. */
++              fmt = find_format_by_code(MEDIA_BUS_FMT_SENSOR_DATA);
++              node->v_fmt.fmt.meta.dataformat = fmt->fourcc;
++      }
++
++      node->dev = unicam;
++      node->pad_id = pad_id;
++      node->fmt = fmt;
++
++      /* Read current subdev format */
++      unicam_reset_format(node);
++
++      if (v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
++              v4l2_std_id tvnorms;
++
++              if (WARN_ON(!v4l2_subdev_has_op(unicam->sensor, video,
++                                              g_tvnorms)))
++                      /*
++                       * Subdevice should not advertise s_std but not
++                       * g_tvnorms
++                       */
++                      return -EINVAL;
++
++              ret = v4l2_subdev_call(unicam->sensor, video,
++                                     g_tvnorms, &tvnorms);
++              if (WARN_ON(ret))
++                      return -EINVAL;
++              node->video_dev.tvnorms |= tvnorms;
++      }
++
++      spin_lock_init(&node->dma_queue_lock);
++      mutex_init(&node->lock);
++
++      vdev = &node->video_dev;
++      if (pad_id == IMAGE_PAD) {
++              /* Add controls from the subdevice */
++              ret = v4l2_ctrl_add_handler(&unicam->ctrl_handler,
++                                          unicam->sensor->ctrl_handler, NULL,
++                                          true);
++              if (ret < 0)
++                      return ret;
++
++              /*
++               * If the sensor subdevice has any controls, associate the node
++               *  with the ctrl handler to allow access from userland.
++               */
++              if (!list_empty(&unicam->ctrl_handler.ctrls))
++                      vdev->ctrl_handler = &unicam->ctrl_handler;
++      }
++
++      q = &node->buffer_queue;
++      q->type = type;
++      q->io_modes = VB2_MMAP | VB2_DMABUF | VB2_READ;
++      q->drv_priv = node;
++      q->ops = &unicam_video_qops;
++      q->mem_ops = &vb2_dma_contig_memops;
++      q->buf_struct_size = sizeof(struct unicam_buffer);
++      q->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_MONOTONIC;
++      q->lock = &node->lock;
++      q->min_buffers_needed = 2;
++      q->dev = &unicam->pdev->dev;
++
++      ret = vb2_queue_init(q);
++      if (ret) {
++              unicam_err(unicam, "vb2_queue_init() failed\n");
++              return ret;
++      }
++
++      INIT_LIST_HEAD(&node->dma_queue);
++
++      vdev->release = unicam_node_release;
++      vdev->fops = &unicam_fops;
++      vdev->ioctl_ops = &unicam_ioctl_ops;
++      vdev->v4l2_dev = &unicam->v4l2_dev;
++      vdev->vfl_dir = VFL_DIR_RX;
++      vdev->queue = q;
++      vdev->lock = &node->lock;
++      vdev->device_caps = (pad_id == IMAGE_PAD) ?
++                          (V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING) :
++                          (V4L2_CAP_META_CAPTURE | V4L2_CAP_STREAMING);
++
++      /* Define the device names */
++      snprintf(vdev->name, sizeof(vdev->name), "%s-%s", UNICAM_MODULE_NAME,
++               pad_id == IMAGE_PAD ? "image" : "embedded");
++
++      video_set_drvdata(vdev, node);
++      if (pad_id == IMAGE_PAD)
++              vdev->entity.flags |= MEDIA_ENT_FL_DEFAULT;
++      node->pad.flags = MEDIA_PAD_FL_SINK;
++      media_entity_pads_init(&vdev->entity, 1, &node->pad);
++
++      node->dummy_buf_cpu_addr = dma_alloc_coherent(&unicam->pdev->dev,
++                                                    DUMMY_BUF_SIZE,
++                                                    &node->dummy_buf_dma_addr,
++                                                    GFP_KERNEL);
++      if (!node->dummy_buf_cpu_addr) {
++              unicam_err(unicam, "Unable to allocate dummy buffer.\n");
++              return -ENOMEM;
++      }
++
++      if (pad_id == METADATA_PAD) {
++              v4l2_disable_ioctl(vdev, VIDIOC_DQEVENT);
++              v4l2_disable_ioctl(vdev, VIDIOC_SUBSCRIBE_EVENT);
++              v4l2_disable_ioctl(vdev, VIDIOC_UNSUBSCRIBE_EVENT);
++      }
++      if (pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_STD);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_STD);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUMSTD);
++      }
++      if (pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, video, querystd))
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_QUERYSTD);
++      if (pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, video, s_dv_timings)) {
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_EDID);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_EDID);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_DV_TIMINGS_CAP);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_DV_TIMINGS);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_DV_TIMINGS);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_DV_TIMINGS);
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_QUERY_DV_TIMINGS);
++      }
++      if (pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_interval))
++              v4l2_disable_ioctl(&node->video_dev,
++                                 VIDIOC_ENUM_FRAMEINTERVALS);
++      if (pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, video, g_frame_interval))
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_PARM);
++      if (pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, video, s_frame_interval))
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_PARM);
++
++      if (pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, pad, enum_frame_size))
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_ENUM_FRAMESIZES);
++
++      if (node->pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, pad, set_selection))
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_SELECTION);
++
++      if (node->pad_id == METADATA_PAD ||
++          !v4l2_subdev_has_op(unicam->sensor, pad, get_selection))
++              v4l2_disable_ioctl(&node->video_dev, VIDIOC_G_SELECTION);
++
++      ret = video_register_device(vdev, VFL_TYPE_VIDEO, -1);
++      if (ret) {
++              unicam_err(unicam, "Unable to register video device %s\n",
++                         vdev->name);
++              return ret;
++      }
++
++      /*
++       * Acquire a reference to unicam, which will be released when the video
++       * device will be unregistered and userspace will have closed all open
++       * file handles.
++       */
++      unicam_get(unicam);
++      node->registered = true;
++
++      if (pad_id != METADATA_PAD || unicam->sensor_embedded_data) {
++              ret = media_create_pad_link(&unicam->sensor->entity, pad_id,
++                                          &node->video_dev.entity, 0,
++                                          MEDIA_LNK_FL_ENABLED |
++                                          MEDIA_LNK_FL_IMMUTABLE);
++              if (ret)
++                      unicam_err(unicam, "Unable to create pad link for %s\n",
++                                 vdev->name);
++      }
++
++      return ret;
++}
++
++static void unregister_nodes(struct unicam_device *unicam)
++{
++      unsigned int i;
++
++      for (i = 0; i < ARRAY_SIZE(unicam->node); i++) {
++              struct unicam_node *node = &unicam->node[i];
++
++              if (node->dummy_buf_cpu_addr) {
++                      dma_free_coherent(&unicam->pdev->dev, DUMMY_BUF_SIZE,
++                                        node->dummy_buf_cpu_addr,
++                                        node->dummy_buf_dma_addr);
++              }
++
++              if (node->registered) {
++                      node->registered = false;
++                      video_unregister_device(&node->video_dev);
++              }
++      }
++}
++
++static int unicam_probe_complete(struct unicam_device *unicam)
++{
++      int ret;
++
++      unicam->v4l2_dev.notify = unicam_notify;
++
++      unicam->sensor_config = v4l2_subdev_alloc_pad_config(unicam->sensor);
++      if (!unicam->sensor_config)
++              return -ENOMEM;
++
++      unicam->sensor_embedded_data = (unicam->sensor->entity.num_pads >= 2);
++
++      ret = register_node(unicam, &unicam->node[IMAGE_PAD],
++                          V4L2_BUF_TYPE_VIDEO_CAPTURE, IMAGE_PAD);
++      if (ret) {
++              unicam_err(unicam, "Unable to register image video device.\n");
++              goto unregister;
++      }
++
++      ret = register_node(unicam, &unicam->node[METADATA_PAD],
++                          V4L2_BUF_TYPE_META_CAPTURE, METADATA_PAD);
++      if (ret) {
++              unicam_err(unicam, "Unable to register metadata video device.\n");
++              goto unregister;
++      }
++
++      ret = v4l2_device_register_ro_subdev_nodes(&unicam->v4l2_dev);
++      if (ret) {
++              unicam_err(unicam, "Unable to register subdev nodes.\n");
++              goto unregister;
++      }
++
++      /*
++       * Release the initial reference, all references are now owned by the
++       * video devices.
++       */
++      unicam_put(unicam);
++      return 0;
++
++unregister:
++      unregister_nodes(unicam);
++      unicam_put(unicam);
++
++      return ret;
++}
++
++static int unicam_async_complete(struct v4l2_async_notifier *notifier)
++{
++      struct unicam_device *unicam = to_unicam_device(notifier->v4l2_dev);
++
++      return unicam_probe_complete(unicam);
++}
++
++static const struct v4l2_async_notifier_operations unicam_async_ops = {
++      .bound = unicam_async_bound,
++      .complete = unicam_async_complete,
++};
++
++static int of_unicam_connect_subdevs(struct unicam_device *dev)
++{
++      struct platform_device *pdev = dev->pdev;
++      struct v4l2_fwnode_endpoint ep = { 0 };
++      struct device_node *ep_node;
++      struct device_node *sensor_node;
++      unsigned int lane;
++      int ret = -EINVAL;
++
++      if (of_property_read_u32(pdev->dev.of_node, "brcm,num-data-lanes",
++                               &dev->max_data_lanes) < 0) {
++              unicam_err(dev, "number of data lanes not set\n");
++              return -EINVAL;
++      }
++
++      /* Get the local endpoint and remote device. */
++      ep_node = of_graph_get_next_endpoint(pdev->dev.of_node, NULL);
++      if (!ep_node) {
++              unicam_dbg(3, dev, "can't get next endpoint\n");
++              return -EINVAL;
++      }
++
++      unicam_dbg(3, dev, "ep_node is %pOF\n", ep_node);
++
++      sensor_node = of_graph_get_remote_port_parent(ep_node);
++      if (!sensor_node) {
++              unicam_dbg(3, dev, "can't get remote parent\n");
++              goto cleanup_exit;
++      }
++
++      unicam_dbg(1, dev, "found subdevice %pOF\n", sensor_node);
++
++      /* Parse the local endpoint and validate its configuration. */
++      v4l2_fwnode_endpoint_parse(of_fwnode_handle(ep_node), &ep);
++
++      unicam_dbg(3, dev, "parsed local endpoint, bus_type %u\n",
++                 ep.bus_type);
++
++      dev->bus_type = ep.bus_type;
++
++      switch (ep.bus_type) {
++      case V4L2_MBUS_CSI2_DPHY:
++              switch (ep.bus.mipi_csi2.num_data_lanes) {
++              case 1:
++              case 2:
++              case 4:
++                      break;
++
++              default:
++                      unicam_err(dev, "subdevice %pOF: %u data lanes not supported\n",
++                                 sensor_node,
++                                 ep.bus.mipi_csi2.num_data_lanes);
++                      goto cleanup_exit;
++              }
++
++              for (lane = 0; lane < ep.bus.mipi_csi2.num_data_lanes; lane++) {
++                      if (ep.bus.mipi_csi2.data_lanes[lane] != lane + 1) {
++                              unicam_err(dev, "subdevice %pOF: data lanes reordering not supported\n",
++                                         sensor_node);
++                              goto cleanup_exit;
++                      }
++              }
++
++              if (ep.bus.mipi_csi2.num_data_lanes > dev->max_data_lanes) {
++                      unicam_err(dev, "subdevice requires %u data lanes when %u are supported\n",
++                                 ep.bus.mipi_csi2.num_data_lanes,
++                                 dev->max_data_lanes);
++              }
++
++              dev->max_data_lanes = ep.bus.mipi_csi2.num_data_lanes;
++              dev->bus_flags = ep.bus.mipi_csi2.flags;
++
++              break;
++
++      case V4L2_MBUS_CCP2:
++              if (ep.bus.mipi_csi1.clock_lane != 0 ||
++                  ep.bus.mipi_csi1.data_lane != 1) {
++                      unicam_err(dev, "subdevice %pOF: unsupported lanes configuration\n",
++                                 sensor_node);
++                      goto cleanup_exit;
++              }
++
++              dev->max_data_lanes = 1;
++              dev->bus_flags = ep.bus.mipi_csi1.strobe;
++              break;
++
++      default:
++              /* Unsupported bus type */
++              unicam_err(dev, "subdevice %pOF: unsupported bus type %u\n",
++                         sensor_node, ep.bus_type);
++              goto cleanup_exit;
++      }
++
++      unicam_dbg(3, dev, "subdevice %pOF: %s bus, %u data lanes, flags=0x%08x\n",
++                 sensor_node,
++                 dev->bus_type == V4L2_MBUS_CSI2_DPHY ? "CSI-2" : "CCP2",
++                 dev->max_data_lanes, dev->bus_flags);
++
++      /* Initialize and register the async notifier. */
++      v4l2_async_notifier_init(&dev->notifier);
++      dev->notifier.ops = &unicam_async_ops;
++
++      dev->asd.match_type = V4L2_ASYNC_MATCH_FWNODE;
++      dev->asd.match.fwnode = of_fwnode_handle(sensor_node);
++      ret = v4l2_async_notifier_add_subdev(&dev->notifier, &dev->asd);
++      if (ret) {
++              unicam_err(dev, "Error adding subdevice: %d\n", ret);
++              goto cleanup_exit;
++      }
++
++      ret = v4l2_async_notifier_register(&dev->v4l2_dev, &dev->notifier);
++      if (ret) {
++              unicam_err(dev, "Error registering async notifier: %d\n", ret);
++              ret = -EINVAL;
++      }
++
++cleanup_exit:
++      of_node_put(sensor_node);
++      of_node_put(ep_node);
++
++      return ret;
++}
++
++static int unicam_probe(struct platform_device *pdev)
++{
++      struct unicam_device *unicam;
++      int ret;
++
++      unicam = kzalloc(sizeof(*unicam), GFP_KERNEL);
++      if (!unicam)
++              return -ENOMEM;
++
++      kref_init(&unicam->kref);
++      unicam->pdev = pdev;
++
++      unicam->base = devm_platform_ioremap_resource(pdev, 0);
++      if (IS_ERR(unicam->base)) {
++              unicam_err(unicam, "Failed to get main io block\n");
++              ret = PTR_ERR(unicam->base);
++              goto err_unicam_put;
++      }
++
++      unicam->clk_gate_base = devm_platform_ioremap_resource(pdev, 1);
++      if (IS_ERR(unicam->clk_gate_base)) {
++              unicam_err(unicam, "Failed to get 2nd io block\n");
++              ret = PTR_ERR(unicam->clk_gate_base);
++              goto err_unicam_put;
++      }
++
++      unicam->clock = devm_clk_get(&pdev->dev, "lp");
++      if (IS_ERR(unicam->clock)) {
++              unicam_err(unicam, "Failed to get clock\n");
++              ret = PTR_ERR(unicam->clock);
++              goto err_unicam_put;
++      }
++
++      ret = platform_get_irq(pdev, 0);
++      if (ret <= 0) {
++              dev_err(&pdev->dev, "No IRQ resource\n");
++              ret = -EINVAL;
++              goto err_unicam_put;
++      }
++
++      ret = devm_request_irq(&pdev->dev, ret, unicam_isr, 0,
++                             "unicam_capture0", unicam);
++      if (ret) {
++              dev_err(&pdev->dev, "Unable to request interrupt\n");
++              ret = -EINVAL;
++              goto err_unicam_put;
++      }
++
++      unicam->mdev.dev = &pdev->dev;
++      strscpy(unicam->mdev.model, UNICAM_MODULE_NAME,
++              sizeof(unicam->mdev.model));
++      strscpy(unicam->mdev.serial, "", sizeof(unicam->mdev.serial));
++      snprintf(unicam->mdev.bus_info, sizeof(unicam->mdev.bus_info),
++               "platform:%s", dev_name(&pdev->dev));
++      unicam->mdev.hw_revision = 0;
++
++      media_device_init(&unicam->mdev);
++
++      unicam->v4l2_dev.mdev = &unicam->mdev;
++
++      ret = v4l2_device_register(&pdev->dev, &unicam->v4l2_dev);
++      if (ret) {
++              unicam_err(unicam,
++                         "Unable to register v4l2 device.\n");
++              goto err_unicam_put;
++      }
++
++      ret = media_device_register(&unicam->mdev);
++      if (ret < 0) {
++              unicam_err(unicam,
++                         "Unable to register media-controller device.\n");
++              goto err_v4l2_unregister;
++      }
++
++      /* Reserve space for the controls */
++      ret = v4l2_ctrl_handler_init(&unicam->ctrl_handler, 16);
++      if (ret < 0)
++              goto err_media_unregister;
++
++      /* set the driver data in platform device */
++      platform_set_drvdata(pdev, unicam);
++
++      ret = of_unicam_connect_subdevs(unicam);
++      if (ret) {
++              dev_err(&pdev->dev, "Failed to connect subdevs\n");
++              goto err_media_unregister;
++      }
++
++      /* Enable the block power domain */
++      pm_runtime_enable(&pdev->dev);
++
++      return 0;
++
++err_media_unregister:
++      media_device_unregister(&unicam->mdev);
++err_v4l2_unregister:
++      v4l2_device_unregister(&unicam->v4l2_dev);
++err_unicam_put:
++      unicam_put(unicam);
++
++      return ret;
++}
++
++static int unicam_remove(struct platform_device *pdev)
++{
++      struct unicam_device *unicam = platform_get_drvdata(pdev);
++
++      unicam_dbg(2, unicam, "%s\n", __func__);
++
++      v4l2_async_notifier_unregister(&unicam->notifier);
++      v4l2_device_unregister(&unicam->v4l2_dev);
++      media_device_unregister(&unicam->mdev);
++      unregister_nodes(unicam);
++
++      pm_runtime_disable(&pdev->dev);
++
++      return 0;
++}
++
++static const struct of_device_id unicam_of_match[] = {
++      { .compatible = "brcm,bcm2835-unicam", },
++      { /* sentinel */ },
++};
++MODULE_DEVICE_TABLE(of, unicam_of_match);
++
++static struct platform_driver unicam_driver = {
++      .probe          = unicam_probe,
++      .remove         = unicam_remove,
++      .driver = {
++              .name   = UNICAM_MODULE_NAME,
++              .of_match_table = of_match_ptr(unicam_of_match),
++      },
++};
++
++module_platform_driver(unicam_driver);
++
++MODULE_AUTHOR("Dave Stevenson <dave.stevenson@raspberrypi.com>");
++MODULE_DESCRIPTION("BCM2835 Unicam driver");
++MODULE_LICENSE("GPL");
++MODULE_VERSION(UNICAM_VERSION);
+--- /dev/null
++++ b/drivers/media/platform/bcm2835/vc4-regs-unicam.h
+@@ -0,0 +1,253 @@
++/* SPDX-License-Identifier: GPL-2.0-only */
++
++/*
++ * Copyright (C) 2017-2020 Raspberry Pi Trading.
++ * Dave Stevenson <dave.stevenson@raspberrypi.com>
++ */
++
++#ifndef VC4_REGS_UNICAM_H
++#define VC4_REGS_UNICAM_H
++
++/*
++ * The following values are taken from files found within the code drop
++ * made by Broadcom for the BCM21553 Graphics Driver, predominantly in
++ * brcm_usrlib/dag/vmcsx/vcinclude/hardware_vc4.h.
++ * They have been modified to be only the register offset.
++ */
++#define UNICAM_CTRL   0x000
++#define UNICAM_STA    0x004
++#define UNICAM_ANA    0x008
++#define UNICAM_PRI    0x00c
++#define UNICAM_CLK    0x010
++#define UNICAM_CLT    0x014
++#define UNICAM_DAT0   0x018
++#define UNICAM_DAT1   0x01c
++#define UNICAM_DAT2   0x020
++#define UNICAM_DAT3   0x024
++#define UNICAM_DLT    0x028
++#define UNICAM_CMP0   0x02c
++#define UNICAM_CMP1   0x030
++#define UNICAM_CAP0   0x034
++#define UNICAM_CAP1   0x038
++#define UNICAM_ICTL   0x100
++#define UNICAM_ISTA   0x104
++#define UNICAM_IDI0   0x108
++#define UNICAM_IPIPE  0x10c
++#define UNICAM_IBSA0  0x110
++#define UNICAM_IBEA0  0x114
++#define UNICAM_IBLS   0x118
++#define UNICAM_IBWP   0x11c
++#define UNICAM_IHWIN  0x120
++#define UNICAM_IHSTA  0x124
++#define UNICAM_IVWIN  0x128
++#define UNICAM_IVSTA  0x12c
++#define UNICAM_ICC    0x130
++#define UNICAM_ICS    0x134
++#define UNICAM_IDC    0x138
++#define UNICAM_IDPO   0x13c
++#define UNICAM_IDCA   0x140
++#define UNICAM_IDCD   0x144
++#define UNICAM_IDS    0x148
++#define UNICAM_DCS    0x200
++#define UNICAM_DBSA0  0x204
++#define UNICAM_DBEA0  0x208
++#define UNICAM_DBWP   0x20c
++#define UNICAM_DBCTL  0x300
++#define UNICAM_IBSA1  0x304
++#define UNICAM_IBEA1  0x308
++#define UNICAM_IDI1   0x30c
++#define UNICAM_DBSA1  0x310
++#define UNICAM_DBEA1  0x314
++#define UNICAM_MISC   0x400
++
++/*
++ * The following bitmasks are from the kernel released by Broadcom
++ * for Android - https://android.googlesource.com/kernel/bcm/
++ * The Rhea, Hawaii, and Java chips all contain the same VideoCore4
++ * Unicam block as BCM2835, as defined in eg
++ * arch/arm/mach-rhea/include/mach/rdb_A0/brcm_rdb_cam.h and similar.
++ * Values reworked to use the kernel BIT and GENMASK macros.
++ *
++ * Some of the bit mnenomics have been amended to match the datasheet.
++ */
++/* UNICAM_CTRL Register */
++#define UNICAM_CPE            BIT(0)
++#define UNICAM_MEM            BIT(1)
++#define UNICAM_CPR            BIT(2)
++#define UNICAM_CPM_MASK               GENMASK(3, 3)
++#define UNICAM_CPM_CSI2               0
++#define UNICAM_CPM_CCP2               1
++#define UNICAM_SOE            BIT(4)
++#define UNICAM_DCM_MASK               GENMASK(5, 5)
++#define UNICAM_DCM_STROBE     0
++#define UNICAM_DCM_DATA               1
++#define UNICAM_SLS            BIT(6)
++#define UNICAM_PFT_MASK               GENMASK(11, 8)
++#define UNICAM_OET_MASK               GENMASK(20, 12)
++
++/* UNICAM_STA Register */
++#define UNICAM_SYN            BIT(0)
++#define UNICAM_CS             BIT(1)
++#define UNICAM_SBE            BIT(2)
++#define UNICAM_PBE            BIT(3)
++#define UNICAM_HOE            BIT(4)
++#define UNICAM_PLE            BIT(5)
++#define UNICAM_SSC            BIT(6)
++#define UNICAM_CRCE           BIT(7)
++#define UNICAM_OES            BIT(8)
++#define UNICAM_IFO            BIT(9)
++#define UNICAM_OFO            BIT(10)
++#define UNICAM_BFO            BIT(11)
++#define UNICAM_DL             BIT(12)
++#define UNICAM_PS             BIT(13)
++#define UNICAM_IS             BIT(14)
++#define UNICAM_PI0            BIT(15)
++#define UNICAM_PI1            BIT(16)
++#define UNICAM_FSI_S          BIT(17)
++#define UNICAM_FEI_S          BIT(18)
++#define UNICAM_LCI_S          BIT(19)
++#define UNICAM_BUF0_RDY               BIT(20)
++#define UNICAM_BUF0_NO                BIT(21)
++#define UNICAM_BUF1_RDY               BIT(22)
++#define UNICAM_BUF1_NO                BIT(23)
++#define UNICAM_DI             BIT(24)
++
++#define UNICAM_STA_MASK_ALL \
++              (UNICAM_DL + \
++              UNICAM_SBE + \
++              UNICAM_PBE + \
++              UNICAM_HOE + \
++              UNICAM_PLE + \
++              UNICAM_SSC + \
++              UNICAM_CRCE + \
++              UNICAM_IFO + \
++              UNICAM_OFO + \
++              UNICAM_PS + \
++              UNICAM_PI0 + \
++              UNICAM_PI1)
++
++/* UNICAM_ANA Register */
++#define UNICAM_APD            BIT(0)
++#define UNICAM_BPD            BIT(1)
++#define UNICAM_AR             BIT(2)
++#define UNICAM_DDL            BIT(3)
++#define UNICAM_CTATADJ_MASK   GENMASK(7, 4)
++#define UNICAM_PTATADJ_MASK   GENMASK(11, 8)
++
++/* UNICAM_PRI Register */
++#define UNICAM_PE             BIT(0)
++#define UNICAM_PT_MASK                GENMASK(2, 1)
++#define UNICAM_NP_MASK                GENMASK(7, 4)
++#define UNICAM_PP_MASK                GENMASK(11, 8)
++#define UNICAM_BS_MASK                GENMASK(15, 12)
++#define UNICAM_BL_MASK                GENMASK(17, 16)
++
++/* UNICAM_CLK Register */
++#define UNICAM_CLE            BIT(0)
++#define UNICAM_CLPD           BIT(1)
++#define UNICAM_CLLPE          BIT(2)
++#define UNICAM_CLHSE          BIT(3)
++#define UNICAM_CLTRE          BIT(4)
++#define UNICAM_CLAC_MASK      GENMASK(8, 5)
++#define UNICAM_CLSTE          BIT(29)
++
++/* UNICAM_CLT Register */
++#define UNICAM_CLT1_MASK      GENMASK(7, 0)
++#define UNICAM_CLT2_MASK      GENMASK(15, 8)
++
++/* UNICAM_DATn Registers */
++#define UNICAM_DLE            BIT(0)
++#define UNICAM_DLPD           BIT(1)
++#define UNICAM_DLLPE          BIT(2)
++#define UNICAM_DLHSE          BIT(3)
++#define UNICAM_DLTRE          BIT(4)
++#define UNICAM_DLSM           BIT(5)
++#define UNICAM_DLFO           BIT(28)
++#define UNICAM_DLSTE          BIT(29)
++
++#define UNICAM_DAT_MASK_ALL (UNICAM_DLSTE + UNICAM_DLFO)
++
++/* UNICAM_DLT Register */
++#define UNICAM_DLT1_MASK      GENMASK(7, 0)
++#define UNICAM_DLT2_MASK      GENMASK(15, 8)
++#define UNICAM_DLT3_MASK      GENMASK(23, 16)
++
++/* UNICAM_ICTL Register */
++#define UNICAM_FSIE           BIT(0)
++#define UNICAM_FEIE           BIT(1)
++#define UNICAM_IBOB           BIT(2)
++#define UNICAM_FCM            BIT(3)
++#define UNICAM_TFC            BIT(4)
++#define UNICAM_LIP_MASK               GENMASK(6, 5)
++#define UNICAM_LCIE_MASK      GENMASK(28, 16)
++
++/* UNICAM_IDI0/1 Register */
++#define UNICAM_ID0_MASK               GENMASK(7, 0)
++#define UNICAM_ID1_MASK               GENMASK(15, 8)
++#define UNICAM_ID2_MASK               GENMASK(23, 16)
++#define UNICAM_ID3_MASK               GENMASK(31, 24)
++
++/* UNICAM_ISTA Register */
++#define UNICAM_FSI            BIT(0)
++#define UNICAM_FEI            BIT(1)
++#define UNICAM_LCI            BIT(2)
++
++#define UNICAM_ISTA_MASK_ALL (UNICAM_FSI + UNICAM_FEI + UNICAM_LCI)
++
++/* UNICAM_IPIPE Register */
++#define UNICAM_PUM_MASK               GENMASK(2, 0)
++              /* Unpacking modes */
++              #define UNICAM_PUM_NONE         0
++              #define UNICAM_PUM_UNPACK6      1
++              #define UNICAM_PUM_UNPACK7      2
++              #define UNICAM_PUM_UNPACK8      3
++              #define UNICAM_PUM_UNPACK10     4
++              #define UNICAM_PUM_UNPACK12     5
++              #define UNICAM_PUM_UNPACK14     6
++              #define UNICAM_PUM_UNPACK16     7
++#define UNICAM_DDM_MASK               GENMASK(6, 3)
++#define UNICAM_PPM_MASK               GENMASK(9, 7)
++              /* Packing modes */
++              #define UNICAM_PPM_NONE         0
++              #define UNICAM_PPM_PACK8        1
++              #define UNICAM_PPM_PACK10       2
++              #define UNICAM_PPM_PACK12       3
++              #define UNICAM_PPM_PACK14       4
++              #define UNICAM_PPM_PACK16       5
++#define UNICAM_DEM_MASK               GENMASK(11, 10)
++#define UNICAM_DEBL_MASK      GENMASK(14, 12)
++#define UNICAM_ICM_MASK               GENMASK(16, 15)
++#define UNICAM_IDM_MASK               GENMASK(17, 17)
++
++/* UNICAM_ICC Register */
++#define UNICAM_ICFL_MASK      GENMASK(4, 0)
++#define UNICAM_ICFH_MASK      GENMASK(9, 5)
++#define UNICAM_ICST_MASK      GENMASK(12, 10)
++#define UNICAM_ICLT_MASK      GENMASK(15, 13)
++#define UNICAM_ICLL_MASK      GENMASK(31, 16)
++
++/* UNICAM_DCS Register */
++#define UNICAM_DIE            BIT(0)
++#define UNICAM_DIM            BIT(1)
++#define UNICAM_DBOB           BIT(3)
++#define UNICAM_FDE            BIT(4)
++#define UNICAM_LDP            BIT(5)
++#define UNICAM_EDL_MASK               GENMASK(15, 8)
++
++/* UNICAM_DBCTL Register */
++#define UNICAM_DBEN           BIT(0)
++#define UNICAM_BUF0_IE                BIT(1)
++#define UNICAM_BUF1_IE                BIT(2)
++
++/* UNICAM_CMP[0,1] register */
++#define UNICAM_PCE            BIT(31)
++#define UNICAM_GI             BIT(9)
++#define UNICAM_CPH            BIT(8)
++#define UNICAM_PCVC_MASK      GENMASK(7, 6)
++#define UNICAM_PCDT_MASK      GENMASK(5, 0)
++
++/* UNICAM_MISC register */
++#define UNICAM_FL0            BIT(6)
++#define UNICAM_FL1            BIT(9)
++
++#endif
diff --git a/target/linux/bcm27xx/patches-5.4/950-0807-media-bcm2835-unicam-Add-support-for-get_mbus_config.patch b/target/linux/bcm27xx/patches-5.4/950-0807-media-bcm2835-unicam-Add-support-for-get_mbus_config.patch
new file mode 100644 (file)
index 0000000..8e3cef0
--- /dev/null
@@ -0,0 +1,56 @@
+From f1ab20a9584c97eddf07d4f8937f0aff42bd1038 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 23 Jun 2020 14:32:51 +0100
+Subject: [PATCH] media: bcm2835-unicam: Add support for
+ get_mbus_config to set num lanes
+
+Use the get_mbus_config pad subdev call to allow a source to use
+fewer than the number of CSI2 lanes defined in device tree.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../media/platform/bcm2835/bcm2835-unicam.c   | 31 ++++++++++++++++---
+ 1 file changed, 27 insertions(+), 4 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -1639,12 +1639,35 @@ static int unicam_start_streaming(struct
+               goto err_streaming;
+       }
+-      /*
+-       * TODO: Retrieve the number of active data lanes from the connected
+-       * subdevice.
+-       */
+       dev->active_data_lanes = dev->max_data_lanes;
++      if (dev->bus_type == V4L2_MBUS_CSI2_DPHY) {
++              struct v4l2_mbus_config mbus_config = { 0 };
++
++              ret = v4l2_subdev_call(dev->sensor, pad, get_mbus_config,
++                                     0, &mbus_config);
++              if (ret < 0 && ret != -ENOIOCTLCMD) {
++                      unicam_dbg(3, dev, "g_mbus_config failed\n");
++                      goto err_pm_put;
++              }
++
++              dev->active_data_lanes =
++                      (mbus_config.flags & V4L2_MBUS_CSI2_LANE_MASK) >>
++                                      __ffs(V4L2_MBUS_CSI2_LANE_MASK);
++              if (!dev->active_data_lanes)
++                      dev->active_data_lanes = dev->max_data_lanes;
++              if (dev->active_data_lanes > dev->max_data_lanes) {
++                      unicam_err(dev, "Device has requested %u data lanes, which is >%u configured in DT\n",
++                                 dev->active_data_lanes,
++                                 dev->max_data_lanes);
++                      ret = -EINVAL;
++                      goto err_pm_put;
++              }
++      }
++
++      unicam_dbg(1, dev, "Running with %u data lanes\n",
++                 dev->active_data_lanes);
++
+       ret = clk_set_rate(dev->clock, 100 * 1000 * 1000);
+       if (ret) {
+               unicam_err(dev, "failed to set up clock\n");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0808-media-bcm2835-unicam-Always-service-interrupts.patch b/target/linux/bcm27xx/patches-5.4/950-0808-media-bcm2835-unicam-Always-service-interrupts.patch
new file mode 100644 (file)
index 0000000..371013f
--- /dev/null
@@ -0,0 +1,51 @@
+From b493e2a03c1780ae1ba09a9de58474c17a33310d Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 13 May 2020 18:28:27 +0100
+Subject: [PATCH] media: bcm2835-unicam: Always service interrupts
+
+From when bringing up the driver, there was a check in the isr
+to ignore interrupts (claiming them handled) should the driver
+not be streaming.
+
+The VPU now will not register a camera driver if it finds a
+CSI2 node enabled in device tree, therefore this flawed check is
+redundant.
+
+https://github.com/raspberrypi/linux/issues/3602
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 15 ---------------
+ 1 file changed, 15 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -772,12 +772,6 @@ static bool unicam_all_nodes_streaming(s
+       return ret;
+ }
+-static bool unicam_all_nodes_disabled(struct unicam_device *dev)
+-{
+-      return !dev->node[IMAGE_PAD].streaming &&
+-             !dev->node[METADATA_PAD].streaming;
+-}
+-
+ static void unicam_queue_event_sof(struct unicam_device *unicam)
+ {
+       struct v4l2_event event = {
+@@ -805,15 +799,6 @@ static irqreturn_t unicam_isr(int irq, v
+       u32 ista, sta;
+       u64 ts;
+-      /*
+-       * Don't service interrupts if not streaming.
+-       * Avoids issues if the VPU should enable the
+-       * peripheral without the kernel knowing (that
+-       * shouldn't happen, but causes issues if it does).
+-       */
+-      if (unicam_all_nodes_disabled(unicam))
+-              return IRQ_NONE;
+-
+       sta = reg_read(unicam, UNICAM_STA);
+       /* Write value back to clear the interrupts */
+       reg_write(unicam, UNICAM_STA, sta);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0809-media-bcm2835-unicam-Fix-uninitialized-warning.patch b/target/linux/bcm27xx/patches-5.4/950-0809-media-bcm2835-unicam-Fix-uninitialized-warning.patch
new file mode 100644 (file)
index 0000000..1935c6b
--- /dev/null
@@ -0,0 +1,21 @@
+From bea7aa3af93ab5eb9b0f993230a802e65023081e Mon Sep 17 00:00:00 2001
+From: Jacko Dirks <jdirks.linuxdev@gmail.com>
+Date: Tue, 5 May 2020 14:33:31 +0200
+Subject: [PATCH] media: bcm2835: unicam: Fix uninitialized warning
+
+Signed-off-by: Jacko Dirks <jdirks.linuxdev@gmail.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -994,7 +994,7 @@ const struct unicam_fmt *get_first_suppo
+       struct v4l2_subdev_mbus_code_enum mbus_code;
+       const struct unicam_fmt *fmt = NULL;
+       unsigned int i;
+-      int ret;
++      int ret = 0;
+       for (i = 0; ret != -EINVAL && ret != -ENOIOCTLCMD; ++i) {
+               memset(&mbus_code, 0, sizeof(mbus_code));
diff --git a/target/linux/bcm27xx/patches-5.4/950-0810-media-bcm2835-unicam-Fixup-review-comments-from-Hans.patch b/target/linux/bcm27xx/patches-5.4/950-0810-media-bcm2835-unicam-Fixup-review-comments-from-Hans.patch
new file mode 100644 (file)
index 0000000..18565a7
--- /dev/null
@@ -0,0 +1,242 @@
+From 30351afb528e439a48960443c028b6b9c236c55a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 23 Jun 2020 15:14:05 +0100
+Subject: [PATCH] media: bcm2835-unicam: Fixup review comments from
+ Hans.
+
+Updates the driver based on the upstream review comments from
+Hans Verkuil at https://patchwork.linuxtv.org/patch/63531/
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/Kconfig        | 12 ++--
+ .../media/platform/bcm2835/bcm2835-unicam.c   | 70 ++++++++-----------
+ 2 files changed, 39 insertions(+), 43 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/Kconfig
++++ b/drivers/media/platform/bcm2835/Kconfig
+@@ -1,15 +1,19 @@
+ # Broadcom VideoCore4 V4L2 camera support
+ config VIDEO_BCM2835_UNICAM
+-      tristate "Broadcom BCM2835 Unicam video capture driver"
++      tristate "Broadcom BCM283x/BCM271x Unicam video capture driver"
+       depends on VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API && MEDIA_CONTROLLER
+       depends on ARCH_BCM2835 || COMPILE_TEST
+       select VIDEOBUF2_DMA_CONTIG
+       select V4L2_FWNODE
+       help
+-        Say Y here to enable support for the BCM2835 CSI-2 receiver. This is a
+-        V4L2 driver that controls the CSI-2 receiver directly, independently
+-        from the VC4 firmware.
++        Say Y here to enable support for the BCM283x/BCM271x CSI-2 receiver.
++        This is a V4L2 driver that controls the CSI-2 receiver directly,
++        independently from the VC4 firmware.
++        This driver is mutually exclusive with the use of bcm2835-camera. The
++        firmware will disable all access to the peripheral from within the
++        firmware if it finds a DT node using it, and bcm2835-camera will
++        therefore fail to probe.
+         To compile this driver as a module, choose M here. The module will be
+         called bcm2835-unicam.
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -1,6 +1,6 @@
+ // SPDX-License-Identifier: GPL-2.0-only
+ /*
+- * BCM2835 Unicam Capture Driver
++ * BCM283x / BCM271x Unicam Capture Driver
+  *
+  * Copyright (C) 2017-2020 - Raspberry Pi (Trading) Ltd.
+  *
+@@ -554,9 +554,8 @@ static const struct unicam_fmt *find_for
+       return NULL;
+ }
+-static inline unsigned int bytes_per_line(u32 width,
+-                                        const struct unicam_fmt *fmt,
+-                                        u32 v4l2_fourcc)
++static unsigned int bytes_per_line(u32 width, const struct unicam_fmt *fmt,
++                                 u32 v4l2_fourcc)
+ {
+       if (v4l2_fourcc == fmt->repacked_fourcc)
+               /* Repacking always goes to 16bpp */
+@@ -708,7 +707,7 @@ static void unicam_wr_dma_addr(struct un
+       }
+ }
+-static inline unsigned int unicam_get_lines_done(struct unicam_device *dev)
++static unsigned int unicam_get_lines_done(struct unicam_device *dev)
+ {
+       dma_addr_t start_addr, cur_addr;
+       unsigned int stride = dev->node[IMAGE_PAD].v_fmt.fmt.pix.bytesperline;
+@@ -722,7 +721,7 @@ static inline unsigned int unicam_get_li
+       return (unsigned int)(cur_addr - start_addr) / stride;
+ }
+-static inline void unicam_schedule_next_buffer(struct unicam_node *node)
++static void unicam_schedule_next_buffer(struct unicam_node *node)
+ {
+       struct unicam_device *dev = node->dev;
+       struct unicam_buffer *buf;
+@@ -741,7 +740,7 @@ static inline void unicam_schedule_next_
+       unicam_wr_dma_addr(dev, addr, size, node->pad_id);
+ }
+-static inline void unicam_schedule_dummy_buffer(struct unicam_node *node)
++static void unicam_schedule_dummy_buffer(struct unicam_node *node)
+ {
+       struct unicam_device *dev = node->dev;
+@@ -753,8 +752,8 @@ static inline void unicam_schedule_dummy
+       node->next_frm = NULL;
+ }
+-static inline void unicam_process_buffer_complete(struct unicam_node *node,
+-                                                unsigned int sequence)
++static void unicam_process_buffer_complete(struct unicam_node *node,
++                                         unsigned int sequence)
+ {
+       node->cur_frm->vb.field = node->m_fmt.field;
+       node->cur_frm->vb.sequence = sequence;
+@@ -762,16 +761,6 @@ static inline void unicam_process_buffer
+       vb2_buffer_done(&node->cur_frm->vb.vb2_buf, VB2_BUF_STATE_DONE);
+ }
+-static bool unicam_all_nodes_streaming(struct unicam_device *dev)
+-{
+-      bool ret;
+-
+-      ret = dev->node[IMAGE_PAD].open && dev->node[IMAGE_PAD].streaming;
+-      ret &= !dev->node[METADATA_PAD].open ||
+-             dev->node[METADATA_PAD].streaming;
+-      return ret;
+-}
+-
+ static void unicam_queue_event_sof(struct unicam_device *unicam)
+ {
+       struct v4l2_event event = {
+@@ -894,8 +883,8 @@ static int unicam_querycap(struct file *
+       struct unicam_node *node = video_drvdata(file);
+       struct unicam_device *dev = node->dev;
+-      strlcpy(cap->driver, UNICAM_MODULE_NAME, sizeof(cap->driver));
+-      strlcpy(cap->card, UNICAM_MODULE_NAME, sizeof(cap->card));
++      strscpy(cap->driver, UNICAM_MODULE_NAME, sizeof(cap->driver));
++      strscpy(cap->card, UNICAM_MODULE_NAME, sizeof(cap->card));
+       snprintf(cap->bus_info, sizeof(cap->bus_info),
+                "platform:%s", dev_name(&dev->pdev->dev));
+@@ -988,8 +977,8 @@ static int unicam_g_fmt_vid_cap(struct f
+       return 0;
+ }
+-static
+-const struct unicam_fmt *get_first_supported_format(struct unicam_device *dev)
++static const struct unicam_fmt *
++get_first_supported_format(struct unicam_device *dev)
+ {
+       struct v4l2_subdev_mbus_code_enum mbus_code;
+       const struct unicam_fmt *fmt = NULL;
+@@ -1579,7 +1568,8 @@ static void unicam_disable(struct unicam
+       clk_write(dev, 0);
+ }
+-static void unicam_return_buffers(struct unicam_node *node)
++static void unicam_return_buffers(struct unicam_node *node,
++                                enum vb2_buffer_state state)
+ {
+       struct unicam_buffer *buf, *tmp;
+       unsigned long flags;
+@@ -1587,15 +1577,15 @@ static void unicam_return_buffers(struct
+       spin_lock_irqsave(&node->dma_queue_lock, flags);
+       list_for_each_entry_safe(buf, tmp, &node->dma_queue, list) {
+               list_del(&buf->list);
+-              vb2_buffer_done(&buf->vb.vb2_buf, VB2_BUF_STATE_ERROR);
++              vb2_buffer_done(&buf->vb.vb2_buf, state);
+       }
+       if (node->cur_frm)
+               vb2_buffer_done(&node->cur_frm->vb.vb2_buf,
+-                              VB2_BUF_STATE_ERROR);
++                              state);
+       if (node->next_frm && node->cur_frm != node->next_frm)
+               vb2_buffer_done(&node->next_frm->vb.vb2_buf,
+-                              VB2_BUF_STATE_ERROR);
++                              state);
+       node->cur_frm = NULL;
+       node->next_frm = NULL;
+@@ -1612,7 +1602,13 @@ static int unicam_start_streaming(struct
+       int ret;
+       node->streaming = true;
+-      if (!unicam_all_nodes_streaming(dev)) {
++      if (!(dev->node[IMAGE_PAD].open && dev->node[IMAGE_PAD].streaming &&
++            (!dev->node[METADATA_PAD].open ||
++             dev->node[METADATA_PAD].streaming))) {
++              /*
++               * Metadata pad must be enabled before image pad if it is
++               * wanted.
++               */
+               unicam_dbg(3, dev, "Not all nodes are streaming yet.");
+               return 0;
+       }
+@@ -1699,7 +1695,7 @@ err_disable_unicam:
+ err_pm_put:
+       unicam_runtime_put(dev);
+ err_streaming:
+-      unicam_return_buffers(node);
++      unicam_return_buffers(node, VB2_BUF_STATE_QUEUED);
+       node->streaming = false;
+       return ret;
+@@ -1736,7 +1732,7 @@ static void unicam_stop_streaming(struct
+       }
+       /* Clear all queued buffers for the node */
+-      unicam_return_buffers(node);
++      unicam_return_buffers(node, VB2_BUF_STATE_ERROR);
+ }
+ static int unicam_enum_input(struct file *file, void *priv,
+@@ -1754,14 +1750,13 @@ static int unicam_enum_input(struct file
+               inp->std = 0;
+       } else if (v4l2_subdev_has_op(dev->sensor, video, s_std)) {
+               inp->capabilities = V4L2_IN_CAP_STD;
+-              if (v4l2_subdev_call(dev->sensor, video, g_tvnorms, &inp->std)
+-                                      < 0)
++              if (v4l2_subdev_call(dev->sensor, video, g_tvnorms, &inp->std) < 0)
+                       inp->std = V4L2_STD_ALL;
+       } else {
+               inp->capabilities = 0;
+               inp->std = 0;
+       }
+-      sprintf(inp->name, "Camera 0");
++      snprintf(inp->name, sizeof(inp->name), "Camera 0");
+       return 0;
+ }
+@@ -1984,6 +1979,9 @@ static int unicam_s_dv_timings(struct fi
+       ret = v4l2_subdev_call(dev->sensor, video, g_dv_timings,
+                              &current_timings);
++      if (ret < 0)
++              return ret;
++
+       if (v4l2_match_dv_timings(timings, &current_timings, 0, false))
+               return 0;
+@@ -2414,12 +2412,6 @@ static int register_node(struct unicam_d
+               unicam_err(unicam, "Unable to allocate dummy buffer.\n");
+               return -ENOMEM;
+       }
+-
+-      if (pad_id == METADATA_PAD) {
+-              v4l2_disable_ioctl(vdev, VIDIOC_DQEVENT);
+-              v4l2_disable_ioctl(vdev, VIDIOC_SUBSCRIBE_EVENT);
+-              v4l2_disable_ioctl(vdev, VIDIOC_UNSUBSCRIBE_EVENT);
+-      }
+       if (pad_id == METADATA_PAD ||
+           !v4l2_subdev_has_op(unicam->sensor, video, s_std)) {
+               v4l2_disable_ioctl(&node->video_dev, VIDIOC_S_STD);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0811-media-bcm2835-unicam-Retain-packing-information-on-G.patch b/target/linux/bcm27xx/patches-5.4/950-0811-media-bcm2835-unicam-Retain-packing-information-on-G.patch
new file mode 100644 (file)
index 0000000..8d2b9d8
--- /dev/null
@@ -0,0 +1,48 @@
+From bf722c887dd9d0d24493edd20c61b2fcde5f66dd Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 19 May 2020 11:46:47 +0100
+Subject: [PATCH] media: bcm2835-unicam: Retain packing information
+ on G_FMT
+
+The change to retrieve the pixel format always on g_fmt didn't
+check whether the native or unpacked version of the format
+had been requested, and always returned the packed one.
+Correct this so that the packing setting is retained whereever
+possible.
+
+Fixes "9d59e89 media: bcm2835-unicam: Re-fetch mbus code from subdev
+on a g_fmt call"
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../media/platform/bcm2835/bcm2835-unicam.c   | 19 +++++++++++++++++--
+ 1 file changed, 17 insertions(+), 2 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -970,8 +970,23 @@ static int unicam_g_fmt_vid_cap(struct f
+       if (!fmt)
+               return -EINVAL;
+-      node->fmt = fmt;
+-      node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
++      if (node->fmt != fmt) {
++              /*
++               * The sensor format has changed so the pixelformat needs to
++               * be updated. Try and retain the packed/unpacked choice if
++               * at all possible.
++               */
++              if (node->fmt->repacked_fourcc ==
++                                              node->v_fmt.fmt.pix.pixelformat)
++                      /* Using the repacked format */
++                      node->v_fmt.fmt.pix.pixelformat = fmt->repacked_fourcc;
++              else
++                      /* Using the native format */
++                      node->v_fmt.fmt.pix.pixelformat = fmt->fourcc;
++
++              node->fmt = fmt;
++      }
++
+       *f = node->v_fmt;
+       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0812-media-bcm2835-unicam-change-minimum-number-of-vb2_qu.patch b/target/linux/bcm27xx/patches-5.4/950-0812-media-bcm2835-unicam-change-minimum-number-of-vb2_qu.patch
new file mode 100644 (file)
index 0000000..770b00b
--- /dev/null
@@ -0,0 +1,28 @@
+From 4f745137c92902f4f541ebe5e458dd2c4e89a42d Mon Sep 17 00:00:00 2001
+From: David Plowman <david.plowman@raspberrypi.com>
+Date: Thu, 28 May 2020 11:09:48 +0100
+Subject: [PATCH] media: bcm2835-unicam: change minimum number of
+ vb2_queue buffers to 1
+
+Since the unicam driver was modified to write to a dummy buffer when no
+user-supplied buffer is available, it can now write to and return a
+buffer even when there's only a single one. Enable this by changing the
+min_buffers_needed in the vb2_queue; it will be useful for enabling
+still captures without allocating more memory than absolutely necessary.
+
+Signed-off-by: David Plowman <david.plowman@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -2387,7 +2387,7 @@ static int register_node(struct unicam_d
+       q->buf_struct_size = sizeof(struct unicam_buffer);
+       q->timestamp_flags = V4L2_BUF_FLAG_TIMESTAMP_MONOTONIC;
+       q->lock = &node->lock;
+-      q->min_buffers_needed = 2;
++      q->min_buffers_needed = 1;
+       q->dev = &unicam->pdev->dev;
+       ret = vb2_queue_init(q);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0813-dt-dtoverlays-Fix-up-base-DT-and-overlays-for-update.patch b/target/linux/bcm27xx/patches-5.4/950-0813-dt-dtoverlays-Fix-up-base-DT-and-overlays-for-update.patch
new file mode 100644 (file)
index 0000000..edfca1e
--- /dev/null
@@ -0,0 +1,144 @@
+From 7a1905f969cfa2e303f5e74efee56dbd0523e5bb Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 23 Jun 2020 15:41:42 +0100
+Subject: [PATCH] dt/dtoverlays: Fix up base DT and overlays for
+ updated Unicam driver
+
+The upstreamed Unicam driver uses a dt property to denote how many
+lanes are supported by the receiver peripheral, independent of
+the number of lanes that the sensor wants to use. It also doesn't
+check the remote endpoint config for the number of lanes as that
+isn't the accepted way of doing things.
+
+Update the base DT for the brcm,num-data-lanes property, and the
+overlays to define the desired number of lanes at both ends of
+the link.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-cm4.dts           |  3 ++-
+ arch/arm/boot/dts/bcm283x-rpi-csi0-2lane.dtsi   |  6 +-----
+ arch/arm/boot/dts/bcm283x-rpi-csi1-2lane.dtsi   |  6 +-----
+ arch/arm/boot/dts/bcm283x-rpi-csi1-4lane.dtsi   |  6 +-----
+ arch/arm/boot/dts/overlays/adv7282m-overlay.dts |  1 +
+ arch/arm/boot/dts/overlays/imx477-overlay.dts   |  1 +
+ arch/arm/boot/dts/overlays/irs1125-overlay.dts  |  2 ++
+ arch/arm/boot/dts/overlays/ov5647-overlay.dts   |  1 +
+ arch/arm/boot/dts/overlays/tc358743-overlay.dts | 16 +++++++++++++++-
+ 9 files changed, 25 insertions(+), 17 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
+@@ -203,7 +203,8 @@
+ };
+ #include "bcm2711-rpi.dtsi"
+-#include "bcm283x-rpi-csi1-2lane.dtsi"
++#include "bcm283x-rpi-csi0-2lane.dtsi"
++#include "bcm283x-rpi-csi1-4lane.dtsi"
+ #include "bcm283x-rpi-i2c0mux_0_44.dtsi"
+ /delete-node/ &emmc2;
+--- a/arch/arm/boot/dts/bcm283x-rpi-csi0-2lane.dtsi
++++ b/arch/arm/boot/dts/bcm283x-rpi-csi0-2lane.dtsi
+@@ -1,8 +1,4 @@
+ // SPDX-License-Identifier: GPL-2.0-only
+ &csi0 {
+-      port {
+-              endpoint {
+-                      data-lanes = <1 2>;
+-              };
+-      };
++      brcm,num-data-lanes = <2>;
+ };
+--- a/arch/arm/boot/dts/bcm283x-rpi-csi1-2lane.dtsi
++++ b/arch/arm/boot/dts/bcm283x-rpi-csi1-2lane.dtsi
+@@ -1,8 +1,4 @@
+ // SPDX-License-Identifier: GPL-2.0-only
+ &csi1 {
+-      port {
+-              endpoint {
+-                      data-lanes = <1 2>;
+-              };
+-      };
++      brcm,num-data-lanes = <2>;
+ };
+--- a/arch/arm/boot/dts/bcm283x-rpi-csi1-4lane.dtsi
++++ b/arch/arm/boot/dts/bcm283x-rpi-csi1-4lane.dtsi
+@@ -1,8 +1,4 @@
+ // SPDX-License-Identifier: GPL-2.0-only
+ &csi1 {
+-      port {
+-              endpoint {
+-                      data-lanes = <1 2 3 4>;
+-              };
+-      };
++      brcm,num-data-lanes = <4>;
+ };
+--- a/arch/arm/boot/dts/overlays/adv7282m-overlay.dts
++++ b/arch/arm/boot/dts/overlays/adv7282m-overlay.dts
+@@ -40,6 +40,7 @@
+                       port {
+                               csi1_ep: endpoint {
+                                       remote-endpoint = <&adv728x_0>;
++                                      data-lanes = <1>;
+                               };
+                       };
+               };
+--- a/arch/arm/boot/dts/overlays/imx477-overlay.dts
++++ b/arch/arm/boot/dts/overlays/imx477-overlay.dts
+@@ -49,6 +49,7 @@
+                       port {
+                               csi1_ep: endpoint {
+                                       remote-endpoint = <&imx477_0>;
++                                      data-lanes = <1 2>;
+                               };
+                       };
+               };
+--- a/arch/arm/boot/dts/overlays/irs1125-overlay.dts
++++ b/arch/arm/boot/dts/overlays/irs1125-overlay.dts
+@@ -43,6 +43,8 @@
+                       port {
+                               csi1_ep: endpoint {
+                                       remote-endpoint = <&irs1125_0>;
++                                      data-lanes = <1 2>;
++                                      clock-noncontinuous;
+                               };
+                       };
+               };
+--- a/arch/arm/boot/dts/overlays/ov5647-overlay.dts
++++ b/arch/arm/boot/dts/overlays/ov5647-overlay.dts
+@@ -43,6 +43,7 @@
+                       port {
+                               csi1_ep: endpoint {
+                                       remote-endpoint = <&ov5647_0>;
++                                      data-lanes = <1 2>;
+                               };
+                       };
+               };
+--- a/arch/arm/boot/dts/overlays/tc358743-overlay.dts
++++ b/arch/arm/boot/dts/overlays/tc358743-overlay.dts
+@@ -86,8 +86,22 @@
+               };
+       };
++      fragment@7 {
++              target = <&csi1_ep>;
++              __overlay__ {
++                      data-lanes = <1 2>;
++              };
++      };
++
++      fragment@8 {
++              target = <&csi1_ep>;
++              __dormant__ {
++                      data-lanes = <1 2 3 4>;
++              };
++      };
++
+       __overrides__ {
+-              4lane = <0>, "-2+3";
++              4lane = <0>, "-2+3-7+8";
+               link-frequency = <&tc358743>,"link-frequencies#0";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0814-media-bcm2835-unicam-Avoid-gcc-warning-over-0-on-end.patch b/target/linux/bcm27xx/patches-5.4/950-0814-media-bcm2835-unicam-Avoid-gcc-warning-over-0-on-end.patch
new file mode 100644 (file)
index 0000000..f70dba7
--- /dev/null
@@ -0,0 +1,27 @@
+From 3f8d0137a1fc1cb0333cb99624213fe4622a92b6 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 26 Jun 2020 15:53:44 +0100
+Subject: [PATCH] media: bcm2835-unicam: Avoid gcc warning over {0}
+ on endpoint
+
+Older gcc versions object to = { 0 } initialisation if the first
+elemtn in the structure is a substructure.
+
+Use = { } to avoid this compiler warning.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -2578,7 +2578,7 @@ static const struct v4l2_async_notifier_
+ static int of_unicam_connect_subdevs(struct unicam_device *dev)
+ {
+       struct platform_device *pdev = dev->pdev;
+-      struct v4l2_fwnode_endpoint ep = { 0 };
++      struct v4l2_fwnode_endpoint ep = { };
+       struct device_node *ep_node;
+       struct device_node *sensor_node;
+       unsigned int lane;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0815-media-dt-bindings-media-i2c-Add-IMX290-CMOS-sensor-b.patch b/target/linux/bcm27xx/patches-5.4/950-0815-media-dt-bindings-media-i2c-Add-IMX290-CMOS-sensor-b.patch
new file mode 100644 (file)
index 0000000..120ad34
--- /dev/null
@@ -0,0 +1,98 @@
+From 6bbb873c79b9bb0b3ca95fa4a4fe6cfd0ebe9d2c Mon Sep 17 00:00:00 2001
+From: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Date: Fri, 4 Oct 2019 13:05:24 -0300
+Subject: [PATCH] media: dt-bindings: media: i2c: Add IMX290 CMOS
+ sensor binding
+
+Commit 8a97a4676f8b1badcd9cfbed2b081342847bb1b1 upstream.
+
+Add devicetree binding for IMX290 CMOS image sensor. Let's also
+add MAINTAINERS entry for the binding and driver.
+
+Signed-off-by: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Reviewed-by: Rob Herring <robh@kernel.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ .../devicetree/bindings/media/i2c/imx290.txt  | 57 +++++++++++++++++++
+ MAINTAINERS                                   |  8 +++
+ 2 files changed, 65 insertions(+)
+ create mode 100644 Documentation/devicetree/bindings/media/i2c/imx290.txt
+
+--- /dev/null
++++ b/Documentation/devicetree/bindings/media/i2c/imx290.txt
+@@ -0,0 +1,57 @@
++* Sony IMX290 1/2.8-Inch CMOS Image Sensor
++
++The Sony IMX290 is a 1/2.8-Inch CMOS Solid-state image sensor with
++Square Pixel for Color Cameras. It is programmable through I2C and 4-wire
++interfaces. The sensor output is available via CMOS logic parallel SDR output,
++Low voltage LVDS DDR output and CSI-2 serial data output. The CSI-2 bus is the
++default. No bindings have been defined for the other busses.
++
++Required Properties:
++- compatible: Should be "sony,imx290"
++- reg: I2C bus address of the device
++- clocks: Reference to the xclk clock.
++- clock-names: Should be "xclk".
++- clock-frequency: Frequency of the xclk clock in Hz.
++- vdddo-supply: Sensor digital IO regulator.
++- vdda-supply: Sensor analog regulator.
++- vddd-supply: Sensor digital core regulator.
++
++Optional Properties:
++- reset-gpios: Sensor reset GPIO
++
++The imx290 device node should contain one 'port' child node with
++an 'endpoint' subnode. For further reading on port node refer to
++Documentation/devicetree/bindings/media/video-interfaces.txt.
++
++Required Properties on endpoint:
++- data-lanes: check ../video-interfaces.txt
++- link-frequencies: check ../video-interfaces.txt
++- remote-endpoint: check ../video-interfaces.txt
++
++Example:
++      &i2c1 {
++              ...
++              imx290: camera-sensor@1a {
++                      compatible = "sony,imx290";
++                      reg = <0x1a>;
++
++                      reset-gpios = <&msmgpio 35 GPIO_ACTIVE_LOW>;
++                      pinctrl-names = "default";
++                      pinctrl-0 = <&camera_rear_default>;
++
++                      clocks = <&gcc GCC_CAMSS_MCLK0_CLK>;
++                      clock-names = "xclk";
++                      clock-frequency = <37125000>;
++
++                      vdddo-supply = <&camera_vdddo_1v8>;
++                      vdda-supply = <&camera_vdda_2v8>;
++                      vddd-supply = <&camera_vddd_1v5>;
++
++                      port {
++                              imx290_ep: endpoint {
++                                      data-lanes = <1 2 3 4>;
++                                      link-frequencies = /bits/ 64 <445500000>;
++                                      remote-endpoint = <&csiphy0_ep>;
++                              };
++                      };
++              };
+--- a/MAINTAINERS
++++ b/MAINTAINERS
+@@ -15200,6 +15200,14 @@ S:    Maintained
+ F:    drivers/media/i2c/imx274.c
+ F:    Documentation/devicetree/bindings/media/i2c/imx274.txt
++SONY IMX290 SENSOR DRIVER
++M:    Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
++L:    linux-media@vger.kernel.org
++T:    git git://linuxtv.org/media_tree.git
++S:    Maintained
++F:    drivers/media/i2c/imx290.c
++F:    Documentation/devicetree/bindings/media/i2c/imx290.txt
++
+ SONY IMX319 SENSOR DRIVER
+ M:    Bingbu Cao <bingbu.cao@intel.com>
+ L:    linux-media@vger.kernel.org
diff --git a/target/linux/bcm27xx/patches-5.4/950-0816-media-i2c-Add-IMX290-CMOS-image-sensor-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0816-media-i2c-Add-IMX290-CMOS-image-sensor-driver.patch
new file mode 100644 (file)
index 0000000..a44aa76
--- /dev/null
@@ -0,0 +1,939 @@
+From d13c94482be9ca356df8a04f8fd5f3738dc31ab1 Mon Sep 17 00:00:00 2001
+From: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Date: Fri, 4 Oct 2019 13:05:25 -0300
+Subject: [PATCH] media: i2c: Add IMX290 CMOS image sensor driver
+
+Commit 828dbc299278065b634e913d2700d254a3224853 upstream.
+
+Add driver for Sony IMX290 CMOS image sensor driver. The driver only
+supports I2C interface for programming and MIPI CSI-2 for sensor output.
+
+[Sakari Ailus: Rewrapped a few lines over 80 chars a little.]
+
+Signed-off-by: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ drivers/media/i2c/Kconfig  |  11 +
+ drivers/media/i2c/Makefile |   1 +
+ drivers/media/i2c/imx290.c | 884 +++++++++++++++++++++++++++++++++++++
+ 3 files changed, 896 insertions(+)
+ create mode 100644 drivers/media/i2c/imx290.c
+
+--- a/drivers/media/i2c/Kconfig
++++ b/drivers/media/i2c/Kconfig
+@@ -609,6 +609,17 @@ config VIDEO_IMX274
+         This is a V4L2 sensor driver for the Sony IMX274
+         CMOS image sensor.
++config VIDEO_IMX290
++      tristate "Sony IMX290 sensor support"
++      depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
++      select V4L2_FWNODE
++      help
++        This is a Video4Linux2 sensor driver for the Sony
++        IMX290 camera sensor.
++
++        To compile this driver as a module, choose M here: the
++        module will be called imx290.
++
+ config VIDEO_IMX477
+       tristate "Sony IMX477 sensor support"
+       depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
+--- a/drivers/media/i2c/Makefile
++++ b/drivers/media/i2c/Makefile
+@@ -114,6 +114,7 @@ obj-$(CONFIG_VIDEO_IMX214) += imx214.o
+ obj-$(CONFIG_VIDEO_IMX219)    += imx219.o
+ obj-$(CONFIG_VIDEO_IMX258)    += imx258.o
+ obj-$(CONFIG_VIDEO_IMX274)    += imx274.o
++obj-$(CONFIG_VIDEO_IMX290)    += imx290.o
+ obj-$(CONFIG_VIDEO_IMX477)    += imx477.o
+ obj-$(CONFIG_VIDEO_IMX319)    += imx319.o
+ obj-$(CONFIG_VIDEO_IMX355)    += imx355.o
+--- /dev/null
++++ b/drivers/media/i2c/imx290.c
+@@ -0,0 +1,884 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * Sony IMX290 CMOS Image Sensor Driver
++ *
++ * Copyright (C) 2019 FRAMOS GmbH.
++ *
++ * Copyright (C) 2019 Linaro Ltd.
++ * Author: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
++ */
++
++#include <linux/clk.h>
++#include <linux/delay.h>
++#include <linux/gpio/consumer.h>
++#include <linux/i2c.h>
++#include <linux/module.h>
++#include <linux/pm_runtime.h>
++#include <linux/regmap.h>
++#include <linux/regulator/consumer.h>
++#include <media/media-entity.h>
++#include <media/v4l2-ctrls.h>
++#include <media/v4l2-device.h>
++#include <media/v4l2-fwnode.h>
++#include <media/v4l2-subdev.h>
++
++#define IMX290_STANDBY 0x3000
++#define IMX290_REGHOLD 0x3001
++#define IMX290_XMSTA 0x3002
++#define IMX290_GAIN 0x3014
++
++#define IMX290_DEFAULT_LINK_FREQ 445500000
++
++static const char * const imx290_supply_name[] = {
++      "vdda",
++      "vddd",
++      "vdddo",
++};
++
++#define IMX290_NUM_SUPPLIES ARRAY_SIZE(imx290_supply_name)
++
++struct imx290_regval {
++      u16 reg;
++      u8 val;
++};
++
++struct imx290_mode {
++      u32 width;
++      u32 height;
++      u32 pixel_rate;
++      u32 link_freq_index;
++
++      const struct imx290_regval *data;
++      u32 data_size;
++};
++
++struct imx290 {
++      struct device *dev;
++      struct clk *xclk;
++      struct regmap *regmap;
++
++      struct v4l2_subdev sd;
++      struct v4l2_fwnode_endpoint ep;
++      struct media_pad pad;
++      struct v4l2_mbus_framefmt current_format;
++      const struct imx290_mode *current_mode;
++
++      struct regulator_bulk_data supplies[IMX290_NUM_SUPPLIES];
++      struct gpio_desc *rst_gpio;
++
++      struct v4l2_ctrl_handler ctrls;
++      struct v4l2_ctrl *link_freq;
++      struct v4l2_ctrl *pixel_rate;
++
++      struct mutex lock;
++};
++
++struct imx290_pixfmt {
++      u32 code;
++};
++
++static const struct imx290_pixfmt imx290_formats[] = {
++      { MEDIA_BUS_FMT_SRGGB10_1X10 },
++};
++
++static const struct regmap_config imx290_regmap_config = {
++      .reg_bits = 16,
++      .val_bits = 8,
++      .cache_type = REGCACHE_RBTREE,
++};
++
++static const struct imx290_regval imx290_global_init_settings[] = {
++      { 0x3007, 0x00 },
++      { 0x3009, 0x00 },
++      { 0x3018, 0x65 },
++      { 0x3019, 0x04 },
++      { 0x301a, 0x00 },
++      { 0x3443, 0x03 },
++      { 0x3444, 0x20 },
++      { 0x3445, 0x25 },
++      { 0x3407, 0x03 },
++      { 0x303a, 0x0c },
++      { 0x3040, 0x00 },
++      { 0x3041, 0x00 },
++      { 0x303c, 0x00 },
++      { 0x303d, 0x00 },
++      { 0x3042, 0x9c },
++      { 0x3043, 0x07 },
++      { 0x303e, 0x49 },
++      { 0x303f, 0x04 },
++      { 0x304b, 0x0a },
++      { 0x300f, 0x00 },
++      { 0x3010, 0x21 },
++      { 0x3012, 0x64 },
++      { 0x3016, 0x09 },
++      { 0x3070, 0x02 },
++      { 0x3071, 0x11 },
++      { 0x309b, 0x10 },
++      { 0x309c, 0x22 },
++      { 0x30a2, 0x02 },
++      { 0x30a6, 0x20 },
++      { 0x30a8, 0x20 },
++      { 0x30aa, 0x20 },
++      { 0x30ac, 0x20 },
++      { 0x30b0, 0x43 },
++      { 0x3119, 0x9e },
++      { 0x311c, 0x1e },
++      { 0x311e, 0x08 },
++      { 0x3128, 0x05 },
++      { 0x313d, 0x83 },
++      { 0x3150, 0x03 },
++      { 0x317e, 0x00 },
++      { 0x32b8, 0x50 },
++      { 0x32b9, 0x10 },
++      { 0x32ba, 0x00 },
++      { 0x32bb, 0x04 },
++      { 0x32c8, 0x50 },
++      { 0x32c9, 0x10 },
++      { 0x32ca, 0x00 },
++      { 0x32cb, 0x04 },
++      { 0x332c, 0xd3 },
++      { 0x332d, 0x10 },
++      { 0x332e, 0x0d },
++      { 0x3358, 0x06 },
++      { 0x3359, 0xe1 },
++      { 0x335a, 0x11 },
++      { 0x3360, 0x1e },
++      { 0x3361, 0x61 },
++      { 0x3362, 0x10 },
++      { 0x33b0, 0x50 },
++      { 0x33b2, 0x1a },
++      { 0x33b3, 0x04 },
++};
++
++static const struct imx290_regval imx290_1080p_settings[] = {
++      /* mode settings */
++      { 0x3007, 0x00 },
++      { 0x303a, 0x0c },
++      { 0x3414, 0x0a },
++      { 0x3472, 0x80 },
++      { 0x3473, 0x07 },
++      { 0x3418, 0x38 },
++      { 0x3419, 0x04 },
++      { 0x3012, 0x64 },
++      { 0x3013, 0x00 },
++      { 0x305c, 0x18 },
++      { 0x305d, 0x03 },
++      { 0x305e, 0x20 },
++      { 0x305f, 0x01 },
++      { 0x315e, 0x1a },
++      { 0x3164, 0x1a },
++      { 0x3480, 0x49 },
++      /* data rate settings */
++      { 0x3009, 0x01 },
++      { 0x3405, 0x10 },
++      { 0x3446, 0x57 },
++      { 0x3447, 0x00 },
++      { 0x3448, 0x37 },
++      { 0x3449, 0x00 },
++      { 0x344a, 0x1f },
++      { 0x344b, 0x00 },
++      { 0x344c, 0x1f },
++      { 0x344d, 0x00 },
++      { 0x344e, 0x1f },
++      { 0x344f, 0x00 },
++      { 0x3450, 0x77 },
++      { 0x3451, 0x00 },
++      { 0x3452, 0x1f },
++      { 0x3453, 0x00 },
++      { 0x3454, 0x17 },
++      { 0x3455, 0x00 },
++      { 0x301c, 0x98 },
++      { 0x301d, 0x08 },
++};
++
++static const struct imx290_regval imx290_720p_settings[] = {
++      /* mode settings */
++      { 0x3007, 0x10 },
++      { 0x303a, 0x06 },
++      { 0x3414, 0x04 },
++      { 0x3472, 0x00 },
++      { 0x3473, 0x05 },
++      { 0x3418, 0xd0 },
++      { 0x3419, 0x02 },
++      { 0x3012, 0x64 },
++      { 0x3013, 0x00 },
++      { 0x305c, 0x20 },
++      { 0x305d, 0x00 },
++      { 0x305e, 0x20 },
++      { 0x305f, 0x01 },
++      { 0x315e, 0x1a },
++      { 0x3164, 0x1a },
++      { 0x3480, 0x49 },
++      /* data rate settings */
++      { 0x3009, 0x01 },
++      { 0x3405, 0x10 },
++      { 0x3446, 0x4f },
++      { 0x3447, 0x00 },
++      { 0x3448, 0x2f },
++      { 0x3449, 0x00 },
++      { 0x344a, 0x17 },
++      { 0x344b, 0x00 },
++      { 0x344c, 0x17 },
++      { 0x344d, 0x00 },
++      { 0x344e, 0x17 },
++      { 0x344f, 0x00 },
++      { 0x3450, 0x57 },
++      { 0x3451, 0x00 },
++      { 0x3452, 0x17 },
++      { 0x3453, 0x00 },
++      { 0x3454, 0x17 },
++      { 0x3455, 0x00 },
++      { 0x301c, 0xe4 },
++      { 0x301d, 0x0c },
++};
++
++static const struct imx290_regval imx290_10bit_settings[] = {
++      { 0x3005, 0x00},
++      { 0x3046, 0x00},
++      { 0x3129, 0x1d},
++      { 0x317c, 0x12},
++      { 0x31ec, 0x37},
++      { 0x3441, 0x0a},
++      { 0x3442, 0x0a},
++      { 0x300a, 0x3c},
++      { 0x300b, 0x00},
++};
++
++/* supported link frequencies */
++static const s64 imx290_link_freq[] = {
++      IMX290_DEFAULT_LINK_FREQ,
++};
++
++/* Mode configs */
++static const struct imx290_mode imx290_modes[] = {
++      {
++              .width = 1920,
++              .height = 1080,
++              .data = imx290_1080p_settings,
++              .data_size = ARRAY_SIZE(imx290_1080p_settings),
++              .pixel_rate = 178200000,
++              .link_freq_index = 0,
++      },
++      {
++              .width = 1280,
++              .height = 720,
++              .data = imx290_720p_settings,
++              .data_size = ARRAY_SIZE(imx290_720p_settings),
++              .pixel_rate = 178200000,
++              .link_freq_index = 0,
++      },
++};
++
++static inline struct imx290 *to_imx290(struct v4l2_subdev *_sd)
++{
++      return container_of(_sd, struct imx290, sd);
++}
++
++static inline int imx290_read_reg(struct imx290 *imx290, u16 addr, u8 *value)
++{
++      unsigned int regval;
++      int ret;
++
++      ret = regmap_read(imx290->regmap, addr, &regval);
++      if (ret) {
++              dev_err(imx290->dev, "I2C read failed for addr: %x\n", addr);
++              return ret;
++      }
++
++      *value = regval & 0xff;
++
++      return 0;
++}
++
++static int imx290_write_reg(struct imx290 *imx290, u16 addr, u8 value)
++{
++      int ret;
++
++      ret = regmap_write(imx290->regmap, addr, value);
++      if (ret) {
++              dev_err(imx290->dev, "I2C write failed for addr: %x\n", addr);
++              return ret;
++      }
++
++      return ret;
++}
++
++static int imx290_set_register_array(struct imx290 *imx290,
++                                   const struct imx290_regval *settings,
++                                   unsigned int num_settings)
++{
++      unsigned int i;
++      int ret;
++
++      for (i = 0; i < num_settings; ++i, ++settings) {
++              ret = imx290_write_reg(imx290, settings->reg, settings->val);
++              if (ret < 0)
++                      return ret;
++
++              /* Settle time is 10ms for all registers */
++              msleep(10);
++      }
++
++      return 0;
++}
++
++static int imx290_write_buffered_reg(struct imx290 *imx290, u16 address_low,
++                                   u8 nr_regs, u32 value)
++{
++      unsigned int i;
++      int ret;
++
++      ret = imx290_write_reg(imx290, IMX290_REGHOLD, 0x01);
++      if (ret) {
++              dev_err(imx290->dev, "Error setting hold register\n");
++              return ret;
++      }
++
++      for (i = 0; i < nr_regs; i++) {
++              ret = imx290_write_reg(imx290, address_low + i,
++                                     (u8)(value >> (i * 8)));
++              if (ret) {
++                      dev_err(imx290->dev, "Error writing buffered registers\n");
++                      return ret;
++              }
++      }
++
++      ret = imx290_write_reg(imx290, IMX290_REGHOLD, 0x00);
++      if (ret) {
++              dev_err(imx290->dev, "Error setting hold register\n");
++              return ret;
++      }
++
++      return ret;
++}
++
++static int imx290_set_gain(struct imx290 *imx290, u32 value)
++{
++      int ret;
++
++      ret = imx290_write_buffered_reg(imx290, IMX290_GAIN, 1, value);
++      if (ret)
++              dev_err(imx290->dev, "Unable to write gain\n");
++
++      return ret;
++}
++
++/* Stop streaming */
++static int imx290_stop_streaming(struct imx290 *imx290)
++{
++      int ret;
++
++      ret = imx290_write_reg(imx290, IMX290_STANDBY, 0x01);
++      if (ret < 0)
++              return ret;
++
++      msleep(30);
++
++      return imx290_write_reg(imx290, IMX290_XMSTA, 0x01);
++}
++
++static int imx290_set_ctrl(struct v4l2_ctrl *ctrl)
++{
++      struct imx290 *imx290 = container_of(ctrl->handler,
++                                           struct imx290, ctrls);
++      int ret = 0;
++
++      /* V4L2 controls values will be applied only when power is already up */
++      if (!pm_runtime_get_if_in_use(imx290->dev))
++              return 0;
++
++      switch (ctrl->id) {
++      case V4L2_CID_GAIN:
++              ret = imx290_set_gain(imx290, ctrl->val);
++              break;
++      default:
++              ret = -EINVAL;
++              break;
++      }
++
++      pm_runtime_put(imx290->dev);
++
++      return ret;
++}
++
++static const struct v4l2_ctrl_ops imx290_ctrl_ops = {
++      .s_ctrl = imx290_set_ctrl,
++};
++
++static int imx290_enum_mbus_code(struct v4l2_subdev *sd,
++                               struct v4l2_subdev_pad_config *cfg,
++                               struct v4l2_subdev_mbus_code_enum *code)
++{
++      if (code->index >= ARRAY_SIZE(imx290_formats))
++              return -EINVAL;
++
++      code->code = imx290_formats[code->index].code;
++
++      return 0;
++}
++
++static int imx290_get_fmt(struct v4l2_subdev *sd,
++                        struct v4l2_subdev_pad_config *cfg,
++                        struct v4l2_subdev_format *fmt)
++{
++      struct imx290 *imx290 = to_imx290(sd);
++      struct v4l2_mbus_framefmt *framefmt;
++
++      mutex_lock(&imx290->lock);
++
++      if (fmt->which == V4L2_SUBDEV_FORMAT_TRY)
++              framefmt = v4l2_subdev_get_try_format(&imx290->sd, cfg,
++                                                    fmt->pad);
++      else
++              framefmt = &imx290->current_format;
++
++      fmt->format = *framefmt;
++
++      mutex_unlock(&imx290->lock);
++
++      return 0;
++}
++
++static int imx290_set_fmt(struct v4l2_subdev *sd,
++                        struct v4l2_subdev_pad_config *cfg,
++                    struct v4l2_subdev_format *fmt)
++{
++      struct imx290 *imx290 = to_imx290(sd);
++      const struct imx290_mode *mode;
++      struct v4l2_mbus_framefmt *format;
++      unsigned int i;
++
++      mutex_lock(&imx290->lock);
++
++      mode = v4l2_find_nearest_size(imx290_modes,
++                                    ARRAY_SIZE(imx290_modes),
++                                    width, height,
++                                    fmt->format.width, fmt->format.height);
++
++      fmt->format.width = mode->width;
++      fmt->format.height = mode->height;
++
++      for (i = 0; i < ARRAY_SIZE(imx290_formats); i++)
++              if (imx290_formats[i].code == fmt->format.code)
++                      break;
++
++      if (i >= ARRAY_SIZE(imx290_formats))
++              i = 0;
++
++      fmt->format.code = imx290_formats[i].code;
++      fmt->format.field = V4L2_FIELD_NONE;
++
++      if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
++              format = v4l2_subdev_get_try_format(sd, cfg, fmt->pad);
++      } else {
++              format = &imx290->current_format;
++              __v4l2_ctrl_s_ctrl(imx290->link_freq, mode->link_freq_index);
++              __v4l2_ctrl_s_ctrl_int64(imx290->pixel_rate, mode->pixel_rate);
++
++              imx290->current_mode = mode;
++      }
++
++      *format = fmt->format;
++
++      mutex_unlock(&imx290->lock);
++
++      return 0;
++}
++
++static int imx290_entity_init_cfg(struct v4l2_subdev *subdev,
++                                struct v4l2_subdev_pad_config *cfg)
++{
++      struct v4l2_subdev_format fmt = { 0 };
++
++      fmt.which = cfg ? V4L2_SUBDEV_FORMAT_TRY : V4L2_SUBDEV_FORMAT_ACTIVE;
++      fmt.format.width = 1920;
++      fmt.format.height = 1080;
++
++      imx290_set_fmt(subdev, cfg, &fmt);
++
++      return 0;
++}
++
++static int imx290_write_current_format(struct imx290 *imx290,
++                                     struct v4l2_mbus_framefmt *format)
++{
++      int ret;
++
++      switch (format->code) {
++      case MEDIA_BUS_FMT_SRGGB10_1X10:
++              ret = imx290_set_register_array(imx290, imx290_10bit_settings,
++                                              ARRAY_SIZE(
++                                                      imx290_10bit_settings));
++              if (ret < 0) {
++                      dev_err(imx290->dev, "Could not set format registers\n");
++                      return ret;
++              }
++              break;
++      default:
++              dev_err(imx290->dev, "Unknown pixel format\n");
++              return -EINVAL;
++      }
++
++      return 0;
++}
++
++/* Start streaming */
++static int imx290_start_streaming(struct imx290 *imx290)
++{
++      int ret;
++
++      /* Set init register settings */
++      ret = imx290_set_register_array(imx290, imx290_global_init_settings,
++                                      ARRAY_SIZE(
++                                              imx290_global_init_settings));
++      if (ret < 0) {
++              dev_err(imx290->dev, "Could not set init registers\n");
++              return ret;
++      }
++
++      /* Set current frame format */
++      ret = imx290_write_current_format(imx290, &imx290->current_format);
++      if (ret < 0) {
++              dev_err(imx290->dev, "Could not set frame format\n");
++              return ret;
++      }
++
++      /* Apply default values of current mode */
++      ret = imx290_set_register_array(imx290, imx290->current_mode->data,
++                                      imx290->current_mode->data_size);
++      if (ret < 0) {
++              dev_err(imx290->dev, "Could not set current mode\n");
++              return ret;
++      }
++
++      /* Apply customized values from user */
++      ret = v4l2_ctrl_handler_setup(imx290->sd.ctrl_handler);
++      if (ret) {
++              dev_err(imx290->dev, "Could not sync v4l2 controls\n");
++              return ret;
++      }
++
++      ret = imx290_write_reg(imx290, IMX290_STANDBY, 0x00);
++      if (ret < 0)
++              return ret;
++
++      msleep(30);
++
++      /* Start streaming */
++      return imx290_write_reg(imx290, IMX290_XMSTA, 0x00);
++}
++
++static int imx290_set_stream(struct v4l2_subdev *sd, int enable)
++{
++      struct imx290 *imx290 = to_imx290(sd);
++      int ret = 0;
++
++      if (enable) {
++              ret = pm_runtime_get_sync(imx290->dev);
++              if (ret < 0) {
++                      pm_runtime_put_noidle(imx290->dev);
++                      goto unlock_and_return;
++              }
++
++              ret = imx290_start_streaming(imx290);
++              if (ret) {
++                      dev_err(imx290->dev, "Start stream failed\n");
++                      pm_runtime_put(imx290->dev);
++                      goto unlock_and_return;
++              }
++      } else {
++              imx290_stop_streaming(imx290);
++              pm_runtime_put(imx290->dev);
++      }
++
++unlock_and_return:
++
++      return ret;
++}
++
++static int imx290_get_regulators(struct device *dev, struct imx290 *imx290)
++{
++      unsigned int i;
++
++      for (i = 0; i < IMX290_NUM_SUPPLIES; i++)
++              imx290->supplies[i].supply = imx290_supply_name[i];
++
++      return devm_regulator_bulk_get(dev, IMX290_NUM_SUPPLIES,
++                                     imx290->supplies);
++}
++
++static int imx290_power_on(struct device *dev)
++{
++      struct i2c_client *client = to_i2c_client(dev);
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct imx290 *imx290 = to_imx290(sd);
++      int ret;
++
++      ret = clk_prepare_enable(imx290->xclk);
++      if (ret) {
++              dev_err(imx290->dev, "Failed to enable clock\n");
++              return ret;
++      }
++
++      ret = regulator_bulk_enable(IMX290_NUM_SUPPLIES, imx290->supplies);
++      if (ret) {
++              dev_err(imx290->dev, "Failed to enable regulators\n");
++              clk_disable_unprepare(imx290->xclk);
++              return ret;
++      }
++
++      usleep_range(1, 2);
++      gpiod_set_value_cansleep(imx290->rst_gpio, 1);
++      usleep_range(30000, 31000);
++
++      return 0;
++}
++
++static int imx290_power_off(struct device *dev)
++{
++      struct i2c_client *client = to_i2c_client(dev);
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct imx290 *imx290 = to_imx290(sd);
++
++      clk_disable_unprepare(imx290->xclk);
++      gpiod_set_value_cansleep(imx290->rst_gpio, 0);
++      regulator_bulk_disable(IMX290_NUM_SUPPLIES, imx290->supplies);
++
++      return 0;
++}
++
++static const struct dev_pm_ops imx290_pm_ops = {
++      SET_RUNTIME_PM_OPS(imx290_power_on, imx290_power_off, NULL)
++};
++
++static const struct v4l2_subdev_video_ops imx290_video_ops = {
++      .s_stream = imx290_set_stream,
++};
++
++static const struct v4l2_subdev_pad_ops imx290_pad_ops = {
++      .init_cfg = imx290_entity_init_cfg,
++      .enum_mbus_code = imx290_enum_mbus_code,
++      .get_fmt = imx290_get_fmt,
++      .set_fmt = imx290_set_fmt,
++};
++
++static const struct v4l2_subdev_ops imx290_subdev_ops = {
++      .video = &imx290_video_ops,
++      .pad = &imx290_pad_ops,
++};
++
++static const struct media_entity_operations imx290_subdev_entity_ops = {
++      .link_validate = v4l2_subdev_link_validate,
++};
++
++static int imx290_probe(struct i2c_client *client)
++{
++      struct device *dev = &client->dev;
++      struct fwnode_handle *endpoint;
++      struct imx290 *imx290;
++      u32 xclk_freq;
++      int ret;
++
++      imx290 = devm_kzalloc(dev, sizeof(*imx290), GFP_KERNEL);
++      if (!imx290)
++              return -ENOMEM;
++
++      imx290->dev = dev;
++      imx290->regmap = devm_regmap_init_i2c(client, &imx290_regmap_config);
++      if (IS_ERR(imx290->regmap)) {
++              dev_err(dev, "Unable to initialize I2C\n");
++              return -ENODEV;
++      }
++
++      endpoint = fwnode_graph_get_next_endpoint(dev_fwnode(dev), NULL);
++      if (!endpoint) {
++              dev_err(dev, "Endpoint node not found\n");
++              return -EINVAL;
++      }
++
++      ret = v4l2_fwnode_endpoint_alloc_parse(endpoint, &imx290->ep);
++      fwnode_handle_put(endpoint);
++      if (ret) {
++              dev_err(dev, "Parsing endpoint node failed\n");
++              goto free_err;
++      }
++
++      if (!imx290->ep.nr_of_link_frequencies) {
++              dev_err(dev, "link-frequency property not found in DT\n");
++              ret = -EINVAL;
++              goto free_err;
++      }
++
++      if (imx290->ep.link_frequencies[0] != IMX290_DEFAULT_LINK_FREQ) {
++              dev_err(dev, "Unsupported link frequency\n");
++              ret = -EINVAL;
++              goto free_err;
++      }
++
++      /* Only CSI2 is supported for now */
++      if (imx290->ep.bus_type != V4L2_MBUS_CSI2_DPHY) {
++              dev_err(dev, "Unsupported bus type, should be CSI2\n");
++              ret = -EINVAL;
++              goto free_err;
++      }
++
++      /* Set default mode to max resolution */
++      imx290->current_mode = &imx290_modes[0];
++
++      /* get system clock (xclk) */
++      imx290->xclk = devm_clk_get(dev, "xclk");
++      if (IS_ERR(imx290->xclk)) {
++              dev_err(dev, "Could not get xclk");
++              ret = PTR_ERR(imx290->xclk);
++              goto free_err;
++      }
++
++      ret = fwnode_property_read_u32(dev_fwnode(dev), "clock-frequency",
++                                     &xclk_freq);
++      if (ret) {
++              dev_err(dev, "Could not get xclk frequency\n");
++              goto free_err;
++      }
++
++      /* external clock must be 37.125 MHz */
++      if (xclk_freq != 37125000) {
++              dev_err(dev, "External clock frequency %u is not supported\n",
++                      xclk_freq);
++              ret = -EINVAL;
++              goto free_err;
++      }
++
++      ret = clk_set_rate(imx290->xclk, xclk_freq);
++      if (ret) {
++              dev_err(dev, "Could not set xclk frequency\n");
++              goto free_err;
++      }
++
++      ret = imx290_get_regulators(dev, imx290);
++      if (ret < 0) {
++              dev_err(dev, "Cannot get regulators\n");
++              goto free_err;
++      }
++
++      imx290->rst_gpio = devm_gpiod_get_optional(dev, "reset", GPIOD_ASIS);
++      if (IS_ERR(imx290->rst_gpio)) {
++              dev_err(dev, "Cannot get reset gpio\n");
++              ret = PTR_ERR(imx290->rst_gpio);
++              goto free_err;
++      }
++
++      mutex_init(&imx290->lock);
++
++      v4l2_ctrl_handler_init(&imx290->ctrls, 3);
++
++      v4l2_ctrl_new_std(&imx290->ctrls, &imx290_ctrl_ops,
++                        V4L2_CID_GAIN, 0, 72, 1, 0);
++      imx290->link_freq =
++              v4l2_ctrl_new_int_menu(&imx290->ctrls,
++                                     &imx290_ctrl_ops,
++                                     V4L2_CID_LINK_FREQ,
++                                     ARRAY_SIZE(imx290_link_freq) - 1,
++                                     0, imx290_link_freq);
++      if (imx290->link_freq)
++              imx290->link_freq->flags |= V4L2_CTRL_FLAG_READ_ONLY;
++
++      imx290->pixel_rate = v4l2_ctrl_new_std(&imx290->ctrls, &imx290_ctrl_ops,
++                                             V4L2_CID_PIXEL_RATE, 1,
++                                             INT_MAX, 1,
++                                             imx290_modes[0].pixel_rate);
++
++      imx290->sd.ctrl_handler = &imx290->ctrls;
++
++      if (imx290->ctrls.error) {
++              dev_err(dev, "Control initialization error %d\n",
++                      imx290->ctrls.error);
++              ret = imx290->ctrls.error;
++              goto free_ctrl;
++      }
++
++      v4l2_i2c_subdev_init(&imx290->sd, client, &imx290_subdev_ops);
++      imx290->sd.flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
++      imx290->sd.dev = &client->dev;
++      imx290->sd.entity.ops = &imx290_subdev_entity_ops;
++      imx290->sd.entity.function = MEDIA_ENT_F_CAM_SENSOR;
++
++      imx290->pad.flags = MEDIA_PAD_FL_SOURCE;
++      ret = media_entity_pads_init(&imx290->sd.entity, 1, &imx290->pad);
++      if (ret < 0) {
++              dev_err(dev, "Could not register media entity\n");
++              goto free_ctrl;
++      }
++
++      ret = v4l2_async_register_subdev(&imx290->sd);
++      if (ret < 0) {
++              dev_err(dev, "Could not register v4l2 device\n");
++              goto free_entity;
++      }
++
++      /* Power on the device to match runtime PM state below */
++      ret = imx290_power_on(dev);
++      if (ret < 0) {
++              dev_err(dev, "Could not power on the device\n");
++              goto free_entity;
++      }
++
++      pm_runtime_set_active(dev);
++      pm_runtime_enable(dev);
++      pm_runtime_idle(dev);
++
++      v4l2_fwnode_endpoint_free(&imx290->ep);
++
++      return 0;
++
++free_entity:
++      media_entity_cleanup(&imx290->sd.entity);
++free_ctrl:
++      v4l2_ctrl_handler_free(&imx290->ctrls);
++      mutex_destroy(&imx290->lock);
++free_err:
++      v4l2_fwnode_endpoint_free(&imx290->ep);
++
++      return ret;
++}
++
++static int imx290_remove(struct i2c_client *client)
++{
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct imx290 *imx290 = to_imx290(sd);
++
++      v4l2_async_unregister_subdev(sd);
++      media_entity_cleanup(&sd->entity);
++      v4l2_ctrl_handler_free(sd->ctrl_handler);
++
++      mutex_destroy(&imx290->lock);
++
++      pm_runtime_disable(imx290->dev);
++      if (!pm_runtime_status_suspended(imx290->dev))
++              imx290_power_off(imx290->dev);
++      pm_runtime_set_suspended(imx290->dev);
++
++      return 0;
++}
++
++static const struct of_device_id imx290_of_match[] = {
++      { .compatible = "sony,imx290" },
++      { /* sentinel */ }
++};
++MODULE_DEVICE_TABLE(of, imx290_of_match);
++
++static struct i2c_driver imx290_i2c_driver = {
++      .probe_new  = imx290_probe,
++      .remove = imx290_remove,
++      .driver = {
++              .name  = "imx290",
++              .pm = &imx290_pm_ops,
++              .of_match_table = of_match_ptr(imx290_of_match),
++      },
++};
++
++module_i2c_driver(imx290_i2c_driver);
++
++MODULE_DESCRIPTION("Sony IMX290 CMOS Image Sensor Driver");
++MODULE_AUTHOR("FRAMOS GmbH");
++MODULE_AUTHOR("Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0817-media-i2c-imx290-set-the-format-before-VIDIOC_SUBDEV.patch b/target/linux/bcm27xx/patches-5.4/950-0817-media-i2c-imx290-set-the-format-before-VIDIOC_SUBDEV.patch
new file mode 100644 (file)
index 0000000..68f8fcf
--- /dev/null
@@ -0,0 +1,50 @@
+From 2beb8ff8039f3ee8262f05d7f3d91c44826e5df9 Mon Sep 17 00:00:00 2001
+From: Andrey Konovalov <andrey.konovalov@linaro.org>
+Date: Fri, 12 Jun 2020 15:53:46 +0200
+Subject: [PATCH] media: i2c: imx290: set the format before
+ VIDIOC_SUBDEV_G_FMT is called
+
+Commit d46cfdc86c30d5ec768924f0b1e2683c8d20b671 upstream.
+
+With the current driver 'media-ctl -p' issued right after the imx290 driver
+is loaded prints:
+pad0: Source
+             [fmt:unknown/0x0]
+
+The format value of zero is due to the current_format field of the imx290
+struct not being initialized yet.
+
+As imx290_entity_init_cfg() calls imx290_set_fmt(), the current_mode field
+is also initialized, so the line which set current_mode to a default value
+in driver's probe() function is no longer needed.
+
+Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
+Reviewed-by: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ drivers/media/i2c/imx290.c | 6 +++---
+ 1 file changed, 3 insertions(+), 3 deletions(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -722,9 +722,6 @@ static int imx290_probe(struct i2c_clien
+               goto free_err;
+       }
+-      /* Set default mode to max resolution */
+-      imx290->current_mode = &imx290_modes[0];
+-
+       /* get system clock (xclk) */
+       imx290->xclk = devm_clk_get(dev, "xclk");
+       if (IS_ERR(imx290->xclk)) {
+@@ -809,6 +806,9 @@ static int imx290_probe(struct i2c_clien
+               goto free_ctrl;
+       }
++      /* Initialize the frame format (this also sets imx290->current_mode) */
++      imx290_entity_init_cfg(&imx290->sd, NULL);
++
+       ret = v4l2_async_register_subdev(&imx290->sd);
+       if (ret < 0) {
+               dev_err(dev, "Could not register v4l2 device\n");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0818-media-i2c-imx290-fix-the-order-of-the-args-in-SET_RU.patch b/target/linux/bcm27xx/patches-5.4/950-0818-media-i2c-imx290-fix-the-order-of-the-args-in-SET_RU.patch
new file mode 100644 (file)
index 0000000..a3332a7
--- /dev/null
@@ -0,0 +1,30 @@
+From 8c3334dd193798648c329779ce7a3c6ddec7944e Mon Sep 17 00:00:00 2001
+From: Andrey Konovalov <andrey.konovalov@linaro.org>
+Date: Fri, 12 Jun 2020 15:53:47 +0200
+Subject: [PATCH] media: i2c: imx290: fix the order of the args in
+ SET_RUNTIME_PM_OPS()
+
+Commit 8d2d1bedb1b9af3e0c039a4444858da7b6da71f8 upstream.
+
+The macro is defined as SET_RUNTIME_PM_OPS(suspend_fn, resume_fn, idle_fn),
+so imx290_power_off must be the 1st arg, and imx290_power_on the 2nd.
+
+Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
+Reviewed-by: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ drivers/media/i2c/imx290.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -648,7 +648,7 @@ static int imx290_power_off(struct devic
+ }
+ static const struct dev_pm_ops imx290_pm_ops = {
+-      SET_RUNTIME_PM_OPS(imx290_power_on, imx290_power_off, NULL)
++      SET_RUNTIME_PM_OPS(imx290_power_off, imx290_power_on, NULL)
+ };
+ static const struct v4l2_subdev_video_ops imx290_video_ops = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0819-media-i2c-imx290-fix-reset-GPIO-pin-handling.patch b/target/linux/bcm27xx/patches-5.4/950-0819-media-i2c-imx290-fix-reset-GPIO-pin-handling.patch
new file mode 100644 (file)
index 0000000..f4c122d
--- /dev/null
@@ -0,0 +1,61 @@
+From 47f49370b3c1c7f4d4aae855966d2a3beef6c6d4 Mon Sep 17 00:00:00 2001
+From: Andrey Konovalov <andrey.konovalov@linaro.org>
+Date: Fri, 12 Jun 2020 15:53:48 +0200
+Subject: [PATCH] media: i2c: imx290: fix reset GPIO pin handling
+
+Commit 3909a92d7df622b41b9ceeeea694e641cad7667b upstream.
+
+According to https://www.kernel.org/doc/Documentation/gpio/consumer.txt,
+
+- all of the gpiod_set_value_xxx() functions operate with the *logical*
+value. So in imx290_power_on() the reset signal should be cleared
+(de-asserted) with gpiod_set_value_cansleep(imx290->rst_gpio, 0), and in
+imx290_power_off() the value of 1 must be used to apply/assert the reset
+to the sensor. In the device tree the reset pin is described as
+GPIO_ACTIVE_LOW, and gpiod_set_value_xxx() functions take this into
+account,
+
+- when devm_gpiod_get_optional() is called with GPIOD_ASIS, the GPIO is
+not initialized, and the direction must be set later; using a GPIO
+without setting its direction first is illegal and will result in undefined
+behavior. Fix this by using GPIOD_OUT_HIGH instead of GPIOD_ASIS (this
+asserts the reset signal to the sensor initially).
+
+Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
+Reviewed-by: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ drivers/media/i2c/imx290.c | 7 ++++---
+ 1 file changed, 4 insertions(+), 3 deletions(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -628,7 +628,7 @@ static int imx290_power_on(struct device
+       }
+       usleep_range(1, 2);
+-      gpiod_set_value_cansleep(imx290->rst_gpio, 1);
++      gpiod_set_value_cansleep(imx290->rst_gpio, 0);
+       usleep_range(30000, 31000);
+       return 0;
+@@ -641,7 +641,7 @@ static int imx290_power_off(struct devic
+       struct imx290 *imx290 = to_imx290(sd);
+       clk_disable_unprepare(imx290->xclk);
+-      gpiod_set_value_cansleep(imx290->rst_gpio, 0);
++      gpiod_set_value_cansleep(imx290->rst_gpio, 1);
+       regulator_bulk_disable(IMX290_NUM_SUPPLIES, imx290->supplies);
+       return 0;
+@@ -757,7 +757,8 @@ static int imx290_probe(struct i2c_clien
+               goto free_err;
+       }
+-      imx290->rst_gpio = devm_gpiod_get_optional(dev, "reset", GPIOD_ASIS);
++      imx290->rst_gpio = devm_gpiod_get_optional(dev, "reset",
++                                                 GPIOD_OUT_HIGH);
+       if (IS_ERR(imx290->rst_gpio)) {
+               dev_err(dev, "Cannot get reset gpio\n");
+               ret = PTR_ERR(imx290->rst_gpio);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0820-media-i2c-imx290-Add-support-for-2-data-lanes.patch b/target/linux/bcm27xx/patches-5.4/950-0820-media-i2c-imx290-Add-support-for-2-data-lanes.patch
new file mode 100644 (file)
index 0000000..68b8999
--- /dev/null
@@ -0,0 +1,314 @@
+From 78d867300b5026ab31c9a3fbd37b6590b7940f66 Mon Sep 17 00:00:00 2001
+From: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Date: Fri, 12 Jun 2020 15:53:49 +0200
+Subject: [PATCH] media: i2c: imx290: Add support for 2 data lanes
+
+Commit 97589ad61c730e0f486635c6c19fa25ab8e8f29d upstream.
+
+The IMX290 sensor can output frames with 2/4 CSI2 data lanes. This commit
+adds support for 2 lane mode in addition to the 4 lane and also
+configuring the data lane settings in the driver based on system
+configuration.
+
+Signed-off-by: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ drivers/media/i2c/imx290.c | 147 +++++++++++++++++++++++++++++++++----
+ 1 file changed, 133 insertions(+), 14 deletions(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -25,7 +25,12 @@
+ #define IMX290_STANDBY 0x3000
+ #define IMX290_REGHOLD 0x3001
+ #define IMX290_XMSTA 0x3002
++#define IMX290_FR_FDG_SEL 0x3009
+ #define IMX290_GAIN 0x3014
++#define IMX290_HMAX_LOW 0x301c
++#define IMX290_HMAX_HIGH 0x301d
++#define IMX290_PHY_LANE_NUM 0x3407
++#define IMX290_CSI_LANE_MODE 0x3443
+ #define IMX290_DEFAULT_LINK_FREQ 445500000
+@@ -45,6 +50,7 @@ struct imx290_regval {
+ struct imx290_mode {
+       u32 width;
+       u32 height;
++      u32 hmax;
+       u32 pixel_rate;
+       u32 link_freq_index;
+@@ -56,6 +62,7 @@ struct imx290 {
+       struct device *dev;
+       struct clk *xclk;
+       struct regmap *regmap;
++      u8 nlanes;
+       struct v4l2_subdev sd;
+       struct v4l2_fwnode_endpoint ep;
+@@ -89,14 +96,11 @@ static const struct regmap_config imx290
+ static const struct imx290_regval imx290_global_init_settings[] = {
+       { 0x3007, 0x00 },
+-      { 0x3009, 0x00 },
+       { 0x3018, 0x65 },
+       { 0x3019, 0x04 },
+       { 0x301a, 0x00 },
+-      { 0x3443, 0x03 },
+       { 0x3444, 0x20 },
+       { 0x3445, 0x25 },
+-      { 0x3407, 0x03 },
+       { 0x303a, 0x0c },
+       { 0x3040, 0x00 },
+       { 0x3041, 0x00 },
+@@ -169,7 +173,6 @@ static const struct imx290_regval imx290
+       { 0x3164, 0x1a },
+       { 0x3480, 0x49 },
+       /* data rate settings */
+-      { 0x3009, 0x01 },
+       { 0x3405, 0x10 },
+       { 0x3446, 0x57 },
+       { 0x3447, 0x00 },
+@@ -187,8 +190,6 @@ static const struct imx290_regval imx290
+       { 0x3453, 0x00 },
+       { 0x3454, 0x17 },
+       { 0x3455, 0x00 },
+-      { 0x301c, 0x98 },
+-      { 0x301d, 0x08 },
+ };
+ static const struct imx290_regval imx290_720p_settings[] = {
+@@ -210,7 +211,6 @@ static const struct imx290_regval imx290
+       { 0x3164, 0x1a },
+       { 0x3480, 0x49 },
+       /* data rate settings */
+-      { 0x3009, 0x01 },
+       { 0x3405, 0x10 },
+       { 0x3446, 0x4f },
+       { 0x3447, 0x00 },
+@@ -228,8 +228,6 @@ static const struct imx290_regval imx290
+       { 0x3453, 0x00 },
+       { 0x3454, 0x17 },
+       { 0x3455, 0x00 },
+-      { 0x301c, 0xe4 },
+-      { 0x301d, 0x0c },
+ };
+ static const struct imx290_regval imx290_10bit_settings[] = {
+@@ -250,10 +248,11 @@ static const s64 imx290_link_freq[] = {
+ };
+ /* Mode configs */
+-static const struct imx290_mode imx290_modes[] = {
++static const struct imx290_mode imx290_modes_2lanes[] = {
+       {
+               .width = 1920,
+               .height = 1080,
++              .hmax = 0x1130,
+               .data = imx290_1080p_settings,
+               .data_size = ARRAY_SIZE(imx290_1080p_settings),
+               .pixel_rate = 178200000,
+@@ -262,6 +261,7 @@ static const struct imx290_mode imx290_m
+       {
+               .width = 1280,
+               .height = 720,
++              .hmax = 0x19c8,
+               .data = imx290_720p_settings,
+               .data_size = ARRAY_SIZE(imx290_720p_settings),
+               .pixel_rate = 178200000,
+@@ -269,6 +269,44 @@ static const struct imx290_mode imx290_m
+       },
+ };
++static const struct imx290_mode imx290_modes_4lanes[] = {
++      {
++              .width = 1920,
++              .height = 1080,
++              .hmax = 0x0898,
++              .data = imx290_1080p_settings,
++              .data_size = ARRAY_SIZE(imx290_1080p_settings),
++              .pixel_rate = 178200000,
++              .link_freq_index = 0,
++      },
++      {
++              .width = 1280,
++              .height = 720,
++              .hmax = 0x0ce4,
++              .data = imx290_720p_settings,
++              .data_size = ARRAY_SIZE(imx290_720p_settings),
++              .pixel_rate = 178200000,
++              .link_freq_index = 0,
++      },
++};
++
++static inline const struct imx290_mode *imx290_modes_ptr(const struct imx290 *imx290)
++{
++      /* We rely on imx290_probe() to ensure that nlanes is either 2 or 4 */
++      if (imx290->nlanes == 2)
++              return imx290_modes_2lanes;
++      else
++              return imx290_modes_4lanes;
++}
++
++static inline int imx290_modes_num(const struct imx290 *imx290)
++{
++      if (imx290->nlanes == 2)
++              return ARRAY_SIZE(imx290_modes_2lanes);
++      else
++              return ARRAY_SIZE(imx290_modes_4lanes);
++}
++
+ static inline struct imx290 *to_imx290(struct v4l2_subdev *_sd)
+ {
+       return container_of(_sd, struct imx290, sd);
+@@ -450,9 +488,8 @@ static int imx290_set_fmt(struct v4l2_su
+       mutex_lock(&imx290->lock);
+-      mode = v4l2_find_nearest_size(imx290_modes,
+-                                    ARRAY_SIZE(imx290_modes),
+-                                    width, height,
++      mode = v4l2_find_nearest_size(imx290_modes_ptr(imx290),
++                                    imx290_modes_num(imx290), width, height,
+                                     fmt->format.width, fmt->format.height);
+       fmt->format.width = mode->width;
+@@ -522,6 +559,25 @@ static int imx290_write_current_format(s
+       return 0;
+ }
++static int imx290_set_hmax(struct imx290 *imx290, u32 val)
++{
++      int ret;
++
++      ret = imx290_write_reg(imx290, IMX290_HMAX_LOW, (val & 0xff));
++      if (ret) {
++              dev_err(imx290->dev, "Error setting HMAX register\n");
++              return ret;
++      }
++
++      ret = imx290_write_reg(imx290, IMX290_HMAX_HIGH, ((val >> 8) & 0xff));
++      if (ret) {
++              dev_err(imx290->dev, "Error setting HMAX register\n");
++              return ret;
++      }
++
++      return 0;
++}
++
+ /* Start streaming */
+ static int imx290_start_streaming(struct imx290 *imx290)
+ {
+@@ -550,6 +606,9 @@ static int imx290_start_streaming(struct
+               dev_err(imx290->dev, "Could not set current mode\n");
+               return ret;
+       }
++      ret = imx290_set_hmax(imx290, imx290->current_mode->hmax);
++      if (ret < 0)
++              return ret;
+       /* Apply customized values from user */
+       ret = v4l2_ctrl_handler_setup(imx290->sd.ctrl_handler);
+@@ -607,6 +666,49 @@ static int imx290_get_regulators(struct
+                                      imx290->supplies);
+ }
++static int imx290_set_data_lanes(struct imx290 *imx290)
++{
++      int ret = 0, laneval, frsel;
++
++      switch (imx290->nlanes) {
++      case 2:
++              laneval = 0x01;
++              frsel = 0x02;
++              break;
++      case 4:
++              laneval = 0x03;
++              frsel = 0x01;
++              break;
++      default:
++              /*
++               * We should never hit this since the data lane count is
++               * validated in probe itself
++               */
++              dev_err(imx290->dev, "Lane configuration not supported\n");
++              ret = -EINVAL;
++              goto exit;
++      }
++
++      ret = imx290_write_reg(imx290, IMX290_PHY_LANE_NUM, laneval);
++      if (ret) {
++              dev_err(imx290->dev, "Error setting Physical Lane number register\n");
++              goto exit;
++      }
++
++      ret = imx290_write_reg(imx290, IMX290_CSI_LANE_MODE, laneval);
++      if (ret) {
++              dev_err(imx290->dev, "Error setting CSI Lane mode register\n");
++              goto exit;
++      }
++
++      ret = imx290_write_reg(imx290, IMX290_FR_FDG_SEL, frsel);
++      if (ret)
++              dev_err(imx290->dev, "Error setting FR/FDG SEL register\n");
++
++exit:
++      return ret;
++}
++
+ static int imx290_power_on(struct device *dev)
+ {
+       struct i2c_client *client = to_i2c_client(dev);
+@@ -631,6 +733,9 @@ static int imx290_power_on(struct device
+       gpiod_set_value_cansleep(imx290->rst_gpio, 0);
+       usleep_range(30000, 31000);
++      /* Set data lane count */
++      imx290_set_data_lanes(imx290);
++
+       return 0;
+ }
+@@ -677,6 +782,7 @@ static int imx290_probe(struct i2c_clien
+       struct fwnode_handle *endpoint;
+       struct imx290 *imx290;
+       u32 xclk_freq;
++      u32 default_pixel_rate;
+       int ret;
+       imx290 = devm_kzalloc(dev, sizeof(*imx290), GFP_KERNEL);
+@@ -703,6 +809,16 @@ static int imx290_probe(struct i2c_clien
+               goto free_err;
+       }
++      /* Get number of data lanes */
++      imx290->nlanes = imx290->ep.bus.mipi_csi2.num_data_lanes;
++      if (imx290->nlanes != 2 && imx290->nlanes != 4) {
++              dev_err(dev, "Invalid data lanes: %d\n", imx290->nlanes);
++              ret = -EINVAL;
++              goto free_err;
++      }
++
++      dev_dbg(dev, "Using %u data lanes\n", imx290->nlanes);
++
+       if (!imx290->ep.nr_of_link_frequencies) {
+               dev_err(dev, "link-frequency property not found in DT\n");
+               ret = -EINVAL;
+@@ -780,10 +896,13 @@ static int imx290_probe(struct i2c_clien
+       if (imx290->link_freq)
+               imx290->link_freq->flags |= V4L2_CTRL_FLAG_READ_ONLY;
++      default_pixel_rate = imx290->nlanes == 2 ?
++                           imx290_modes_2lanes[0].pixel_rate :
++                           imx290_modes_4lanes[0].pixel_rate;
+       imx290->pixel_rate = v4l2_ctrl_new_std(&imx290->ctrls, &imx290_ctrl_ops,
+                                              V4L2_CID_PIXEL_RATE, 1,
+                                              INT_MAX, 1,
+-                                             imx290_modes[0].pixel_rate);
++                                             default_pixel_rate);
+       imx290->sd.ctrl_handler = &imx290->ctrls;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0821-media-i2c-imx290-Add-configurable-link-frequency-and.patch b/target/linux/bcm27xx/patches-5.4/950-0821-media-i2c-imx290-Add-configurable-link-frequency-and.patch
new file mode 100644 (file)
index 0000000..f746279
--- /dev/null
@@ -0,0 +1,307 @@
+From e3c6ec43e950d9e08715e2c693771080c7d078a3 Mon Sep 17 00:00:00 2001
+From: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Date: Fri, 12 Jun 2020 15:53:50 +0200
+Subject: [PATCH] media: i2c: imx290: Add configurable link frequency
+ and pixel rate
+
+Commit 98e0500eadb772e1be32d8e369fcc3b7bcac93ed upstream.
+
+IMX290 operates with multiple link frequency and pixel rate combinations.
+The initial driver used a single setting for both but since we now have
+the lane count support in place, let's add configurable link frequency
+and pixel rate.
+
+Signed-off-by: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ drivers/media/i2c/imx290.c | 148 +++++++++++++++++++++++++++----------
+ 1 file changed, 109 insertions(+), 39 deletions(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -32,8 +32,6 @@
+ #define IMX290_PHY_LANE_NUM 0x3407
+ #define IMX290_CSI_LANE_MODE 0x3443
+-#define IMX290_DEFAULT_LINK_FREQ 445500000
+-
+ static const char * const imx290_supply_name[] = {
+       "vdda",
+       "vddd",
+@@ -51,8 +49,7 @@ struct imx290_mode {
+       u32 width;
+       u32 height;
+       u32 hmax;
+-      u32 pixel_rate;
+-      u32 link_freq_index;
++      u8 link_freq_index;
+       const struct imx290_regval *data;
+       u32 data_size;
+@@ -243,9 +240,36 @@ static const struct imx290_regval imx290
+ };
+ /* supported link frequencies */
+-static const s64 imx290_link_freq[] = {
+-      IMX290_DEFAULT_LINK_FREQ,
+-};
++#define FREQ_INDEX_1080P      0
++#define FREQ_INDEX_720P               1
++static const s64 imx290_link_freq_2lanes[] = {
++      [FREQ_INDEX_1080P] = 445500000,
++      [FREQ_INDEX_720P] = 297000000,
++};
++static const s64 imx290_link_freq_4lanes[] = {
++      [FREQ_INDEX_1080P] = 222750000,
++      [FREQ_INDEX_720P] = 148500000,
++};
++
++/*
++ * In this function and in the similar ones below We rely on imx290_probe()
++ * to ensure that nlanes is either 2 or 4.
++ */
++static inline const s64 *imx290_link_freqs_ptr(const struct imx290 *imx290)
++{
++      if (imx290->nlanes == 2)
++              return imx290_link_freq_2lanes;
++      else
++              return imx290_link_freq_4lanes;
++}
++
++static inline int imx290_link_freqs_num(const struct imx290 *imx290)
++{
++      if (imx290->nlanes == 2)
++              return ARRAY_SIZE(imx290_link_freq_2lanes);
++      else
++              return ARRAY_SIZE(imx290_link_freq_4lanes);
++}
+ /* Mode configs */
+ static const struct imx290_mode imx290_modes_2lanes[] = {
+@@ -253,19 +277,17 @@ static const struct imx290_mode imx290_m
+               .width = 1920,
+               .height = 1080,
+               .hmax = 0x1130,
++              .link_freq_index = FREQ_INDEX_1080P,
+               .data = imx290_1080p_settings,
+               .data_size = ARRAY_SIZE(imx290_1080p_settings),
+-              .pixel_rate = 178200000,
+-              .link_freq_index = 0,
+       },
+       {
+               .width = 1280,
+               .height = 720,
+               .hmax = 0x19c8,
++              .link_freq_index = FREQ_INDEX_720P,
+               .data = imx290_720p_settings,
+               .data_size = ARRAY_SIZE(imx290_720p_settings),
+-              .pixel_rate = 178200000,
+-              .link_freq_index = 0,
+       },
+ };
+@@ -274,25 +296,22 @@ static const struct imx290_mode imx290_m
+               .width = 1920,
+               .height = 1080,
+               .hmax = 0x0898,
++              .link_freq_index = FREQ_INDEX_1080P,
+               .data = imx290_1080p_settings,
+               .data_size = ARRAY_SIZE(imx290_1080p_settings),
+-              .pixel_rate = 178200000,
+-              .link_freq_index = 0,
+       },
+       {
+               .width = 1280,
+               .height = 720,
+               .hmax = 0x0ce4,
++              .link_freq_index = FREQ_INDEX_720P,
+               .data = imx290_720p_settings,
+               .data_size = ARRAY_SIZE(imx290_720p_settings),
+-              .pixel_rate = 178200000,
+-              .link_freq_index = 0,
+       },
+ };
+ static inline const struct imx290_mode *imx290_modes_ptr(const struct imx290 *imx290)
+ {
+-      /* We rely on imx290_probe() to ensure that nlanes is either 2 or 4 */
+       if (imx290->nlanes == 2)
+               return imx290_modes_2lanes;
+       else
+@@ -477,6 +496,30 @@ static int imx290_get_fmt(struct v4l2_su
+       return 0;
+ }
++static inline u8 imx290_get_link_freq_index(struct imx290 *imx290)
++{
++      return imx290->current_mode->link_freq_index;
++}
++
++static s64 imx290_get_link_freq(struct imx290 *imx290)
++{
++      u8 index = imx290_get_link_freq_index(imx290);
++
++      return *(imx290_link_freqs_ptr(imx290) + index);
++}
++
++static u64 imx290_calc_pixel_rate(struct imx290 *imx290)
++{
++      s64 link_freq = imx290_get_link_freq(imx290);
++      u8 nlanes = imx290->nlanes;
++      u64 pixel_rate;
++
++      /* pixel rate = link_freq * 2 * nr_of_lanes / bits_per_sample */
++      pixel_rate = link_freq * 2 * nlanes;
++      do_div(pixel_rate, 10);
++      return pixel_rate;
++}
++
+ static int imx290_set_fmt(struct v4l2_subdev *sd,
+                         struct v4l2_subdev_pad_config *cfg,
+                     struct v4l2_subdev_format *fmt)
+@@ -509,10 +552,14 @@ static int imx290_set_fmt(struct v4l2_su
+               format = v4l2_subdev_get_try_format(sd, cfg, fmt->pad);
+       } else {
+               format = &imx290->current_format;
+-              __v4l2_ctrl_s_ctrl(imx290->link_freq, mode->link_freq_index);
+-              __v4l2_ctrl_s_ctrl_int64(imx290->pixel_rate, mode->pixel_rate);
+-
+               imx290->current_mode = mode;
++
++              if (imx290->link_freq)
++                      __v4l2_ctrl_s_ctrl(imx290->link_freq,
++                                         imx290_get_link_freq_index(imx290));
++              if (imx290->pixel_rate)
++                      __v4l2_ctrl_s_ctrl_int64(imx290->pixel_rate,
++                                               imx290_calc_pixel_rate(imx290));
+       }
+       *format = fmt->format;
+@@ -536,12 +583,11 @@ static int imx290_entity_init_cfg(struct
+       return 0;
+ }
+-static int imx290_write_current_format(struct imx290 *imx290,
+-                                     struct v4l2_mbus_framefmt *format)
++static int imx290_write_current_format(struct imx290 *imx290)
+ {
+       int ret;
+-      switch (format->code) {
++      switch (imx290->current_format.code) {
+       case MEDIA_BUS_FMT_SRGGB10_1X10:
+               ret = imx290_set_register_array(imx290, imx290_10bit_settings,
+                                               ARRAY_SIZE(
+@@ -592,8 +638,8 @@ static int imx290_start_streaming(struct
+               return ret;
+       }
+-      /* Set current frame format */
+-      ret = imx290_write_current_format(imx290, &imx290->current_format);
++      /* Apply the register values related to current frame format */
++      ret = imx290_write_current_format(imx290);
+       if (ret < 0) {
+               dev_err(imx290->dev, "Could not set frame format\n");
+               return ret;
+@@ -776,13 +822,34 @@ static const struct media_entity_operati
+       .link_validate = v4l2_subdev_link_validate,
+ };
++/*
++ * Returns 0 if all link frequencies used by the driver for the given number
++ * of MIPI data lanes are mentioned in the device tree, or the value of the
++ * first missing frequency otherwise.
++ */
++static s64 imx290_check_link_freqs(const struct imx290 *imx290)
++{
++      int i, j;
++      const s64 *freqs = imx290_link_freqs_ptr(imx290);
++      int freqs_count = imx290_link_freqs_num(imx290);
++
++      for (i = 0; i < freqs_count; i++) {
++              for (j = 0; j < imx290->ep.nr_of_link_frequencies; j++)
++                      if (freqs[i] == imx290->ep.link_frequencies[j])
++                              break;
++              if (j == imx290->ep.nr_of_link_frequencies)
++                      return freqs[i];
++      }
++      return 0;
++}
++
+ static int imx290_probe(struct i2c_client *client)
+ {
+       struct device *dev = &client->dev;
+       struct fwnode_handle *endpoint;
+       struct imx290 *imx290;
+       u32 xclk_freq;
+-      u32 default_pixel_rate;
++      s64 fq;
+       int ret;
+       imx290 = devm_kzalloc(dev, sizeof(*imx290), GFP_KERNEL);
+@@ -825,8 +892,10 @@ static int imx290_probe(struct i2c_clien
+               goto free_err;
+       }
+-      if (imx290->ep.link_frequencies[0] != IMX290_DEFAULT_LINK_FREQ) {
+-              dev_err(dev, "Unsupported link frequency\n");
++      /* Check that link frequences for all the modes are in device tree */
++      fq = imx290_check_link_freqs(imx290);
++      if (fq) {
++              dev_err(dev, "Link frequency of %lld is not supported\n", fq);
+               ret = -EINVAL;
+               goto free_err;
+       }
+@@ -883,26 +952,30 @@ static int imx290_probe(struct i2c_clien
+       mutex_init(&imx290->lock);
++      /*
++       * Initialize the frame format. In particular, imx290->current_mode
++       * and imx290->bpp are set to defaults: imx290_calc_pixel_rate() call
++       * below relies on these fields.
++       */
++      imx290_entity_init_cfg(&imx290->sd, NULL);
++
+       v4l2_ctrl_handler_init(&imx290->ctrls, 3);
+       v4l2_ctrl_new_std(&imx290->ctrls, &imx290_ctrl_ops,
+                         V4L2_CID_GAIN, 0, 72, 1, 0);
++
+       imx290->link_freq =
+-              v4l2_ctrl_new_int_menu(&imx290->ctrls,
+-                                     &imx290_ctrl_ops,
++              v4l2_ctrl_new_int_menu(&imx290->ctrls, &imx290_ctrl_ops,
+                                      V4L2_CID_LINK_FREQ,
+-                                     ARRAY_SIZE(imx290_link_freq) - 1,
+-                                     0, imx290_link_freq);
++                                     imx290_link_freqs_num(imx290) - 1, 0,
++                                     imx290_link_freqs_ptr(imx290));
+       if (imx290->link_freq)
+               imx290->link_freq->flags |= V4L2_CTRL_FLAG_READ_ONLY;
+-      default_pixel_rate = imx290->nlanes == 2 ?
+-                           imx290_modes_2lanes[0].pixel_rate :
+-                           imx290_modes_4lanes[0].pixel_rate;
+       imx290->pixel_rate = v4l2_ctrl_new_std(&imx290->ctrls, &imx290_ctrl_ops,
+-                                             V4L2_CID_PIXEL_RATE, 1,
+-                                             INT_MAX, 1,
+-                                             default_pixel_rate);
++                                             V4L2_CID_PIXEL_RATE,
++                                             1, INT_MAX, 1,
++                                             imx290_calc_pixel_rate(imx290));
+       imx290->sd.ctrl_handler = &imx290->ctrls;
+@@ -926,9 +999,6 @@ static int imx290_probe(struct i2c_clien
+               goto free_ctrl;
+       }
+-      /* Initialize the frame format (this also sets imx290->current_mode) */
+-      imx290_entity_init_cfg(&imx290->sd, NULL);
+-
+       ret = v4l2_async_register_subdev(&imx290->sd);
+       if (ret < 0) {
+               dev_err(dev, "Could not register v4l2 device\n");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0822-media-i2c-imx290-Add-support-for-test-pattern-genera.patch b/target/linux/bcm27xx/patches-5.4/950-0822-media-i2c-imx290-Add-support-for-test-pattern-genera.patch
new file mode 100644 (file)
index 0000000..e7900ab
--- /dev/null
@@ -0,0 +1,110 @@
+From f1a8ae8064a65846489386fe95790900fb150242 Mon Sep 17 00:00:00 2001
+From: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Date: Fri, 12 Jun 2020 15:53:51 +0200
+Subject: [PATCH] media: i2c: imx290: Add support for test pattern
+ generation
+
+Commit a58df1f9e4885eaf3d0663574a217e513821a9f0 upstream.
+
+Add support for generating following test patterns by IMX290:
+
+* Sequence Pattern 1
+* Horizontal Color-bar Chart
+* Vertical Color-bar Chart
+* Sequence Pattern 2
+* Gradation Pattern 1
+* Gradation Pattern 2
+* 000/555h Toggle Pattern
+
+Signed-off-by: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ drivers/media/i2c/imx290.c | 41 +++++++++++++++++++++++++++++++++++++-
+ 1 file changed, 40 insertions(+), 1 deletion(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -26,12 +26,19 @@
+ #define IMX290_REGHOLD 0x3001
+ #define IMX290_XMSTA 0x3002
+ #define IMX290_FR_FDG_SEL 0x3009
++#define IMX290_BLKLEVEL_LOW 0x300a
++#define IMX290_BLKLEVEL_HIGH 0x300b
+ #define IMX290_GAIN 0x3014
+ #define IMX290_HMAX_LOW 0x301c
+ #define IMX290_HMAX_HIGH 0x301d
++#define IMX290_PGCTRL 0x308c
+ #define IMX290_PHY_LANE_NUM 0x3407
+ #define IMX290_CSI_LANE_MODE 0x3443
++#define IMX290_PGCTRL_REGEN BIT(0)
++#define IMX290_PGCTRL_THRU BIT(1)
++#define IMX290_PGCTRL_MODE(n) ((n) << 4)
++
+ static const char * const imx290_supply_name[] = {
+       "vdda",
+       "vddd",
+@@ -91,6 +98,17 @@ static const struct regmap_config imx290
+       .cache_type = REGCACHE_RBTREE,
+ };
++static const char * const imx290_test_pattern_menu[] = {
++      "Disabled",
++      "Sequence Pattern 1",
++      "Horizontal Color-bar Chart",
++      "Vertical Color-bar Chart",
++      "Sequence Pattern 2",
++      "Gradation Pattern 1",
++      "Gradation Pattern 2",
++      "000/555h Toggle Pattern",
++};
++
+ static const struct imx290_regval imx290_global_init_settings[] = {
+       { 0x3007, 0x00 },
+       { 0x3018, 0x65 },
+@@ -448,6 +466,22 @@ static int imx290_set_ctrl(struct v4l2_c
+       case V4L2_CID_GAIN:
+               ret = imx290_set_gain(imx290, ctrl->val);
+               break;
++      case V4L2_CID_TEST_PATTERN:
++              if (ctrl->val) {
++                      imx290_write_reg(imx290, IMX290_BLKLEVEL_LOW, 0x00);
++                      imx290_write_reg(imx290, IMX290_BLKLEVEL_HIGH, 0x00);
++                      msleep(10);
++                      imx290_write_reg(imx290, IMX290_PGCTRL,
++                                       (u8)(IMX290_PGCTRL_REGEN |
++                                       IMX290_PGCTRL_THRU |
++                                       IMX290_PGCTRL_MODE(ctrl->val)));
++              } else {
++                      imx290_write_reg(imx290, IMX290_PGCTRL, 0x00);
++                      msleep(10);
++                      imx290_write_reg(imx290, IMX290_BLKLEVEL_LOW, 0x3c);
++                      imx290_write_reg(imx290, IMX290_BLKLEVEL_HIGH, 0x00);
++              }
++              break;
+       default:
+               ret = -EINVAL;
+               break;
+@@ -959,7 +993,7 @@ static int imx290_probe(struct i2c_clien
+        */
+       imx290_entity_init_cfg(&imx290->sd, NULL);
+-      v4l2_ctrl_handler_init(&imx290->ctrls, 3);
++      v4l2_ctrl_handler_init(&imx290->ctrls, 4);
+       v4l2_ctrl_new_std(&imx290->ctrls, &imx290_ctrl_ops,
+                         V4L2_CID_GAIN, 0, 72, 1, 0);
+@@ -977,6 +1011,11 @@ static int imx290_probe(struct i2c_clien
+                                              1, INT_MAX, 1,
+                                              imx290_calc_pixel_rate(imx290));
++      v4l2_ctrl_new_std_menu_items(&imx290->ctrls, &imx290_ctrl_ops,
++                                   V4L2_CID_TEST_PATTERN,
++                                   ARRAY_SIZE(imx290_test_pattern_menu) - 1,
++                                   0, 0, imx290_test_pattern_menu);
++
+       imx290->sd.ctrl_handler = &imx290->ctrls;
+       if (imx290->ctrls.error) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0823-media-i2c-imx290-Add-RAW12-mode-support.patch b/target/linux/bcm27xx/patches-5.4/950-0823-media-i2c-imx290-Add-RAW12-mode-support.patch
new file mode 100644 (file)
index 0000000..6e43735
--- /dev/null
@@ -0,0 +1,109 @@
+From 9f6a310ab3b466940c0a15260987d4cfe868a79a Mon Sep 17 00:00:00 2001
+From: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Date: Fri, 12 Jun 2020 15:53:52 +0200
+Subject: [PATCH] media: i2c: imx290: Add RAW12 mode support
+
+Commit c566ac01ceaa02450acc155201772c0623530e76 upstream.
+
+IMX290 is capable of outputting frames in both Raw Bayer (packed) 10 and
+12 bit formats. Since the driver already supports RAW10 mode, let's add
+the missing RAW12 mode as well.
+
+Signed-off-by: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ drivers/media/i2c/imx290.c | 36 +++++++++++++++++++++++++++++++++---
+ 1 file changed, 33 insertions(+), 3 deletions(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -67,6 +67,7 @@ struct imx290 {
+       struct clk *xclk;
+       struct regmap *regmap;
+       u8 nlanes;
++      u8 bpp;
+       struct v4l2_subdev sd;
+       struct v4l2_fwnode_endpoint ep;
+@@ -86,10 +87,12 @@ struct imx290 {
+ struct imx290_pixfmt {
+       u32 code;
++      u8 bpp;
+ };
+ static const struct imx290_pixfmt imx290_formats[] = {
+-      { MEDIA_BUS_FMT_SRGGB10_1X10 },
++      { MEDIA_BUS_FMT_SRGGB10_1X10, 10 },
++      { MEDIA_BUS_FMT_SRGGB12_1X12, 12 },
+ };
+ static const struct regmap_config imx290_regmap_config = {
+@@ -257,6 +260,18 @@ static const struct imx290_regval imx290
+       { 0x300b, 0x00},
+ };
++static const struct imx290_regval imx290_12bit_settings[] = {
++      { 0x3005, 0x01 },
++      { 0x3046, 0x01 },
++      { 0x3129, 0x00 },
++      { 0x317c, 0x00 },
++      { 0x31ec, 0x0e },
++      { 0x3441, 0x0c },
++      { 0x3442, 0x0c },
++      { 0x300a, 0xf0 },
++      { 0x300b, 0x00 },
++};
++
+ /* supported link frequencies */
+ #define FREQ_INDEX_1080P      0
+ #define FREQ_INDEX_720P               1
+@@ -478,7 +493,12 @@ static int imx290_set_ctrl(struct v4l2_c
+               } else {
+                       imx290_write_reg(imx290, IMX290_PGCTRL, 0x00);
+                       msleep(10);
+-                      imx290_write_reg(imx290, IMX290_BLKLEVEL_LOW, 0x3c);
++                      if (imx290->bpp == 10)
++                              imx290_write_reg(imx290, IMX290_BLKLEVEL_LOW,
++                                               0x3c);
++                      else /* 12 bits per pixel */
++                              imx290_write_reg(imx290, IMX290_BLKLEVEL_LOW,
++                                               0xf0);
+                       imx290_write_reg(imx290, IMX290_BLKLEVEL_HIGH, 0x00);
+               }
+               break;
+@@ -550,7 +570,7 @@ static u64 imx290_calc_pixel_rate(struct
+       /* pixel rate = link_freq * 2 * nr_of_lanes / bits_per_sample */
+       pixel_rate = link_freq * 2 * nlanes;
+-      do_div(pixel_rate, 10);
++      do_div(pixel_rate, imx290->bpp);
+       return pixel_rate;
+ }
+@@ -587,6 +607,7 @@ static int imx290_set_fmt(struct v4l2_su
+       } else {
+               format = &imx290->current_format;
+               imx290->current_mode = mode;
++              imx290->bpp = imx290_formats[i].bpp;
+               if (imx290->link_freq)
+                       __v4l2_ctrl_s_ctrl(imx290->link_freq,
+@@ -629,6 +650,15 @@ static int imx290_write_current_format(s
+               if (ret < 0) {
+                       dev_err(imx290->dev, "Could not set format registers\n");
+                       return ret;
++              }
++              break;
++      case MEDIA_BUS_FMT_SRGGB12_1X12:
++              ret = imx290_set_register_array(imx290, imx290_12bit_settings,
++                                              ARRAY_SIZE(
++                                                      imx290_12bit_settings));
++              if (ret < 0) {
++                      dev_err(imx290->dev, "Could not set format registers\n");
++                      return ret;
+               }
+               break;
+       default:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0824-media-i2c-imx290-Add-support-to-enumerate-all-frame-.patch b/target/linux/bcm27xx/patches-5.4/950-0824-media-i2c-imx290-Add-support-to-enumerate-all-frame-.patch
new file mode 100644 (file)
index 0000000..8ae528a
--- /dev/null
@@ -0,0 +1,58 @@
+From 9ca04663315302f1556798e9da29eabf08aecd59 Mon Sep 17 00:00:00 2001
+From: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Date: Fri, 12 Jun 2020 15:53:53 +0200
+Subject: [PATCH] media: i2c: imx290: Add support to enumerate all
+ frame sizes
+
+Commit 3b867fb641d884b714fba390ae866714ba475f29 upstream.
+
+Add support to enumerate all frame sizes supported by IMX290. This is
+required for using with userspace tools such as libcamera.
+
+Signed-off-by: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ drivers/media/i2c/imx290.c | 23 +++++++++++++++++++++++
+ 1 file changed, 23 insertions(+)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -528,6 +528,28 @@ static int imx290_enum_mbus_code(struct
+       return 0;
+ }
++static int imx290_enum_frame_size(struct v4l2_subdev *sd,
++                                struct v4l2_subdev_pad_config *cfg,
++                                struct v4l2_subdev_frame_size_enum *fse)
++{
++      const struct imx290 *imx290 = to_imx290(sd);
++      const struct imx290_mode *imx290_modes = imx290_modes_ptr(imx290);
++
++      if ((fse->code != imx290_formats[0].code) &&
++          (fse->code != imx290_formats[1].code))
++              return -EINVAL;
++
++      if (fse->index >= imx290_modes_num(imx290))
++              return -EINVAL;
++
++      fse->min_width = imx290_modes[fse->index].width;
++      fse->max_width = imx290_modes[fse->index].width;
++      fse->min_height = imx290_modes[fse->index].height;
++      fse->max_height = imx290_modes[fse->index].height;
++
++      return 0;
++}
++
+ static int imx290_get_fmt(struct v4l2_subdev *sd,
+                         struct v4l2_subdev_pad_config *cfg,
+                         struct v4l2_subdev_format *fmt)
+@@ -873,6 +895,7 @@ static const struct v4l2_subdev_video_op
+ static const struct v4l2_subdev_pad_ops imx290_pad_ops = {
+       .init_cfg = imx290_entity_init_cfg,
+       .enum_mbus_code = imx290_enum_mbus_code,
++      .enum_frame_size = imx290_enum_frame_size,
+       .get_fmt = imx290_get_fmt,
+       .set_fmt = imx290_set_fmt,
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0825-media-i2c-imx290-Move-the-settle-time-delay-out-of-l.patch b/target/linux/bcm27xx/patches-5.4/950-0825-media-i2c-imx290-Move-the-settle-time-delay-out-of-l.patch
new file mode 100644 (file)
index 0000000..a46c0c9
--- /dev/null
@@ -0,0 +1,37 @@
+From d2155366275ce70438d12169a59959e90b637f9c Mon Sep 17 00:00:00 2001
+From: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Date: Fri, 12 Jun 2020 15:53:54 +0200
+Subject: [PATCH] media: i2c: imx290: Move the settle time delay out
+ of loop
+
+Commit 6544af9b04b4484867c234ba0be1b5008e4a14ee upstream.
+
+The 10ms settle time is needed only at the end of all consecutive
+register writes. So move the delay to outside of the for loop of
+imx290_set_register_array().
+
+Signed-off-by: Manivannan Sadhasivam <manivannan.sadhasivam@linaro.org>
+Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ drivers/media/i2c/imx290.c | 6 +++---
+ 1 file changed, 3 insertions(+), 3 deletions(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -404,11 +404,11 @@ static int imx290_set_register_array(str
+               ret = imx290_write_reg(imx290, settings->reg, settings->val);
+               if (ret < 0)
+                       return ret;
+-
+-              /* Settle time is 10ms for all registers */
+-              msleep(10);
+       }
++      /* Provide 10ms settle time */
++      msleep(10);
++
+       return 0;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0826-media-i2c-imx290-set-bus_type-before-calling-v4l2_fw.patch b/target/linux/bcm27xx/patches-5.4/950-0826-media-i2c-imx290-set-bus_type-before-calling-v4l2_fw.patch
new file mode 100644 (file)
index 0000000..5180ee6
--- /dev/null
@@ -0,0 +1,137 @@
+From e5b34fd95be9c59fe55e4755ba84aa05efaaaf62 Mon Sep 17 00:00:00 2001
+From: Andrey Konovalov <andrey.konovalov@linaro.org>
+Date: Fri, 12 Jun 2020 15:53:55 +0200
+Subject: [PATCH] media: i2c: imx290: set bus_type before calling
+ v4l2_fwnode_endpoint_alloc_parse()
+
+Commit a270675875829b6d46eb9e38960fd6019555ebb8 upstream.
+
+The bus_type field of v4l2_fwnode_endpoint structure passed as the argument
+to v4l2_fwnode_endpoint_alloc_parse() function must be initiaized.
+Set it to V4L2_MBUS_CSI2_DPHY, and check for -ENXIO which is returned
+when the requested media bus type doesn't match the fwnode.
+
+Also remove v4l2_fwnode_endpoint field from struct imx290 as it is only
+needed in the probe function: use the local variable for this purpose.
+
+Signed-off-by: Andrey Konovalov <andrey.konovalov@linaro.org>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ drivers/media/i2c/imx290.c | 38 +++++++++++++++++++-------------------
+ 1 file changed, 19 insertions(+), 19 deletions(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -70,7 +70,6 @@ struct imx290 {
+       u8 bpp;
+       struct v4l2_subdev sd;
+-      struct v4l2_fwnode_endpoint ep;
+       struct media_pad pad;
+       struct v4l2_mbus_framefmt current_format;
+       const struct imx290_mode *current_mode;
+@@ -914,17 +913,18 @@ static const struct media_entity_operati
+  * of MIPI data lanes are mentioned in the device tree, or the value of the
+  * first missing frequency otherwise.
+  */
+-static s64 imx290_check_link_freqs(const struct imx290 *imx290)
++static s64 imx290_check_link_freqs(const struct imx290 *imx290,
++                                 const struct v4l2_fwnode_endpoint *ep)
+ {
+       int i, j;
+       const s64 *freqs = imx290_link_freqs_ptr(imx290);
+       int freqs_count = imx290_link_freqs_num(imx290);
+       for (i = 0; i < freqs_count; i++) {
+-              for (j = 0; j < imx290->ep.nr_of_link_frequencies; j++)
+-                      if (freqs[i] == imx290->ep.link_frequencies[j])
++              for (j = 0; j < ep->nr_of_link_frequencies; j++)
++                      if (freqs[i] == ep->link_frequencies[j])
+                               break;
+-              if (j == imx290->ep.nr_of_link_frequencies)
++              if (j == ep->nr_of_link_frequencies)
+                       return freqs[i];
+       }
+       return 0;
+@@ -934,6 +934,10 @@ static int imx290_probe(struct i2c_clien
+ {
+       struct device *dev = &client->dev;
+       struct fwnode_handle *endpoint;
++      /* Only CSI2 is supported for now: */
++      struct v4l2_fwnode_endpoint ep = {
++              .bus_type = V4L2_MBUS_CSI2_DPHY
++      };
+       struct imx290 *imx290;
+       u32 xclk_freq;
+       s64 fq;
+@@ -956,15 +960,18 @@ static int imx290_probe(struct i2c_clien
+               return -EINVAL;
+       }
+-      ret = v4l2_fwnode_endpoint_alloc_parse(endpoint, &imx290->ep);
++      ret = v4l2_fwnode_endpoint_alloc_parse(endpoint, &ep);
+       fwnode_handle_put(endpoint);
+-      if (ret) {
++      if (ret == -ENXIO) {
++              dev_err(dev, "Unsupported bus type, should be CSI2\n");
++              goto free_err;
++      } else if (ret) {
+               dev_err(dev, "Parsing endpoint node failed\n");
+               goto free_err;
+       }
+       /* Get number of data lanes */
+-      imx290->nlanes = imx290->ep.bus.mipi_csi2.num_data_lanes;
++      imx290->nlanes = ep.bus.mipi_csi2.num_data_lanes;
+       if (imx290->nlanes != 2 && imx290->nlanes != 4) {
+               dev_err(dev, "Invalid data lanes: %d\n", imx290->nlanes);
+               ret = -EINVAL;
+@@ -973,27 +980,20 @@ static int imx290_probe(struct i2c_clien
+       dev_dbg(dev, "Using %u data lanes\n", imx290->nlanes);
+-      if (!imx290->ep.nr_of_link_frequencies) {
++      if (!ep.nr_of_link_frequencies) {
+               dev_err(dev, "link-frequency property not found in DT\n");
+               ret = -EINVAL;
+               goto free_err;
+       }
+       /* Check that link frequences for all the modes are in device tree */
+-      fq = imx290_check_link_freqs(imx290);
++      fq = imx290_check_link_freqs(imx290, &ep);
+       if (fq) {
+               dev_err(dev, "Link frequency of %lld is not supported\n", fq);
+               ret = -EINVAL;
+               goto free_err;
+       }
+-      /* Only CSI2 is supported for now */
+-      if (imx290->ep.bus_type != V4L2_MBUS_CSI2_DPHY) {
+-              dev_err(dev, "Unsupported bus type, should be CSI2\n");
+-              ret = -EINVAL;
+-              goto free_err;
+-      }
+-
+       /* get system clock (xclk) */
+       imx290->xclk = devm_clk_get(dev, "xclk");
+       if (IS_ERR(imx290->xclk)) {
+@@ -1108,7 +1108,7 @@ static int imx290_probe(struct i2c_clien
+       pm_runtime_enable(dev);
+       pm_runtime_idle(dev);
+-      v4l2_fwnode_endpoint_free(&imx290->ep);
++      v4l2_fwnode_endpoint_free(&ep);
+       return 0;
+@@ -1118,7 +1118,7 @@ free_ctrl:
+       v4l2_ctrl_handler_free(&imx290->ctrls);
+       mutex_destroy(&imx290->lock);
+ free_err:
+-      v4l2_fwnode_endpoint_free(&imx290->ep);
++      v4l2_fwnode_endpoint_free(&ep);
+       return ret;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0827-media-i2c-imx290-Add-support-for-74.25MHz-clock.patch b/target/linux/bcm27xx/patches-5.4/950-0827-media-i2c-imx290-Add-support-for-74.25MHz-clock.patch
new file mode 100644 (file)
index 0000000..87661b7
--- /dev/null
@@ -0,0 +1,264 @@
+From c175c735869b0dfa8c21fc57d5bd02ca370f9117 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 25 Jun 2020 08:28:51 +0100
+Subject: [PATCH] media: i2c: imx290: Add support for 74.25MHz clock
+
+The existing driver only supported a clock of 37.125MHz, but the
+sensor also supports 74.25MHz.
+
+Add the relevant register modifications to support this alternate
+clock frequency.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/imx290.c | 119 ++++++++++++++++++++++++++++++-------
+ 1 file changed, 97 insertions(+), 22 deletions(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -1,6 +1,10 @@
+ // SPDX-License-Identifier: GPL-2.0
+ /*
+- * Sony IMX290 CMOS Image Sensor Driver
++ * Sony IMX290/327 CMOS Image Sensor Driver
++ *
++ * The IMX290 and IMX327 are very similar 1920x1080 1/2.8 CMOS image sensors.
++ * IMX327 can support up to 60fps, whilst IMX290 support up to 120fps (only
++ * 10bit and when connected over 4 CSI-2 lanes).
+  *
+  * Copyright (C) 2019 FRAMOS GmbH.
+  *
+@@ -22,6 +26,11 @@
+ #include <media/v4l2-fwnode.h>
+ #include <media/v4l2-subdev.h>
++enum imx290_clk_index {
++      CLK_37_125,
++      CLK_74_25,
++};
++
+ #define IMX290_STANDBY 0x3000
+ #define IMX290_REGHOLD 0x3001
+ #define IMX290_XMSTA 0x3002
+@@ -60,11 +69,16 @@ struct imx290_mode {
+       const struct imx290_regval *data;
+       u32 data_size;
++
++      /* Clock setup can vary. Index as enum imx290_clk_index */
++      const struct imx290_regval *clk_data[2];
++      u32 clk_size;
+ };
+ struct imx290 {
+       struct device *dev;
+       struct clk *xclk;
++      u32 xclk_freq;
+       struct regmap *regmap;
+       u8 nlanes;
+       u8 bpp;
+@@ -116,8 +130,6 @@ static const struct imx290_regval imx290
+       { 0x3018, 0x65 },
+       { 0x3019, 0x04 },
+       { 0x301a, 0x00 },
+-      { 0x3444, 0x20 },
+-      { 0x3445, 0x25 },
+       { 0x303a, 0x0c },
+       { 0x3040, 0x00 },
+       { 0x3041, 0x00 },
+@@ -171,6 +183,30 @@ static const struct imx290_regval imx290
+       { 0x33b3, 0x04 },
+ };
++static const struct imx290_regval imx290_37_125mhz_clock_1080p[] = {
++      { 0x305c, 0x18 },
++      { 0x305d, 0x03 },
++      { 0x305e, 0x20 },
++      { 0x305f, 0x01 },
++      { 0x315e, 0x1a },
++      { 0x3164, 0x1a },
++      { 0x3444, 0x20 },
++      { 0x3445, 0x25 },
++      { 0x3480, 0x49 },
++};
++
++static const struct imx290_regval imx290_74_250mhz_clock_1080p[] = {
++      { 0x305c, 0x0c },
++      { 0x305d, 0x03 },
++      { 0x305e, 0x10 },
++      { 0x305f, 0x01 },
++      { 0x315e, 0x1b },
++      { 0x3164, 0x1b },
++      { 0x3444, 0x40 },
++      { 0x3445, 0x4a },
++      { 0x3480, 0x92 },
++};
++
+ static const struct imx290_regval imx290_1080p_settings[] = {
+       /* mode settings */
+       { 0x3007, 0x00 },
+@@ -182,13 +218,6 @@ static const struct imx290_regval imx290
+       { 0x3419, 0x04 },
+       { 0x3012, 0x64 },
+       { 0x3013, 0x00 },
+-      { 0x305c, 0x18 },
+-      { 0x305d, 0x03 },
+-      { 0x305e, 0x20 },
+-      { 0x305f, 0x01 },
+-      { 0x315e, 0x1a },
+-      { 0x3164, 0x1a },
+-      { 0x3480, 0x49 },
+       /* data rate settings */
+       { 0x3405, 0x10 },
+       { 0x3446, 0x57 },
+@@ -209,6 +238,30 @@ static const struct imx290_regval imx290
+       { 0x3455, 0x00 },
+ };
++static const struct imx290_regval imx290_37_125mhz_clock_720p[] = {
++      { 0x305c, 0x20 },
++      { 0x305d, 0x00 },
++      { 0x305e, 0x20 },
++      { 0x305f, 0x01 },
++      { 0x315e, 0x1a },
++      { 0x3164, 0x1a },
++      { 0x3444, 0x20 },
++      { 0x3445, 0x25 },
++      { 0x3480, 0x49 },
++};
++
++static const struct imx290_regval imx290_74_250mhz_clock_720p[] = {
++      { 0x305c, 0x10 },
++      { 0x305d, 0x00 },
++      { 0x305e, 0x10 },
++      { 0x305f, 0x01 },
++      { 0x315e, 0x1b },
++      { 0x3164, 0x1b },
++      { 0x3444, 0x40 },
++      { 0x3445, 0x4a },
++      { 0x3480, 0x92 },
++};
++
+ static const struct imx290_regval imx290_720p_settings[] = {
+       /* mode settings */
+       { 0x3007, 0x10 },
+@@ -220,13 +273,6 @@ static const struct imx290_regval imx290
+       { 0x3419, 0x02 },
+       { 0x3012, 0x64 },
+       { 0x3013, 0x00 },
+-      { 0x305c, 0x20 },
+-      { 0x305d, 0x00 },
+-      { 0x305e, 0x20 },
+-      { 0x305f, 0x01 },
+-      { 0x315e, 0x1a },
+-      { 0x3164, 0x1a },
+-      { 0x3480, 0x49 },
+       /* data rate settings */
+       { 0x3405, 0x10 },
+       { 0x3446, 0x4f },
+@@ -312,6 +358,11 @@ static const struct imx290_mode imx290_m
+               .link_freq_index = FREQ_INDEX_1080P,
+               .data = imx290_1080p_settings,
+               .data_size = ARRAY_SIZE(imx290_1080p_settings),
++              .clk_data = {
++                      [CLK_37_125] = imx290_37_125mhz_clock_1080p,
++                      [CLK_74_25] = imx290_74_250mhz_clock_1080p,
++              },
++              .clk_size = ARRAY_SIZE(imx290_37_125mhz_clock_1080p),
+       },
+       {
+               .width = 1280,
+@@ -320,6 +371,11 @@ static const struct imx290_mode imx290_m
+               .link_freq_index = FREQ_INDEX_720P,
+               .data = imx290_720p_settings,
+               .data_size = ARRAY_SIZE(imx290_720p_settings),
++              .clk_data = {
++                      [CLK_37_125] = imx290_37_125mhz_clock_1080p,
++                      [CLK_74_25] = imx290_74_250mhz_clock_1080p,
++              },
++              .clk_size = ARRAY_SIZE(imx290_37_125mhz_clock_1080p),
+       },
+ };
+@@ -331,6 +387,11 @@ static const struct imx290_mode imx290_m
+               .link_freq_index = FREQ_INDEX_1080P,
+               .data = imx290_1080p_settings,
+               .data_size = ARRAY_SIZE(imx290_1080p_settings),
++              .clk_data = {
++                      [CLK_37_125] = imx290_37_125mhz_clock_720p,
++                      [CLK_74_25] = imx290_74_250mhz_clock_720p,
++              },
++              .clk_size = ARRAY_SIZE(imx290_37_125mhz_clock_720p),
+       },
+       {
+               .width = 1280,
+@@ -339,6 +400,11 @@ static const struct imx290_mode imx290_m
+               .link_freq_index = FREQ_INDEX_720P,
+               .data = imx290_720p_settings,
+               .data_size = ARRAY_SIZE(imx290_720p_settings),
++              .clk_data = {
++                      [CLK_37_125] = imx290_37_125mhz_clock_720p,
++                      [CLK_74_25] = imx290_74_250mhz_clock_720p,
++              },
++              .clk_size = ARRAY_SIZE(imx290_37_125mhz_clock_720p),
+       },
+ };
+@@ -712,6 +778,8 @@ static int imx290_set_hmax(struct imx290
+ /* Start streaming */
+ static int imx290_start_streaming(struct imx290 *imx290)
+ {
++      enum imx290_clk_index clk_idx = imx290->xclk_freq == 37125000 ?
++                                      CLK_37_125 : CLK_74_25;
+       int ret;
+       /* Set init register settings */
+@@ -723,6 +791,14 @@ static int imx290_start_streaming(struct
+               return ret;
+       }
++      ret = imx290_set_register_array(imx290,
++                                      imx290->current_mode->clk_data[clk_idx],
++                                      imx290->current_mode->clk_size);
++      if (ret < 0) {
++              dev_err(imx290->dev, "Could not set clock registers\n");
++              return ret;
++      }
++
+       /* Apply the register values related to current frame format */
+       ret = imx290_write_current_format(imx290);
+       if (ret < 0) {
+@@ -939,7 +1015,6 @@ static int imx290_probe(struct i2c_clien
+               .bus_type = V4L2_MBUS_CSI2_DPHY
+       };
+       struct imx290 *imx290;
+-      u32 xclk_freq;
+       s64 fq;
+       int ret;
+@@ -1003,21 +1078,21 @@ static int imx290_probe(struct i2c_clien
+       }
+       ret = fwnode_property_read_u32(dev_fwnode(dev), "clock-frequency",
+-                                     &xclk_freq);
++                                     &imx290->xclk_freq);
+       if (ret) {
+               dev_err(dev, "Could not get xclk frequency\n");
+               goto free_err;
+       }
+       /* external clock must be 37.125 MHz */
+-      if (xclk_freq != 37125000) {
++      if (imx290->xclk_freq != 37125000 && imx290->xclk_freq != 74250000) {
+               dev_err(dev, "External clock frequency %u is not supported\n",
+-                      xclk_freq);
++                      imx290->xclk_freq);
+               ret = -EINVAL;
+               goto free_err;
+       }
+-      ret = clk_set_rate(imx290->xclk, xclk_freq);
++      ret = clk_set_rate(imx290->xclk, imx290->xclk_freq);
+       if (ret) {
+               dev_err(dev, "Could not set xclk frequency\n");
+               goto free_err;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0828-media-i2c-imx290-Correct-range-for-V4L2_CID_GAIN-to-.patch b/target/linux/bcm27xx/patches-5.4/950-0828-media-i2c-imx290-Correct-range-for-V4L2_CID_GAIN-to-.patch
new file mode 100644 (file)
index 0000000..462c88d
--- /dev/null
@@ -0,0 +1,26 @@
+From 6d659cddefb5f9f35160f4b7b8d5a77935480b2d Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 11 Jun 2020 13:41:43 +0100
+Subject: [PATCH] media: i2c: imx290: Correct range for V4L2_CID_GAIN
+ to 0-238
+
+The datasheet lists the gain as being 0.0 to 72.0dB in 0.3dB steps, which
+makes 238 steps total.
+Correct the 0-72 range defined in the driver.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/imx290.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -1124,7 +1124,7 @@ static int imx290_probe(struct i2c_clien
+       v4l2_ctrl_handler_init(&imx290->ctrls, 4);
+       v4l2_ctrl_new_std(&imx290->ctrls, &imx290_ctrl_ops,
+-                        V4L2_CID_GAIN, 0, 72, 1, 0);
++                        V4L2_CID_GAIN, 0, 238, 1, 0);
+       imx290->link_freq =
+               v4l2_ctrl_new_int_menu(&imx290->ctrls, &imx290_ctrl_ops,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0829-media-i2c-imx290-Convert-HMAX-setting-into-V4L2_CID_.patch b/target/linux/bcm27xx/patches-5.4/950-0829-media-i2c-imx290-Convert-HMAX-setting-into-V4L2_CID_.patch
new file mode 100644 (file)
index 0000000..7a0a5f0
--- /dev/null
@@ -0,0 +1,159 @@
+From 116dc059ca9c6b088afba98dde7b679a97ae7198 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 11 Jun 2020 14:36:40 +0100
+Subject: [PATCH] media: i2c: imx290: Convert HMAX setting into
+ V4L2_CID_HBLANK
+
+Userspace needs to know HBLANK if it is to work out exposure times
+and frame rates, therefore convert it to map onto V4L2_CID_HBLANK
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/imx290.c | 66 +++++++++++++++++++++++++-------------
+ 1 file changed, 44 insertions(+), 22 deletions(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -40,6 +40,9 @@ enum imx290_clk_index {
+ #define IMX290_GAIN 0x3014
+ #define IMX290_HMAX_LOW 0x301c
+ #define IMX290_HMAX_HIGH 0x301d
++#define IMX290_HMAX_MIN_2LANE 4400 /* Min of 4400 pixels = 30fps */
++#define IMX290_HMAX_MIN_4LANE 2200 /* Min of 2200 pixels = 60fps */
++#define IMX290_HMAX_MAX 0xffff
+ #define IMX290_PGCTRL 0x308c
+ #define IMX290_PHY_LANE_NUM 0x3407
+ #define IMX290_CSI_LANE_MODE 0x3443
+@@ -82,6 +85,7 @@ struct imx290 {
+       struct regmap *regmap;
+       u8 nlanes;
+       u8 bpp;
++      u16 hmax_min;
+       struct v4l2_subdev sd;
+       struct media_pad pad;
+@@ -94,6 +98,7 @@ struct imx290 {
+       struct v4l2_ctrl_handler ctrls;
+       struct v4l2_ctrl *link_freq;
+       struct v4l2_ctrl *pixel_rate;
++      struct v4l2_ctrl *hblank;
+       struct mutex lock;
+ };
+@@ -518,6 +523,26 @@ static int imx290_set_gain(struct imx290
+       return ret;
+ }
++static int imx290_set_hmax(struct imx290 *imx290, u32 val)
++{
++      u32 hmax = val + imx290->current_mode->width;
++      int ret;
++
++      ret = imx290_write_reg(imx290, IMX290_HMAX_LOW, (hmax & 0xff));
++      if (ret) {
++              dev_err(imx290->dev, "Error setting HMAX register\n");
++              return ret;
++      }
++
++      ret = imx290_write_reg(imx290, IMX290_HMAX_HIGH, ((hmax >> 8) & 0xff));
++      if (ret) {
++              dev_err(imx290->dev, "Error setting HMAX register\n");
++              return ret;
++      }
++
++      return 0;
++}
++
+ /* Stop streaming */
+ static int imx290_stop_streaming(struct imx290 *imx290)
+ {
+@@ -546,6 +571,9 @@ static int imx290_set_ctrl(struct v4l2_c
+       case V4L2_CID_GAIN:
+               ret = imx290_set_gain(imx290, ctrl->val);
+               break;
++      case V4L2_CID_HBLANK:
++              ret = imx290_set_hmax(imx290, ctrl->val);
++              break;
+       case V4L2_CID_TEST_PATTERN:
+               if (ctrl->val) {
+                       imx290_write_reg(imx290, IMX290_BLKLEVEL_LOW, 0x00);
+@@ -702,6 +730,12 @@ static int imx290_set_fmt(struct v4l2_su
+               if (imx290->pixel_rate)
+                       __v4l2_ctrl_s_ctrl_int64(imx290->pixel_rate,
+                                                imx290_calc_pixel_rate(imx290));
++
++              if (imx290->hblank)
++                      __v4l2_ctrl_modify_range(imx290->hblank,
++                                               imx290->hmax_min - mode->width,
++                                               IMX290_HMAX_MAX - mode->width,
++                                               1, mode->hmax - mode->width);
+       }
+       *format = fmt->format;
+@@ -756,25 +790,6 @@ static int imx290_write_current_format(s
+       return 0;
+ }
+-static int imx290_set_hmax(struct imx290 *imx290, u32 val)
+-{
+-      int ret;
+-
+-      ret = imx290_write_reg(imx290, IMX290_HMAX_LOW, (val & 0xff));
+-      if (ret) {
+-              dev_err(imx290->dev, "Error setting HMAX register\n");
+-              return ret;
+-      }
+-
+-      ret = imx290_write_reg(imx290, IMX290_HMAX_HIGH, ((val >> 8) & 0xff));
+-      if (ret) {
+-              dev_err(imx290->dev, "Error setting HMAX register\n");
+-              return ret;
+-      }
+-
+-      return 0;
+-}
+-
+ /* Start streaming */
+ static int imx290_start_streaming(struct imx290 *imx290)
+ {
+@@ -813,9 +828,6 @@ static int imx290_start_streaming(struct
+               dev_err(imx290->dev, "Could not set current mode\n");
+               return ret;
+       }
+-      ret = imx290_set_hmax(imx290, imx290->current_mode->hmax);
+-      if (ret < 0)
+-              return ret;
+       /* Apply customized values from user */
+       ret = v4l2_ctrl_handler_setup(imx290->sd.ctrl_handler);
+@@ -1014,6 +1026,7 @@ static int imx290_probe(struct i2c_clien
+       struct v4l2_fwnode_endpoint ep = {
+               .bus_type = V4L2_MBUS_CSI2_DPHY
+       };
++      const struct imx290_mode *mode;
+       struct imx290 *imx290;
+       s64 fq;
+       int ret;
+@@ -1052,6 +1065,8 @@ static int imx290_probe(struct i2c_clien
+               ret = -EINVAL;
+               goto free_err;
+       }
++      imx290->hmax_min = (imx290->nlanes == 2) ? IMX290_HMAX_MIN_2LANE :
++                                               IMX290_HMAX_MIN_4LANE;
+       dev_dbg(dev, "Using %u data lanes\n", imx290->nlanes);
+@@ -1126,6 +1141,13 @@ static int imx290_probe(struct i2c_clien
+       v4l2_ctrl_new_std(&imx290->ctrls, &imx290_ctrl_ops,
+                         V4L2_CID_GAIN, 0, 238, 1, 0);
++      mode = imx290->current_mode;
++      imx290->hblank = v4l2_ctrl_new_std(&imx290->ctrls, &imx290_ctrl_ops,
++                                         V4L2_CID_HBLANK,
++                                         imx290->hmax_min - mode->width,
++                                         IMX290_HMAX_MAX - mode->width, 1,
++                                         mode->hmax - mode->width);
++
+       imx290->link_freq =
+               v4l2_ctrl_new_int_menu(&imx290->ctrls, &imx290_ctrl_ops,
+                                      V4L2_CID_LINK_FREQ,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0830-media-i2c-imx290-Add-support-for-V4L2_CID_VBLANK.patch b/target/linux/bcm27xx/patches-5.4/950-0830-media-i2c-imx290-Add-support-for-V4L2_CID_VBLANK.patch
new file mode 100644 (file)
index 0000000..daa5d54
--- /dev/null
@@ -0,0 +1,141 @@
+From 12a04a18c7a56dbd2943b6666c7978b09499fc4b Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 11 Jun 2020 18:09:12 +0100
+Subject: [PATCH] media: i2c: imx290: Add support for V4L2_CID_VBLANK
+
+In order to calculate framerate and durations userspace needs
+the vertical blanking information. This can be configurable,
+and indeed the datasheet lists different values for VBLANK for
+the 1080p and 720p modes.
+
+Add the new control, and adopt the datasheet values for each mode.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/imx290.c | 38 ++++++++++++++++++++++++++++++++++++--
+ 1 file changed, 36 insertions(+), 2 deletions(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -38,6 +38,8 @@ enum imx290_clk_index {
+ #define IMX290_BLKLEVEL_LOW 0x300a
+ #define IMX290_BLKLEVEL_HIGH 0x300b
+ #define IMX290_GAIN 0x3014
++#define IMX290_VMAX_LOW 0x3018
++#define IMX290_VMAX_MAX 0x3fff
+ #define IMX290_HMAX_LOW 0x301c
+ #define IMX290_HMAX_HIGH 0x301d
+ #define IMX290_HMAX_MIN_2LANE 4400 /* Min of 4400 pixels = 30fps */
+@@ -68,6 +70,7 @@ struct imx290_mode {
+       u32 width;
+       u32 height;
+       u32 hmax;
++      u32 vmax;
+       u8 link_freq_index;
+       const struct imx290_regval *data;
+@@ -99,6 +102,7 @@ struct imx290 {
+       struct v4l2_ctrl *link_freq;
+       struct v4l2_ctrl *pixel_rate;
+       struct v4l2_ctrl *hblank;
++      struct v4l2_ctrl *vblank;
+       struct mutex lock;
+ };
+@@ -132,8 +136,6 @@ static const char * const imx290_test_pa
+ static const struct imx290_regval imx290_global_init_settings[] = {
+       { 0x3007, 0x00 },
+-      { 0x3018, 0x65 },
+-      { 0x3019, 0x04 },
+       { 0x301a, 0x00 },
+       { 0x303a, 0x0c },
+       { 0x3040, 0x00 },
+@@ -360,6 +362,7 @@ static const struct imx290_mode imx290_m
+               .width = 1920,
+               .height = 1080,
+               .hmax = 0x1130,
++              .vmax = 0x0465,
+               .link_freq_index = FREQ_INDEX_1080P,
+               .data = imx290_1080p_settings,
+               .data_size = ARRAY_SIZE(imx290_1080p_settings),
+@@ -373,6 +376,7 @@ static const struct imx290_mode imx290_m
+               .width = 1280,
+               .height = 720,
+               .hmax = 0x19c8,
++              .vmax = 0x02ee,
+               .link_freq_index = FREQ_INDEX_720P,
+               .data = imx290_720p_settings,
+               .data_size = ARRAY_SIZE(imx290_720p_settings),
+@@ -389,6 +393,7 @@ static const struct imx290_mode imx290_m
+               .width = 1920,
+               .height = 1080,
+               .hmax = 0x0898,
++              .vmax = 0x0465,
+               .link_freq_index = FREQ_INDEX_1080P,
+               .data = imx290_1080p_settings,
+               .data_size = ARRAY_SIZE(imx290_1080p_settings),
+@@ -402,6 +407,7 @@ static const struct imx290_mode imx290_m
+               .width = 1280,
+               .height = 720,
+               .hmax = 0x0ce4,
++              .vmax = 0x02ee,
+               .link_freq_index = FREQ_INDEX_720P,
+               .data = imx290_720p_settings,
+               .data_size = ARRAY_SIZE(imx290_720p_settings),
+@@ -543,6 +549,19 @@ static int imx290_set_hmax(struct imx290
+       return 0;
+ }
++static int imx290_set_vmax(struct imx290 *imx290, u32 val)
++{
++      u32 vmax = val + imx290->current_mode->height;
++      int ret;
++
++      ret = imx290_write_buffered_reg(imx290, IMX290_VMAX_LOW, 3,
++                                      vmax);
++      if (ret)
++              dev_err(imx290->dev, "Unable to write vmax\n");
++
++      return ret;
++}
++
+ /* Stop streaming */
+ static int imx290_stop_streaming(struct imx290 *imx290)
+ {
+@@ -574,6 +593,9 @@ static int imx290_set_ctrl(struct v4l2_c
+       case V4L2_CID_HBLANK:
+               ret = imx290_set_hmax(imx290, ctrl->val);
+               break;
++      case V4L2_CID_VBLANK:
++              ret = imx290_set_vmax(imx290, ctrl->val);
++              break;
+       case V4L2_CID_TEST_PATTERN:
+               if (ctrl->val) {
+                       imx290_write_reg(imx290, IMX290_BLKLEVEL_LOW, 0x00);
+@@ -736,6 +758,12 @@ static int imx290_set_fmt(struct v4l2_su
+                                                imx290->hmax_min - mode->width,
+                                                IMX290_HMAX_MAX - mode->width,
+                                                1, mode->hmax - mode->width);
++              if (imx290->vblank)
++                      __v4l2_ctrl_modify_range(imx290->vblank,
++                                               mode->vmax - mode->height,
++                                               IMX290_VMAX_MAX - mode->height,
++                                               1,
++                                               mode->vmax - mode->height);
+       }
+       *format = fmt->format;
+@@ -1148,6 +1176,12 @@ static int imx290_probe(struct i2c_clien
+                                          IMX290_HMAX_MAX - mode->width, 1,
+                                          mode->hmax - mode->width);
++      imx290->vblank = v4l2_ctrl_new_std(&imx290->ctrls, &imx290_ctrl_ops,
++                                         V4L2_CID_VBLANK,
++                                         mode->vmax - mode->height,
++                                         IMX290_VMAX_MAX - mode->height, 1,
++                                         mode->vmax - mode->height);
++
+       imx290->link_freq =
+               v4l2_ctrl_new_int_menu(&imx290->ctrls, &imx290_ctrl_ops,
+                                      V4L2_CID_LINK_FREQ,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0831-media-i2c-imx290-Add-exposure-control-to-the-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0831-media-i2c-imx290-Add-exposure-control-to-the-driver.patch
new file mode 100644 (file)
index 0000000..86836dc
--- /dev/null
@@ -0,0 +1,93 @@
+From d6c85afca764dc32c3d7981867471fc820e07388 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 11 Jun 2020 18:19:13 +0100
+Subject: [PATCH] media: i2c: imx290: Add exposure control to the
+ driver.
+
+Adds support for V4L2_CID_EXPOSURE so that userspace can control
+the sensor exposure time.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/imx290.c | 35 +++++++++++++++++++++++++++++++++++
+ 1 file changed, 35 insertions(+)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -45,6 +45,10 @@ enum imx290_clk_index {
+ #define IMX290_HMAX_MIN_2LANE 4400 /* Min of 4400 pixels = 30fps */
+ #define IMX290_HMAX_MIN_4LANE 2200 /* Min of 2200 pixels = 60fps */
+ #define IMX290_HMAX_MAX 0xffff
++
++#define IMX290_EXPOSURE_MIN 2
++#define IMX290_EXPOSURE_STEP 1
++#define IMX290_EXPOSURE_LOW 0x3020
+ #define IMX290_PGCTRL 0x308c
+ #define IMX290_PHY_LANE_NUM 0x3407
+ #define IMX290_CSI_LANE_MODE 0x3443
+@@ -103,6 +107,7 @@ struct imx290 {
+       struct v4l2_ctrl *pixel_rate;
+       struct v4l2_ctrl *hblank;
+       struct v4l2_ctrl *vblank;
++      struct v4l2_ctrl *exposure;
+       struct mutex lock;
+ };
+@@ -529,6 +534,20 @@ static int imx290_set_gain(struct imx290
+       return ret;
+ }
++static int imx290_set_exposure(struct imx290 *imx290, u32 value)
++{
++      u32 exposure = (imx290->current_mode->height + imx290->vblank->val) -
++                                              value;
++      int ret;
++
++      ret = imx290_write_buffered_reg(imx290, IMX290_EXPOSURE_LOW, 3,
++                                      exposure);
++      if (ret)
++              dev_err(imx290->dev, "Unable to write exposure\n");
++
++      return ret;
++}
++
+ static int imx290_set_hmax(struct imx290 *imx290, u32 val)
+ {
+       u32 hmax = val + imx290->current_mode->width;
+@@ -590,6 +609,9 @@ static int imx290_set_ctrl(struct v4l2_c
+       case V4L2_CID_GAIN:
+               ret = imx290_set_gain(imx290, ctrl->val);
+               break;
++      case V4L2_CID_EXPOSURE:
++              ret = imx290_set_exposure(imx290, ctrl->val);
++              break;
+       case V4L2_CID_HBLANK:
+               ret = imx290_set_hmax(imx290, ctrl->val);
+               break;
+@@ -764,6 +786,12 @@ static int imx290_set_fmt(struct v4l2_su
+                                                IMX290_VMAX_MAX - mode->height,
+                                                1,
+                                                mode->vmax - mode->height);
++              if (imx290->exposure)
++                      __v4l2_ctrl_modify_range(imx290->exposure,
++                                               mode->vmax - mode->height,
++                                               mode->vmax - 4,
++                                               IMX290_EXPOSURE_STEP,
++                                               mode->vmax - 4);
+       }
+       *format = fmt->format;
+@@ -1182,6 +1210,13 @@ static int imx290_probe(struct i2c_clien
+                                          IMX290_VMAX_MAX - mode->height, 1,
+                                          mode->vmax - mode->height);
++      imx290->exposure = v4l2_ctrl_new_std(&imx290->ctrls, &imx290_ctrl_ops,
++                                           V4L2_CID_EXPOSURE,
++                                           IMX290_EXPOSURE_MIN,
++                                           mode->vmax - 4,
++                                           IMX290_EXPOSURE_STEP,
++                                           mode->vmax - 4);
++
+       imx290->link_freq =
+               v4l2_ctrl_new_int_menu(&imx290->ctrls, &imx290_ctrl_ops,
+                                      V4L2_CID_LINK_FREQ,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0832-media-i2c-imx290-Add-H-and-V-flip-controls.patch b/target/linux/bcm27xx/patches-5.4/950-0832-media-i2c-imx290-Add-H-and-V-flip-controls.patch
new file mode 100644 (file)
index 0000000..b96807b
--- /dev/null
@@ -0,0 +1,83 @@
+From a6048e36f5e5d73a29d1f5096fc77286f8aa949d Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 11 Jun 2020 18:34:16 +0100
+Subject: [PATCH] media: i2c: imx290: Add H and V flip controls
+
+The sensor supports horizontal and vertical flips, so support them
+through V4L2_CID_HFLIP and V4L2_CID_VFLIP.
+
+This sensor does NOT change the Bayer order when changing the
+direction of readout, therefore no special handling is required for
+that.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/imx290.c | 22 ++++++++++++++++++++++
+ 1 file changed, 22 insertions(+)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -34,6 +34,7 @@ enum imx290_clk_index {
+ #define IMX290_STANDBY 0x3000
+ #define IMX290_REGHOLD 0x3001
+ #define IMX290_XMSTA 0x3002
++#define IMX290_FLIP_WINMODE 0x3007
+ #define IMX290_FR_FDG_SEL 0x3009
+ #define IMX290_BLKLEVEL_LOW 0x300a
+ #define IMX290_BLKLEVEL_HIGH 0x300b
+@@ -107,6 +108,8 @@ struct imx290 {
+       struct v4l2_ctrl *pixel_rate;
+       struct v4l2_ctrl *hblank;
+       struct v4l2_ctrl *vblank;
++      struct v4l2_ctrl *hflip;
++      struct v4l2_ctrl *vflip;
+       struct v4l2_ctrl *exposure;
+       struct mutex lock;
+@@ -600,6 +603,7 @@ static int imx290_set_ctrl(struct v4l2_c
+       struct imx290 *imx290 = container_of(ctrl->handler,
+                                            struct imx290, ctrls);
+       int ret = 0;
++      u8 val;
+       /* V4L2 controls values will be applied only when power is already up */
+       if (!pm_runtime_get_if_in_use(imx290->dev))
+@@ -618,6 +622,16 @@ static int imx290_set_ctrl(struct v4l2_c
+       case V4L2_CID_VBLANK:
+               ret = imx290_set_vmax(imx290, ctrl->val);
+               break;
++      case V4L2_CID_HFLIP:
++      case V4L2_CID_VFLIP:
++              /* WINMODE is in bits [6:4], so need to read-modify-write */
++              ret = imx290_read_reg(imx290, IMX290_FLIP_WINMODE, &val);
++              if (ret)
++                      break;
++              val &= ~0x03;
++              val |= imx290->vflip->val | (imx290->hflip->val << 1);
++              ret = imx290_write_reg(imx290, IMX290_FLIP_WINMODE, val);
++              break;
+       case V4L2_CID_TEST_PATTERN:
+               if (ctrl->val) {
+                       imx290_write_reg(imx290, IMX290_BLKLEVEL_LOW, 0x00);
+@@ -924,6 +938,9 @@ static int imx290_set_stream(struct v4l2
+               imx290_stop_streaming(imx290);
+               pm_runtime_put(imx290->dev);
+       }
++      /* vflip and hflip cannot change during streaming */
++      __v4l2_ctrl_grab(imx290->vflip, enable);
++      __v4l2_ctrl_grab(imx290->hflip, enable);
+ unlock_and_return:
+@@ -1217,6 +1234,11 @@ static int imx290_probe(struct i2c_clien
+                                            IMX290_EXPOSURE_STEP,
+                                            mode->vmax - 4);
++      imx290->hflip = v4l2_ctrl_new_std(&imx290->ctrls, &imx290_ctrl_ops,
++                                        V4L2_CID_HFLIP, 0, 1, 1, 0);
++      imx290->vflip = v4l2_ctrl_new_std(&imx290->ctrls, &imx290_ctrl_ops,
++                                        V4L2_CID_VFLIP, 0, 1, 1, 0);
++
+       imx290->link_freq =
+               v4l2_ctrl_new_int_menu(&imx290->ctrls, &imx290_ctrl_ops,
+                                      V4L2_CID_LINK_FREQ,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0833-media-dt-bindings-media-i2c-Add-mono-version-to-IMX2.patch b/target/linux/bcm27xx/patches-5.4/950-0833-media-dt-bindings-media-i2c-Add-mono-version-to-IMX2.patch
new file mode 100644 (file)
index 0000000..50c93f0
--- /dev/null
@@ -0,0 +1,36 @@
+From 05ba3df09751ff516de5d862c98f5a979b51bb56 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 25 Jun 2020 16:52:14 +0100
+Subject: [PATCH] media: dt-bindings: media: i2c: Add mono version to
+ IMX290 bindings
+
+The IMX290 module is available as either monochrome or colour and
+the variant is not detectable at runtime.
+
+Add a new compatible string for the monochrome version.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ Documentation/devicetree/bindings/media/i2c/imx290.txt | 7 ++++---
+ 1 file changed, 4 insertions(+), 3 deletions(-)
+
+--- a/Documentation/devicetree/bindings/media/i2c/imx290.txt
++++ b/Documentation/devicetree/bindings/media/i2c/imx290.txt
+@@ -1,13 +1,14 @@
+ * Sony IMX290 1/2.8-Inch CMOS Image Sensor
+ The Sony IMX290 is a 1/2.8-Inch CMOS Solid-state image sensor with
+-Square Pixel for Color Cameras. It is programmable through I2C and 4-wire
+-interfaces. The sensor output is available via CMOS logic parallel SDR output,
++Square Pixel for Color or Monochrome Cameras. It is programmable through I2C
++and 4-wire interfaces.
++The sensor output is available via CMOS logic parallel SDR output,
+ Low voltage LVDS DDR output and CSI-2 serial data output. The CSI-2 bus is the
+ default. No bindings have been defined for the other busses.
+ Required Properties:
+-- compatible: Should be "sony,imx290"
++- compatible: Should be "sony,imx290", or "sony,imx290-mono"
+ - reg: I2C bus address of the device
+ - clocks: Reference to the xclk clock.
+ - clock-names: Should be "xclk".
diff --git a/target/linux/bcm27xx/patches-5.4/950-0834-media-i2c-imx290-Add-support-for-the-mono-sensor-var.patch b/target/linux/bcm27xx/patches-5.4/950-0834-media-i2c-imx290-Add-support-for-the-mono-sensor-var.patch
new file mode 100644 (file)
index 0000000..f75a220
--- /dev/null
@@ -0,0 +1,185 @@
+From c9f918319593861c4975b229579def5fbd637ad9 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 25 Jun 2020 17:03:11 +0100
+Subject: [PATCH] media : i2c: imx290: Add support for the mono
+ sensor variant.
+
+The IMX290 module is available as either mono or colour (Bayer).
+
+Update the driver so that it can advertise the correct mono
+formats instead of the colour ones.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/imx290.c | 58 +++++++++++++++++++++++++++-----------
+ 1 file changed, 41 insertions(+), 17 deletions(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -1,10 +1,12 @@
+ // SPDX-License-Identifier: GPL-2.0
+ /*
+- * Sony IMX290/327 CMOS Image Sensor Driver
++ * Sony IMX290 & IMX327 CMOS Image Sensor Driver
+  *
+  * The IMX290 and IMX327 are very similar 1920x1080 1/2.8 CMOS image sensors.
+- * IMX327 can support up to 60fps, whilst IMX290 support up to 120fps (only
+- * 10bit and when connected over 4 CSI-2 lanes).
++ * IMX327 can support up to 60fps, whilst IMX290 can support up to 120fps, but
++ * only 10bit and when connected over 4 CSI-2 lanes.
++ * The modules don't appear to have a mechanism to identify whether the mono or
++ * colour variant is connected, therefore it is done via compatible string.
+  *
+  * Copyright (C) 2019 FRAMOS GmbH.
+  *
+@@ -17,6 +19,7 @@
+ #include <linux/gpio/consumer.h>
+ #include <linux/i2c.h>
+ #include <linux/module.h>
++#include <linux/of_device.h>
+ #include <linux/pm_runtime.h>
+ #include <linux/regmap.h>
+ #include <linux/regulator/consumer.h>
+@@ -95,6 +98,8 @@ struct imx290 {
+       u8 bpp;
+       u16 hmax_min;
++      const struct imx290_pixfmt *formats;
++
+       struct v4l2_subdev sd;
+       struct media_pad pad;
+       struct v4l2_mbus_framefmt current_format;
+@@ -120,11 +125,18 @@ struct imx290_pixfmt {
+       u8 bpp;
+ };
+-static const struct imx290_pixfmt imx290_formats[] = {
++#define IMX290_NUM_FORMATS 2
++
++static const struct imx290_pixfmt imx290_colour_formats[IMX290_NUM_FORMATS] = {
+       { MEDIA_BUS_FMT_SRGGB10_1X10, 10 },
+       { MEDIA_BUS_FMT_SRGGB12_1X12, 12 },
+ };
++static const struct imx290_pixfmt imx290_mono_formats[IMX290_NUM_FORMATS] = {
++      { MEDIA_BUS_FMT_Y10_1X10, 10 },
++      { MEDIA_BUS_FMT_Y12_1X12, 12 },
++};
++
+ static const struct regmap_config imx290_regmap_config = {
+       .reg_bits = 16,
+       .val_bits = 8,
+@@ -671,10 +683,12 @@ static int imx290_enum_mbus_code(struct
+                                struct v4l2_subdev_pad_config *cfg,
+                                struct v4l2_subdev_mbus_code_enum *code)
+ {
+-      if (code->index >= ARRAY_SIZE(imx290_formats))
++      const struct imx290 *imx290 = to_imx290(sd);
++
++      if (code->index >= IMX290_NUM_FORMATS)
+               return -EINVAL;
+-      code->code = imx290_formats[code->index].code;
++      code->code = imx290->formats[code->index].code;
+       return 0;
+ }
+@@ -686,8 +700,8 @@ static int imx290_enum_frame_size(struct
+       const struct imx290 *imx290 = to_imx290(sd);
+       const struct imx290_mode *imx290_modes = imx290_modes_ptr(imx290);
+-      if ((fse->code != imx290_formats[0].code) &&
+-          (fse->code != imx290_formats[1].code))
++      if (fse->code != imx290->formats[0].code &&
++          fse->code != imx290->formats[1].code)
+               return -EINVAL;
+       if (fse->index >= imx290_modes_num(imx290))
+@@ -765,14 +779,14 @@ static int imx290_set_fmt(struct v4l2_su
+       fmt->format.width = mode->width;
+       fmt->format.height = mode->height;
+-      for (i = 0; i < ARRAY_SIZE(imx290_formats); i++)
+-              if (imx290_formats[i].code == fmt->format.code)
++      for (i = 0; i < IMX290_NUM_FORMATS; i++)
++              if (imx290->formats[i].code == fmt->format.code)
+                       break;
+-      if (i >= ARRAY_SIZE(imx290_formats))
++      if (i >= IMX290_NUM_FORMATS)
+               i = 0;
+-      fmt->format.code = imx290_formats[i].code;
++      fmt->format.code = imx290->formats[i].code;
+       fmt->format.field = V4L2_FIELD_NONE;
+       if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
+@@ -780,7 +794,7 @@ static int imx290_set_fmt(struct v4l2_su
+       } else {
+               format = &imx290->current_format;
+               imx290->current_mode = mode;
+-              imx290->bpp = imx290_formats[i].bpp;
++              imx290->bpp = imx290->formats[i].bpp;
+               if (imx290->link_freq)
+                       __v4l2_ctrl_s_ctrl(imx290->link_freq,
+@@ -835,6 +849,7 @@ static int imx290_write_current_format(s
+       switch (imx290->current_format.code) {
+       case MEDIA_BUS_FMT_SRGGB10_1X10:
++      case MEDIA_BUS_FMT_Y10_1X10:
+               ret = imx290_set_register_array(imx290, imx290_10bit_settings,
+                                               ARRAY_SIZE(
+                                                       imx290_10bit_settings));
+@@ -844,6 +859,7 @@ static int imx290_write_current_format(s
+               }
+               break;
+       case MEDIA_BUS_FMT_SRGGB12_1X12:
++      case MEDIA_BUS_FMT_Y12_1X12:
+               ret = imx290_set_register_array(imx290, imx290_12bit_settings,
+                                               ARRAY_SIZE(
+                                                       imx290_12bit_settings));
+@@ -1091,6 +1107,12 @@ static s64 imx290_check_link_freqs(const
+       return 0;
+ }
++static const struct of_device_id imx290_of_match[] = {
++      { .compatible = "sony,imx290", .data = imx290_colour_formats },
++      { .compatible = "sony,imx290-mono", .data = imx290_mono_formats },
++      { /* sentinel */ }
++};
++
+ static int imx290_probe(struct i2c_client *client)
+ {
+       struct device *dev = &client->dev;
+@@ -1099,6 +1121,7 @@ static int imx290_probe(struct i2c_clien
+       struct v4l2_fwnode_endpoint ep = {
+               .bus_type = V4L2_MBUS_CSI2_DPHY
+       };
++      const struct of_device_id *match;
+       const struct imx290_mode *mode;
+       struct imx290 *imx290;
+       s64 fq;
+@@ -1115,6 +1138,11 @@ static int imx290_probe(struct i2c_clien
+               return -ENODEV;
+       }
++      match = of_match_device(imx290_of_match, dev);
++      if (!match)
++              return -ENODEV;
++      imx290->formats = (const struct imx290_pixfmt *)match->data;
++
+       endpoint = fwnode_graph_get_next_endpoint(dev_fwnode(dev), NULL);
+       if (!endpoint) {
+               dev_err(dev, "Endpoint node not found\n");
+@@ -1330,10 +1358,6 @@ static int imx290_remove(struct i2c_clie
+       return 0;
+ }
+-static const struct of_device_id imx290_of_match[] = {
+-      { .compatible = "sony,imx290" },
+-      { /* sentinel */ }
+-};
+ MODULE_DEVICE_TABLE(of, imx290_of_match);
+ static struct i2c_driver imx290_i2c_driver = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0835-media-i2c-imx290-Switch-set_hmax-to-use-imx290_write.patch b/target/linux/bcm27xx/patches-5.4/950-0835-media-i2c-imx290-Switch-set_hmax-to-use-imx290_write.patch
new file mode 100644 (file)
index 0000000..7819e70
--- /dev/null
@@ -0,0 +1,43 @@
+From 970208edb92b04eaa329c666e2e91717984c28b6 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 26 Jun 2020 18:11:49 +0100
+Subject: [PATCH] media: i2c: imx290: Switch set_hmax to use
+ imx290_write_buffered_reg
+
+imx290_set_hmax was using two independent writes to set up hmax,
+when all other multi-register writes were using imx290_write_buffered_reg
+which claims the group hold first.
+
+Switch imx290_set_hmax to using imx290_write_buffered_reg too.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/imx290.c | 15 ++++-----------
+ 1 file changed, 4 insertions(+), 11 deletions(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -568,19 +568,12 @@ static int imx290_set_hmax(struct imx290
+       u32 hmax = val + imx290->current_mode->width;
+       int ret;
+-      ret = imx290_write_reg(imx290, IMX290_HMAX_LOW, (hmax & 0xff));
+-      if (ret) {
++      ret = imx290_write_buffered_reg(imx290, IMX290_HMAX_LOW, 2,
++                                      hmax);
++      if (ret)
+               dev_err(imx290->dev, "Error setting HMAX register\n");
+-              return ret;
+-      }
+-      ret = imx290_write_reg(imx290, IMX290_HMAX_HIGH, ((hmax >> 8) & 0xff));
+-      if (ret) {
+-              dev_err(imx290->dev, "Error setting HMAX register\n");
+-              return ret;
+-      }
+-
+-      return 0;
++      return ret;
+ }
+ static int imx290_set_vmax(struct imx290 *imx290, u32 val)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0836-dtoverlays-Add-an-overlay-for-the-Sony-IMX290-image-.patch b/target/linux/bcm27xx/patches-5.4/950-0836-dtoverlays-Add-an-overlay-for-the-Sony-IMX290-image-.patch
new file mode 100644 (file)
index 0000000..f64db00
--- /dev/null
@@ -0,0 +1,236 @@
+From 57250281004e141dff4662d1abb3f31982ec01ee Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 19 May 2020 13:35:17 +0100
+Subject: [PATCH] dtoverlays: Add an overlay for the Sony IMX290
+ image sensor
+
+Adds an overlay to configure the IMX290 image sensor.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |  16 ++
+ arch/arm/boot/dts/overlays/imx290-overlay.dts |  32 ++++
+ .../boot/dts/overlays/imx290_327-overlay.dtsi | 145 ++++++++++++++++++
+ 4 files changed, 194 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/imx290-overlay.dts
+ create mode 100644 arch/arm/boot/dts/overlays/imx290_327-overlay.dtsi
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -85,6 +85,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       i2s-gpio28-31.dtbo \
+       ilitek251x.dtbo \
+       imx219.dtbo \
++      imx290.dtbo \
+       imx477.dtbo \
+       iqaudio-codec.dtbo \
+       iqaudio-dac.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1430,6 +1430,22 @@ Load:   dtoverlay=imx219
+ Params: <None>
++Name:   imx290
++Info:   Sony IMX290 camera module.
++        Uses Unicam 1, which is the standard camera connector on most Pi
++        variants. NB This currently uses 4 CSI2 data lanes and therefore will
++        only work on a CM.
++Load:   dtoverlay=imx290,<param>
++Params: 4lane                   Enable 4 CSI2 lanes. This requires a Compute
++                                Module (1, 3, or 4).
++        clock-frequency         Sets the clock frequency to match that used on
++                                the board.
++                                Modules from Vision Components use 37.125MHz
++                                (the default), whilst those from Innomaker use
++                                74.25MHz.
++        mono                    Denote that the module is a mono sensor.
++
++
+ Name:   imx477
+ Info:   Sony IMX477 camera module.
+         Uses Unicam 1, which is the standard camera connector on most Pi
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/imx290-overlay.dts
+@@ -0,0 +1,32 @@
++// SPDX-License-Identifier: GPL-2.0-only
++// Definitions for IMX290 camera module on VC I2C bus
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/gpio/gpio.h>
++#include "imx290_327-overlay.dtsi"
++
++/{
++      compatible = "brcm,bcm2835";
++
++      // Fragment numbers deliberately high to avoid conflicts with the
++      // included imx290_327 overlay file.
++
++      fragment@101 {
++              target = <&imx290>;
++              __overlay__ {
++                      compatible = "sony,imx290";
++              };
++      };
++
++      fragment@102 {
++              target = <&imx290>;
++              __dormant__ {
++                      compatible = "sony,imx290-mono";
++              };
++      };
++
++      __overrides__ {
++              mono = <0>, "-101+102";
++      };
++};
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/imx290_327-overlay.dtsi
+@@ -0,0 +1,145 @@
++// SPDX-License-Identifier: GPL-2.0-only
++// Partial definitions for IMX290 or IMX327 camera module on VC I2C bus
++// The compatible string should be set in an overlay that then includes this one
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/gpio/gpio.h>
++
++/{
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&i2c_csi_dsi>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      imx290: imx290@1a {
++                              reg = <0x1a>;
++                              status = "okay";
++
++                              clocks = <&imx290_clk>;
++                              clock-names = "xclk";
++                              clock-frequency = <37125000>;
++
++                              vdda-supply = <&imx290_vdda>;   /* 2.8v */
++                              vdddo-supply = <&imx290_vdddo>; /* 1.8v */
++                              vddd-supply = <&imx290_vddd>;   /* 1.5v */
++
++                              port {
++                                      imx290_0: endpoint {
++                                              remote-endpoint = <&csi1_ep>;
++                                              clock-lanes = <0>;
++                                      };
++                              };
++                      };
++              };
++      };
++
++      fragment@1 {
++              target = <&csi1>;
++              __overlay__ {
++                      status = "okay";
++
++                      port {
++                              csi1_ep: endpoint {
++                                      remote-endpoint = <&imx290_0>;
++                              };
++                      };
++              };
++      };
++
++      fragment@2 {
++              target = <&i2c0if>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@3 {
++              target-path="/";
++              __overlay__ {
++                      imx290_vdda: fixedregulator@0 {
++                              compatible = "regulator-fixed";
++                              regulator-name = "imx290_vdda";
++                              regulator-min-microvolt = <2800000>;
++                              regulator-max-microvolt = <2800000>;
++                              gpio = <&gpio 41 GPIO_ACTIVE_HIGH>;
++                              enable-active-high;
++                      };
++                      imx290_vdddo: fixedregulator@1 {
++                              compatible = "regulator-fixed";
++                              regulator-name = "imx290_vdddo";
++                              regulator-min-microvolt = <1800000>;
++                              regulator-max-microvolt = <1800000>;
++                      };
++                      imx290_vddd: fixedregulator@2 {
++                              compatible = "regulator-fixed";
++                              regulator-name = "imx290_vddd";
++                              regulator-min-microvolt = <1500000>;
++                              regulator-max-microvolt = <1500000>;
++                      };
++
++                      imx290_clk: camera-clk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <37125000>;
++                      };
++              };
++      };
++
++      fragment@4 {
++              target = <&i2c0mux>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@5 {
++              target-path="/__overrides__";
++              __overlay__ {
++                      cam0-pwdn-ctrl = <&imx290_vdda>,"gpio:0";
++                      cam0-pwdn      = <&imx290_vdda>,"gpio:4";
++              };
++      };
++
++      fragment@6 {
++              target = <&imx290_0>;
++              __overlay__ {
++                      data-lanes = <1 2>;
++                      link-frequencies =
++                              /bits/ 64 <445500000 297000000>;
++              };
++      };
++
++      fragment@7 {
++              target = <&imx290_0>;
++              __dormant__ {
++                      data-lanes = <1 2 3 4>;
++                      link-frequencies =
++                              /bits/ 64 <222750000 148500000>;
++              };
++      };
++
++      fragment@8 {
++              target = <&csi1_ep>;
++              __overlay__ {
++                      data-lanes = <1 2>;
++              };
++      };
++
++      fragment@9 {
++              target = <&csi1_ep>;
++              __dormant__ {
++                      data-lanes = <1 2 3 4>;
++              };
++      };
++
++      __overrides__ {
++              4lane = <0>, "-6+7-8+9";
++              clock-frequency = <&imx290_clk>,"clock-frequency:0",
++                                <&imx290>,"clock-frequency:0";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0837-vc4_hdmi-Set-HD_CTL_WHOLSMP-and-HD_CTL_CHALIGN_SET.patch b/target/linux/bcm27xx/patches-5.4/950-0837-vc4_hdmi-Set-HD_CTL_WHOLSMP-and-HD_CTL_CHALIGN_SET.patch
new file mode 100644 (file)
index 0000000..859a560
--- /dev/null
@@ -0,0 +1,38 @@
+From c69a53be3b5f6f7cba0ac6a5461d4f342f7e9c41 Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Tue, 23 Jun 2020 18:37:01 +0100
+Subject: [PATCH] vc4_hdmi: Set HD_CTL_WHOLSMP and HD_CTL_CHALIGN_SET
+
+Symptom is random switching of speakers when using multichannel.
+
+Repeatedly running speakertest -c8 occasionally starts with
+channels jumbled. This is fixed with HD_CTL_WHOLSMP.
+
+The other bit looks beneficial and apears harmless in testing so
+I'd suggest adding it too.
+
+Documentation says: HD_CTL_WHILSMP_SET
+Wait for whole sample. When this bit is set MAI transmit will start
+only when there is at least one whole sample available in the fifo.
+
+Documentation says: HD_CTL_CHALIGN_SET
+Channel Align When Overflow. This bit is used to realign the audio channels in case of an overflow.
+If this bit is set, after the detection of an overflow, equal amount of dummy words to the missing
+words will be written to fifo, filling up the broken sample and maintaining alignment.
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 2 ++
+ 1 file changed, 2 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1002,6 +1002,8 @@ static int vc4_hdmi_audio_trigger(struct
+               HDMI_WRITE(HDMI_MAI_CTL,
+                        VC4_SET_FIELD(vc4_hdmi->audio.channels,
+                                      VC4_HD_MAI_CTL_CHNUM) |
++                                     VC4_HD_MAI_CTL_WHOLSMP |
++                                     VC4_HD_MAI_CTL_CHALIGN |
+                        VC4_HD_MAI_CTL_ENABLE);
+               break;
+       case SNDRV_PCM_TRIGGER_STOP:
diff --git a/target/linux/bcm27xx/patches-5.4/950-0838-staging-vc04_services-isp-Fixup-g-s_selection-implem.patch b/target/linux/bcm27xx/patches-5.4/950-0838-staging-vc04_services-isp-Fixup-g-s_selection-implem.patch
new file mode 100644 (file)
index 0000000..fd24c87
--- /dev/null
@@ -0,0 +1,129 @@
+From 7ec008222fcb4682fa2cfdbb62e657bf98950ec5 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Tue, 19 May 2020 15:56:47 +0100
+Subject: [PATCH] staging: vc04_services: isp: Fixup g/s_selection
+ implementation
+
+Add V4L2_SEL_TGT_CROP_DEFAULT and V4L2_SEL_TGT_CROP_BOUND targets.
+Disable the appropriate ioctls for the meta capture nodes - this now
+passes v4l2-compliance tests.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ .../bcm2835-isp/bcm2835-v4l2-isp.c            | 84 ++++++++++++-------
+ 1 file changed, 55 insertions(+), 29 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
+@@ -1006,15 +1006,32 @@ static int bcm2835_isp_node_s_selection(
+       if (!s->r.width || !s->r.height)
+               return -EINVAL;
+-      /* Adjust the crop window if goes outside the frame dimensions. */
+-      s->r.left = min((unsigned int)max(s->r.left, 0),
+-                      node->q_data.width - MIN_DIM);
+-      s->r.top = min((unsigned int)max(s->r.top, 0),
+-                     node->q_data.height - MIN_DIM);
+-      s->r.width = max(min(s->r.width, node->q_data.width - s->r.left),
+-                       MIN_DIM);
+-      s->r.height = max(min(s->r.height, node->q_data.height - s->r.top),
+-                        MIN_DIM);
++      /* We can only set crop on the input. */
++      switch (s->target) {
++      case V4L2_SEL_TGT_CROP:
++              /*
++               * Adjust the crop window if it goes outside of the frame
++               * dimensions.
++               */
++              s->r.left = min((unsigned int)max(s->r.left, 0),
++                              node->q_data.width - MIN_DIM);
++              s->r.top = min((unsigned int)max(s->r.top, 0),
++                             node->q_data.height - MIN_DIM);
++              s->r.width = max(min(s->r.width,
++                                   node->q_data.width - s->r.left), MIN_DIM);
++              s->r.height = max(min(s->r.height,
++                                    node->q_data.height - s->r.top), MIN_DIM);
++              break;
++      case V4L2_SEL_TGT_CROP_DEFAULT:
++              /* Default (i.e. no) crop window. */
++              s->r.left = 0;
++              s->r.top = 0;
++              s->r.width = node->q_data.width;
++              s->r.height = node->q_data.height;
++              break;
++      default:
++              return -EINVAL;
++      }
+       crop.rect.x = s->r.left;
+       crop.rect.y = s->r.top;
+@@ -1029,33 +1046,40 @@ static int bcm2835_isp_node_s_selection(
+ static int bcm2835_isp_node_g_selection(struct file *file, void *fh,
+                                       struct v4l2_selection *s)
+ {
++      struct mmal_parameter_crop crop;
+       struct bcm2835_isp_node *node = video_drvdata(file);
+-      struct bcm2835_isp_dev *dev = node_get_dev(node);
+       struct vchiq_mmal_port *port = get_port_data(node);
+-      struct mmal_parameter_crop crop;
++      struct bcm2835_isp_dev *dev = node_get_dev(node);
+       u32 crop_size = sizeof(crop);
+       int ret;
+-      /* This return value is required for V4L2 compliance. */
+-      if (node_is_stats(node))
+-              return -ENOTTY;
+-
+       /* We can only return out an input crop. */
+-      if (s->target != V4L2_SEL_TGT_CROP)
+-              return -EINVAL;
+-
+-      ret = vchiq_mmal_port_parameter_get(dev->mmal_instance, port,
+-                                          MMAL_PARAMETER_CROP,
+-                                          &crop, &crop_size);
+-      if (!ret)
+-              return -EINVAL;
+-
+-      s->r.left = crop.rect.x;
+-      s->r.top = crop.rect.y;
+-      s->r.width = crop.rect.width;
+-      s->r.height = crop.rect.height;
++      switch (s->target) {
++      case V4L2_SEL_TGT_CROP:
++              ret = vchiq_mmal_port_parameter_get(dev->mmal_instance, port,
++                                                  MMAL_PARAMETER_CROP,
++                                                  &crop, &crop_size);
++              if (!ret) {
++                      s->r.left = crop.rect.x;
++                      s->r.top = crop.rect.y;
++                      s->r.width = crop.rect.width;
++                      s->r.height = crop.rect.height;
++              }
++              break;
++      case V4L2_SEL_TGT_CROP_DEFAULT:
++      case V4L2_SEL_TGT_CROP_BOUNDS:
++              /* Default (i.e. no) crop window. */
++              s->r.left = 0;
++              s->r.top = 0;
++              s->r.width = node->q_data.width;
++              s->r.height = node->q_data.height;
++              ret = 0;
++              break;
++      default:
++              ret =  -EINVAL;
++      }
+-      return 0;
++      return ret;
+ }
+ static int bcm3285_isp_subscribe_event(struct v4l2_fh *fh,
+@@ -1218,6 +1242,8 @@ static int register_node(struct bcm2835_
+               node->vfl_dir = VFL_DIR_RX;
+               node->name = "stats";
+               v4l2_disable_ioctl(&node->vfd, VIDIOC_S_CTRL);
++              v4l2_disable_ioctl(&node->vfd, VIDIOC_S_SELECTION);
++              v4l2_disable_ioctl(&node->vfd, VIDIOC_G_SELECTION);
+               break;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0839-staging-vc04_services-isp-Reorder-operations-during-.patch b/target/linux/bcm27xx/patches-5.4/950-0839-staging-vc04_services-isp-Reorder-operations-during-.patch
new file mode 100644 (file)
index 0000000..3300ed4
--- /dev/null
@@ -0,0 +1,92 @@
+From af066bde5a442efd50868ffed1aad30190400c91 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Tue, 19 May 2020 15:57:08 +0100
+Subject: [PATCH] staging: vc04_services: isp: Reorder operations
+ during device probe
+
+Register the video node at the end of the probe, swapping order with
+registering the controls.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ .../bcm2835-isp/bcm2835-v4l2-isp.c            | 48 ++++++++++++-------
+ 1 file changed, 32 insertions(+), 16 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
+@@ -1295,21 +1295,6 @@ static int register_node(struct bcm2835_
+       }
+       node->queue_init = true;
+-      /* Define the device names */
+-      snprintf(vfd->name, sizeof(node->vfd.name), "%s-%s%d", BCM2835_ISP_NAME,
+-               node->name, node->id);
+-
+-      ret = video_register_device(vfd, VFL_TYPE_GRABBER, video_nr + index);
+-      if (ret) {
+-              v4l2_err(&dev->v4l2_dev,
+-                       "Failed to register video %s[%d] device node\n",
+-                       node->name, node->id);
+-              return ret;
+-      }
+-
+-      node->registered = true;
+-      video_set_drvdata(vfd, node);
+-
+       /* Set some controls and defaults, but only on the VIDEO_OUTPUT node. */
+       if (node_is_output(node)) {
+               unsigned int i;
+@@ -1324,7 +1309,12 @@ static int register_node(struct bcm2835_
+                       .step           = 1,
+               };
+-              v4l2_ctrl_handler_init(&dev->ctrl_handler, 4);
++              ret = v4l2_ctrl_handler_init(&dev->ctrl_handler, 12);
++              if (ret) {
++                      v4l2_err(&dev->v4l2_dev, "ctrl_handler init failed (%d)\n",
++                               ret);
++                      return ret;
++              }
+               dev->r_gain = 1000;
+               dev->b_gain = 1000;
+@@ -1350,13 +1340,39 @@ static int register_node(struct bcm2835_
+               }
+               node->vfd.ctrl_handler = &dev->ctrl_handler;
++              if (dev->ctrl_handler.error) {
++                      ret = dev->ctrl_handler.error;
++                      v4l2_err(&dev->v4l2_dev, "controls init failed (%d)\n",
++                               ret);
++                      v4l2_ctrl_handler_free(&dev->ctrl_handler);
++                      goto ctrl_cleanup;
++              }
+       }
++      /* Define the device names */
++      snprintf(vfd->name, sizeof(node->vfd.name), "%s-%s%d", BCM2835_ISP_NAME,
++               node->name, node->id);
++
++      ret = video_register_device(vfd, VFL_TYPE_GRABBER, video_nr + index);
++      if (ret) {
++              v4l2_err(&dev->v4l2_dev,
++                       "Failed to register video %s[%d] device node\n",
++                       node->name, node->id);
++              goto ctrl_cleanup;
++      }
++
++      node->registered = true;
++      video_set_drvdata(vfd, node);
++
+       v4l2_info(&dev->v4l2_dev,
+                 "Device node %s[%d] registered as /dev/video%d\n",
+                 node->name, node->id, vfd->num);
+       return 0;
++
++ctrl_cleanup:
++      v4l2_ctrl_handler_free(&dev->ctrl_handler);
++      return ret;
+ }
+ /* Unregister one of the /dev/video<N> nodes associated with the ISP. */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0840-uapi-bcm2835-isp-Fixups-for-bcm2835-isp-uapi-structu.patch b/target/linux/bcm27xx/patches-5.4/950-0840-uapi-bcm2835-isp-Fixups-for-bcm2835-isp-uapi-structu.patch
new file mode 100644 (file)
index 0000000..e3ee0d9
--- /dev/null
@@ -0,0 +1,34 @@
+From b1a21b378ea123942db1d07007a040c5e89b23aa Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Tue, 19 May 2020 15:57:23 +0100
+Subject: [PATCH] uapi: bcm2835-isp: Fixups for bcm2835-isp uapi
+ structures
+
+Rename pad_[] to padding[].
+struct bcm2835_isp_rational.den is now unsigned.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ include/uapi/linux/bcm2835-isp.h | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/include/uapi/linux/bcm2835-isp.h
++++ b/include/uapi/linux/bcm2835-isp.h
+@@ -46,7 +46,7 @@
+  */
+ struct bcm2835_isp_rational {
+       __s32 num;
+-      __s32 den;
++      __u32 den;
+ };
+ /**
+@@ -140,7 +140,7 @@ struct bcm2835_isp_black_level {
+       __u16 black_level_r;
+       __u16 black_level_g;
+       __u16 black_level_b;
+-      __u8 pad_[2]; /* Unused */
++      __u8 padding[2]; /* Unused */
+ };
+ /**
diff --git a/target/linux/bcm27xx/patches-5.4/950-0841-ARM-dts-Add-Bluetooth-nodes-for-Raspberry-Pi.patch b/target/linux/bcm27xx/patches-5.4/950-0841-ARM-dts-Add-Bluetooth-nodes-for-Raspberry-Pi.patch
new file mode 100644 (file)
index 0000000..fd66e65
--- /dev/null
@@ -0,0 +1,283 @@
+From c46a59c99e53ab41096afcf7f7879bb12769346b Mon Sep 17 00:00:00 2001
+From: Maxim Mikityanskiy <maxtram95@gmail.com>
+Date: Sat, 27 Jun 2020 13:08:26 +0300
+Subject: [PATCH] ARM: dts: Add Bluetooth nodes for Raspberry Pi
+
+Add device tree nodes for Bluetooth on supported Raspberry Pi boards.
+It's disabled by default and can be enabled by `krnbt=on` dtparam. It's
+an alternative way of configuring Bluetooth, as compared to hciattach or
+btattach. When the dtparam is enabled, the Bluetooth driver is probed
+automatically and doesn't require any additional bring-up scripts.
+
+Note that Raspberry Pi 3 B rev 1.2 doesn't have the required hardware
+flow control pins of UART0 connected to the Bluetooth module, so the
+user should decrease the baudrate by passing `krnbt_baudrate=921600`
+dtparam to make it more stable. It resembles the behavior of the btuart
+script from Raspbian.
+
+The miniuart-bt overlay was modified to support Bluetooth probing with
+device tree, too. It's disabled by default and can be enabled by
+`krnbt=on` parameter of the miniuart-bt overlay.
+
+Signed-off-by: Maxim Mikityanskiy <maxtram95@gmail.com>
+---
+ arch/arm/boot/dts/bcm2708-rpi-bt.dtsi         | 26 +++++++++++++++++++
+ arch/arm/boot/dts/bcm2708-rpi-zero-w.dts      |  1 +
+ arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts    |  1 +
+ arch/arm/boot/dts/bcm2710-rpi-3-b.dts         |  1 +
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts         |  3 +--
+ arch/arm/boot/dts/bcm271x-rpi-bt.dtsi         | 26 +++++++++++++++++++
+ arch/arm/boot/dts/overlays/README             | 12 +++++++--
+ .../boot/dts/overlays/disable-bt-overlay.dts  | 13 ++++++++--
+ .../boot/dts/overlays/miniuart-bt-overlay.dts | 21 ++++++++++++---
+ 9 files changed, 94 insertions(+), 10 deletions(-)
+ create mode 100644 arch/arm/boot/dts/bcm2708-rpi-bt.dtsi
+ create mode 100644 arch/arm/boot/dts/bcm271x-rpi-bt.dtsi
+
+--- /dev/null
++++ b/arch/arm/boot/dts/bcm2708-rpi-bt.dtsi
+@@ -0,0 +1,26 @@
++// SPDX-License-Identifier: GPL-2.0
++
++&uart0 {
++      bt: bluetooth {
++              compatible = "brcm,bcm43438-bt";
++              max-speed = <3000000>;
++              shutdown-gpios = <&gpio 45 GPIO_ACTIVE_HIGH>;
++              status = "disabled";
++      };
++};
++
++&uart1 {
++      minibt: bluetooth {
++              compatible = "brcm,bcm43438-bt";
++              max-speed = <460800>;
++              shutdown-gpios = <&gpio 45 GPIO_ACTIVE_HIGH>;
++              status = "disabled";
++      };
++};
++
++/ {
++      __overrides__ {
++              krnbt = <&bt>,"status";
++              krnbt_baudrate = <&bt>,"max-speed:0";
++      };
++};
+--- a/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
+@@ -4,6 +4,7 @@
+ #include "bcm2708-rpi.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
+ #include "bcm283x-rpi-i2c0mux_0_28.dtsi"
++#include "bcm2708-rpi-bt.dtsi"
+ / {
+       compatible = "raspberrypi,model-zero-w", "brcm,bcm2835";
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
+@@ -5,6 +5,7 @@
+ #include "bcm283x-rpi-lan7515.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
+ #include "bcm283x-rpi-i2c0mux_0_44.dtsi"
++#include "bcm271x-rpi-bt.dtsi"
+ / {
+       compatible = "raspberrypi,3-model-b-plus", "brcm,bcm2837";
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
+@@ -5,6 +5,7 @@
+ #include "bcm283x-rpi-smsc9514.dtsi"
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
+ #include "bcm283x-rpi-i2c0mux_0_44.dtsi"
++#include "bcm271x-rpi-bt.dtsi"
+ / {
+       compatible = "raspberrypi,3-model-b", "brcm,bcm2837";
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -182,6 +182,7 @@
+ // Downstream rpi- changes
+ #include "bcm270x.dtsi"
++#include "bcm271x-rpi-bt.dtsi"
+ / {
+       soc {
+@@ -250,8 +251,6 @@
+ &uart0 {
+       pinctrl-0 = <&uart0_pins &bt_pins>;
+       status = "okay";
+-
+-      /delete-node/ bluetooth;
+ };
+ &uart1 {
+--- /dev/null
++++ b/arch/arm/boot/dts/bcm271x-rpi-bt.dtsi
+@@ -0,0 +1,26 @@
++// SPDX-License-Identifier: GPL-2.0
++
++&uart0 {
++      bt: bluetooth {
++              compatible = "brcm,bcm43438-bt";
++              max-speed = <3000000>;
++              shutdown-gpios = <&expgpio 0 GPIO_ACTIVE_HIGH>;
++              status = "disabled";
++      };
++};
++
++&uart1 {
++      minibt: bluetooth {
++              compatible = "brcm,bcm43438-bt";
++              max-speed = <460800>;
++              shutdown-gpios = <&expgpio 0 GPIO_ACTIVE_HIGH>;
++              status = "disabled";
++      };
++};
++
++/ {
++      __overrides__ {
++              krnbt = <&bt>,"status";
++              krnbt_baudrate = <&bt>,"max-speed:0";
++      };
++};
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -162,6 +162,13 @@ Params:
+         i2s                     Set to "on" to enable the i2s interface
+                                 (default "off")
++        krnbt                   Set to "on" to enable autoprobing of Bluetooth
++                                driver without need of hciattach/btattach
++                                (default "off")
++
++        krnbt_baudrate          Set the baudrate of the PL011 UART when used
++                                with krnbt=on
++
+         spi                     Set to "on" to enable the spi interfaces
+                                 (default "off")
+@@ -1764,8 +1771,9 @@ Info:   Switch the onboard Bluetooth fun
+         in which case use /dev/serial1 instead because it will always be
+         correct. Furthermore, you must also set core_freq and core_freq_min to
+         the same value in config.txt or the miniuart will not work.
+-Load:   dtoverlay=miniuart-bt
+-Params: <None>
++Load:   dtoverlay=miniuart-bt,<param>=<val>
++Params: krnbt                   Set to "on" to enable autoprobing of Bluetooth
++                                driver without need of hciattach/btattach
+ Name:   mmc
+--- a/arch/arm/boot/dts/overlays/disable-bt-overlay.dts
++++ b/arch/arm/boot/dts/overlays/disable-bt-overlay.dts
+@@ -8,6 +8,8 @@
+        sudo systemctl disable hciuart
+ */
++#include <dt-bindings/gpio/gpio.h>
++
+ /{
+       compatible = "brcm,bcm2835";
+@@ -28,6 +30,13 @@
+       };
+       fragment@2 {
++              target = <&bt>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@3 {
+               target = <&uart0_pins>;
+               __overlay__ {
+                       brcm,pins;
+@@ -36,7 +45,7 @@
+               };
+       };
+-      fragment@3 {
++      fragment@4 {
+               target = <&bt_pins>;
+               __overlay__ {
+                       brcm,pins;
+@@ -45,7 +54,7 @@
+               };
+       };
+-      fragment@4 {
++      fragment@5 {
+               target-path = "/aliases";
+               __overlay__ {
+                       serial0 = "/soc/serial@7e201000";
+--- a/arch/arm/boot/dts/overlays/miniuart-bt-overlay.dts
++++ b/arch/arm/boot/dts/overlays/miniuart-bt-overlay.dts
+@@ -15,6 +15,8 @@
+    this overlay is used.
+ */
++#include <dt-bindings/gpio/gpio.h>
++
+ /{
+       compatible = "brcm,bcm2835";
+@@ -28,6 +30,13 @@
+       };
+       fragment@1 {
++              target = <&bt>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@2 {
+               target = <&uart1>;
+               __overlay__ {
+                       pinctrl-names = "default";
+@@ -36,7 +45,7 @@
+               };
+       };
+-      fragment@2 {
++      fragment@3 {
+               target = <&uart0_pins>;
+               __overlay__ {
+                       brcm,pins;
+@@ -45,7 +54,7 @@
+               };
+       };
+-      fragment@3 {
++      fragment@4 {
+               target = <&uart1_pins>;
+               __overlay__ {
+                       brcm,pins = <32 33>;
+@@ -54,7 +63,7 @@
+               };
+       };
+-      fragment@4 {
++      fragment@5 {
+               target = <&gpio>;
+               __overlay__ {
+                       fake_bt_cts: fake_bt_cts {
+@@ -64,11 +73,15 @@
+               };
+       };
+-      fragment@5 {
++      fragment@6 {
+               target-path = "/aliases";
+               __overlay__ {
+                       serial0 = "/soc/serial@7e201000";
+                       serial1 = "/soc/serial@7e215040";
+               };
+       };
++
++      __overrides__ {
++              krnbt = <&minibt>,"status";
++      };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0842-drm-vc4-Allow-interlaced-HDMI-modes-from-FKMS.patch b/target/linux/bcm27xx/patches-5.4/950-0842-drm-vc4-Allow-interlaced-HDMI-modes-from-FKMS.patch
new file mode 100644 (file)
index 0000000..8cb8531
--- /dev/null
@@ -0,0 +1,37 @@
+From 354bf89fd678a6da6256a6ac37440c27c3b62e80 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 30 Jun 2020 18:04:13 +0100
+Subject: [PATCH] drm/vc4: Allow interlaced HDMI modes from FKMS.
+
+Having checked the firmware handling for interlaced modes,
+it appears to be possible to support interlaced modes on
+HDMI without adverse side effects, so do so.
+
+https://github.com/raspberrypi/linux/issues/3694
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 5 ++++-
+ 1 file changed, 4 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -936,6 +936,9 @@ static void vc4_crtc_mode_set_nofb(struc
+               break;
+       }
++      if (mode->flags & DRM_MODE_FLAG_INTERLACE)
++              mb.timings.flags |= TIMINGS_FLAGS_INTERLACE;
++
+       mb.timings.video_id_code = frame.avi.video_code;
+       if (!vc4_encoder->hdmi_monitor) {
+@@ -1632,7 +1635,7 @@ vc4_fkms_connector_init(struct drm_devic
+                                  DRM_MODE_CONNECTOR_HDMIA);
+               drm_connector_helper_add(connector,
+                                        &vc4_fkms_connector_helper_funcs);
+-              connector->interlace_allowed = 0;
++              connector->interlace_allowed = 1;
+       }
+       ret = drm_mode_create_tv_margin_properties(dev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0843-serial-8250-bcm2835aux-defer-if-clock-is-zero.patch b/target/linux/bcm27xx/patches-5.4/950-0843-serial-8250-bcm2835aux-defer-if-clock-is-zero.patch
new file mode 100644 (file)
index 0000000..b421ba9
--- /dev/null
@@ -0,0 +1,28 @@
+From 80163961af8e31a2045271c5a12adaae620445e1 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 2 Jul 2020 13:53:20 +0100
+Subject: [PATCH] serial: 8250: bcm2835aux - defer if clock is zero
+
+See: https://github.com/raspberrypi/linux/issues/3700
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/tty/serial/8250/8250_bcm2835aux.c | 7 +++++++
+ 1 file changed, 7 insertions(+)
+
+--- a/drivers/tty/serial/8250/8250_bcm2835aux.c
++++ b/drivers/tty/serial/8250/8250_bcm2835aux.c
+@@ -92,6 +92,13 @@ static int bcm2835aux_serial_probe(struc
+        */
+       data->uart.port.uartclk = clk_get_rate(data->clk) * 2;
++      /* The clock is only queried at probe time, which means we get one shot
++       * at this. A zero clock is never going to work and is almost certainly
++       * due to a parent not being ready, so prefer to defer.
++       */
++      if (!data->uart.port.uartclk)
++          return -EPROBE_DEFER;
++
+       /* register the port */
+       ret = serial8250_register_8250_port(&data->uart);
+       if (ret < 0) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0844-media-v4l-Add-14-bit-raw-bayer-pixel-formats.patch b/target/linux/bcm27xx/patches-5.4/950-0844-media-v4l-Add-14-bit-raw-bayer-pixel-formats.patch
new file mode 100644 (file)
index 0000000..1bb9a93
--- /dev/null
@@ -0,0 +1,151 @@
+From 59a535539277240c4bdbb9c21bc07c8b586b2c3a Mon Sep 17 00:00:00 2001
+From: Sakari Ailus <sakari.ailus@linux.intel.com>
+Date: Mon, 24 Feb 2020 18:52:20 +0100
+Subject: [PATCH] media: v4l: Add 14-bit raw bayer pixel formats
+MIME-Version: 1.0
+Content-Type: text/plain; charset=UTF-8
+Content-Transfer-Encoding: 8bit
+
+Commit d12127ed0e18192491c2508caae45bb19c2f8fdd upstream.
+
+The formats added by this patch are:
+
+       V4L2_PIX_FMT_SBGGR14
+       V4L2_PIX_FMT_SGBRG14
+       V4L2_PIX_FMT_SGRBG14
+       V4L2_PIX_FMT_SRGGB14
+
+Signed-off-by: Jouni Ukkonen <jouni.ukkonen@intel.com>
+Signed-off-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+[dg@emlix.com: rebased onto current media_tree]
+Signed-off-by: Daniel Glöckner <dg@emlix.com>
+Signed-off-by: Hans Verkuil <hans.verkuil@cisco.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ Documentation/media/uapi/v4l/pixfmt-bayer.rst |  1 +
+ .../media/uapi/v4l/pixfmt-srggb14.rst         | 82 +++++++++++++++++++
+ drivers/media/v4l2-core/v4l2-ioctl.c          |  4 +
+ include/uapi/linux/videodev2.h                |  4 +
+ 4 files changed, 91 insertions(+)
+ create mode 100644 Documentation/media/uapi/v4l/pixfmt-srggb14.rst
+
+--- a/Documentation/media/uapi/v4l/pixfmt-bayer.rst
++++ b/Documentation/media/uapi/v4l/pixfmt-bayer.rst
+@@ -34,5 +34,6 @@ orders. See also `the Wikipedia article
+     pixfmt-srggb10-ipu3
+     pixfmt-srggb12
+     pixfmt-srggb12p
++    pixfmt-srggb14
+     pixfmt-srggb14p
+     pixfmt-srggb16
+--- /dev/null
++++ b/Documentation/media/uapi/v4l/pixfmt-srggb14.rst
+@@ -0,0 +1,82 @@
++.. Permission is granted to copy, distribute and/or modify this
++.. document under the terms of the GNU Free Documentation License,
++.. Version 1.1 or any later version published by the Free Software
++.. Foundation, with no Invariant Sections, no Front-Cover Texts
++.. and no Back-Cover Texts. A copy of the license is included at
++.. Documentation/media/uapi/fdl-appendix.rst.
++..
++.. TODO: replace it to GFDL-1.1-or-later WITH no-invariant-sections
++
++.. _V4L2-PIX-FMT-SRGGB14:
++.. _v4l2-pix-fmt-sbggr14:
++.. _v4l2-pix-fmt-sgbrg14:
++.. _v4l2-pix-fmt-sgrbg14:
++
++
++***************************************************************************************************************************
++V4L2_PIX_FMT_SRGGB14 ('RG14'), V4L2_PIX_FMT_SGRBG14 ('GR14'), V4L2_PIX_FMT_SGBRG14 ('GB14'), V4L2_PIX_FMT_SBGGR14 ('BG14'),
++***************************************************************************************************************************
++
++
++14-bit Bayer formats expanded to 16 bits
++
++
++Description
++===========
++
++These four pixel formats are raw sRGB / Bayer formats with 14 bits per
++colour. Each sample is stored in a 16-bit word, with two unused high
++bits filled with zeros. Each n-pixel row contains n/2 green samples
++and n/2 blue or red samples, with alternating red and blue rows. Bytes
++are stored in memory in little endian order. They are conventionally
++described as GRGR... BGBG..., RGRG... GBGB..., etc. Below is an
++example of a small V4L2_PIX_FMT_SBGGR14 image:
++
++**Byte Order.**
++Each cell is one byte, the two most significant bits in the high bytes are
++zero.
++
++
++
++.. flat-table::
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       2 1 1 1 1 1 1 1 1
++
++
++    * - start + 0:
++      - B\ :sub:`00low`
++      - B\ :sub:`00high`
++      - G\ :sub:`01low`
++      - G\ :sub:`01high`
++      - B\ :sub:`02low`
++      - B\ :sub:`02high`
++      - G\ :sub:`03low`
++      - G\ :sub:`03high`
++    * - start + 8:
++      - G\ :sub:`10low`
++      - G\ :sub:`10high`
++      - R\ :sub:`11low`
++      - R\ :sub:`11high`
++      - G\ :sub:`12low`
++      - G\ :sub:`12high`
++      - R\ :sub:`13low`
++      - R\ :sub:`13high`
++    * - start + 16:
++      - B\ :sub:`20low`
++      - B\ :sub:`20high`
++      - G\ :sub:`21low`
++      - G\ :sub:`21high`
++      - B\ :sub:`22low`
++      - B\ :sub:`22high`
++      - G\ :sub:`23low`
++      - G\ :sub:`23high`
++    * - start + 24:
++      - G\ :sub:`30low`
++      - G\ :sub:`30high`
++      - R\ :sub:`31low`
++      - R\ :sub:`31high`
++      - G\ :sub:`32low`
++      - G\ :sub:`32high`
++      - R\ :sub:`33low`
++      - R\ :sub:`33high`
+--- a/drivers/media/v4l2-core/v4l2-ioctl.c
++++ b/drivers/media/v4l2-core/v4l2-ioctl.c
+@@ -1298,6 +1298,10 @@ static void v4l_fill_fmtdesc(struct v4l2
+       case V4L2_PIX_FMT_SGBRG12P:     descr = "12-bit Bayer GBGB/RGRG Packed"; break;
+       case V4L2_PIX_FMT_SGRBG12P:     descr = "12-bit Bayer GRGR/BGBG Packed"; break;
+       case V4L2_PIX_FMT_SRGGB12P:     descr = "12-bit Bayer RGRG/GBGB Packed"; break;
++      case V4L2_PIX_FMT_SBGGR14:      descr = "14-bit Bayer BGBG/GRGR"; break;
++      case V4L2_PIX_FMT_SGBRG14:      descr = "14-bit Bayer GBGB/RGRG"; break;
++      case V4L2_PIX_FMT_SGRBG14:      descr = "14-bit Bayer GRGR/BGBG"; break;
++      case V4L2_PIX_FMT_SRGGB14:      descr = "14-bit Bayer RGRG/GBGB"; break;
+       case V4L2_PIX_FMT_SBGGR14P:     descr = "14-bit Bayer BGBG/GRGR Packed"; break;
+       case V4L2_PIX_FMT_SGBRG14P:     descr = "14-bit Bayer GBGB/RGRG Packed"; break;
+       case V4L2_PIX_FMT_SGRBG14P:     descr = "14-bit Bayer GRGR/BGBG Packed"; break;
+--- a/include/uapi/linux/videodev2.h
++++ b/include/uapi/linux/videodev2.h
+@@ -666,6 +666,10 @@ struct v4l2_pix_format {
+ #define V4L2_PIX_FMT_SGBRG12P v4l2_fourcc('p', 'G', 'C', 'C')
+ #define V4L2_PIX_FMT_SGRBG12P v4l2_fourcc('p', 'g', 'C', 'C')
+ #define V4L2_PIX_FMT_SRGGB12P v4l2_fourcc('p', 'R', 'C', 'C')
++#define V4L2_PIX_FMT_SBGGR14 v4l2_fourcc('B', 'G', '1', '4') /* 14  BGBG.. GRGR.. */
++#define V4L2_PIX_FMT_SGBRG14 v4l2_fourcc('G', 'B', '1', '4') /* 14  GBGB.. RGRG.. */
++#define V4L2_PIX_FMT_SGRBG14 v4l2_fourcc('G', 'R', '1', '4') /* 14  GRGR.. BGBG.. */
++#define V4L2_PIX_FMT_SRGGB14 v4l2_fourcc('R', 'G', '1', '4') /* 14  RGRG.. GBGB.. */
+       /* 14bit raw bayer packed, 7 bytes for every 4 pixels */
+ #define V4L2_PIX_FMT_SBGGR14P v4l2_fourcc('p', 'B', 'E', 'E')
+ #define V4L2_PIX_FMT_SGBRG14P v4l2_fourcc('p', 'G', 'E', 'E')
diff --git a/target/linux/bcm27xx/patches-5.4/950-0845-media-v4l-Add-14-bit-raw-greyscale-pixel-format.patch b/target/linux/bcm27xx/patches-5.4/950-0845-media-v4l-Add-14-bit-raw-greyscale-pixel-format.patch
new file mode 100644 (file)
index 0000000..1910668
--- /dev/null
@@ -0,0 +1,131 @@
+From 363792f3fff5f4f79e2ac08ccfcc21c05216787a Mon Sep 17 00:00:00 2001
+From: =?UTF-8?q?Daniel=20Gl=C3=B6ckner?= <dg@emlix.com>
+Date: Mon, 24 Feb 2020 18:52:21 +0100
+Subject: [PATCH] media: v4l: Add 14-bit raw greyscale pixel format
+MIME-Version: 1.0
+Content-Type: text/plain; charset=UTF-8
+Content-Transfer-Encoding: 8bit
+
+Commit ae9753a04cfc8e41262605875e531b6ea5e3d0ac upstream.
+
+The new format is called V4L2_PIX_FMT_Y14. Like V4L2_PIX_FMT_Y10 and
+V4L2_PIX_FMT_Y12 it is stored in two bytes per pixel but has only two
+unused bits at the top.
+
+Signed-off-by: Daniel Glöckner <dg@emlix.com>
+Signed-off-by: Hans Verkuil <hans.verkuil@cisco.com>
+Acked-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ Documentation/media/uapi/v4l/pixfmt-y14.rst  | 72 ++++++++++++++++++++
+ Documentation/media/uapi/v4l/yuv-formats.rst |  1 +
+ drivers/media/v4l2-core/v4l2-ioctl.c         |  1 +
+ include/uapi/linux/videodev2.h               |  1 +
+ 4 files changed, 75 insertions(+)
+ create mode 100644 Documentation/media/uapi/v4l/pixfmt-y14.rst
+
+--- /dev/null
++++ b/Documentation/media/uapi/v4l/pixfmt-y14.rst
+@@ -0,0 +1,72 @@
++.. Permission is granted to copy, distribute and/or modify this
++.. document under the terms of the GNU Free Documentation License,
++.. Version 1.1 or any later version published by the Free Software
++.. Foundation, with no Invariant Sections, no Front-Cover Texts
++.. and no Back-Cover Texts. A copy of the license is included at
++.. Documentation/media/uapi/fdl-appendix.rst.
++..
++.. TODO: replace it to GFDL-1.1-or-later WITH no-invariant-sections
++
++.. _V4L2-PIX-FMT-Y14:
++
++*************************
++V4L2_PIX_FMT_Y14 ('Y14 ')
++*************************
++
++
++Grey-scale image
++
++
++Description
++===========
++
++This is a grey-scale image with a depth of 14 bits per pixel. Pixels are
++stored in 16-bit words with unused high bits padded with 0. The least
++significant byte is stored at lower memory addresses (little-endian).
++
++**Byte Order.**
++Each cell is one byte.
++
++
++
++
++.. flat-table::
++    :header-rows:  0
++    :stub-columns: 0
++
++    * - start + 0:
++      - Y'\ :sub:`00low`
++      - Y'\ :sub:`00high`
++      - Y'\ :sub:`01low`
++      - Y'\ :sub:`01high`
++      - Y'\ :sub:`02low`
++      - Y'\ :sub:`02high`
++      - Y'\ :sub:`03low`
++      - Y'\ :sub:`03high`
++    * - start + 8:
++      - Y'\ :sub:`10low`
++      - Y'\ :sub:`10high`
++      - Y'\ :sub:`11low`
++      - Y'\ :sub:`11high`
++      - Y'\ :sub:`12low`
++      - Y'\ :sub:`12high`
++      - Y'\ :sub:`13low`
++      - Y'\ :sub:`13high`
++    * - start + 16:
++      - Y'\ :sub:`20low`
++      - Y'\ :sub:`20high`
++      - Y'\ :sub:`21low`
++      - Y'\ :sub:`21high`
++      - Y'\ :sub:`22low`
++      - Y'\ :sub:`22high`
++      - Y'\ :sub:`23low`
++      - Y'\ :sub:`23high`
++    * - start + 24:
++      - Y'\ :sub:`30low`
++      - Y'\ :sub:`30high`
++      - Y'\ :sub:`31low`
++      - Y'\ :sub:`31high`
++      - Y'\ :sub:`32low`
++      - Y'\ :sub:`32high`
++      - Y'\ :sub:`33low`
++      - Y'\ :sub:`33high`
+--- a/Documentation/media/uapi/v4l/yuv-formats.rst
++++ b/Documentation/media/uapi/v4l/yuv-formats.rst
+@@ -35,6 +35,7 @@ to brightness information.
+     pixfmt-grey
+     pixfmt-y10
+     pixfmt-y12
++    pixfmt-y14
+     pixfmt-y10b
+     pixfmt-y10p
+     pixfmt-y16
+--- a/drivers/media/v4l2-core/v4l2-ioctl.c
++++ b/drivers/media/v4l2-core/v4l2-ioctl.c
+@@ -1212,6 +1212,7 @@ static void v4l_fill_fmtdesc(struct v4l2
+       case V4L2_PIX_FMT_Y6:           descr = "6-bit Greyscale"; break;
+       case V4L2_PIX_FMT_Y10:          descr = "10-bit Greyscale"; break;
+       case V4L2_PIX_FMT_Y12:          descr = "12-bit Greyscale"; break;
++      case V4L2_PIX_FMT_Y14:          descr = "14-bit Greyscale"; break;
+       case V4L2_PIX_FMT_Y16:          descr = "16-bit Greyscale"; break;
+       case V4L2_PIX_FMT_Y16_BE:       descr = "16-bit Greyscale BE"; break;
+       case V4L2_PIX_FMT_Y10BPACK:     descr = "10-bit Greyscale (Packed)"; break;
+--- a/include/uapi/linux/videodev2.h
++++ b/include/uapi/linux/videodev2.h
+@@ -569,6 +569,7 @@ struct v4l2_pix_format {
+ #define V4L2_PIX_FMT_Y6      v4l2_fourcc('Y', '0', '6', ' ') /*  6  Greyscale     */
+ #define V4L2_PIX_FMT_Y10     v4l2_fourcc('Y', '1', '0', ' ') /* 10  Greyscale     */
+ #define V4L2_PIX_FMT_Y12     v4l2_fourcc('Y', '1', '2', ' ') /* 12  Greyscale     */
++#define V4L2_PIX_FMT_Y14     v4l2_fourcc('Y', '1', '4', ' ') /* 14  Greyscale     */
+ #define V4L2_PIX_FMT_Y16     v4l2_fourcc('Y', '1', '6', ' ') /* 16  Greyscale     */
+ #define V4L2_PIX_FMT_Y16_BE  v4l2_fourcc_be('Y', '1', '6', ' ') /* 16  Greyscale BE  */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0846-media-v4l-Add-1X14-14-bit-greyscale-media-bus-code-d.patch b/target/linux/bcm27xx/patches-5.4/950-0846-media-v4l-Add-1X14-14-bit-greyscale-media-bus-code-d.patch
new file mode 100644 (file)
index 0000000..09c4330
--- /dev/null
@@ -0,0 +1,88 @@
+From efc06d6c82d5b6b3ee5dd2bfa7c010f737a0fa49 Mon Sep 17 00:00:00 2001
+From: =?UTF-8?q?Daniel=20Gl=C3=B6ckner?= <dg@emlix.com>
+Date: Mon, 24 Feb 2020 18:52:22 +0100
+Subject: [PATCH] media: v4l: Add 1X14 14-bit greyscale media bus
+ code definition
+MIME-Version: 1.0
+Content-Type: text/plain; charset=UTF-8
+Content-Transfer-Encoding: 8bit
+
+Commit 573a750813459725c6f6fc4bc5779da1fe03238a upstream.
+
+The code is called MEDIA_BUS_FMT_Y14_1X14 and behaves just like
+MEDIA_BUS_FMT_Y12_1X12 with two more bits.
+
+Signed-off-by: Daniel Glöckner <dg@emlix.com>
+Signed-off-by: Hans Verkuil <hans.verkuil@cisco.com>
+Acked-by: Sakari Ailus <sakari.ailus@linux.intel.com>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+---
+ .../media/uapi/v4l/subdev-formats.rst         | 37 +++++++++++++++++++
+ include/uapi/linux/media-bus-format.h         |  3 +-
+ 2 files changed, 39 insertions(+), 1 deletion(-)
+
+--- a/Documentation/media/uapi/v4l/subdev-formats.rst
++++ b/Documentation/media/uapi/v4l/subdev-formats.rst
+@@ -5792,6 +5792,43 @@ the following codes.
+       - u\ :sub:`2`
+       - u\ :sub:`1`
+       - u\ :sub:`0`
++    * .. _MEDIA-BUS-FMT-Y14-1X14:
++
++      - MEDIA_BUS_FMT_Y14_1X14
++      - 0x202d
++      -
++      -
++      -
++      -
++      -
++      -
++      -
++      -
++      -
++      -
++      -
++      -
++      -
++      -
++      -
++      -
++      -
++      -
++      -
++      - y\ :sub:`13`
++      - y\ :sub:`12`
++      - y\ :sub:`11`
++      - y\ :sub:`10`
++      - y\ :sub:`9`
++      - y\ :sub:`8`
++      - y\ :sub:`7`
++      - y\ :sub:`6`
++      - y\ :sub:`5`
++      - y\ :sub:`4`
++      - y\ :sub:`3`
++      - y\ :sub:`2`
++      - y\ :sub:`1`
++      - y\ :sub:`0`
+     * .. _MEDIA-BUS-FMT-UYVY8-1X16:
+       - MEDIA_BUS_FMT_UYVY8_1X16
+--- a/include/uapi/linux/media-bus-format.h
++++ b/include/uapi/linux/media-bus-format.h
+@@ -64,7 +64,7 @@
+ #define MEDIA_BUS_FMT_RGB121212_1X36          0x1019
+ #define MEDIA_BUS_FMT_RGB161616_1X48          0x101a
+-/* YUV (including grey) - next is     0x202d */
++/* YUV (including grey) - next is     0x202e */
+ #define MEDIA_BUS_FMT_Y8_1X8                  0x2001
+ #define MEDIA_BUS_FMT_UV8_1X8                 0x2015
+ #define MEDIA_BUS_FMT_UYVY8_1_5X8             0x2002
+@@ -86,6 +86,7 @@
+ #define MEDIA_BUS_FMT_VYUY12_2X12             0x201d
+ #define MEDIA_BUS_FMT_YUYV12_2X12             0x201e
+ #define MEDIA_BUS_FMT_YVYU12_2X12             0x201f
++#define MEDIA_BUS_FMT_Y14_1X14                        0x202d
+ #define MEDIA_BUS_FMT_UYVY8_1X16              0x200f
+ #define MEDIA_BUS_FMT_VYUY8_1X16              0x2010
+ #define MEDIA_BUS_FMT_YUYV8_1X16              0x2011
diff --git a/target/linux/bcm27xx/patches-5.4/950-0847-media-Add-a-pixel-format-for-MIPI-packed-12bit-luma-.patch b/target/linux/bcm27xx/patches-5.4/950-0847-media-Add-a-pixel-format-for-MIPI-packed-12bit-luma-.patch
new file mode 100644 (file)
index 0000000..b5d407b
--- /dev/null
@@ -0,0 +1,95 @@
+From 14ed8669ba80f2bb9a5f0d6be51052813d4a75e8 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 7 May 2020 16:59:03 +0100
+Subject: [PATCH] media: Add a pixel format for MIPI packed 12bit
+ luma only.
+
+This is the format used by monochrome 12bit image sensors.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ Documentation/media/uapi/v4l/pixfmt-y12p.rst | 45 ++++++++++++++++++++
+ Documentation/media/uapi/v4l/yuv-formats.rst |  1 +
+ drivers/media/v4l2-core/v4l2-ioctl.c         |  1 +
+ include/uapi/linux/videodev2.h               |  1 +
+ 4 files changed, 48 insertions(+)
+ create mode 100644 Documentation/media/uapi/v4l/pixfmt-y12p.rst
+
+--- /dev/null
++++ b/Documentation/media/uapi/v4l/pixfmt-y12p.rst
+@@ -0,0 +1,45 @@
++.. Permission is granted to copy, distribute and/or modify this
++.. document under the terms of the GNU Free Documentation License,
++.. Version 1.1 or any later version published by the Free Software
++.. Foundation, with no Invariant Sections, no Front-Cover Texts
++.. and no Back-Cover Texts. A copy of the license is included at
++.. Documentation/media/uapi/fdl-appendix.rst.
++..
++.. TODO: replace it to GFDL-1.1-or-later WITH no-invariant-sections
++
++.. _V4L2-PIX-FMT-Y12P:
++
++******************************
++V4L2_PIX_FMT_Y12P ('Y12P')
++******************************
++
++Grey-scale image as a MIPI RAW12 packed array
++
++
++Description
++===========
++
++This is a packed grey-scale image format with a depth of 12 bits per
++pixel. Two consecutive pixels are packed into 3 bytes. The first 2 bytes
++contain the 8 high order bits of the pixels, and the 3rd byte contains the 4
++least significants bits of each pixel, in the same order.
++
++**Byte Order.**
++Each cell is one byte.
++
++.. tabularcolumns:: |p{2.2cm}|p{1.2cm}|p{1.2cm}|p{3.1cm}|
++
++
++.. flat-table::
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       2 1 1 1
++
++
++    -  -  start + 0:
++       -  Y'\ :sub:`00high`
++       -  Y'\ :sub:`01high`
++       -  Y'\ :sub:`01low`\ (bits 7--4)
++
++          Y'\ :sub:`00low`\ (bits 3--0)
++
+--- a/Documentation/media/uapi/v4l/yuv-formats.rst
++++ b/Documentation/media/uapi/v4l/yuv-formats.rst
+@@ -35,6 +35,7 @@ to brightness information.
+     pixfmt-grey
+     pixfmt-y10
+     pixfmt-y12
++    pixfmt-y12p
+     pixfmt-y14
+     pixfmt-y10b
+     pixfmt-y10p
+--- a/drivers/media/v4l2-core/v4l2-ioctl.c
++++ b/drivers/media/v4l2-core/v4l2-ioctl.c
+@@ -1217,6 +1217,7 @@ static void v4l_fill_fmtdesc(struct v4l2
+       case V4L2_PIX_FMT_Y16_BE:       descr = "16-bit Greyscale BE"; break;
+       case V4L2_PIX_FMT_Y10BPACK:     descr = "10-bit Greyscale (Packed)"; break;
+       case V4L2_PIX_FMT_Y10P:         descr = "10-bit Greyscale (MIPI Packed)"; break;
++      case V4L2_PIX_FMT_Y12P:         descr = "12-bit Greyscale (MIPI Packed)"; break;
+       case V4L2_PIX_FMT_Y8I:          descr = "Interleaved 8-bit Greyscale"; break;
+       case V4L2_PIX_FMT_Y12I:         descr = "Interleaved 12-bit Greyscale"; break;
+       case V4L2_PIX_FMT_Z16:          descr = "16-bit Depth"; break;
+--- a/include/uapi/linux/videodev2.h
++++ b/include/uapi/linux/videodev2.h
+@@ -576,6 +576,7 @@ struct v4l2_pix_format {
+ /* Grey bit-packed formats */
+ #define V4L2_PIX_FMT_Y10BPACK    v4l2_fourcc('Y', '1', '0', 'B') /* 10  Greyscale bit-packed */
+ #define V4L2_PIX_FMT_Y10P    v4l2_fourcc('Y', '1', '0', 'P') /* 10  Greyscale, MIPI RAW10 packed */
++#define V4L2_PIX_FMT_Y12P    v4l2_fourcc('Y', '1', '2', 'P') /* 12  Greyscale, MIPI RAW12 packed */
+ /* Palette formats */
+ #define V4L2_PIX_FMT_PAL8    v4l2_fourcc('P', 'A', 'L', '8') /*  8  8-bit palette */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0848-media-Add-a-pixel-format-for-MIPI-packed-14bit-luma-.patch b/target/linux/bcm27xx/patches-5.4/950-0848-media-Add-a-pixel-format-for-MIPI-packed-14bit-luma-.patch
new file mode 100644 (file)
index 0000000..f8b255f
--- /dev/null
@@ -0,0 +1,104 @@
+From 8c51a9a891458e5d66cfedea84b1a38ceb01b7ae Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 25 Jun 2020 17:51:03 +0100
+Subject: [PATCH] media: Add a pixel format for MIPI packed 14bit
+ luma only.
+
+This is the format used by monochrome 14bit image sensors.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ Documentation/media/uapi/v4l/pixfmt-y14p.rst | 54 ++++++++++++++++++++
+ Documentation/media/uapi/v4l/yuv-formats.rst |  1 +
+ drivers/media/v4l2-core/v4l2-ioctl.c         |  1 +
+ include/uapi/linux/videodev2.h               |  1 +
+ 4 files changed, 57 insertions(+)
+ create mode 100644 Documentation/media/uapi/v4l/pixfmt-y14p.rst
+
+--- /dev/null
++++ b/Documentation/media/uapi/v4l/pixfmt-y14p.rst
+@@ -0,0 +1,54 @@
++.. Permission is granted to copy, distribute and/or modify this
++.. document under the terms of the GNU Free Documentation License,
++.. Version 1.1 or any later version published by the Free Software
++.. Foundation, with no Invariant Sections, no Front-Cover Texts
++.. and no Back-Cover Texts. A copy of the license is included at
++.. Documentation/media/uapi/fdl-appendix.rst.
++..
++.. TODO: replace it to GFDL-1.1-or-later WITH no-invariant-sections
++
++.. _V4L2-PIX-FMT-Y14P:
++
++**************************
++V4L2_PIX_FMT_Y14P ('Y14P')
++**************************
++
++Grey-scale image as a MIPI RAW14 packed array
++
++
++Description
++===========
++
++This is a packed grey-scale image format with a depth of 14 bits per
++pixel. Every four consecutive samples are packed into seven bytes. Each
++of the first four bytes contain the eight high order bits of the pixels,
++and the three following bytes contains the six least significants bits of
++each pixel, in the same order.
++
++**Byte Order.**
++Each cell is one byte.
++
++.. tabularcolumns:: |p{1.8cm}|p{1.0cm}|p{1.0cm}|p{1.0cm}|p{1.1cm}|p{3.3cm}|p{3.3cm}|p{3.3cm}|
++
++.. flat-table::
++    :header-rows:  0
++    :stub-columns: 0
++    :widths:       2 1 1 1 1 3 3 3
++
++
++    -  -  start + 0:
++       -  Y'\ :sub:`00high`
++       -  Y'\ :sub:`01high`
++       -  Y'\ :sub:`02high`
++       -  Y'\ :sub:`03high`
++       -  Y'\ :sub:`01low bits 1--0`\ (bits 7--6)
++
++        Y'\ :sub:`00low bits 5--0`\ (bits 5--0)
++
++       -  Y'\ :sub:`02low bits 3--0`\ (bits 7--4)
++
++        Y'\ :sub:`01low bits 5--2`\ (bits 3--0)
++
++       -  Y'\ :sub:`03low bits 5--0`\ (bits 7--2)
++
++        Y'\ :sub:`02low bits 5--4`\ (bits 1--0)
+--- a/Documentation/media/uapi/v4l/yuv-formats.rst
++++ b/Documentation/media/uapi/v4l/yuv-formats.rst
+@@ -37,6 +37,7 @@ to brightness information.
+     pixfmt-y12
+     pixfmt-y12p
+     pixfmt-y14
++    pixfmt-y14p
+     pixfmt-y10b
+     pixfmt-y10p
+     pixfmt-y16
+--- a/drivers/media/v4l2-core/v4l2-ioctl.c
++++ b/drivers/media/v4l2-core/v4l2-ioctl.c
+@@ -1218,6 +1218,7 @@ static void v4l_fill_fmtdesc(struct v4l2
+       case V4L2_PIX_FMT_Y10BPACK:     descr = "10-bit Greyscale (Packed)"; break;
+       case V4L2_PIX_FMT_Y10P:         descr = "10-bit Greyscale (MIPI Packed)"; break;
+       case V4L2_PIX_FMT_Y12P:         descr = "12-bit Greyscale (MIPI Packed)"; break;
++      case V4L2_PIX_FMT_Y14P:         descr = "14-bit Greyscale (MIPI Packed)"; break;
+       case V4L2_PIX_FMT_Y8I:          descr = "Interleaved 8-bit Greyscale"; break;
+       case V4L2_PIX_FMT_Y12I:         descr = "Interleaved 12-bit Greyscale"; break;
+       case V4L2_PIX_FMT_Z16:          descr = "16-bit Depth"; break;
+--- a/include/uapi/linux/videodev2.h
++++ b/include/uapi/linux/videodev2.h
+@@ -577,6 +577,7 @@ struct v4l2_pix_format {
+ #define V4L2_PIX_FMT_Y10BPACK    v4l2_fourcc('Y', '1', '0', 'B') /* 10  Greyscale bit-packed */
+ #define V4L2_PIX_FMT_Y10P    v4l2_fourcc('Y', '1', '0', 'P') /* 10  Greyscale, MIPI RAW10 packed */
+ #define V4L2_PIX_FMT_Y12P    v4l2_fourcc('Y', '1', '2', 'P') /* 12  Greyscale, MIPI RAW12 packed */
++#define V4L2_PIX_FMT_Y14P    v4l2_fourcc('Y', '1', '4', 'P') /* 14  Greyscale, MIPI RAW12 packed */
+ /* Palette formats */
+ #define V4L2_PIX_FMT_PAL8    v4l2_fourcc('P', 'A', 'L', '8') /*  8  8-bit palette */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0849-staging-vc04_services-isp-Add-support-for-14bit-Baye.patch b/target/linux/bcm27xx/patches-5.4/950-0849-staging-vc04_services-isp-Add-support-for-14bit-Baye.patch
new file mode 100644 (file)
index 0000000..837b6b5
--- /dev/null
@@ -0,0 +1,75 @@
+From ac6ebed4a0e06b4102831976e826a5986732ed33 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 6 May 2020 18:09:04 +0100
+Subject: [PATCH] staging: vc04_services: isp: Add support for 14bit
+ Bayer
+
+The only thing missing was a set of defines, therefore add them in.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../bcm2835-isp/bcm2835_isp_fmts.h            | 37 +++++++++++++++++++
+ .../vc04_services/vchiq-mmal/mmal-encodings.h |  6 +++
+ 2 files changed, 43 insertions(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
+@@ -254,6 +254,43 @@ static const struct bcm2835_isp_fmt supp
+               .colorspace         = V4L2_COLORSPACE_RAW,
+               .step_size          = 2,
+       }, {
++              /* 14 bit */
++              .fourcc             = V4L2_PIX_FMT_SRGGB14P,
++              .depth              = 14,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SRGGB14P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SBGGR14P,
++              .depth              = 14,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SBGGR14P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SGRBG14P,
++              .depth              = 14,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SGRBG14P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
++      }, {
++              .fourcc             = V4L2_PIX_FMT_SGBRG14P,
++              .depth              = 14,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_BAYER_SGBRG14P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
++      }, {
+               /* 16 bit */
+               .fourcc             = V4L2_PIX_FMT_SRGGB16,
+               .depth              = 16,
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
+@@ -90,6 +90,12 @@
+ #define MMAL_ENCODING_BAYER_SGBRG12P   MMAL_FOURCC('p', 'G', '1', '2')
+ #define MMAL_ENCODING_BAYER_SRGGB12P   MMAL_FOURCC('p', 'R', '1', '2')
++//14 bit per pixel Bayer formats.
++#define MMAL_ENCODING_BAYER_SBGGR14P   MMAL_FOURCC('p', 'B', 'E', 'E')
++#define MMAL_ENCODING_BAYER_SGBRG14P   MMAL_FOURCC('p', 'G', 'E', 'E')
++#define MMAL_ENCODING_BAYER_SGRBG14P   MMAL_FOURCC('p', 'g', 'E', 'E')
++#define MMAL_ENCODING_BAYER_SRGGB14P   MMAL_FOURCC('p', 'R', 'E', 'E')
++
+ /* 16 bit per pixel Bayer formats. */
+ #define MMAL_ENCODING_BAYER_SBGGR16    MMAL_FOURCC('B', 'G', '1', '6')
+ #define MMAL_ENCODING_BAYER_SGBRG16    MMAL_FOURCC('G', 'B', '1', '6')
diff --git a/target/linux/bcm27xx/patches-5.4/950-0850-staging-vc04_services-isp-Add-monochrome-image-forma.patch b/target/linux/bcm27xx/patches-5.4/950-0850-staging-vc04_services-isp-Add-monochrome-image-forma.patch
new file mode 100644 (file)
index 0000000..849417f
--- /dev/null
@@ -0,0 +1,92 @@
+From ef305037fd7423386d3a59b640114bdff67d824f Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 6 May 2020 18:11:14 +0100
+Subject: [PATCH] staging: vc04_services: isp: Add monochrome image
+ formats
+
+Adds support for monochrome image formats in the various
+MIPI packings.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../bcm2835-isp/bcm2835_isp_fmts.h            | 52 ++++++++++++++++++-
+ .../vc04_services/vchiq-mmal/mmal-encodings.h |  7 +++
+ 2 files changed, 58 insertions(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_fmts.h
+@@ -328,7 +328,57 @@ static const struct bcm2835_isp_fmt supp
+               .colorspace         = V4L2_COLORSPACE_RAW,
+               .step_size          = 2,
+       }, {
+-              /* ISP statistics format */
++              /* Monochrome MIPI formats */
++              /* 8 bit */
++              .fourcc             = V4L2_PIX_FMT_GREY,
++              .depth              = 8,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_GREY,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
++      }, {
++              /* 10 bit */
++              .fourcc             = V4L2_PIX_FMT_Y10P,
++              .depth              = 10,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_Y10P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
++      }, {
++              /* 12 bit */
++              .fourcc             = V4L2_PIX_FMT_Y12P,
++              .depth              = 12,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_Y12P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
++      }, {
++              /* 14 bit */
++              .fourcc             = V4L2_PIX_FMT_Y14P,
++              .depth              = 14,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_Y14P,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
++      }, {
++              /* 16 bit */
++              .fourcc             = V4L2_PIX_FMT_Y16,
++              .depth              = 16,
++              .bytesperline_align = 32,
++              .flags              = 0,
++              .mmal_fmt           = MMAL_ENCODING_Y16,
++              .size_multiplier_x2 = 2,
++              .colorspace         = V4L2_COLORSPACE_RAW,
++              .step_size          = 2,
++      }, {
+               .fourcc             = V4L2_META_FMT_BCM2835_ISP_STATS,
+               .mmal_fmt           = MMAL_ENCODING_BRCM_STATS,
+               /* The rest are not valid fields for stats. */
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-encodings.h
+@@ -102,6 +102,13 @@
+ #define MMAL_ENCODING_BAYER_SGRBG16    MMAL_FOURCC('G', 'R', '1', '6')
+ #define MMAL_ENCODING_BAYER_SRGGB16    MMAL_FOURCC('R', 'G', '1', '6')
++/* MIPI packed monochrome images */
++#define MMAL_ENCODING_GREY    MMAL_FOURCC('G', 'R', 'E', 'Y')
++#define MMAL_ENCODING_Y10P    MMAL_FOURCC('Y', '1', '0', 'P')
++#define MMAL_ENCODING_Y12P    MMAL_FOURCC('Y', '1', '2', 'P')
++#define MMAL_ENCODING_Y14P    MMAL_FOURCC('Y', '1', '4', 'P')
++#define MMAL_ENCODING_Y16     MMAL_FOURCC('Y', '1', '6', ' ')
++
+ /** An EGL image handle
+  */
+ #define MMAL_ENCODING_EGL_IMAGE        MMAL_FOURCC('E', 'G', 'L', 'I')
diff --git a/target/linux/bcm27xx/patches-5.4/950-0851-staging-vc04_services-isp-Increase-the-number-of-sup.patch b/target/linux/bcm27xx/patches-5.4/950-0851-staging-vc04_services-isp-Increase-the-number-of-sup.patch
new file mode 100644 (file)
index 0000000..ebf16db
--- /dev/null
@@ -0,0 +1,29 @@
+From 9b0b99ee3711846203d6f2500925e8b463fbeb8e Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 6 May 2020 18:24:34 +0100
+Subject: [PATCH] staging: vc04_services: isp: Increase the number of
+ supported formats expected
+
+The ISP now supports 47 different input formats, therefore increase the
+array size for the number expected.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
+@@ -1134,10 +1134,10 @@ static const struct v4l2_ioctl_ops bcm28
+  * Size of the array to provide to the VPU when asking for the list of supported
+  * formats.
+  *
+- * The ISP component currently advertises 33 input formats, so add a small
++ * The ISP component currently advertises 44 input formats, so add a small
+  * overhead on that.
+  */
+-#define MAX_SUPPORTED_ENCODINGS 40
++#define MAX_SUPPORTED_ENCODINGS 50
+ /* Populate node->supported_fmts with the formats supported by those ports. */
+ static int bcm2835_isp_get_supported_fmts(struct bcm2835_isp_node *node)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0852-staging-vc04_services-codec-Increase-the-number-of-s.patch b/target/linux/bcm27xx/patches-5.4/950-0852-staging-vc04_services-codec-Increase-the-number-of-s.patch
new file mode 100644 (file)
index 0000000..c4e20c6
--- /dev/null
@@ -0,0 +1,29 @@
+From 8827ec069302241c3a22371887d3980b9f855bba Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 25 Jun 2020 17:40:56 +0100
+Subject: [PATCH] staging: vc04_services: codec: Increase the number
+ of supported formats expected
+
+The ISP now supports 47 different input formats, therefore increase the
+array size for the number expected.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c  | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -2569,10 +2569,10 @@ static const struct v4l2_m2m_ops m2m_ops
+ /* Size of the array to provide to the VPU when asking for the list of supported
+  * formats.
+- * The ISP component currently advertises 33 input formats, so add a small
++ * The ISP component currently advertises 44 input formats, so add a small
+  * overhead on that.
+  */
+-#define MAX_SUPPORTED_ENCODINGS 40
++#define MAX_SUPPORTED_ENCODINGS 50
+ /* Populate dev->supported_fmts with the formats supported by those ports. */
+ static int bcm2835_codec_get_supported_fmts(struct bcm2835_codec_dev *dev)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0853-staging-vc04_services-codec-Add-support-for-mono-for.patch b/target/linux/bcm27xx/patches-5.4/950-0853-staging-vc04_services-codec-Add-support-for-mono-for.patch
new file mode 100644 (file)
index 0000000..620ad14
--- /dev/null
@@ -0,0 +1,64 @@
+From 6e6861281012b9ac99b85b9e2703cad35d91c279 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 1 Jul 2020 10:38:12 +0100
+Subject: [PATCH] staging: vc04_services: codec: Add support for mono
+ formats
+
+The firmware ISP component now allows for processing of mono
+images, so add those formats for use by the simple ISP device.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 41 +++++++++++++++++++
+ 1 file changed, 41 insertions(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -344,6 +344,47 @@ static const struct bcm2835_codec_fmt su
+               .size_multiplier_x2     = 2,
+               .is_bayer               = true,
+       }, {
++              /* Monochrome MIPI formats */
++              /* 8 bit */
++              .fourcc                 = V4L2_PIX_FMT_GREY,
++              .depth                  = 8,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_GREY,
++              .size_multiplier_x2     = 2,
++      }, {
++              /* 10 bit */
++              .fourcc                 = V4L2_PIX_FMT_Y10P,
++              .depth                  = 10,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_Y10P,
++              .size_multiplier_x2     = 2,
++      }, {
++              /* 12 bit */
++              .fourcc                 = V4L2_PIX_FMT_Y12P,
++              .depth                  = 12,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_Y12P,
++              .size_multiplier_x2     = 2,
++      }, {
++              /* 14 bit */
++              .fourcc                 = V4L2_PIX_FMT_Y14P,
++              .depth                  = 14,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_Y14P,
++              .size_multiplier_x2     = 2,
++      }, {
++              /* 16 bit */
++              .fourcc                 = V4L2_PIX_FMT_Y16,
++              .depth                  = 16,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_Y16,
++              .size_multiplier_x2     = 2,
++      }, {
+               /* Compressed formats */
+               .fourcc                 = V4L2_PIX_FMT_H264,
+               .depth                  = 0,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0854-staging-vc04_services-codec-Add-support-for-14bit-Ba.patch b/target/linux/bcm27xx/patches-5.4/950-0854-staging-vc04_services-codec-Add-support-for-14bit-Ba.patch
new file mode 100644 (file)
index 0000000..e261f62
--- /dev/null
@@ -0,0 +1,57 @@
+From 34963c9fb717bc47131b662c34a472c4f717f7fe Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 1 Jul 2020 10:50:12 +0100
+Subject: [PATCH] staging: vc04_services: codec: Add support for
+ 14bit Bayer formats
+
+Now that the 14bit Bayer formats have been defined within
+V4L2, add them to the lookup table of V4L2/MMAL formats.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 34 +++++++++++++++++++
+ 1 file changed, 34 insertions(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -311,6 +311,40 @@ static const struct bcm2835_codec_fmt su
+               .size_multiplier_x2     = 2,
+               .is_bayer               = true,
+       }, {
++              /* 14 bit */
++              .fourcc                 = V4L2_PIX_FMT_SRGGB14P,
++              .depth                  = 14,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SRGGB14P,
++              .size_multiplier_x2     = 2,
++              .is_bayer               = true,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SBGGR14P,
++              .depth                  = 14,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SBGGR14P,
++              .size_multiplier_x2     = 2,
++              .is_bayer               = true,
++
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SGRBG14P,
++              .depth                  = 14,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SGRBG14P,
++              .size_multiplier_x2     = 2,
++              .is_bayer               = true,
++      }, {
++              .fourcc                 = V4L2_PIX_FMT_SGBRG14P,
++              .depth                  = 14,
++              .bytesperline_align     = 32,
++              .flags                  = 0,
++              .mmal_fmt               = MMAL_ENCODING_BAYER_SGBRG14P,
++              .size_multiplier_x2     = 2,
++              .is_bayer               = true,
++      }, {
+               /* 16 bit */
+               .fourcc                 = V4L2_PIX_FMT_SRGGB16,
+               .depth                  = 16,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0855-media-bcm2835-unicam-Add-support-for-12bit-mono-pack.patch b/target/linux/bcm27xx/patches-5.4/950-0855-media-bcm2835-unicam-Add-support-for-12bit-mono-pack.patch
new file mode 100644 (file)
index 0000000..fdef58c
--- /dev/null
@@ -0,0 +1,25 @@
+From 511c9a4b7c3d2e40eee68dad4d4c02ca42678204 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 25 Jun 2020 17:53:32 +0100
+Subject: [PATCH] media: bcm2835-unicam: Add support for 12bit mono
+ packed format
+
+Now that V4L2_PIX_FMT_Y12P is defined, allow passing raw 12bit
+mono packed data through the peripheral.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -336,7 +336,7 @@ static const struct unicam_fmt formats[]
+               .depth          = 10,
+               .csi_dt         = 0x2b,
+       }, {
+-              /* NB There is no packed V4L2 fourcc for this format. */
++              .fourcc         = V4L2_PIX_FMT_Y12P,
+               .repacked_fourcc = V4L2_PIX_FMT_Y12,
+               .code           = MEDIA_BUS_FMT_Y12_1X12,
+               .depth          = 12,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0856-media-bcm2835-unicam-Add-support-for-14bit-mono-sour.patch b/target/linux/bcm27xx/patches-5.4/950-0856-media-bcm2835-unicam-Add-support-for-14bit-mono-sour.patch
new file mode 100644 (file)
index 0000000..a65945c
--- /dev/null
@@ -0,0 +1,29 @@
+From 668ed11b1e8fbbe7ad9a63abbfece67d44b0aa85 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 25 Jun 2020 18:03:47 +0100
+Subject: [PATCH] media: bcm2835-unicam: Add support for 14bit mono
+ sources
+
+Now that V4L2_PIX_FMT_Y14 and V4L2_PIX_FMT_Y14P are defined,
+allow passing 14bit mono data through the peripheral.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 6 ++++++
+ 1 file changed, 6 insertions(+)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -341,6 +341,12 @@ static const struct unicam_fmt formats[]
+               .code           = MEDIA_BUS_FMT_Y12_1X12,
+               .depth          = 12,
+               .csi_dt         = 0x2c,
++      }, {
++              .fourcc         = V4L2_PIX_FMT_Y14P,
++              .repacked_fourcc = V4L2_PIX_FMT_Y14,
++              .code           = MEDIA_BUS_FMT_Y14_1X14,
++              .depth          = 14,
++              .csi_dt         = 0x2d,
+       },
+       /* Embedded data format */
+       {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0857-media-bcm2835-unicam-Add-support-for-unpacked-14bit-.patch b/target/linux/bcm27xx/patches-5.4/950-0857-media-bcm2835-unicam-Add-support-for-unpacked-14bit-.patch
new file mode 100644 (file)
index 0000000..b52a59a
--- /dev/null
@@ -0,0 +1,42 @@
+From 9c0121e45af13c0b0850601ee4d88029c548b240 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 1 Jul 2020 10:57:57 +0100
+Subject: [PATCH] media: bcm2835-unicam: Add support for unpacked
+ 14bit Bayer formats
+
+Now that the 14bit non-packed Bayer formats are defined, add them
+into the supported formats lookup table.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -299,21 +299,25 @@ static const struct unicam_fmt formats[]
+               .csi_dt         = 0x2c,
+       }, {
+               .fourcc         = V4L2_PIX_FMT_SBGGR14P,
++              .repacked_fourcc = V4L2_PIX_FMT_SBGGR14,
+               .code           = MEDIA_BUS_FMT_SBGGR14_1X14,
+               .depth          = 14,
+               .csi_dt         = 0x2d,
+       }, {
+               .fourcc         = V4L2_PIX_FMT_SGBRG14P,
++              .repacked_fourcc = V4L2_PIX_FMT_SGBRG14,
+               .code           = MEDIA_BUS_FMT_SGBRG14_1X14,
+               .depth          = 14,
+               .csi_dt         = 0x2d,
+       }, {
+               .fourcc         = V4L2_PIX_FMT_SGRBG14P,
++              .repacked_fourcc = V4L2_PIX_FMT_SGRBG14,
+               .code           = MEDIA_BUS_FMT_SGRBG14_1X14,
+               .depth          = 14,
+               .csi_dt         = 0x2d,
+       }, {
+               .fourcc         = V4L2_PIX_FMT_SRGGB14P,
++              .repacked_fourcc = V4L2_PIX_FMT_SRGGB14,
+               .code           = MEDIA_BUS_FMT_SRGGB14_1X14,
+               .depth          = 14,
+               .csi_dt         = 0x2d,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0858-overlays-Fix-miniuart-bt-krnbt-parameter.patch b/target/linux/bcm27xx/patches-5.4/950-0858-overlays-Fix-miniuart-bt-krnbt-parameter.patch
new file mode 100644 (file)
index 0000000..f7edf8a
--- /dev/null
@@ -0,0 +1,32 @@
+From 2d54451c77c85e153046baebdfedeabc224a59d7 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 2 Jul 2020 15:21:05 +0100
+Subject: [PATCH] overlays: Fix miniuart-bt "krnbt" parameter
+
+Although superficially appealing, an overlay parameter that targets a
+label in the base DTB is not currently supported. Instead it is
+necessary to create a fragment targeting the label which is patched
+by the parameter.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/miniuart-bt-overlay.dts | 8 +++++++-
+ 1 file changed, 7 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/miniuart-bt-overlay.dts
++++ b/arch/arm/boot/dts/overlays/miniuart-bt-overlay.dts
+@@ -81,7 +81,13 @@
+               };
+       };
++      fragment@7 {
++              target = <&minibt>;
++              minibt_frag: __overlay__ {
++              };
++      };
++
+       __overrides__ {
+-              krnbt = <&minibt>,"status";
++              krnbt = <&minibt_frag>,"status";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0859-drm-vc4-Make-FKMS-max-refresh-rate-a-module-paramete.patch b/target/linux/bcm27xx/patches-5.4/950-0859-drm-vc4-Make-FKMS-max-refresh-rate-a-module-paramete.patch
new file mode 100644 (file)
index 0000000..bf27d7a
--- /dev/null
@@ -0,0 +1,55 @@
+From ff882e312a746a4a794a089e912e5e56baa8b5b4 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 3 Jul 2020 14:11:55 +0100
+Subject: [PATCH] drm/vc4: Make FKMS max refresh rate a module
+ parameter
+
+Some people want to use the high refresh rate modes for 1080p100
+and 1080p120, but they're currently filtered out as generally
+they don't add anything.
+
+Make the filter threshold a module parameter so that it can be
+adjusted.
+
+https://github.com/raspberrypi/linux/issues/3677
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 12 ++++++++++--
+ 1 file changed, 10 insertions(+), 2 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -14,6 +14,8 @@
+  * Pi's firmware display stack.
+  */
++#include <linux/module.h>
++
+ #include "drm/drm_atomic_helper.h"
+ #include "drm/drm_gem_framebuffer_helper.h"
+ #include "drm/drm_plane_helper.h"
+@@ -32,6 +34,10 @@
+ #include "vc_image_types.h"
+ #include <soc/bcm2835/raspberrypi-firmware.h>
++int fkms_max_refresh_rate = 85;
++module_param(fkms_max_refresh_rate, int, 0644);
++MODULE_PARM_DESC(fkms_max_refresh_rate, "Max supported refresh rate");
++
+ struct get_display_cfg {
+       u32  max_pixel_clock[2];  //Max pixel clock for each display
+ };
+@@ -1069,8 +1075,10 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
+               return MODE_NO_DBLESCAN;
+       }
+-      /* Disable refresh rates > 85Hz as limited gain from them */
+-      if (drm_mode_vrefresh(mode) > 85)
++      /* Disable refresh rates > defined threshold (default 85Hz) as limited
++       * gain from them
++       */
++      if (drm_mode_vrefresh(mode) > fkms_max_refresh_rate)
+               return MODE_BAD_VVALUE;
+       /* Limit the pixel clock based on the HDMI clock limits from the
diff --git a/target/linux/bcm27xx/patches-5.4/950-0860-drm-vc4-FKMS-Block-modes-with-odd-horizontal-timing-.patch b/target/linux/bcm27xx/patches-5.4/950-0860-drm-vc4-FKMS-Block-modes-with-odd-horizontal-timing-.patch
new file mode 100644 (file)
index 0000000..4bddd13
--- /dev/null
@@ -0,0 +1,74 @@
+From aa791f2cb6777e8ca99102009b631afdeea1c59d Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 3 Jul 2020 16:06:55 +0100
+Subject: [PATCH] drm/vc4: FKMS Block modes with odd horizontal
+ timing values on Pi4
+
+Pi4 HDMI pipeline is 2 pixels/clock and can not produce timings
+that have odd values for active pixels, front porch, sync width,
+or back porch.
+Detect these modes and block them within fkms.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 21 +++++++++++++++++++++
+ 1 file changed, 21 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -44,6 +44,7 @@ struct get_display_cfg {
+ struct vc4_fkms {
+       struct get_display_cfg cfg;
++      bool bcm2711;
+ };
+ #define PLANES_PER_CRTC               3
+@@ -1097,6 +1098,17 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
+               break;
+       }
++      /* Pi4 can't generate odd horizontal timings on HDMI, so reject modes
++       * that would set them.
++       */
++      if (fkms->bcm2711 &&
++          (vc4_crtc->display_number == 2 || vc4_crtc->display_number == 7) &&
++          ((mode->hdisplay |                          /* active */
++            (mode->hsync_start - mode->hdisplay) |    /* front porch */
++            (mode->hsync_end - mode->hsync_start) |   /* sync pulse */
++            (mode->htotal - mode->hsync_end)) & 1))   /* back porch */
++              return MODE_H_ILLEGAL;
++
+       return MODE_OK;
+ }
+@@ -1282,6 +1294,8 @@ static const struct drm_crtc_helper_func
+ static const struct of_device_id vc4_firmware_kms_dt_match[] = {
+       { .compatible = "raspberrypi,rpi-firmware-kms" },
++      { .compatible = "raspberrypi,rpi-firmware-kms-2711",
++        .data = (void *)1 },
+       {}
+ };
+@@ -1815,6 +1829,7 @@ static int vc4_fkms_bind(struct device *
+       struct drm_device *drm = dev_get_drvdata(master);
+       struct vc4_dev *vc4 = to_vc4_dev(drm);
+       struct device_node *firmware_node;
++      const struct of_device_id *match;
+       struct vc4_crtc **crtc_list;
+       u32 num_displays, display_num;
+       struct vc4_fkms *fkms;
+@@ -1827,6 +1842,12 @@ static int vc4_fkms_bind(struct device *
+       if (!fkms)
+               return -ENOMEM;
++      match = of_match_device(vc4_firmware_kms_dt_match, dev);
++      if (!match)
++              return -ENODEV;
++      if (match->data)
++              fkms->bcm2711 = true;
++
+       /* firmware kms doesn't have precise a scanoutpos implementation, so
+        * we can't do the precise vblank timestamp mode.
+        */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0861-dt-Use-rpi-firmware-kms-2711-on-2711-platforms.patch b/target/linux/bcm27xx/patches-5.4/950-0861-dt-Use-rpi-firmware-kms-2711-on-2711-platforms.patch
new file mode 100644 (file)
index 0000000..d1805a6
--- /dev/null
@@ -0,0 +1,37 @@
+From 603b5b55d5f52869263647c44fe682d105f8ee46 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 3 Jul 2020 16:05:37 +0100
+Subject: [PATCH] dt: Use rpi-firmware-kms-2711 on 2711 platforms
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 4 ++++
+ arch/arm/boot/dts/bcm2711-rpi-cm4.dts | 4 ++++
+ 2 files changed, 8 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -443,6 +443,10 @@
+       };
+ };
++&firmwarekms {
++      compatible = "raspberrypi,rpi-firmware-kms-2711";
++};
++
+ // =============================================
+ // Board specific stuff here
+--- a/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
+@@ -461,6 +461,10 @@
+       };
+ };
++&firmwarekms {
++      compatible = "raspberrypi,rpi-firmware-kms-2711";
++};
++
+ // =============================================
+ // Board specific stuff here
diff --git a/target/linux/bcm27xx/patches-5.4/950-0862-drm-vc4-FKMS-Put-includes-in-alphabetical-order-and-.patch b/target/linux/bcm27xx/patches-5.4/950-0862-drm-vc4-FKMS-Put-includes-in-alphabetical-order-and-.patch
new file mode 100644 (file)
index 0000000..4adbe8a
--- /dev/null
@@ -0,0 +1,57 @@
+From 0a5e493ea20d9d24d0808d8a4e05a97deb19b423 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 3 Jul 2020 16:13:33 +0100
+Subject: [PATCH] drm/vc4: FKMS: Put includes in alphabetical order,
+ and use <> instead of ""
+
+Reorder the includes, and use the system include paths rather than
+local ones
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 29 +++++++++++++-------------
+ 1 file changed, 15 insertions(+), 14 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -14,25 +14,26 @@
+  * Pi's firmware display stack.
+  */
++#include <drm/drm_atomic_helper.h>
++#include <drm/drm_crtc_helper.h>
++#include <drm/drm_drv.h>
++#include <drm/drm_fb_cma_helper.h>
++#include <drm/drm_fourcc.h>
++#include <drm/drm_gem_framebuffer_helper.h>
++#include <drm/drm_plane_helper.h>
++#include <drm/drm_probe_helper.h>
++#include <drm/drm_vblank.h>
++
++#include <linux/component.h>
++#include <linux/clk.h>
++#include <linux/debugfs.h>
+ #include <linux/module.h>
+-#include "drm/drm_atomic_helper.h"
+-#include "drm/drm_gem_framebuffer_helper.h"
+-#include "drm/drm_plane_helper.h"
+-#include "drm/drm_crtc_helper.h"
+-#include "drm/drm_fourcc.h"
+-#include "drm/drm_probe_helper.h"
+-#include "drm/drm_drv.h"
+-#include "drm/drm_vblank.h"
+-#include "linux/clk.h"
+-#include "linux/debugfs.h"
+-#include "drm/drm_fb_cma_helper.h"
+-#include "linux/component.h"
+-#include "linux/of_device.h"
++#include <soc/bcm2835/raspberrypi-firmware.h>
++
+ #include "vc4_drv.h"
+ #include "vc4_regs.h"
+ #include "vc_image_types.h"
+-#include <soc/bcm2835/raspberrypi-firmware.h>
+ int fkms_max_refresh_rate = 85;
+ module_param(fkms_max_refresh_rate, int, 0644);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0863-irqchip-bcm2835-Quiesce-IRQs-left-enabled-by-bootloa.patch b/target/linux/bcm27xx/patches-5.4/950-0863-irqchip-bcm2835-Quiesce-IRQs-left-enabled-by-bootloa.patch
new file mode 100644 (file)
index 0000000..f27d448
--- /dev/null
@@ -0,0 +1,105 @@
+From 4ae861da5eaf53e5b4303f080bff0e34e22da0d9 Mon Sep 17 00:00:00 2001
+From: Lukas Wunner <lukas@wunner.de>
+Date: Tue, 4 Feb 2020 15:50:41 +0100
+Subject: [PATCH] irqchip/bcm2835: Quiesce IRQs left enabled by
+ bootloader
+
+[ Upstream commit bd59b343a9c902c522f006e6d71080f4893bbf42 ]
+
+Per the spec, the BCM2835's IRQs are all disabled when coming out of
+power-on reset.  Its IRQ driver assumes that's still the case when the
+kernel boots and does not perform any initialization of the registers.
+However the Raspberry Pi Foundation's bootloader leaves the USB
+interrupt enabled when handing over control to the kernel.
+
+Quiesce IRQs and the FIQ if they were left enabled and log a message to
+let users know that they should update the bootloader once a fixed
+version is released.
+
+If the USB interrupt is not quiesced and the USB driver later on claims
+the FIQ (as it does on the Raspberry Pi Foundation's downstream kernel),
+interrupt latency for all other peripherals increases and occasional
+lockups occur.  That's because both the FIQ and the normal USB interrupt
+fire simultaneously:
+
+On a multicore Raspberry Pi, if normal interrupts are routed to CPU 0
+and the FIQ to CPU 1 (hardcoded in the Foundation's kernel), then a USB
+interrupt causes CPU 0 to spin in bcm2836_chained_handle_irq() until the
+FIQ on CPU 1 has cleared it.  Other peripherals' interrupts are starved
+as long.  I've seen CPU 0 blocked for up to 2.9 msec.  eMMC throughput
+on a Compute Module 3 irregularly dips to 23.0 MB/s without this commit
+but remains relatively constant at 23.5 MB/s with this commit.
+
+The lockups occur when CPU 0 receives a USB interrupt while holding a
+lock which CPU 1 is trying to acquire while the FIQ is temporarily
+disabled on CPU 1.  At best users get RCU CPU stall warnings, but most
+of the time the system just freezes.
+
+Fixes: 89214f009c1d ("ARM: bcm2835: add interrupt controller driver")
+Signed-off-by: Lukas Wunner <lukas@wunner.de>
+Signed-off-by: Marc Zyngier <maz@kernel.org>
+Reviewed-by: Florian Fainelli <f.fainelli@gmail.com>
+Reviewed-by: Nicolas Saenz Julienne <nsaenzjulienne@suse.de>
+Link: https://lore.kernel.org/r/f97868ba4e9b86ddad71f44ec9d8b3b7d8daa1ea.1582618537.git.lukas@wunner.de
+---
+ drivers/irqchip/irq-bcm2835.c | 21 +++++++++++++++++----
+ 1 file changed, 17 insertions(+), 4 deletions(-)
+
+--- a/drivers/irqchip/irq-bcm2835.c
++++ b/drivers/irqchip/irq-bcm2835.c
+@@ -67,8 +67,7 @@
+ #define ARM_LOCAL_GPU_INT_ROUTING 0x0c
+ #define REG_FIQ_CONTROL               0x0c
+-#define REG_FIQ_ENABLE                0x80
+-#define REG_FIQ_DISABLE               0
++#define FIQ_CONTROL_ENABLE    BIT(7)
+ #define NR_BANKS              3
+ #define IRQS_PER_BANK         32
+@@ -116,7 +115,7 @@ static inline unsigned int hwirq_to_fiq(
+ static void armctrl_mask_irq(struct irq_data *d)
+ {
+       if (d->hwirq >= NUMBER_IRQS)
+-              writel_relaxed(REG_FIQ_DISABLE, intc.base + REG_FIQ_CONTROL);
++              writel_relaxed(0, intc.base + REG_FIQ_CONTROL);
+       else
+               writel_relaxed(HWIRQ_BIT(d->hwirq),
+                              intc.disable[HWIRQ_BANK(d->hwirq)]);
+@@ -143,7 +142,7 @@ static void armctrl_unmask_irq(struct ir
+                                      ARM_LOCAL_GPU_INT_ROUTING);
+               }
+-              writel_relaxed(REG_FIQ_ENABLE | hwirq_to_fiq(d->hwirq),
++              writel_relaxed(FIQ_CONTROL_ENABLE | hwirq_to_fiq(d->hwirq),
+                              intc.base + REG_FIQ_CONTROL);
+       } else {
+               writel_relaxed(HWIRQ_BIT(d->hwirq),
+@@ -201,6 +200,7 @@ static int __init armctrl_of_init(struct
+ {
+       void __iomem *base;
+       int irq = 0, last_irq, b, i;
++      u32 reg;
+       base = of_iomap(node, 0);
+       if (!base)
+@@ -224,6 +224,19 @@ static int __init armctrl_of_init(struct
+                               handle_level_irq);
+                       irq_set_probe(irq);
+               }
++
++              reg = readl_relaxed(intc.enable[b]);
++              if (reg) {
++                      writel_relaxed(reg, intc.disable[b]);
++                      pr_err(FW_BUG "Bootloader left irq enabled: "
++                             "bank %d irq %*pbl\n", b, IRQS_PER_BANK, &reg);
++              }
++      }
++
++      reg = readl_relaxed(base + REG_FIQ_CONTROL);
++      if (reg & FIQ_CONTROL_ENABLE) {
++              writel_relaxed(0, base + REG_FIQ_CONTROL);
++              pr_err(FW_BUG "Bootloader left fiq enabled\n");
+       }
+       last_irq = irq;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0864-dtoverlays-Fixup-imx219-and-imx477-overlays-due-to-p.patch b/target/linux/bcm27xx/patches-5.4/950-0864-dtoverlays-Fixup-imx219-and-imx477-overlays-due-to-p.patch
new file mode 100644 (file)
index 0000000..44ecd49
--- /dev/null
@@ -0,0 +1,42 @@
+From ae906e8cc5152d171ff4d0bf7e668b941a6b1a06 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 1 Jul 2020 18:28:10 +0100
+Subject: [PATCH] dtoverlays: Fixup imx219 and imx477 overlays due to
+ parsing failures
+
+imx219 overlay failed to detect as CSI2 as it was missing any
+of the CSI2 properties on the Unicam end of the configuration.
+Clean up imx477 as well to include all the relevant properties.
+
+Fixes: "dt/dtoverlays: Fix up base DT and overlays for updated Unicam driver"
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/imx219-overlay.dts | 3 +++
+ arch/arm/boot/dts/overlays/imx477-overlay.dts | 2 ++
+ 2 files changed, 5 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/imx219-overlay.dts
++++ b/arch/arm/boot/dts/overlays/imx219-overlay.dts
+@@ -49,6 +49,9 @@
+                       port {
+                               csi1_ep: endpoint {
+                                       remote-endpoint = <&imx219_0>;
++                                      clock-lanes = <0>;
++                                      data-lanes = <1 2>;
++                                      clock-noncontinuous;
+                               };
+                       };
+               };
+--- a/arch/arm/boot/dts/overlays/imx477-overlay.dts
++++ b/arch/arm/boot/dts/overlays/imx477-overlay.dts
+@@ -49,7 +49,9 @@
+                       port {
+                               csi1_ep: endpoint {
+                                       remote-endpoint = <&imx477_0>;
++                                      clock-lanes = <0>;
+                                       data-lanes = <1 2>;
++                                      clock-noncontinuous;
+                               };
+                       };
+               };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0865-overlays-rpi-ft5406-Fix-boolean-parameters.patch b/target/linux/bcm27xx/patches-5.4/950-0865-overlays-rpi-ft5406-Fix-boolean-parameters.patch
new file mode 100644 (file)
index 0000000..f1daaf2
--- /dev/null
@@ -0,0 +1,27 @@
+From e61f4babc548c69b26f60c93eaa4e20d676db8b8 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Sat, 4 Jul 2020 22:19:26 +0100
+Subject: [PATCH] overlays: rpi-ft5406: Fix boolean parameters
+
+An improvement in the automated testing of overlays revealed
+these invalid boolean parameter declarations.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/rpi-ft5406-overlay.dts | 6 +++---
+ 1 file changed, 3 insertions(+), 3 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/rpi-ft5406-overlay.dts
++++ b/arch/arm/boot/dts/overlays/rpi-ft5406-overlay.dts
+@@ -18,8 +18,8 @@
+       __overrides__ {
+               touchscreen-size-x = <&ts>,"touchscreen-size-x:0";
+               touchscreen-size-y = <&ts>,"touchscreen-size-y:0";
+-              touchscreen-inverted-x = <&ts>,"touchscreen-inverted-x:?";
+-              touchscreen-inverted-y = <&ts>,"touchscreen-inverted-y:?";
+-              touchscreen-swapped-x-y = <&ts>,"touchscreen-swapped-x-y:?";
++              touchscreen-inverted-x = <&ts>,"touchscreen-inverted-x?";
++              touchscreen-inverted-y = <&ts>,"touchscreen-inverted-y?";
++              touchscreen-swapped-x-y = <&ts>,"touchscreen-swapped-x-y?";
+         };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0866-ARM-dts-Copy-kernel-BT-changes-to-CM4.patch b/target/linux/bcm27xx/patches-5.4/950-0866-ARM-dts-Copy-kernel-BT-changes-to-CM4.patch
new file mode 100644 (file)
index 0000000..0c4158b
--- /dev/null
@@ -0,0 +1,29 @@
+From c1037594129cb19e62ea7551b0b4c449a392d883 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 6 Jul 2020 17:53:47 +0100
+Subject: [PATCH] ARM: dts: Copy kernel BT changes to CM4
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-cm4.dts | 3 +--
+ 1 file changed, 1 insertion(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
+@@ -194,6 +194,7 @@
+ // Downstream rpi- changes
+ #include "bcm270x.dtsi"
++#include "bcm271x-rpi-bt.dtsi"
+ / {
+       soc {
+@@ -267,8 +268,6 @@
+ &uart0 {
+       pinctrl-0 = <&uart0_pins &bt_pins>;
+       status = "okay";
+-
+-      /delete-node/ bluetooth;
+ };
+ &uart1 {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0867-ARM-dts-Make-bcm2711-dts-more-like-5.7.patch b/target/linux/bcm27xx/patches-5.4/950-0867-ARM-dts-Make-bcm2711-dts-more-like-5.7.patch
new file mode 100644 (file)
index 0000000..bbe5569
--- /dev/null
@@ -0,0 +1,696 @@
+From 0f02c32b2d27fa5f0b21c67fb5518a36b5234f3a Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 7 Jul 2020 09:01:54 +0100
+Subject: [PATCH] ARM: dts: Make bcm2711 dts more like 5.7
+
+The multiple declarations of pixelvalve2 were causing problems for the
+DT checkers. Aligning the dts files closer to the later kernel versions
+avoids some repetition and should make maintenance easier.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts | 142 ++++++++++++-----------
+ arch/arm/boot/dts/bcm2711-rpi-cm4.dts |  69 +----------
+ arch/arm/boot/dts/bcm2711-rpi.dtsi    | 150 +++++++++++++++++++++++-
+ arch/arm/boot/dts/bcm2711.dtsi        | 157 +++-----------------------
+ 4 files changed, 245 insertions(+), 273 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -19,7 +19,9 @@
+       };
+       aliases {
++              emmc2bus = &emmc2bus;
+               ethernet0 = &genet;
++              pcie0 = &pcie0;
+       };
+       leds {
+@@ -30,6 +32,8 @@
+               pwr {
+                       label = "PWR";
+                       gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
++                      default-state = "keep";
++                      linux,default-trigger = "default-on";
+               };
+       };
+@@ -70,6 +74,79 @@
+       };
+ };
++&gpio {
++      /*
++       * Parts taken from rpi_SCH_4b_4p0_reduced.pdf and
++       * the official GPU firmware DT blob.
++       *
++       * Legend:
++       * "FOO" = GPIO line named "FOO" on the schematic
++       * "FOO_N" = GPIO line named "FOO" on schematic, active low
++       */
++      gpio-line-names = "ID_SDA",
++                        "ID_SCL",
++                        "SDA1",
++                        "SCL1",
++                        "GPIO_GCLK",
++                        "GPIO5",
++                        "GPIO6",
++                        "SPI_CE1_N",
++                        "SPI_CE0_N",
++                        "SPI_MISO",
++                        "SPI_MOSI",
++                        "SPI_SCLK",
++                        "GPIO12",
++                        "GPIO13",
++                        /* Serial port */
++                        "TXD1",
++                        "RXD1",
++                        "GPIO16",
++                        "GPIO17",
++                        "GPIO18",
++                        "GPIO19",
++                        "GPIO20",
++                        "GPIO21",
++                        "GPIO22",
++                        "GPIO23",
++                        "GPIO24",
++                        "GPIO25",
++                        "GPIO26",
++                        "GPIO27",
++                        "RGMII_MDIO",
++                        "RGMIO_MDC",
++                        /* Used by BT module */
++                        "CTS0",
++                        "RTS0",
++                        "TXD0",
++                        "RXD0",
++                        /* Used by Wifi */
++                        "SD1_CLK",
++                        "SD1_CMD",
++                        "SD1_DATA0",
++                        "SD1_DATA1",
++                        "SD1_DATA2",
++                        "SD1_DATA3",
++                        /* Shared with SPI flash */
++                        "PWM0_MISO",
++                        "PWM1_MOSI",
++                        "STATUS_LED_G_CLK",
++                        "SPIFLASH_CE_N",
++                        "SDA0",
++                        "SCL0",
++                        "RGMII_RXCLK",
++                        "RGMII_RXCTL",
++                        "RGMII_RXD0",
++                        "RGMII_RXD1",
++                        "RGMII_RXD2",
++                        "RGMII_RXD3",
++                        "RGMII_TXCLK",
++                        "RGMII_TXCTL",
++                        "RGMII_TXD0",
++                        "RGMII_TXD1",
++                        "RGMII_TXD2",
++                        "RGMII_TXD3";
++};
++
+ &pwm1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&pwm1_0_gpio40 &pwm1_1_gpio41>;
+@@ -138,46 +215,6 @@
+       interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
+ };
+-&vc4 {
+-      status = "okay";
+-};
+-
+-&pixelvalve0 {
+-      status = "okay";
+-};
+-
+-&pixelvalve1 {
+-      status = "okay";
+-};
+-
+-&pixelvalve2 {
+-      status = "okay";
+-};
+-
+-&pixelvalve3 {
+-      status = "okay";
+-};
+-
+-&pixelvalve4 {
+-      status = "okay";
+-};
+-
+-&hdmi0 {
+-      status = "okay";
+-};
+-
+-&ddc0 {
+-      status = "okay";
+-};
+-
+-&hdmi1 {
+-      status = "okay";
+-};
+-
+-&ddc1 {
+-      status = "okay";
+-};
+-
+ // =============================================
+ // Downstream rpi- changes
+@@ -195,8 +232,6 @@
+ #include "bcm283x-rpi-csi1-2lane.dtsi"
+ #include "bcm283x-rpi-i2c0mux_0_44.dtsi"
+-/delete-node/ &emmc2;
+-
+ / {
+       chosen {
+               bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
+@@ -213,29 +248,7 @@
+               i2c4 = &i2c4;
+               i2c5 = &i2c5;
+               i2c6 = &i2c6;
+-              /delete-property/ ethernet;
+               /delete-property/ intc;
+-              pcie0 = &pcie0;
+-              emmc2bus = &emmc2bus;
+-      };
+-
+-      emmc2bus: emmc2bus {
+-              compatible = "simple-bus";
+-              #address-cells = <2>;
+-              #size-cells = <1>;
+-
+-              ranges = <0x0 0x7e000000  0x0 0xfe000000  0x01800000>;
+-              dma-ranges = <0x0 0xc0000000  0x0 0x00000000  0x40000000>;
+-
+-              emmc2: emmc2@7e340000 {
+-                      compatible = "brcm,bcm2711-emmc2";
+-                      status = "okay";
+-                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
+-                      reg = <0x0 0x7e340000 0x100>;
+-                      vqmmc-supply = <&sd_io_1v8_reg>;
+-                      broken-cd;
+-              };
+       };
+       /delete-node/ wifi-pwrseq;
+@@ -557,6 +570,7 @@
+               eth_led0 = <&phy1>,"led-modes:0";
+               eth_led1 = <&phy1>,"led-modes:4";
++              sd_poll_once = <&emmc2>, "non-removable?";
+               spi_dma4 = <&spi0>, "dmas:0=", <&dma40>,
+                          <&spi0>, "dmas:8=", <&dma40>;
+       };
+--- a/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
+@@ -19,7 +19,9 @@
+       };
+       aliases {
++              emmc2bus = &emmc2bus;
+               ethernet0 = &genet;
++              pcie0 = &pcie0;
+       };
+       leds {
+@@ -30,6 +32,8 @@
+               pwr {
+                       label = "PWR";
+                       gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
++                      default-state = "keep";
++                      linux,default-trigger = "default-on";
+               };
+       };
+@@ -150,46 +154,6 @@
+       interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
+ };
+-&vc4 {
+-      status = "okay";
+-};
+-
+-&pixelvalve0 {
+-      status = "okay";
+-};
+-
+-&pixelvalve1 {
+-      status = "okay";
+-};
+-
+-&pixelvalve2 {
+-      status = "okay";
+-};
+-
+-&pixelvalve3 {
+-      status = "okay";
+-};
+-
+-&pixelvalve4 {
+-      status = "okay";
+-};
+-
+-&hdmi0 {
+-      status = "okay";
+-};
+-
+-&ddc0 {
+-      status = "okay";
+-};
+-
+-&hdmi1 {
+-      status = "okay";
+-};
+-
+-&ddc1 {
+-      status = "okay";
+-};
+-
+ // =============================================
+ // Downstream rpi- changes
+@@ -208,8 +172,6 @@
+ #include "bcm283x-rpi-csi1-4lane.dtsi"
+ #include "bcm283x-rpi-i2c0mux_0_44.dtsi"
+-/delete-node/ &emmc2;
+-
+ / {
+       chosen {
+               bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
+@@ -226,29 +188,7 @@
+               i2c4 = &i2c4;
+               i2c5 = &i2c5;
+               i2c6 = &i2c6;
+-              /delete-property/ ethernet;
+               /delete-property/ intc;
+-              pcie0 = &pcie0;
+-              emmc2bus = &emmc2bus;
+-      };
+-
+-      emmc2bus: emmc2bus {
+-              compatible = "simple-bus";
+-              #address-cells = <2>;
+-              #size-cells = <1>;
+-
+-              ranges = <0x0 0x7e000000  0x0 0xfe000000  0x01800000>;
+-              dma-ranges = <0x0 0xc0000000  0x0 0x00000000  0x40000000>;
+-
+-              emmc2: emmc2@7e340000 {
+-                      compatible = "brcm,bcm2711-emmc2";
+-                      status = "okay";
+-                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
+-                      reg = <0x0 0x7e340000 0x100>;
+-                      vqmmc-supply = <&sd_io_1v8_reg>;
+-                      broken-cd;
+-              };
+       };
+       /delete-node/ wifi-pwrseq;
+@@ -588,6 +528,7 @@
+                       <&ant2>, "output-high?=off",
+                       <&ant2>, "output-low?=on";
++              sd_poll_once = <&emmc2>, "non-removable?";
+               spi_dma4 = <&spi0>, "dmas:0=", <&dma40>,
+                          <&spi0>, "dmas:8=", <&dma40>;
+       };
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -4,6 +4,129 @@
+ / {
+       soc {
+               /delete-node/ v3d@7ec00000;
++
++              pixelvalve0: pixelvalve@7e206000 {
++                      compatible = "brcm,bcm2711-pixelvalve0";
++                      reg = <0x7e206000 0x100>;
++                      interrupts = <GIC_SPI 109 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++              };
++
++              pixelvalve1: pixelvalve@7e207000 {
++                      compatible = "brcm,bcm2711-pixelvalve1";
++                      reg = <0x7e207000 0x100>;
++                      interrupts = <GIC_SPI 110 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++              };
++
++              pixelvalve2: pixelvalve@7e20a000 {
++                      compatible = "brcm,bcm2711-pixelvalve2";
++                      reg = <0x7e20a000 0x100>;
++                      interrupts = <GIC_SPI 101 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++              };
++
++              pixelvalve4: pixelvalve@7e216000 {
++                      compatible = "brcm,bcm2711-pixelvalve4";
++                      reg = <0x7e216000 0x100>;
++                      interrupts = <GIC_SPI 110 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++              };
++
++              pixelvalve3: pixelvalve@7ec12000 {
++                      compatible = "brcm,bcm2711-pixelvalve3";
++                      reg = <0x7ec12000 0x100>;
++                      interrupts = <GIC_SPI 106 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++              };
++
++              dvp: clock@7ef00000 {
++                      compatible = "brcm,brcm2711-dvp";
++                      reg = <0x7ef00000 0x10>;
++                      clocks = <&clk_108MHz>;
++                      #clock-cells = <1>;
++                      #reset-cells = <1>;
++              };
++
++              hdmi0: hdmi@7ef00700 {
++                      compatible = "brcm,bcm2711-hdmi0";
++                      reg = <0x7ef00700 0x300>,
++                            <0x7ef00300 0x200>,
++                            <0x7ef00f00 0x80>,
++                            <0x7ef00f80 0x80>,
++                            <0x7ef01b00 0x200>,
++                            <0x7ef01f00 0x400>,
++                            <0x7ef00200 0x80>,
++                            <0x7ef04300 0x100>,
++                            <0x7ef20000 0x100>,
++                            <0x7ef00100 0x30>;
++                      reg-names = "hdmi",
++                                  "dvp",
++                                  "phy",
++                                  "rm",
++                                  "packet",
++                                  "metadata",
++                                  "csc",
++                                  "cec",
++                                  "hd",
++                                  "intr2";
++                      clocks = <&firmware_clocks 13>;
++                      clock-names = "hdmi";
++                      resets = <&dvp 0>;
++                      ddc = <&ddc0>;
++                      dmas = <&dma 10>;
++                      dma-names = "audio-rx";
++                      interrupts = <GIC_SPI 96 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++              };
++
++              ddc0: i2c@7ef04500 {
++                      compatible = "brcm,bcm2711-hdmi-i2c";
++                      reg = <0x7ef04500 0x100>, <0x7ef00b00 0x300>;
++                      reg-names = "bsc", "auto-i2c";
++                      clock-frequency = <97500>;
++                      status = "disabled";
++              };
++
++              hdmi1: hdmi@7ef05700 {
++                      compatible = "brcm,bcm2711-hdmi1";
++                      reg = <0x7ef05700 0x300>,
++                            <0x7ef05300 0x200>,
++                            <0x7ef05f00 0x80>,
++                            <0x7ef05f80 0x80>,
++                            <0x7ef06b00 0x200>,
++                            <0x7ef06f00 0x400>,
++                            <0x7ef00280 0x80>,
++                            <0x7ef09300 0x100>,
++                            <0x7ef20000 0x100>,
++                            <0x7ef00100 0x30>;
++                      reg-names = "hdmi",
++                                  "dvp",
++                                  "phy",
++                                  "rm",
++                                  "packet",
++                                  "metadata",
++                                  "csc",
++                                  "cec",
++                                  "hd",
++                                  "intr2";
++                      ddc = <&ddc1>;
++                      clocks = <&firmware_clocks 13>;
++                      clock-names = "hdmi";
++                      resets = <&dvp 1>;
++                      dmas = <&dma 17>;
++                      dma-names = "audio-rx";
++                      interrupts = <GIC_SPI 96 IRQ_TYPE_LEVEL_HIGH>;
++                      status = "disabled";
++              };
++
++              ddc1: i2c@7ef09500 {
++                      compatible = "brcm,bcm2711-hdmi-i2c";
++                      reg = <0x7ef09500 0x100>, <0x7ef05b00 0x300>;
++                      reg-names = "bsc", "auto-i2c";
++                      clock-frequency = <97500>;
++                      status = "disabled";
++              };
+       };
+       __overrides__ {
+@@ -42,22 +165,33 @@
+       scb: scb {
+            /* Add a label */
+       };
+-};
+-&cma {
+-      /* Limit cma to the lower 768MB to allow room for HIGHMEM on 32-bit */
+-      alloc-ranges = <0x0 0x00000000 0x30000000>;
++      vc4: gpu {
++              compatible = "brcm,bcm2711-vc5";
++              status = "disabled";
++      };
++
++      clk_108MHz: clk-108M {
++              #clock-cells = <0>;
++              compatible = "fixed-clock";
++              clock-frequency = <108000000>;
++              clock-output-names = "108MHz-clock";
++      };
+ };
+ &soc {
+       /delete-node/ audio;
+ };
++&cma {
++      /* Limit cma to the lower 768MB to allow room for HIGHMEM on 32-bit */
++      alloc-ranges = <0x0 0x00000000 0x30000000>;
++};
++
+ &scb {
+       ranges = <0x0 0x7c000000  0x0 0xfc000000  0x0 0x03800000>,
+                <0x0 0x40000000  0x0 0xff800000  0x0 0x00800000>,
+-               <0x6 0x00000000  0x6 0x00000000  0x0 0x40000000>,
+-               <0x0 0x00000000  0x0 0x00000000  0x0 0xfc000000>;
++               <0x6 0x00000000  0x6 0x00000000  0x0 0x40000000>;
+       dma-ranges = <0x0 0x00000000  0x0 0x00000000  0x0 0xfc000000>,
+                    <0x1 0x00000000  0x1 0x00000000  0x1 0x00000000>;
+@@ -171,6 +305,10 @@
+       compatible = "brcm,bcm2711-genet-v5", "brcm,genet-v5";
+ };
++&hvs {
++      clocks = <&firmware_clocks 4>;
++};
++
+ &firmware {
+       firmware_clocks: clocks {
+               compatible = "raspberrypi,firmware-clocks";
+--- a/arch/arm/boot/dts/bcm2711.dtsi
++++ b/arch/arm/boot/dts/bcm2711.dtsi
+@@ -12,18 +12,6 @@
+       interrupt-parent = <&gicv2>;
+-      vc4: gpu {
+-              compatible = "brcm,bcm2711-vc5";
+-              status = "disabled";
+-      };
+-
+-      clk_108MHz: clk-108M {
+-              #clock-cells = <0>;
+-              compatible = "fixed-clock";
+-              clock-frequency = <108000000>;
+-              clock-output-names = "108MHz-clock";
+-      };
+-
+       soc {
+               /*
+                * Defined ranges:
+@@ -245,27 +233,6 @@
+                       status = "disabled";
+               };
+-              pixelvalve0: pixelvalve@7e206000 {
+-                      compatible = "brcm,bcm2711-pixelvalve0";
+-                      reg = <0x7e206000 0x100>;
+-                      interrupts = <GIC_SPI 109 IRQ_TYPE_LEVEL_HIGH>;
+-                      status = "disabled";
+-              };
+-
+-              pixelvalve1: pixelvalve@7e207000 {
+-                      compatible = "brcm,bcm2711-pixelvalve1";
+-                      reg = <0x7e207000 0x100>;
+-                      interrupts = <GIC_SPI 110 IRQ_TYPE_LEVEL_HIGH>;
+-                      status = "disabled";
+-              };
+-
+-              pixelvalve2: pixelvalve@7e20a000 {
+-                      compatible = "brcm,bcm2711-pixelvalve2";
+-                      reg = <0x7e20a000 0x100>;
+-                      interrupts = <GIC_SPI 101 IRQ_TYPE_LEVEL_HIGH>;
+-                      status = "disabled";
+-              };
+-
+               pwm1: pwm@7e20c800 {
+                       compatible = "brcm,bcm2835-pwm";
+                       reg = <0x7e20c800 0x28>;
+@@ -276,118 +243,30 @@
+                       status = "disabled";
+               };
+-              pixelvalve4: pixelvalve@7e216000 {
+-                      compatible = "brcm,bcm2711-pixelvalve4";
+-                      reg = <0x7e216000 0x100>;
+-                      interrupts = <GIC_SPI 110 IRQ_TYPE_LEVEL_HIGH>;
+-                      status = "disabled";
+-              };
+-
+-              emmc2: emmc2@7e340000 {
+-                      compatible = "brcm,bcm2711-emmc2";
+-                      reg = <0x7e340000 0x100>;
+-                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
+-                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
+-                      status = "disabled";
+-              };
+-
+               hvs@7e400000 {
+-                      clocks = <&firmware_clocks 4>;
+                       interrupts = <GIC_SPI 97 IRQ_TYPE_LEVEL_HIGH>;
+               };
++      };
+-              pixelvalve3: pixelvalve@7ec12000 {
+-                      compatible = "brcm,bcm2711-pixelvalve3";
+-                      reg = <0x7ec12000 0x100>;
+-                      interrupts = <GIC_SPI 106 IRQ_TYPE_LEVEL_HIGH>;
+-                      status = "disabled";
+-              };
++      /*
++       * emmc2 has different DMA constraints based on SoC revisions. It was
++       * moved into its own bus, so as for RPi4's firmware to update them.
++       * The firmware will find whether the emmc2bus alias is defined, and if
++       * so, it'll edit the dma-ranges property below accordingly.
++       */
++      emmc2bus: emmc2bus {
++              compatible = "simple-bus";
++              #address-cells = <2>;
++              #size-cells = <1>;
+-              dvp: clock@7ef00000 {
+-                      compatible = "brcm,brcm2711-dvp";
+-                      reg = <0x7ef00000 0x10>;
+-                      clocks = <&clk_108MHz>;
+-                      #clock-cells = <1>;
+-                      #reset-cells = <1>;
+-              };
++              ranges = <0x0 0x7e000000  0x0 0xfe000000  0x01800000>;
++              dma-ranges = <0x0 0xc0000000  0x0 0x00000000  0x40000000>;
+-              hdmi0: hdmi@7ef00700 {
+-                      compatible = "brcm,bcm2711-hdmi0";
+-                      reg = <0x7ef00700 0x300>,
+-                            <0x7ef00300 0x200>,
+-                            <0x7ef00f00 0x80>,
+-                            <0x7ef00f80 0x80>,
+-                            <0x7ef01b00 0x200>,
+-                            <0x7ef01f00 0x400>,
+-                            <0x7ef00200 0x80>,
+-                            <0x7ef04300 0x100>,
+-                            <0x7ef20000 0x100>,
+-                            <0x7ef00100 0x30>;
+-                      reg-names = "hdmi",
+-                                  "dvp",
+-                                  "phy",
+-                                  "rm",
+-                                  "packet",
+-                                  "metadata",
+-                                  "csc",
+-                                  "cec",
+-                                  "hd",
+-                                  "intr2";
+-                      clocks = <&firmware_clocks 13>;
+-                      clock-names = "hdmi";
+-                      resets = <&dvp 0>;
+-                      ddc = <&ddc0>;
+-                      dmas = <&dma 10>;
+-                      dma-names = "audio-rx";
+-                      interrupts = <GIC_SPI 96 IRQ_TYPE_LEVEL_HIGH>;
+-                      status = "disabled";
+-              };
+-
+-              ddc0: i2c@7ef04500 {
+-                      compatible = "brcm,bcm2711-hdmi-i2c";
+-                      reg = <0x7ef04500 0x100>, <0x7ef00b00 0x300>;
+-                      reg-names = "bsc", "auto-i2c";
+-                      clock-frequency = <97500>;
+-                      status = "disabled";
+-              };
+-
+-              hdmi1: hdmi@7ef05700 {
+-                      compatible = "brcm,bcm2711-hdmi1";
+-                      reg = <0x7ef05700 0x300>,
+-                            <0x7ef05300 0x200>,
+-                            <0x7ef05f00 0x80>,
+-                            <0x7ef05f80 0x80>,
+-                            <0x7ef06b00 0x200>,
+-                            <0x7ef06f00 0x400>,
+-                            <0x7ef00280 0x80>,
+-                            <0x7ef09300 0x100>,
+-                            <0x7ef20000 0x100>,
+-                            <0x7ef00100 0x30>;
+-                      reg-names = "hdmi",
+-                                  "dvp",
+-                                  "phy",
+-                                  "rm",
+-                                  "packet",
+-                                  "metadata",
+-                                  "csc",
+-                                  "cec",
+-                                  "hd",
+-                                  "intr2";
+-                      ddc = <&ddc1>;
+-                      clocks = <&firmware_clocks 13>;
+-                      clock-names = "hdmi";
+-                      resets = <&dvp 1>;
+-                      dmas = <&dma 17>;
+-                      dma-names = "audio-rx";
+-                      interrupts = <GIC_SPI 96 IRQ_TYPE_LEVEL_HIGH>;
+-                      status = "disabled";
+-              };
+-
+-              ddc1: i2c@7ef09500 {
+-                      compatible = "brcm,bcm2711-hdmi-i2c";
+-                      reg = <0x7ef09500 0x100>, <0x7ef05b00 0x300>;
+-                      reg-names = "bsc", "auto-i2c";
+-                      clock-frequency = <97500>;
++              emmc2: emmc2@7e340000 {
++                      compatible = "brcm,bcm2711-emmc2";
++                      reg = <0x0 0x7e340000 0x100>;
++                      interrupts = <GIC_SPI 126 IRQ_TYPE_LEVEL_HIGH>;
++                      clocks = <&clocks BCM2711_CLOCK_EMMC2>;
+                       status = "disabled";
+               };
+       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0868-bcm2835-dma-Add-NO_WAIT_RESP-flag.patch b/target/linux/bcm27xx/patches-5.4/950-0868-bcm2835-dma-Add-NO_WAIT_RESP-flag.patch
new file mode 100644 (file)
index 0000000..8fc7069
--- /dev/null
@@ -0,0 +1,54 @@
+From 8c070947d0d9ef7f890eeff329d4deb1c393ef87 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 1 Jul 2020 20:28:27 +0100
+Subject: [PATCH] bcm2835-dma: Add NO_WAIT_RESP flag
+
+Use bit 27 of the dreq value (the second cell of the DT DMA descriptor)
+to request that the WAIT_RESP bit is not set.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/dma/bcm2835-dma.c | 11 ++++++++---
+ 1 file changed, 8 insertions(+), 3 deletions(-)
+
+--- a/drivers/dma/bcm2835-dma.c
++++ b/drivers/dma/bcm2835-dma.c
+@@ -167,6 +167,11 @@ struct bcm2835_desc {
+ #define BCM2835_DMA_WAIT(x)   ((x & 31) << 21) /* add DMA-wait cycles */
+ #define BCM2835_DMA_NO_WIDE_BURSTS BIT(26) /* no 2 beat write bursts */
++/* A fake bit to request that the driver doesn't set the WAIT_RESP bit. */
++#define BCM2835_DMA_NO_WAIT_RESP BIT(27)
++#define WAIT_RESP(x) ((x & BCM2835_DMA_NO_WAIT_RESP) ? \
++                    0 : BCM2835_DMA_WAIT_RESP)
++
+ /* debug register bits */
+ #define BCM2835_DMA_DEBUG_LAST_NOT_SET_ERR    BIT(0)
+ #define BCM2835_DMA_DEBUG_FIFO_ERR            BIT(1)
+@@ -845,7 +850,7 @@ static struct dma_async_tx_descriptor *b
+       struct bcm2835_chan *c = to_bcm2835_dma_chan(chan);
+       struct bcm2835_desc *d;
+       u32 info = BCM2835_DMA_D_INC | BCM2835_DMA_S_INC;
+-      u32 extra = BCM2835_DMA_INT_EN | BCM2835_DMA_WAIT_RESP;
++      u32 extra = BCM2835_DMA_INT_EN | WAIT_RESP(c->dreq);
+       size_t max_len = bcm2835_dma_max_frame_length(c);
+       size_t frames;
+@@ -875,7 +880,7 @@ static struct dma_async_tx_descriptor *b
+       struct bcm2835_chan *c = to_bcm2835_dma_chan(chan);
+       struct bcm2835_desc *d;
+       dma_addr_t src = 0, dst = 0;
+-      u32 info = BCM2835_DMA_WAIT_RESP;
++      u32 info = WAIT_RESP(c->dreq);
+       u32 extra = BCM2835_DMA_INT_EN;
+       size_t frames;
+@@ -937,7 +942,7 @@ static struct dma_async_tx_descriptor *b
+       struct bcm2835_chan *c = to_bcm2835_dma_chan(chan);
+       struct bcm2835_desc *d;
+       dma_addr_t src, dst;
+-      u32 info = BCM2835_DMA_WAIT_RESP;
++      u32 info = WAIT_RESP(c->dreq);
+       u32 extra = 0;
+       size_t max_len = bcm2835_dma_max_frame_length(c);
+       size_t frames;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0869-ARM-dts-Restore-the-old-2711-scb-ranges-property.patch b/target/linux/bcm27xx/patches-5.4/950-0869-ARM-dts-Restore-the-old-2711-scb-ranges-property.patch
new file mode 100644 (file)
index 0000000..614b149
--- /dev/null
@@ -0,0 +1,24 @@
+From f2233a2a1baf7036469e5291b06457311120dfb4 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 7 Jul 2020 14:08:55 +0100
+Subject: [PATCH] ARM: dts: Restore the old 2711 scb ranges property
+
+The back-ported value breaks PCIe.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -191,7 +191,8 @@
+ &scb {
+       ranges = <0x0 0x7c000000  0x0 0xfc000000  0x0 0x03800000>,
+                <0x0 0x40000000  0x0 0xff800000  0x0 0x00800000>,
+-               <0x6 0x00000000  0x6 0x00000000  0x0 0x40000000>;
++               <0x6 0x00000000  0x6 0x00000000  0x0 0x40000000>,
++               <0x0 0x00000000  0x0 0x00000000  0x0 0xfc000000>;
+       dma-ranges = <0x0 0x00000000  0x0 0x00000000  0x0 0xfc000000>,
+                    <0x1 0x00000000  0x1 0x00000000  0x1 0x00000000>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0870-media-i2c-add-ov9281-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0870-media-i2c-add-ov9281-driver.patch
new file mode 100644 (file)
index 0000000..c48a146
--- /dev/null
@@ -0,0 +1,1218 @@
+From ca6aba3a4bf358b763d0e508df95455de3ae992c Mon Sep 17 00:00:00 2001
+From: Zefa Chen <zefa.chen@rock-chips.com>
+Date: Fri, 17 May 2019 18:23:03 +0800
+Subject: [PATCH] media: i2c: add ov9281 driver.
+
+Change-Id: I7b77250bbc56d2f861450cf77271ad15f9b88ab1
+Signed-off-by: Zefa Chen <zefa.chen@rock-chips.com>
+---
+ drivers/media/i2c/Kconfig  |   11 +
+ drivers/media/i2c/Makefile |    1 +
+ drivers/media/i2c/ov9281.c | 1171 ++++++++++++++++++++++++++++++++++++
+ 3 files changed, 1183 insertions(+)
+ create mode 100644 drivers/media/i2c/ov9281.c
+
+--- a/drivers/media/i2c/Kconfig
++++ b/drivers/media/i2c/Kconfig
+@@ -855,6 +855,17 @@ config VIDEO_OV9640
+         This is a Video4Linux2 sensor driver for the OmniVision
+         OV9640 camera sensor.
++config VIDEO_OV9281
++      tristate "OmniVision OV9281 sensor support"
++      depends on I2C && VIDEO_V4L2
++      depends on MEDIA_CAMERA_SUPPORT
++      help
++        This is a Video4Linux2 sensor-level driver for the OmniVision
++        OV9281 camera.
++
++        To compile this driver as a module, choose M here: the
++        module will be called ov9281.
++
+ config VIDEO_OV9650
+       tristate "OmniVision OV9650/OV9652 sensor support"
+       depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
+--- a/drivers/media/i2c/Makefile
++++ b/drivers/media/i2c/Makefile
+@@ -79,6 +79,7 @@ obj-$(CONFIG_VIDEO_OV7670) += ov7670.o
+ obj-$(CONFIG_VIDEO_OV772X) += ov772x.o
+ obj-$(CONFIG_VIDEO_OV7740) += ov7740.o
+ obj-$(CONFIG_VIDEO_OV8856) += ov8856.o
++obj-$(CONFIG_VIDEO_OV9281) += ov9281.o
+ obj-$(CONFIG_VIDEO_OV9640) += ov9640.o
+ obj-$(CONFIG_VIDEO_OV9650) += ov9650.o
+ obj-$(CONFIG_VIDEO_OV13858) += ov13858.o
+--- /dev/null
++++ b/drivers/media/i2c/ov9281.c
+@@ -0,0 +1,1171 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * ov9281 driver
++ *
++ * Copyright (C) 2017 Fuzhou Rockchip Electronics Co., Ltd.
++ */
++
++#include <linux/clk.h>
++#include <linux/device.h>
++#include <linux/delay.h>
++#include <linux/gpio/consumer.h>
++#include <linux/i2c.h>
++#include <linux/module.h>
++#include <linux/pm_runtime.h>
++#include <linux/regulator/consumer.h>
++#include <linux/sysfs.h>
++#include <linux/slab.h>
++#include <linux/rk-camera-module.h>
++#include <media/media-entity.h>
++#include <media/v4l2-async.h>
++#include <media/v4l2-ctrls.h>
++#include <media/v4l2-subdev.h>
++#include <linux/pinctrl/consumer.h>
++
++#define DRIVER_VERSION                        KERNEL_VERSION(0, 0x01, 0x0)
++
++#ifndef V4L2_CID_DIGITAL_GAIN
++#define V4L2_CID_DIGITAL_GAIN         V4L2_CID_GAIN
++#endif
++
++#define OV9281_LINK_FREQ_400MHZ               400000000
++/* pixel rate = link frequency * 2 * lanes / BITS_PER_SAMPLE */
++#define OV9281_PIXEL_RATE             (OV9281_LINK_FREQ_400MHZ * 2 * 2 / 10)
++#define OV9281_XVCLK_FREQ             24000000
++
++#define CHIP_ID                               0x9281
++#define OV9281_REG_CHIP_ID            0x300a
++
++#define OV9281_REG_CTRL_MODE          0x0100
++#define OV9281_MODE_SW_STANDBY                0x0
++#define OV9281_MODE_STREAMING         BIT(0)
++
++#define OV9281_REG_EXPOSURE           0x3500
++#define       OV9281_EXPOSURE_MIN             4
++#define       OV9281_EXPOSURE_STEP            1
++#define OV9281_VTS_MAX                        0x7fff
++
++#define OV9281_REG_GAIN_H             0x3508
++#define OV9281_REG_GAIN_L             0x3509
++#define OV9281_GAIN_H_MASK            0x07
++#define OV9281_GAIN_H_SHIFT           8
++#define OV9281_GAIN_L_MASK            0xff
++#define OV9281_GAIN_MIN                       0x10
++#define OV9281_GAIN_MAX                       0xf8
++#define OV9281_GAIN_STEP              1
++#define OV9281_GAIN_DEFAULT           0x10
++
++#define OV9281_REG_TEST_PATTERN               0x5e00
++#define OV9281_TEST_PATTERN_ENABLE    0x80
++#define OV9281_TEST_PATTERN_DISABLE   0x0
++
++#define OV9281_REG_VTS                        0x380e
++
++#define REG_NULL                      0xFFFF
++
++#define OV9281_REG_VALUE_08BIT                1
++#define OV9281_REG_VALUE_16BIT                2
++#define OV9281_REG_VALUE_24BIT                3
++
++#define OV9281_LANES                  2
++#define OV9281_BITS_PER_SAMPLE                10
++
++#define OF_CAMERA_PINCTRL_STATE_DEFAULT       "rockchip,camera_default"
++#define OF_CAMERA_PINCTRL_STATE_SLEEP "rockchip,camera_sleep"
++
++#define OV9281_NAME                   "ov9281"
++
++static const char * const ov9281_supply_names[] = {
++      "avdd",         /* Analog power */
++      "dovdd",        /* Digital I/O power */
++      "dvdd",         /* Digital core power */
++};
++
++#define OV9281_NUM_SUPPLIES ARRAY_SIZE(ov9281_supply_names)
++
++struct regval {
++      u16 addr;
++      u8 val;
++};
++
++struct ov9281_mode {
++      u32 width;
++      u32 height;
++      u32 max_fps;
++      u32 hts_def;
++      u32 vts_def;
++      u32 exp_def;
++      const struct regval *reg_list;
++};
++
++struct ov9281 {
++      struct i2c_client       *client;
++      struct clk              *xvclk;
++      struct gpio_desc        *reset_gpio;
++      struct gpio_desc        *pwdn_gpio;
++      struct regulator_bulk_data supplies[OV9281_NUM_SUPPLIES];
++
++      struct pinctrl          *pinctrl;
++      struct pinctrl_state    *pins_default;
++      struct pinctrl_state    *pins_sleep;
++
++      struct v4l2_subdev      subdev;
++      struct media_pad        pad;
++      struct v4l2_ctrl_handler ctrl_handler;
++      struct v4l2_ctrl        *exposure;
++      struct v4l2_ctrl        *anal_gain;
++      struct v4l2_ctrl        *digi_gain;
++      struct v4l2_ctrl        *hblank;
++      struct v4l2_ctrl        *vblank;
++      struct v4l2_ctrl        *test_pattern;
++      struct mutex            mutex;
++      bool                    streaming;
++      bool                    power_on;
++      const struct ov9281_mode *cur_mode;
++      u32                     module_index;
++      const char              *module_facing;
++      const char              *module_name;
++      const char              *len_name;
++};
++
++#define to_ov9281(sd) container_of(sd, struct ov9281, subdev)
++
++/*
++ * Xclk 24Mhz
++ */
++static const struct regval ov9281_global_regs[] = {
++      {REG_NULL, 0x00},
++};
++
++/*
++ * Xclk 24Mhz
++ * max_framerate 120fps
++ * mipi_datarate per lane 800Mbps
++ */
++static const struct regval ov9281_1280x800_regs[] = {
++      {0x0103, 0x01},
++      {0x0302, 0x32},
++      {0x030d, 0x50},
++      {0x030e, 0x02},
++      {0x3001, 0x00},
++      {0x3004, 0x00},
++      {0x3005, 0x00},
++      {0x3006, 0x04},
++      {0x3011, 0x0a},
++      {0x3013, 0x18},
++      {0x3022, 0x01},
++      {0x3023, 0x00},
++      {0x302c, 0x00},
++      {0x302f, 0x00},
++      {0x3030, 0x04},
++      {0x3039, 0x32},
++      {0x303a, 0x00},
++      {0x303f, 0x01},
++      {0x3500, 0x00},
++      {0x3501, 0x2a},
++      {0x3502, 0x90},
++      {0x3503, 0x08},
++      {0x3505, 0x8c},
++      {0x3507, 0x03},
++      {0x3508, 0x00},
++      {0x3509, 0x10},
++      {0x3610, 0x80},
++      {0x3611, 0xa0},
++      {0x3620, 0x6f},
++      {0x3632, 0x56},
++      {0x3633, 0x78},
++      {0x3662, 0x05},
++      {0x3666, 0x00},
++      {0x366f, 0x5a},
++      {0x3680, 0x84},
++      {0x3712, 0x80},
++      {0x372d, 0x22},
++      {0x3731, 0x80},
++      {0x3732, 0x30},
++      {0x3778, 0x00},
++      {0x377d, 0x22},
++      {0x3788, 0x02},
++      {0x3789, 0xa4},
++      {0x378a, 0x00},
++      {0x378b, 0x4a},
++      {0x3799, 0x20},
++      {0x3800, 0x00},
++      {0x3801, 0x00},
++      {0x3802, 0x00},
++      {0x3803, 0x00},
++      {0x3804, 0x05},
++      {0x3805, 0x0f},
++      {0x3806, 0x03},
++      {0x3807, 0x2f},
++      {0x3808, 0x05},
++      {0x3809, 0x00},
++      {0x380a, 0x03},
++      {0x380b, 0x20},
++      {0x380c, 0x02},
++      {0x380d, 0xd8},
++      {0x380e, 0x03},
++      {0x380f, 0x8e},
++      {0x3810, 0x00},
++      {0x3811, 0x08},
++      {0x3812, 0x00},
++      {0x3813, 0x08},
++      {0x3814, 0x11},
++      {0x3815, 0x11},
++      {0x3820, 0x40},
++      {0x3821, 0x00},
++      {0x3881, 0x42},
++      {0x38b1, 0x00},
++      {0x3920, 0xff},
++      {0x4003, 0x40},
++      {0x4008, 0x04},
++      {0x4009, 0x0b},
++      {0x400c, 0x00},
++      {0x400d, 0x07},
++      {0x4010, 0x40},
++      {0x4043, 0x40},
++      {0x4307, 0x30},
++      {0x4317, 0x00},
++      {0x4501, 0x00},
++      {0x4507, 0x00},
++      {0x4509, 0x00},
++      {0x450a, 0x08},
++      {0x4601, 0x04},
++      {0x470f, 0x00},
++      {0x4f07, 0x00},
++      {0x4800, 0x00},
++      {0x5000, 0x9f},
++      {0x5001, 0x00},
++      {0x5e00, 0x00},
++      {0x5d00, 0x07},
++      {0x5d01, 0x00},
++      {REG_NULL, 0x00},
++};
++
++static const struct ov9281_mode supported_modes[] = {
++      {
++              .width = 1280,
++              .height = 800,
++              .max_fps = 120,
++              .exp_def = 0x0320,
++              .hts_def = 0x0b60,//0x2d8*4
++              .vts_def = 0x038e,
++              .reg_list = ov9281_1280x800_regs,
++      },
++};
++
++static const s64 link_freq_menu_items[] = {
++      OV9281_LINK_FREQ_400MHZ
++};
++
++static const char * const ov9281_test_pattern_menu[] = {
++      "Disabled",
++      "Vertical Color Bar Type 1",
++      "Vertical Color Bar Type 2",
++      "Vertical Color Bar Type 3",
++      "Vertical Color Bar Type 4"
++};
++
++/* Write registers up to 4 at a time */
++static int ov9281_write_reg(struct i2c_client *client, u16 reg,
++                          u32 len, u32 val)
++{
++      u32 buf_i, val_i;
++      u8 buf[6];
++      u8 *val_p;
++      __be32 val_be;
++
++      if (len > 4)
++              return -EINVAL;
++
++      buf[0] = reg >> 8;
++      buf[1] = reg & 0xff;
++
++      val_be = cpu_to_be32(val);
++      val_p = (u8 *)&val_be;
++      buf_i = 2;
++      val_i = 4 - len;
++
++      while (val_i < 4)
++              buf[buf_i++] = val_p[val_i++];
++
++      if (i2c_master_send(client, buf, len + 2) != len + 2)
++              return -EIO;
++
++      return 0;
++}
++
++static int ov9281_write_array(struct i2c_client *client,
++                            const struct regval *regs)
++{
++      u32 i;
++      int ret = 0;
++
++      for (i = 0; ret == 0 && regs[i].addr != REG_NULL; i++)
++              ret = ov9281_write_reg(client, regs[i].addr,
++                                     OV9281_REG_VALUE_08BIT, regs[i].val);
++
++      return ret;
++}
++
++/* Read registers up to 4 at a time */
++static int ov9281_read_reg(struct i2c_client *client, u16 reg, unsigned int len,
++                         u32 *val)
++{
++      struct i2c_msg msgs[2];
++      u8 *data_be_p;
++      __be32 data_be = 0;
++      __be16 reg_addr_be = cpu_to_be16(reg);
++      int ret;
++
++      if (len > 4 || !len)
++              return -EINVAL;
++
++      data_be_p = (u8 *)&data_be;
++      /* Write register address */
++      msgs[0].addr = client->addr;
++      msgs[0].flags = 0;
++      msgs[0].len = 2;
++      msgs[0].buf = (u8 *)&reg_addr_be;
++
++      /* Read data from register */
++      msgs[1].addr = client->addr;
++      msgs[1].flags = I2C_M_RD;
++      msgs[1].len = len;
++      msgs[1].buf = &data_be_p[4 - len];
++
++      ret = i2c_transfer(client->adapter, msgs, ARRAY_SIZE(msgs));
++      if (ret != ARRAY_SIZE(msgs))
++              return -EIO;
++
++      *val = be32_to_cpu(data_be);
++
++      return 0;
++}
++
++static int ov9281_get_reso_dist(const struct ov9281_mode *mode,
++                              struct v4l2_mbus_framefmt *framefmt)
++{
++      return abs(mode->width - framefmt->width) +
++             abs(mode->height - framefmt->height);
++}
++
++static const struct ov9281_mode *
++ov9281_find_best_fit(struct v4l2_subdev_format *fmt)
++{
++      struct v4l2_mbus_framefmt *framefmt = &fmt->format;
++      int dist;
++      int cur_best_fit = 0;
++      int cur_best_fit_dist = -1;
++      unsigned int i;
++
++      for (i = 0; i < ARRAY_SIZE(supported_modes); i++) {
++              dist = ov9281_get_reso_dist(&supported_modes[i], framefmt);
++              if (cur_best_fit_dist == -1 || dist < cur_best_fit_dist) {
++                      cur_best_fit_dist = dist;
++                      cur_best_fit = i;
++              }
++      }
++
++      return &supported_modes[cur_best_fit];
++}
++
++static int ov9281_set_fmt(struct v4l2_subdev *sd,
++                        struct v4l2_subdev_pad_config *cfg,
++                        struct v4l2_subdev_format *fmt)
++{
++      struct ov9281 *ov9281 = to_ov9281(sd);
++      const struct ov9281_mode *mode;
++      s64 h_blank, vblank_def;
++
++      mutex_lock(&ov9281->mutex);
++
++      mode = ov9281_find_best_fit(fmt);
++      fmt->format.code = MEDIA_BUS_FMT_Y10_1X10;
++      fmt->format.width = mode->width;
++      fmt->format.height = mode->height;
++      fmt->format.field = V4L2_FIELD_NONE;
++      if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
++#ifdef CONFIG_VIDEO_V4L2_SUBDEV_API
++              *v4l2_subdev_get_try_format(sd, cfg, fmt->pad) = fmt->format;
++#else
++              mutex_unlock(&ov9281->mutex);
++              return -ENOTTY;
++#endif
++      } else {
++              ov9281->cur_mode = mode;
++              h_blank = mode->hts_def - mode->width;
++              __v4l2_ctrl_modify_range(ov9281->hblank, h_blank,
++                                       h_blank, 1, h_blank);
++              vblank_def = mode->vts_def - mode->height;
++              __v4l2_ctrl_modify_range(ov9281->vblank, vblank_def,
++                                       OV9281_VTS_MAX - mode->height,
++                                       1, vblank_def);
++      }
++
++      mutex_unlock(&ov9281->mutex);
++
++      return 0;
++}
++
++static int ov9281_get_fmt(struct v4l2_subdev *sd,
++                        struct v4l2_subdev_pad_config *cfg,
++                        struct v4l2_subdev_format *fmt)
++{
++      struct ov9281 *ov9281 = to_ov9281(sd);
++      const struct ov9281_mode *mode = ov9281->cur_mode;
++
++      mutex_lock(&ov9281->mutex);
++      if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
++#ifdef CONFIG_VIDEO_V4L2_SUBDEV_API
++              fmt->format = *v4l2_subdev_get_try_format(sd, cfg, fmt->pad);
++#else
++              mutex_unlock(&ov9281->mutex);
++              return -ENOTTY;
++#endif
++      } else {
++              fmt->format.width = mode->width;
++              fmt->format.height = mode->height;
++              fmt->format.code = MEDIA_BUS_FMT_Y10_1X10;
++              fmt->format.field = V4L2_FIELD_NONE;
++      }
++      mutex_unlock(&ov9281->mutex);
++
++      return 0;
++}
++
++static int ov9281_enum_mbus_code(struct v4l2_subdev *sd,
++                               struct v4l2_subdev_pad_config *cfg,
++                               struct v4l2_subdev_mbus_code_enum *code)
++{
++      if (code->index != 0)
++              return -EINVAL;
++      code->code = MEDIA_BUS_FMT_Y10_1X10;
++
++      return 0;
++}
++
++static int ov9281_enum_frame_sizes(struct v4l2_subdev *sd,
++                                 struct v4l2_subdev_pad_config *cfg,
++                                 struct v4l2_subdev_frame_size_enum *fse)
++{
++      if (fse->index >= ARRAY_SIZE(supported_modes))
++              return -EINVAL;
++
++      if (fse->code != MEDIA_BUS_FMT_Y10_1X10)
++              return -EINVAL;
++
++      fse->min_width  = supported_modes[fse->index].width;
++      fse->max_width  = supported_modes[fse->index].width;
++      fse->max_height = supported_modes[fse->index].height;
++      fse->min_height = supported_modes[fse->index].height;
++
++      return 0;
++}
++
++static int ov9281_enable_test_pattern(struct ov9281 *ov9281, u32 pattern)
++{
++      u32 val;
++
++      if (pattern)
++              val = (pattern - 1) | OV9281_TEST_PATTERN_ENABLE;
++      else
++              val = OV9281_TEST_PATTERN_DISABLE;
++
++      return ov9281_write_reg(ov9281->client, OV9281_REG_TEST_PATTERN,
++                              OV9281_REG_VALUE_08BIT, val);
++}
++
++static int OV9281_g_frame_interval(struct v4l2_subdev *sd,
++                                 struct v4l2_subdev_frame_interval *fi)
++{
++      struct ov9281 *ov9281 = to_ov9281(sd);
++      const struct ov9281_mode *mode = ov9281->cur_mode;
++
++      mutex_lock(&ov9281->mutex);
++      fi->interval.numerator = 10000;
++      fi->interval.denominator = mode->max_fps * 10000;
++      mutex_unlock(&ov9281->mutex);
++
++      return 0;
++}
++
++static void ov9281_get_module_inf(struct ov9281 *ov9281,
++                                struct rkmodule_inf *inf)
++{
++      memset(inf, 0, sizeof(*inf));
++      strlcpy(inf->base.sensor, OV9281_NAME, sizeof(inf->base.sensor));
++      strlcpy(inf->base.module, ov9281->module_name,
++              sizeof(inf->base.module));
++      strlcpy(inf->base.lens, ov9281->len_name, sizeof(inf->base.lens));
++}
++
++static long ov9281_ioctl(struct v4l2_subdev *sd, unsigned int cmd, void *arg)
++{
++      struct ov9281 *ov9281 = to_ov9281(sd);
++      long ret = 0;
++
++      switch (cmd) {
++      case RKMODULE_GET_MODULE_INFO:
++              ov9281_get_module_inf(ov9281, (struct rkmodule_inf *)arg);
++              break;
++      default:
++              ret = -ENOIOCTLCMD;
++              break;
++      }
++
++      return ret;
++}
++
++#ifdef CONFIG_COMPAT
++static long ov9281_compat_ioctl32(struct v4l2_subdev *sd,
++                                unsigned int cmd, unsigned long arg)
++{
++      void __user *up = compat_ptr(arg);
++      struct rkmodule_inf *inf;
++      struct rkmodule_awb_cfg *cfg;
++      long ret;
++
++      switch (cmd) {
++      case RKMODULE_GET_MODULE_INFO:
++              inf = kzalloc(sizeof(*inf), GFP_KERNEL);
++              if (!inf) {
++                      ret = -ENOMEM;
++                      return ret;
++              }
++
++              ret = ov9281_ioctl(sd, cmd, inf);
++              if (!ret)
++                      ret = copy_to_user(up, inf, sizeof(*inf));
++              kfree(inf);
++              break;
++      case RKMODULE_AWB_CFG:
++              cfg = kzalloc(sizeof(*cfg), GFP_KERNEL);
++              if (!cfg) {
++                      ret = -ENOMEM;
++                      return ret;
++              }
++
++              ret = copy_from_user(cfg, up, sizeof(*cfg));
++              if (!ret)
++                      ret = ov9281_ioctl(sd, cmd, cfg);
++              kfree(cfg);
++              break;
++      default:
++              ret = -ENOIOCTLCMD;
++              break;
++      }
++
++      return ret;
++}
++#endif
++
++static int __ov9281_start_stream(struct ov9281 *ov9281)
++{
++      int ret;
++
++      ret = ov9281_write_array(ov9281->client, ov9281->cur_mode->reg_list);
++      if (ret)
++              return ret;
++
++      /* In case these controls are set before streaming */
++      mutex_unlock(&ov9281->mutex);
++      ret = v4l2_ctrl_handler_setup(&ov9281->ctrl_handler);
++      mutex_lock(&ov9281->mutex);
++      if (ret)
++              return ret;
++
++      return ov9281_write_reg(ov9281->client, OV9281_REG_CTRL_MODE,
++                              OV9281_REG_VALUE_08BIT, OV9281_MODE_STREAMING);
++}
++
++static int __ov9281_stop_stream(struct ov9281 *ov9281)
++{
++      return ov9281_write_reg(ov9281->client, OV9281_REG_CTRL_MODE,
++                              OV9281_REG_VALUE_08BIT, OV9281_MODE_SW_STANDBY);
++}
++
++static int ov9281_s_stream(struct v4l2_subdev *sd, int on)
++{
++      struct ov9281 *ov9281 = to_ov9281(sd);
++      struct i2c_client *client = ov9281->client;
++      int ret = 0;
++
++      mutex_lock(&ov9281->mutex);
++      on = !!on;
++      if (on == ov9281->streaming)
++              goto unlock_and_return;
++
++      if (on) {
++              ret = pm_runtime_get_sync(&client->dev);
++              if (ret < 0) {
++                      pm_runtime_put_noidle(&client->dev);
++                      goto unlock_and_return;
++              }
++
++              ret = __ov9281_start_stream(ov9281);
++              if (ret) {
++                      v4l2_err(sd, "start stream failed while write regs\n");
++                      pm_runtime_put(&client->dev);
++                      goto unlock_and_return;
++              }
++      } else {
++              __ov9281_stop_stream(ov9281);
++              pm_runtime_put(&client->dev);
++      }
++
++      ov9281->streaming = on;
++
++unlock_and_return:
++      mutex_unlock(&ov9281->mutex);
++
++      return ret;
++}
++
++static int ov9281_s_power(struct v4l2_subdev *sd, int on)
++{
++      struct ov9281 *ov9281 = to_ov9281(sd);
++      struct i2c_client *client = ov9281->client;
++      int ret = 0;
++
++      mutex_lock(&ov9281->mutex);
++
++      /* If the power state is not modified - no work to do. */
++      if (ov9281->power_on == !!on)
++              goto unlock_and_return;
++
++      if (on) {
++              ret = pm_runtime_get_sync(&client->dev);
++              if (ret < 0) {
++                      pm_runtime_put_noidle(&client->dev);
++                      goto unlock_and_return;
++              }
++              ret = ov9281_write_array(ov9281->client, ov9281_global_regs);
++              if (ret) {
++                      v4l2_err(sd, "could not set init registers\n");
++                      pm_runtime_put_noidle(&client->dev);
++                      goto unlock_and_return;
++              }
++              ov9281->power_on = true;
++      } else {
++              pm_runtime_put(&client->dev);
++              ov9281->power_on = false;
++      }
++
++unlock_and_return:
++      mutex_unlock(&ov9281->mutex);
++
++      return ret;
++}
++
++/* Calculate the delay in us by clock rate and clock cycles */
++static inline u32 ov9281_cal_delay(u32 cycles)
++{
++      return DIV_ROUND_UP(cycles, OV9281_XVCLK_FREQ / 1000 / 1000);
++}
++
++static int __ov9281_power_on(struct ov9281 *ov9281)
++{
++      int ret;
++      u32 delay_us;
++      struct device *dev = &ov9281->client->dev;
++
++      if (!IS_ERR_OR_NULL(ov9281->pins_default)) {
++              ret = pinctrl_select_state(ov9281->pinctrl,
++                                         ov9281->pins_default);
++              if (ret < 0)
++                      dev_err(dev, "could not set pins\n");
++      }
++
++      ret = clk_prepare_enable(ov9281->xvclk);
++      if (ret < 0) {
++              dev_err(dev, "Failed to enable xvclk\n");
++              return ret;
++      }
++
++      if (!IS_ERR(ov9281->reset_gpio))
++              gpiod_set_value_cansleep(ov9281->reset_gpio, 0);
++
++      ret = regulator_bulk_enable(OV9281_NUM_SUPPLIES, ov9281->supplies);
++      if (ret < 0) {
++              dev_err(dev, "Failed to enable regulators\n");
++              goto disable_clk;
++      }
++
++      if (!IS_ERR(ov9281->reset_gpio))
++              gpiod_set_value_cansleep(ov9281->reset_gpio, 1);
++
++      usleep_range(500, 1000);
++      if (!IS_ERR(ov9281->pwdn_gpio))
++              gpiod_set_value_cansleep(ov9281->pwdn_gpio, 1);
++
++      /* 8192 cycles prior to first SCCB transaction */
++      delay_us = ov9281_cal_delay(8192);
++      usleep_range(delay_us, delay_us * 2);
++
++      return 0;
++
++disable_clk:
++      clk_disable_unprepare(ov9281->xvclk);
++
++      return ret;
++}
++
++static void __ov9281_power_off(struct ov9281 *ov9281)
++{
++      int ret;
++      struct device *dev = &ov9281->client->dev;
++
++      if (!IS_ERR(ov9281->pwdn_gpio))
++              gpiod_set_value_cansleep(ov9281->pwdn_gpio, 0);
++      clk_disable_unprepare(ov9281->xvclk);
++      if (!IS_ERR(ov9281->reset_gpio))
++              gpiod_set_value_cansleep(ov9281->reset_gpio, 0);
++      if (!IS_ERR_OR_NULL(ov9281->pins_sleep)) {
++              ret = pinctrl_select_state(ov9281->pinctrl,
++                                         ov9281->pins_sleep);
++              if (ret < 0)
++                      dev_dbg(dev, "could not set pins\n");
++      }
++      regulator_bulk_disable(OV9281_NUM_SUPPLIES, ov9281->supplies);
++}
++
++static int ov9281_runtime_resume(struct device *dev)
++{
++      struct i2c_client *client = to_i2c_client(dev);
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct ov9281 *ov9281 = to_ov9281(sd);
++
++      return __ov9281_power_on(ov9281);
++}
++
++static int ov9281_runtime_suspend(struct device *dev)
++{
++      struct i2c_client *client = to_i2c_client(dev);
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct ov9281 *ov9281 = to_ov9281(sd);
++
++      __ov9281_power_off(ov9281);
++
++      return 0;
++}
++
++#ifdef CONFIG_VIDEO_V4L2_SUBDEV_API
++static int ov9281_open(struct v4l2_subdev *sd, struct v4l2_subdev_fh *fh)
++{
++      struct ov9281 *ov9281 = to_ov9281(sd);
++      struct v4l2_mbus_framefmt *try_fmt =
++                              v4l2_subdev_get_try_format(sd, fh->pad, 0);
++      const struct ov9281_mode *def_mode = &supported_modes[0];
++
++      mutex_lock(&ov9281->mutex);
++      /* Initialize try_fmt */
++      try_fmt->width = def_mode->width;
++      try_fmt->height = def_mode->height;
++      try_fmt->code = MEDIA_BUS_FMT_Y10_1X10;
++      try_fmt->field = V4L2_FIELD_NONE;
++
++      mutex_unlock(&ov9281->mutex);
++      /* No crop or compose */
++
++      return 0;
++}
++#endif
++
++static const struct dev_pm_ops ov9281_pm_ops = {
++      SET_RUNTIME_PM_OPS(ov9281_runtime_suspend,
++                         ov9281_runtime_resume, NULL)
++};
++
++#ifdef CONFIG_VIDEO_V4L2_SUBDEV_API
++static const struct v4l2_subdev_internal_ops ov9281_internal_ops = {
++      .open = ov9281_open,
++};
++#endif
++
++static const struct v4l2_subdev_core_ops ov9281_core_ops = {
++      .s_power = ov9281_s_power,
++      .ioctl = ov9281_ioctl,
++#ifdef CONFIG_COMPAT
++      .compat_ioctl32 = ov9281_compat_ioctl32,
++#endif
++};
++
++static const struct v4l2_subdev_video_ops ov9281_video_ops = {
++      .s_stream = ov9281_s_stream,
++      .g_frame_interval = OV9281_g_frame_interval,
++};
++
++static const struct v4l2_subdev_pad_ops ov9281_pad_ops = {
++      .enum_mbus_code = ov9281_enum_mbus_code,
++      .enum_frame_size = ov9281_enum_frame_sizes,
++      .get_fmt = ov9281_get_fmt,
++      .set_fmt = ov9281_set_fmt,
++};
++
++static const struct v4l2_subdev_ops ov9281_subdev_ops = {
++      .core   = &ov9281_core_ops,
++      .video  = &ov9281_video_ops,
++      .pad    = &ov9281_pad_ops,
++};
++
++static int ov9281_set_ctrl(struct v4l2_ctrl *ctrl)
++{
++      struct ov9281 *ov9281 = container_of(ctrl->handler,
++                                           struct ov9281, ctrl_handler);
++      struct i2c_client *client = ov9281->client;
++      s64 max;
++      int ret = 0;
++
++      /* Propagate change of current control to all related controls */
++      switch (ctrl->id) {
++      case V4L2_CID_VBLANK:
++              /* Update max exposure while meeting expected vblanking */
++              max = ov9281->cur_mode->height + ctrl->val - 4;
++              __v4l2_ctrl_modify_range(ov9281->exposure,
++                                       ov9281->exposure->minimum, max,
++                                       ov9281->exposure->step,
++                                       ov9281->exposure->default_value);
++              break;
++      }
++
++      if (pm_runtime_get(&client->dev) <= 0)
++              return 0;
++
++      switch (ctrl->id) {
++      case V4L2_CID_EXPOSURE:
++              /* 4 least significant bits of expsoure are fractional part */
++              ret = ov9281_write_reg(ov9281->client, OV9281_REG_EXPOSURE,
++                                     OV9281_REG_VALUE_24BIT, ctrl->val << 4);
++              break;
++      case V4L2_CID_ANALOGUE_GAIN:
++              ret = ov9281_write_reg(ov9281->client, OV9281_REG_GAIN_H,
++                                     OV9281_REG_VALUE_08BIT,
++                                     (ctrl->val >> OV9281_GAIN_H_SHIFT) & OV9281_GAIN_H_MASK);
++              ret |= ov9281_write_reg(ov9281->client, OV9281_REG_GAIN_L,
++                                     OV9281_REG_VALUE_08BIT,
++                                     ctrl->val & OV9281_GAIN_L_MASK);
++              break;
++      case V4L2_CID_VBLANK:
++              ret = ov9281_write_reg(ov9281->client, OV9281_REG_VTS,
++                                     OV9281_REG_VALUE_16BIT,
++                                     ctrl->val + ov9281->cur_mode->height);
++              break;
++      case V4L2_CID_TEST_PATTERN:
++              ret = ov9281_enable_test_pattern(ov9281, ctrl->val);
++              break;
++      default:
++              dev_warn(&client->dev, "%s Unhandled id:0x%x, val:0x%x\n",
++                       __func__, ctrl->id, ctrl->val);
++              break;
++      }
++
++      pm_runtime_put(&client->dev);
++
++      return ret;
++}
++
++static const struct v4l2_ctrl_ops ov9281_ctrl_ops = {
++      .s_ctrl = ov9281_set_ctrl,
++};
++
++static int ov9281_initialize_controls(struct ov9281 *ov9281)
++{
++      const struct ov9281_mode *mode;
++      struct v4l2_ctrl_handler *handler;
++      struct v4l2_ctrl *ctrl;
++      s64 exposure_max, vblank_def;
++      u32 h_blank;
++      int ret;
++
++      handler = &ov9281->ctrl_handler;
++      mode = ov9281->cur_mode;
++      ret = v4l2_ctrl_handler_init(handler, 8);
++      if (ret)
++              return ret;
++      handler->lock = &ov9281->mutex;
++
++      ctrl = v4l2_ctrl_new_int_menu(handler, NULL, V4L2_CID_LINK_FREQ,
++                                    0, 0, link_freq_menu_items);
++      if (ctrl)
++              ctrl->flags |= V4L2_CTRL_FLAG_READ_ONLY;
++
++      v4l2_ctrl_new_std(handler, NULL, V4L2_CID_PIXEL_RATE,
++                        0, OV9281_PIXEL_RATE, 1, OV9281_PIXEL_RATE);
++
++      h_blank = mode->hts_def - mode->width;
++      ov9281->hblank = v4l2_ctrl_new_std(handler, NULL, V4L2_CID_HBLANK,
++                              h_blank, h_blank, 1, h_blank);
++      if (ov9281->hblank)
++              ov9281->hblank->flags |= V4L2_CTRL_FLAG_READ_ONLY;
++
++      vblank_def = mode->vts_def - mode->height;
++      ov9281->vblank = v4l2_ctrl_new_std(handler, &ov9281_ctrl_ops,
++                              V4L2_CID_VBLANK, vblank_def,
++                              OV9281_VTS_MAX - mode->height,
++                              1, vblank_def);
++
++      exposure_max = mode->vts_def - 4;
++      ov9281->exposure = v4l2_ctrl_new_std(handler, &ov9281_ctrl_ops,
++                              V4L2_CID_EXPOSURE, OV9281_EXPOSURE_MIN,
++                              exposure_max, OV9281_EXPOSURE_STEP,
++                              mode->exp_def);
++
++      ov9281->anal_gain = v4l2_ctrl_new_std(handler, &ov9281_ctrl_ops,
++                              V4L2_CID_ANALOGUE_GAIN, OV9281_GAIN_MIN,
++                              OV9281_GAIN_MAX, OV9281_GAIN_STEP,
++                              OV9281_GAIN_DEFAULT);
++
++      ov9281->test_pattern = v4l2_ctrl_new_std_menu_items(handler,
++                              &ov9281_ctrl_ops, V4L2_CID_TEST_PATTERN,
++                              ARRAY_SIZE(ov9281_test_pattern_menu) - 1,
++                              0, 0, ov9281_test_pattern_menu);
++
++      if (handler->error) {
++              ret = handler->error;
++              dev_err(&ov9281->client->dev,
++                      "Failed to init controls(%d)\n", ret);
++              goto err_free_handler;
++      }
++
++      ov9281->subdev.ctrl_handler = handler;
++
++      return 0;
++
++err_free_handler:
++      v4l2_ctrl_handler_free(handler);
++
++      return ret;
++}
++
++static int ov9281_check_sensor_id(struct ov9281 *ov9281,
++                                struct i2c_client *client)
++{
++      struct device *dev = &ov9281->client->dev;
++      u32 id = 0;
++      int ret;
++
++      ret = ov9281_read_reg(client, OV9281_REG_CHIP_ID,
++                            OV9281_REG_VALUE_16BIT, &id);
++      if (id != CHIP_ID) {
++              dev_err(dev, "Unexpected sensor id(%06x), ret(%d)\n", id, ret);
++              return -ENODEV;
++      }
++
++      dev_info(dev, "Detected OV%06x sensor\n", CHIP_ID);
++
++      return 0;
++}
++
++static int ov9281_configure_regulators(struct ov9281 *ov9281)
++{
++      unsigned int i;
++
++      for (i = 0; i < OV9281_NUM_SUPPLIES; i++)
++              ov9281->supplies[i].supply = ov9281_supply_names[i];
++
++      return devm_regulator_bulk_get(&ov9281->client->dev,
++                                     OV9281_NUM_SUPPLIES,
++                                     ov9281->supplies);
++}
++
++static int ov9281_probe(struct i2c_client *client,
++                      const struct i2c_device_id *id)
++{
++      struct device *dev = &client->dev;
++      struct device_node *node = dev->of_node;
++      struct ov9281 *ov9281;
++      struct v4l2_subdev *sd;
++      char facing[2];
++      int ret;
++
++      dev_info(dev, "driver version: %02x.%02x.%02x",
++              DRIVER_VERSION >> 16,
++              (DRIVER_VERSION & 0xff00) >> 8,
++              DRIVER_VERSION & 0x00ff);
++
++      ov9281 = devm_kzalloc(dev, sizeof(*ov9281), GFP_KERNEL);
++      if (!ov9281)
++              return -ENOMEM;
++
++      ret = of_property_read_u32(node, RKMODULE_CAMERA_MODULE_INDEX,
++                                 &ov9281->module_index);
++      ret |= of_property_read_string(node, RKMODULE_CAMERA_MODULE_FACING,
++                                     &ov9281->module_facing);
++      ret |= of_property_read_string(node, RKMODULE_CAMERA_MODULE_NAME,
++                                     &ov9281->module_name);
++      ret |= of_property_read_string(node, RKMODULE_CAMERA_LENS_NAME,
++                                     &ov9281->len_name);
++      if (ret) {
++              dev_err(dev, "could not get module information!\n");
++              return -EINVAL;
++      }
++
++      ov9281->client = client;
++      ov9281->cur_mode = &supported_modes[0];
++
++      ov9281->xvclk = devm_clk_get(dev, "xvclk");
++      if (IS_ERR(ov9281->xvclk)) {
++              dev_err(dev, "Failed to get xvclk\n");
++              return -EINVAL;
++      }
++      ret = clk_set_rate(ov9281->xvclk, OV9281_XVCLK_FREQ);
++      if (ret < 0) {
++              dev_err(dev, "Failed to set xvclk rate (24MHz)\n");
++              return ret;
++      }
++      if (clk_get_rate(ov9281->xvclk) != OV9281_XVCLK_FREQ)
++              dev_warn(dev, "xvclk mismatched, modes are based on 24MHz\n");
++
++      ov9281->reset_gpio = devm_gpiod_get(dev, "reset", GPIOD_OUT_LOW);
++      if (IS_ERR(ov9281->reset_gpio))
++              dev_warn(dev, "Failed to get reset-gpios\n");
++
++      ov9281->pwdn_gpio = devm_gpiod_get(dev, "pwdn", GPIOD_OUT_LOW);
++      if (IS_ERR(ov9281->pwdn_gpio))
++              dev_warn(dev, "Failed to get pwdn-gpios\n");
++
++      ov9281->pinctrl = devm_pinctrl_get(dev);
++      if (!IS_ERR(ov9281->pinctrl)) {
++              ov9281->pins_default =
++                      pinctrl_lookup_state(ov9281->pinctrl,
++                                           OF_CAMERA_PINCTRL_STATE_DEFAULT);
++              if (IS_ERR(ov9281->pins_default))
++                      dev_err(dev, "could not get default pinstate\n");
++
++              ov9281->pins_sleep =
++                      pinctrl_lookup_state(ov9281->pinctrl,
++                                           OF_CAMERA_PINCTRL_STATE_SLEEP);
++              if (IS_ERR(ov9281->pins_sleep))
++                      dev_err(dev, "could not get sleep pinstate\n");
++      } else {
++              dev_err(dev, "no pinctrl\n");
++      }
++
++      ret = ov9281_configure_regulators(ov9281);
++      if (ret) {
++              dev_err(dev, "Failed to get power regulators\n");
++              return ret;
++      }
++
++      mutex_init(&ov9281->mutex);
++
++      sd = &ov9281->subdev;
++      v4l2_i2c_subdev_init(sd, client, &ov9281_subdev_ops);
++      ret = ov9281_initialize_controls(ov9281);
++      if (ret)
++              goto err_destroy_mutex;
++
++      ret = __ov9281_power_on(ov9281);
++      if (ret)
++              goto err_free_handler;
++
++      ret = ov9281_check_sensor_id(ov9281, client);
++      if (ret)
++              goto err_power_off;
++
++#ifdef CONFIG_VIDEO_V4L2_SUBDEV_API
++      sd->internal_ops = &ov9281_internal_ops;
++      sd->flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
++#endif
++#if defined(CONFIG_MEDIA_CONTROLLER)
++      ov9281->pad.flags = MEDIA_PAD_FL_SOURCE;
++      sd->entity.type = MEDIA_ENT_T_V4L2_SUBDEV_SENSOR;
++      ret = media_entity_init(&sd->entity, 1, &ov9281->pad, 0);
++      if (ret < 0)
++              goto err_power_off;
++#endif
++
++      memset(facing, 0, sizeof(facing));
++      if (strcmp(ov9281->module_facing, "back") == 0)
++              facing[0] = 'b';
++      else
++              facing[0] = 'f';
++
++      snprintf(sd->name, sizeof(sd->name), "m%02d_%s_%s %s",
++               ov9281->module_index, facing,
++               OV9281_NAME, dev_name(sd->dev));
++      ret = v4l2_async_register_subdev_sensor_common(sd);
++      if (ret) {
++              dev_err(dev, "v4l2 async register subdev failed\n");
++              goto err_clean_entity;
++      }
++
++      pm_runtime_set_active(dev);
++      pm_runtime_enable(dev);
++      pm_runtime_idle(dev);
++
++      return 0;
++
++err_clean_entity:
++#if defined(CONFIG_MEDIA_CONTROLLER)
++      media_entity_cleanup(&sd->entity);
++#endif
++err_power_off:
++      __ov9281_power_off(ov9281);
++err_free_handler:
++      v4l2_ctrl_handler_free(&ov9281->ctrl_handler);
++err_destroy_mutex:
++      mutex_destroy(&ov9281->mutex);
++
++      return ret;
++}
++
++static int ov9281_remove(struct i2c_client *client)
++{
++      struct v4l2_subdev *sd = i2c_get_clientdata(client);
++      struct ov9281 *ov9281 = to_ov9281(sd);
++
++      v4l2_async_unregister_subdev(sd);
++#if defined(CONFIG_MEDIA_CONTROLLER)
++      media_entity_cleanup(&sd->entity);
++#endif
++      v4l2_ctrl_handler_free(&ov9281->ctrl_handler);
++      mutex_destroy(&ov9281->mutex);
++
++      pm_runtime_disable(&client->dev);
++      if (!pm_runtime_status_suspended(&client->dev))
++              __ov9281_power_off(ov9281);
++      pm_runtime_set_suspended(&client->dev);
++
++      return 0;
++}
++
++#if IS_ENABLED(CONFIG_OF)
++static const struct of_device_id ov9281_of_match[] = {
++      { .compatible = "ovti,ov9281" },
++      {},
++};
++MODULE_DEVICE_TABLE(of, ov9281_of_match);
++#endif
++
++static const struct i2c_device_id ov9281_match_id[] = {
++      { "ovti,ov9281", 0 },
++      { },
++};
++
++static struct i2c_driver ov9281_i2c_driver = {
++      .driver = {
++              .name = OV9281_NAME,
++              .pm = &ov9281_pm_ops,
++              .of_match_table = of_match_ptr(ov9281_of_match),
++      },
++      .probe          = &ov9281_probe,
++      .remove         = &ov9281_remove,
++      .id_table       = ov9281_match_id,
++};
++
++static int __init sensor_mod_init(void)
++{
++      return i2c_add_driver(&ov9281_i2c_driver);
++}
++
++static void __exit sensor_mod_exit(void)
++{
++      i2c_del_driver(&ov9281_i2c_driver);
++}
++
++device_initcall_sync(sensor_mod_init);
++module_exit(sensor_mod_exit);
++
++MODULE_DESCRIPTION("OmniVision ov9281 sensor driver");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0871-media-i2c-ov9281-fix-mclk-issue-when-probe-multiple-.patch b/target/linux/bcm27xx/patches-5.4/950-0871-media-i2c-ov9281-fix-mclk-issue-when-probe-multiple-.patch
new file mode 100644 (file)
index 0000000..4a2bbed
--- /dev/null
@@ -0,0 +1,60 @@
+From a02d918e1bf4dc70c51b630c12182e038d0a73d2 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 14 Apr 2020 15:47:09 +0100
+Subject: [PATCH] media: i2c: ov9281: fix mclk issue when probe
+ multiple camera.
+
+Takes the ov9281 part only from the Rockchip's patch.
+
+Change-Id: I30e833baf2c1bb07d6d87ddb3b00759ab45a90e4
+Signed-off-by: Zefa Chen <zefa.chen@rock-chips.com>
+---
+ drivers/media/i2c/ov9281.c | 16 ++++++++--------
+ 1 file changed, 8 insertions(+), 8 deletions(-)
+
+--- a/drivers/media/i2c/ov9281.c
++++ b/drivers/media/i2c/ov9281.c
+@@ -3,6 +3,7 @@
+  * ov9281 driver
+  *
+  * Copyright (C) 2017 Fuzhou Rockchip Electronics Co., Ltd.
++ * V0.0X01.0X02 fix mclk issue when probe multiple camera.
+  */
+ #include <linux/clk.h>
+@@ -22,7 +23,7 @@
+ #include <media/v4l2-subdev.h>
+ #include <linux/pinctrl/consumer.h>
+-#define DRIVER_VERSION                        KERNEL_VERSION(0, 0x01, 0x0)
++#define DRIVER_VERSION                        KERNEL_VERSION(0, 0x01, 0x2)
+ #ifndef V4L2_CID_DIGITAL_GAIN
+ #define V4L2_CID_DIGITAL_GAIN         V4L2_CID_GAIN
+@@ -676,6 +677,12 @@ static int __ov9281_power_on(struct ov92
+                       dev_err(dev, "could not set pins\n");
+       }
++      ret = clk_set_rate(ov9281->xvclk, OV9281_XVCLK_FREQ);
++      if (ret < 0)
++              dev_warn(dev, "Failed to set xvclk rate (24MHz)\n");
++      if (clk_get_rate(ov9281->xvclk) != OV9281_XVCLK_FREQ)
++              dev_warn(dev, "xvclk mismatched, modes are based on 24MHz\n");
++
+       ret = clk_prepare_enable(ov9281->xvclk);
+       if (ret < 0) {
+               dev_err(dev, "Failed to enable xvclk\n");
+@@ -1008,13 +1015,6 @@ static int ov9281_probe(struct i2c_clien
+               dev_err(dev, "Failed to get xvclk\n");
+               return -EINVAL;
+       }
+-      ret = clk_set_rate(ov9281->xvclk, OV9281_XVCLK_FREQ);
+-      if (ret < 0) {
+-              dev_err(dev, "Failed to set xvclk rate (24MHz)\n");
+-              return ret;
+-      }
+-      if (clk_get_rate(ov9281->xvclk) != OV9281_XVCLK_FREQ)
+-              dev_warn(dev, "xvclk mismatched, modes are based on 24MHz\n");
+       ov9281->reset_gpio = devm_gpiod_get(dev, "reset", GPIOD_OUT_LOW);
+       if (IS_ERR(ov9281->reset_gpio))
diff --git a/target/linux/bcm27xx/patches-5.4/950-0872-media-i2c-ov9281-add-enum_frame_interval-function-fo.patch b/target/linux/bcm27xx/patches-5.4/950-0872-media-i2c-ov9281-add-enum_frame_interval-function-fo.patch
new file mode 100644 (file)
index 0000000..c772521
--- /dev/null
@@ -0,0 +1,97 @@
+From c99b6817190eefc77c91567e57fc930656f205bf Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 14 Apr 2020 15:51:50 +0100
+Subject: [PATCH] media: i2c: ov9281: add enum_frame_interval
+ function for iq tool 2.2 and hal3
+
+Adds the ov9281 parts of the Rockchip patch adding enum_frame_interval to
+a large number of drivers.
+
+Change-Id: I03344cd6cf278dd7c18fce8e97479089ef185a5c
+Signed-off-by: Zefa Chen <zefa.chen@rock-chips.com>
+---
+ drivers/media/i2c/ov9281.c | 31 ++++++++++++++++++++++++++-----
+ 1 file changed, 26 insertions(+), 5 deletions(-)
+
+--- a/drivers/media/i2c/ov9281.c
++++ b/drivers/media/i2c/ov9281.c
+@@ -4,6 +4,7 @@
+  *
+  * Copyright (C) 2017 Fuzhou Rockchip Electronics Co., Ltd.
+  * V0.0X01.0X02 fix mclk issue when probe multiple camera.
++ * V0.0X01.0X03 add enum_frame_interval function.
+  */
+ #include <linux/clk.h>
+@@ -23,7 +24,7 @@
+ #include <media/v4l2-subdev.h>
+ #include <linux/pinctrl/consumer.h>
+-#define DRIVER_VERSION                        KERNEL_VERSION(0, 0x01, 0x2)
++#define DRIVER_VERSION                        KERNEL_VERSION(0, 0x01, 0x3)
+ #ifndef V4L2_CID_DIGITAL_GAIN
+ #define V4L2_CID_DIGITAL_GAIN         V4L2_CID_GAIN
+@@ -92,7 +93,7 @@ struct regval {
+ struct ov9281_mode {
+       u32 width;
+       u32 height;
+-      u32 max_fps;
++      struct v4l2_fract max_fps;
+       u32 hts_def;
+       u32 vts_def;
+       u32 exp_def;
+@@ -246,7 +247,10 @@ static const struct ov9281_mode supporte
+       {
+               .width = 1280,
+               .height = 800,
+-              .max_fps = 120,
++              .max_fps = {
++                      .numerator = 10000,
++                      .denominator = 1200000,
++              },
+               .exp_def = 0x0320,
+               .hts_def = 0x0b60,//0x2d8*4
+               .vts_def = 0x038e,
+@@ -483,8 +487,7 @@ static int OV9281_g_frame_interval(struc
+       const struct ov9281_mode *mode = ov9281->cur_mode;
+       mutex_lock(&ov9281->mutex);
+-      fi->interval.numerator = 10000;
+-      fi->interval.denominator = mode->max_fps * 10000;
++      fi->interval = mode->max_fps;
+       mutex_unlock(&ov9281->mutex);
+       return 0;
+@@ -778,6 +781,23 @@ static int ov9281_open(struct v4l2_subde
+ }
+ #endif
++static int
++ov9281_enum_frame_interval(struct v4l2_subdev *sd,
++                         struct v4l2_subdev_pad_config *cfg,
++                         struct v4l2_subdev_frame_interval_enum *fie)
++{
++      if (fie->index >= ARRAY_SIZE(supported_modes))
++              return -EINVAL;
++
++      if (fie->code != MEDIA_BUS_FMT_Y10_1X10)
++              return -EINVAL;
++
++      fie->width = supported_modes[fie->index].width;
++      fie->height = supported_modes[fie->index].height;
++      fie->interval = supported_modes[fie->index].max_fps;
++      return 0;
++}
++
+ static const struct dev_pm_ops ov9281_pm_ops = {
+       SET_RUNTIME_PM_OPS(ov9281_runtime_suspend,
+                          ov9281_runtime_resume, NULL)
+@@ -805,6 +825,7 @@ static const struct v4l2_subdev_video_op
+ static const struct v4l2_subdev_pad_ops ov9281_pad_ops = {
+       .enum_mbus_code = ov9281_enum_mbus_code,
+       .enum_frame_size = ov9281_enum_frame_sizes,
++      .enum_frame_interval = ov9281_enum_frame_interval,
+       .get_fmt = ov9281_get_fmt,
+       .set_fmt = ov9281_set_fmt,
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0873-media-i2c-ov9281-Fixup-for-recent-kernel-releases-an.patch b/target/linux/bcm27xx/patches-5.4/950-0873-media-i2c-ov9281-Fixup-for-recent-kernel-releases-an.patch
new file mode 100644 (file)
index 0000000..4f3bcf3
--- /dev/null
@@ -0,0 +1,693 @@
+From 898198428aa35f52c2b58c037e960dcbcc4ef9d8 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 14 Apr 2020 16:12:33 +0100
+Subject: [PATCH] media: i2c: ov9281: Fixup for recent kernel
+ releases, and remove custom code
+
+The Rockchip driver was based on a 4.4 kernel, and had several custom
+Rockchip parts.
+
+Update to 5.4 kernel APIs, with the relevant controls required by
+libcamera, and remove custom Rockchip parts.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/Kconfig  |   2 +-
+ drivers/media/i2c/ov9281.c | 361 +++++++++++++------------------------
+ 2 files changed, 123 insertions(+), 240 deletions(-)
+
+--- a/drivers/media/i2c/Kconfig
++++ b/drivers/media/i2c/Kconfig
+@@ -857,7 +857,7 @@ config VIDEO_OV9640
+ config VIDEO_OV9281
+       tristate "OmniVision OV9281 sensor support"
+-      depends on I2C && VIDEO_V4L2
++      depends on I2C && VIDEO_V4L2 && VIDEO_V4L2_SUBDEV_API
+       depends on MEDIA_CAMERA_SUPPORT
+       help
+         This is a Video4Linux2 sensor-level driver for the OmniVision
+--- a/drivers/media/i2c/ov9281.c
++++ b/drivers/media/i2c/ov9281.c
+@@ -1,6 +1,11 @@
+ // SPDX-License-Identifier: GPL-2.0
+ /*
+- * ov9281 driver
++ * Omnivision OV9281 1280x800 global shutter image sensor driver
++ *
++ * This driver has been taken from
++ * https://github.com/rockchip-linux/kernel/blob/develop-4.4/drivers/media/i2c/ov9281.c
++ * cleaned up, made to compile against mainline kernels instead of the Rockchip
++ * vendor kernel, and the relevant controls added to work with libcamera.
+  *
+  * Copyright (C) 2017 Fuzhou Rockchip Electronics Co., Ltd.
+  * V0.0X01.0X02 fix mclk issue when probe multiple camera.
+@@ -17,22 +22,18 @@
+ #include <linux/regulator/consumer.h>
+ #include <linux/sysfs.h>
+ #include <linux/slab.h>
+-#include <linux/rk-camera-module.h>
+ #include <media/media-entity.h>
+ #include <media/v4l2-async.h>
+ #include <media/v4l2-ctrls.h>
+ #include <media/v4l2-subdev.h>
+-#include <linux/pinctrl/consumer.h>
+-
+-#define DRIVER_VERSION                        KERNEL_VERSION(0, 0x01, 0x3)
+-
+-#ifndef V4L2_CID_DIGITAL_GAIN
+-#define V4L2_CID_DIGITAL_GAIN         V4L2_CID_GAIN
+-#endif
+ #define OV9281_LINK_FREQ_400MHZ               400000000
++#define OV9281_LANES                  2
++#define OV9281_BITS_PER_SAMPLE                10
++
+ /* pixel rate = link frequency * 2 * lanes / BITS_PER_SAMPLE */
+-#define OV9281_PIXEL_RATE             (OV9281_LINK_FREQ_400MHZ * 2 * 2 / 10)
++#define OV9281_PIXEL_RATE             (OV9281_LINK_FREQ_400MHZ * 2 * \
++                                       OV9281_LANES / OV9281_BITS_PER_SAMPLE)
+ #define OV9281_XVCLK_FREQ             24000000
+ #define CHIP_ID                               0x9281
+@@ -63,18 +64,24 @@
+ #define OV9281_REG_VTS                        0x380e
++/*
++ * OV9281 native and active pixel array size.
++ * Datasheet not available to confirm these values, so assume there are no
++ * border pixels.
++ */
++#define OV9281_NATIVE_WIDTH           1280U
++#define OV9281_NATIVE_HEIGHT          800U
++#define OV9281_PIXEL_ARRAY_LEFT               0U
++#define OV9281_PIXEL_ARRAY_TOP                0U
++#define OV9281_PIXEL_ARRAY_WIDTH      1280U
++#define OV9281_PIXEL_ARRAY_HEIGHT     800U
++
+ #define REG_NULL                      0xFFFF
+ #define OV9281_REG_VALUE_08BIT                1
+ #define OV9281_REG_VALUE_16BIT                2
+ #define OV9281_REG_VALUE_24BIT                3
+-#define OV9281_LANES                  2
+-#define OV9281_BITS_PER_SAMPLE                10
+-
+-#define OF_CAMERA_PINCTRL_STATE_DEFAULT       "rockchip,camera_default"
+-#define OF_CAMERA_PINCTRL_STATE_SLEEP "rockchip,camera_sleep"
+-
+ #define OV9281_NAME                   "ov9281"
+ static const char * const ov9281_supply_names[] = {
+@@ -93,10 +100,10 @@ struct regval {
+ struct ov9281_mode {
+       u32 width;
+       u32 height;
+-      struct v4l2_fract max_fps;
+       u32 hts_def;
+       u32 vts_def;
+       u32 exp_def;
++      struct v4l2_rect crop;
+       const struct regval *reg_list;
+ };
+@@ -107,10 +114,6 @@ struct ov9281 {
+       struct gpio_desc        *pwdn_gpio;
+       struct regulator_bulk_data supplies[OV9281_NUM_SUPPLIES];
+-      struct pinctrl          *pinctrl;
+-      struct pinctrl_state    *pins_default;
+-      struct pinctrl_state    *pins_sleep;
+-
+       struct v4l2_subdev      subdev;
+       struct media_pad        pad;
+       struct v4l2_ctrl_handler ctrl_handler;
+@@ -124,23 +127,12 @@ struct ov9281 {
+       bool                    streaming;
+       bool                    power_on;
+       const struct ov9281_mode *cur_mode;
+-      u32                     module_index;
+-      const char              *module_facing;
+-      const char              *module_name;
+-      const char              *len_name;
+ };
+ #define to_ov9281(sd) container_of(sd, struct ov9281, subdev)
+ /*
+  * Xclk 24Mhz
+- */
+-static const struct regval ov9281_global_regs[] = {
+-      {REG_NULL, 0x00},
+-};
+-
+-/*
+- * Xclk 24Mhz
+  * max_framerate 120fps
+  * mipi_datarate per lane 800Mbps
+  */
+@@ -247,13 +239,15 @@ static const struct ov9281_mode supporte
+       {
+               .width = 1280,
+               .height = 800,
+-              .max_fps = {
+-                      .numerator = 10000,
+-                      .denominator = 1200000,
+-              },
+               .exp_def = 0x0320,
+-              .hts_def = 0x0b60,//0x2d8*4
++              .hts_def = 0x05b0,      /* 0x2d8*2 */
+               .vts_def = 0x038e,
++              .crop = {
++                      .left = 0,
++                      .top = 0,
++                      .width = 1280,
++                      .height = 800
++              },
+               .reg_list = ov9281_1280x800_regs,
+       },
+ };
+@@ -389,22 +383,28 @@ static int ov9281_set_fmt(struct v4l2_su
+       fmt->format.width = mode->width;
+       fmt->format.height = mode->height;
+       fmt->format.field = V4L2_FIELD_NONE;
++      fmt->format.colorspace = V4L2_COLORSPACE_SRGB;
++      fmt->format.ycbcr_enc =
++                      V4L2_MAP_YCBCR_ENC_DEFAULT(fmt->format.colorspace);
++      fmt->format.quantization =
++              V4L2_MAP_QUANTIZATION_DEFAULT(true, fmt->format.colorspace,
++                                            fmt->format.ycbcr_enc);
++      fmt->format.xfer_func =
++              V4L2_MAP_XFER_FUNC_DEFAULT(fmt->format.colorspace);
++
+       if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
+-#ifdef CONFIG_VIDEO_V4L2_SUBDEV_API
+               *v4l2_subdev_get_try_format(sd, cfg, fmt->pad) = fmt->format;
+-#else
+-              mutex_unlock(&ov9281->mutex);
+-              return -ENOTTY;
+-#endif
+       } else {
+               ov9281->cur_mode = mode;
+               h_blank = mode->hts_def - mode->width;
+               __v4l2_ctrl_modify_range(ov9281->hblank, h_blank,
+                                        h_blank, 1, h_blank);
++              __v4l2_ctrl_s_ctrl(ov9281->hblank, h_blank);
+               vblank_def = mode->vts_def - mode->height;
+               __v4l2_ctrl_modify_range(ov9281->vblank, vblank_def,
+                                        OV9281_VTS_MAX - mode->height,
+                                        1, vblank_def);
++              __v4l2_ctrl_s_ctrl(ov9281->vblank, vblank_def);
+       }
+       mutex_unlock(&ov9281->mutex);
+@@ -421,17 +421,21 @@ static int ov9281_get_fmt(struct v4l2_su
+       mutex_lock(&ov9281->mutex);
+       if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
+-#ifdef CONFIG_VIDEO_V4L2_SUBDEV_API
+               fmt->format = *v4l2_subdev_get_try_format(sd, cfg, fmt->pad);
+-#else
+-              mutex_unlock(&ov9281->mutex);
+-              return -ENOTTY;
+-#endif
+       } else {
+               fmt->format.width = mode->width;
+               fmt->format.height = mode->height;
+               fmt->format.code = MEDIA_BUS_FMT_Y10_1X10;
+               fmt->format.field = V4L2_FIELD_NONE;
++              fmt->format.colorspace = V4L2_COLORSPACE_SRGB;
++              fmt->format.ycbcr_enc =
++                      V4L2_MAP_YCBCR_ENC_DEFAULT(fmt->format.colorspace);
++              fmt->format.quantization =
++                      V4L2_MAP_QUANTIZATION_DEFAULT(true,
++                                                    fmt->format.colorspace,
++                                                    fmt->format.ycbcr_enc);
++              fmt->format.xfer_func =
++                      V4L2_MAP_XFER_FUNC_DEFAULT(fmt->format.colorspace);
+       }
+       mutex_unlock(&ov9281->mutex);
+@@ -442,7 +446,7 @@ static int ov9281_enum_mbus_code(struct
+                                struct v4l2_subdev_pad_config *cfg,
+                                struct v4l2_subdev_mbus_code_enum *code)
+ {
+-      if (code->index != 0)
++      if (code->index)
+               return -EINVAL;
+       code->code = MEDIA_BUS_FMT_Y10_1X10;
+@@ -480,88 +484,56 @@ static int ov9281_enable_test_pattern(st
+                               OV9281_REG_VALUE_08BIT, val);
+ }
+-static int OV9281_g_frame_interval(struct v4l2_subdev *sd,
+-                                 struct v4l2_subdev_frame_interval *fi)
+-{
+-      struct ov9281 *ov9281 = to_ov9281(sd);
+-      const struct ov9281_mode *mode = ov9281->cur_mode;
+-
+-      mutex_lock(&ov9281->mutex);
+-      fi->interval = mode->max_fps;
+-      mutex_unlock(&ov9281->mutex);
++static const struct v4l2_rect *
++__ov9281_get_pad_crop(struct ov9281 *ov9281, struct v4l2_subdev_pad_config *cfg,
++                    unsigned int pad, enum v4l2_subdev_format_whence which)
++{
++      switch (which) {
++      case V4L2_SUBDEV_FORMAT_TRY:
++              return v4l2_subdev_get_try_crop(&ov9281->subdev, cfg, pad);
++      case V4L2_SUBDEV_FORMAT_ACTIVE:
++              return &ov9281->cur_mode->crop;
++      }
+-      return 0;
++      return NULL;
+ }
+-static void ov9281_get_module_inf(struct ov9281 *ov9281,
+-                                struct rkmodule_inf *inf)
++static int ov9281_get_selection(struct v4l2_subdev *sd,
++                              struct v4l2_subdev_pad_config *cfg,
++                              struct v4l2_subdev_selection *sel)
+ {
+-      memset(inf, 0, sizeof(*inf));
+-      strlcpy(inf->base.sensor, OV9281_NAME, sizeof(inf->base.sensor));
+-      strlcpy(inf->base.module, ov9281->module_name,
+-              sizeof(inf->base.module));
+-      strlcpy(inf->base.lens, ov9281->len_name, sizeof(inf->base.lens));
+-}
++      switch (sel->target) {
++      case V4L2_SEL_TGT_CROP: {
++              struct ov9281 *ov9281 = to_ov9281(sd);
+-static long ov9281_ioctl(struct v4l2_subdev *sd, unsigned int cmd, void *arg)
+-{
+-      struct ov9281 *ov9281 = to_ov9281(sd);
+-      long ret = 0;
++              mutex_lock(&ov9281->mutex);
++              sel->r = *__ov9281_get_pad_crop(ov9281, cfg, sel->pad,
++                                              sel->which);
++              mutex_unlock(&ov9281->mutex);
+-      switch (cmd) {
+-      case RKMODULE_GET_MODULE_INFO:
+-              ov9281_get_module_inf(ov9281, (struct rkmodule_inf *)arg);
+-              break;
+-      default:
+-              ret = -ENOIOCTLCMD;
+-              break;
++              return 0;
+       }
+-      return ret;
+-}
++      case V4L2_SEL_TGT_NATIVE_SIZE:
++              sel->r.top = 0;
++              sel->r.left = 0;
++              sel->r.width = OV9281_NATIVE_WIDTH;
++              sel->r.height = OV9281_NATIVE_HEIGHT;
+-#ifdef CONFIG_COMPAT
+-static long ov9281_compat_ioctl32(struct v4l2_subdev *sd,
+-                                unsigned int cmd, unsigned long arg)
+-{
+-      void __user *up = compat_ptr(arg);
+-      struct rkmodule_inf *inf;
+-      struct rkmodule_awb_cfg *cfg;
+-      long ret;
+-
+-      switch (cmd) {
+-      case RKMODULE_GET_MODULE_INFO:
+-              inf = kzalloc(sizeof(*inf), GFP_KERNEL);
+-              if (!inf) {
+-                      ret = -ENOMEM;
+-                      return ret;
+-              }
++              return 0;
+-              ret = ov9281_ioctl(sd, cmd, inf);
+-              if (!ret)
+-                      ret = copy_to_user(up, inf, sizeof(*inf));
+-              kfree(inf);
+-              break;
+-      case RKMODULE_AWB_CFG:
+-              cfg = kzalloc(sizeof(*cfg), GFP_KERNEL);
+-              if (!cfg) {
+-                      ret = -ENOMEM;
+-                      return ret;
+-              }
++      case V4L2_SEL_TGT_CROP_DEFAULT:
++      case V4L2_SEL_TGT_CROP_BOUNDS:
++              sel->r.top = OV9281_PIXEL_ARRAY_TOP;
++              sel->r.left = OV9281_PIXEL_ARRAY_LEFT;
++              sel->r.width = OV9281_PIXEL_ARRAY_WIDTH;
++              sel->r.height = OV9281_PIXEL_ARRAY_HEIGHT;
+-              ret = copy_from_user(cfg, up, sizeof(*cfg));
+-              if (!ret)
+-                      ret = ov9281_ioctl(sd, cmd, cfg);
+-              kfree(cfg);
+-              break;
+-      default:
+-              ret = -ENOIOCTLCMD;
+-              break;
++              return 0;
+       }
+-      return ret;
++      return -EINVAL;
+ }
+-#endif
+ static int __ov9281_start_stream(struct ov9281 *ov9281)
+ {
+@@ -643,12 +615,6 @@ static int ov9281_s_power(struct v4l2_su
+                       pm_runtime_put_noidle(&client->dev);
+                       goto unlock_and_return;
+               }
+-              ret = ov9281_write_array(ov9281->client, ov9281_global_regs);
+-              if (ret) {
+-                      v4l2_err(sd, "could not set init registers\n");
+-                      pm_runtime_put_noidle(&client->dev);
+-                      goto unlock_and_return;
+-              }
+               ov9281->power_on = true;
+       } else {
+               pm_runtime_put(&client->dev);
+@@ -673,18 +639,12 @@ static int __ov9281_power_on(struct ov92
+       u32 delay_us;
+       struct device *dev = &ov9281->client->dev;
+-      if (!IS_ERR_OR_NULL(ov9281->pins_default)) {
+-              ret = pinctrl_select_state(ov9281->pinctrl,
+-                                         ov9281->pins_default);
+-              if (ret < 0)
+-                      dev_err(dev, "could not set pins\n");
+-      }
+-
+       ret = clk_set_rate(ov9281->xvclk, OV9281_XVCLK_FREQ);
+       if (ret < 0)
+               dev_warn(dev, "Failed to set xvclk rate (24MHz)\n");
+       if (clk_get_rate(ov9281->xvclk) != OV9281_XVCLK_FREQ)
+-              dev_warn(dev, "xvclk mismatched, modes are based on 24MHz\n");
++              dev_warn(dev, "xvclk mismatched, modes are based on 24MHz - rate is %lu\n",
++                       clk_get_rate(ov9281->xvclk));
+       ret = clk_prepare_enable(ov9281->xvclk);
+       if (ret < 0) {
+@@ -722,20 +682,11 @@ disable_clk:
+ static void __ov9281_power_off(struct ov9281 *ov9281)
+ {
+-      int ret;
+-      struct device *dev = &ov9281->client->dev;
+-
+       if (!IS_ERR(ov9281->pwdn_gpio))
+               gpiod_set_value_cansleep(ov9281->pwdn_gpio, 0);
+       clk_disable_unprepare(ov9281->xvclk);
+       if (!IS_ERR(ov9281->reset_gpio))
+               gpiod_set_value_cansleep(ov9281->reset_gpio, 0);
+-      if (!IS_ERR_OR_NULL(ov9281->pins_sleep)) {
+-              ret = pinctrl_select_state(ov9281->pinctrl,
+-                                         ov9281->pins_sleep);
+-              if (ret < 0)
+-                      dev_dbg(dev, "could not set pins\n");
+-      }
+       regulator_bulk_disable(OV9281_NUM_SUPPLIES, ov9281->supplies);
+ }
+@@ -759,7 +710,6 @@ static int ov9281_runtime_suspend(struct
+       return 0;
+ }
+-#ifdef CONFIG_VIDEO_V4L2_SUBDEV_API
+ static int ov9281_open(struct v4l2_subdev *sd, struct v4l2_subdev_fh *fh)
+ {
+       struct ov9281 *ov9281 = to_ov9281(sd);
+@@ -773,61 +723,42 @@ static int ov9281_open(struct v4l2_subde
+       try_fmt->height = def_mode->height;
+       try_fmt->code = MEDIA_BUS_FMT_Y10_1X10;
+       try_fmt->field = V4L2_FIELD_NONE;
++      try_fmt->colorspace = V4L2_COLORSPACE_SRGB;
++      try_fmt->ycbcr_enc = V4L2_MAP_YCBCR_ENC_DEFAULT(try_fmt->colorspace);
++      try_fmt->quantization =
++              V4L2_MAP_QUANTIZATION_DEFAULT(true, try_fmt->colorspace,
++                                            try_fmt->ycbcr_enc);
++      try_fmt->xfer_func = V4L2_MAP_XFER_FUNC_DEFAULT(try_fmt->colorspace);
+       mutex_unlock(&ov9281->mutex);
+       /* No crop or compose */
+       return 0;
+ }
+-#endif
+-
+-static int
+-ov9281_enum_frame_interval(struct v4l2_subdev *sd,
+-                         struct v4l2_subdev_pad_config *cfg,
+-                         struct v4l2_subdev_frame_interval_enum *fie)
+-{
+-      if (fie->index >= ARRAY_SIZE(supported_modes))
+-              return -EINVAL;
+-
+-      if (fie->code != MEDIA_BUS_FMT_Y10_1X10)
+-              return -EINVAL;
+-
+-      fie->width = supported_modes[fie->index].width;
+-      fie->height = supported_modes[fie->index].height;
+-      fie->interval = supported_modes[fie->index].max_fps;
+-      return 0;
+-}
+ static const struct dev_pm_ops ov9281_pm_ops = {
+       SET_RUNTIME_PM_OPS(ov9281_runtime_suspend,
+                          ov9281_runtime_resume, NULL)
+ };
+-#ifdef CONFIG_VIDEO_V4L2_SUBDEV_API
+ static const struct v4l2_subdev_internal_ops ov9281_internal_ops = {
+       .open = ov9281_open,
+ };
+-#endif
+ static const struct v4l2_subdev_core_ops ov9281_core_ops = {
+       .s_power = ov9281_s_power,
+-      .ioctl = ov9281_ioctl,
+-#ifdef CONFIG_COMPAT
+-      .compat_ioctl32 = ov9281_compat_ioctl32,
+-#endif
+ };
+ static const struct v4l2_subdev_video_ops ov9281_video_ops = {
+       .s_stream = ov9281_s_stream,
+-      .g_frame_interval = OV9281_g_frame_interval,
+ };
+ static const struct v4l2_subdev_pad_ops ov9281_pad_ops = {
+       .enum_mbus_code = ov9281_enum_mbus_code,
+       .enum_frame_size = ov9281_enum_frame_sizes,
+-      .enum_frame_interval = ov9281_enum_frame_interval,
+       .get_fmt = ov9281_get_fmt,
+       .set_fmt = ov9281_set_fmt,
++      .get_selection = ov9281_get_selection,
+ };
+ static const struct v4l2_subdev_ops ov9281_subdev_ops = {
+@@ -868,7 +799,8 @@ static int ov9281_set_ctrl(struct v4l2_c
+       case V4L2_CID_ANALOGUE_GAIN:
+               ret = ov9281_write_reg(ov9281->client, OV9281_REG_GAIN_H,
+                                      OV9281_REG_VALUE_08BIT,
+-                                     (ctrl->val >> OV9281_GAIN_H_SHIFT) & OV9281_GAIN_H_MASK);
++                                     (ctrl->val >> OV9281_GAIN_H_SHIFT) &
++                                                      OV9281_GAIN_H_MASK);
+               ret |= ov9281_write_reg(ov9281->client, OV9281_REG_GAIN_L,
+                                      OV9281_REG_VALUE_08BIT,
+                                      ctrl->val & OV9281_GAIN_L_MASK);
+@@ -922,31 +854,34 @@ static int ov9281_initialize_controls(st
+       h_blank = mode->hts_def - mode->width;
+       ov9281->hblank = v4l2_ctrl_new_std(handler, NULL, V4L2_CID_HBLANK,
+-                              h_blank, h_blank, 1, h_blank);
++                                         h_blank, h_blank, 1, h_blank);
+       if (ov9281->hblank)
+               ov9281->hblank->flags |= V4L2_CTRL_FLAG_READ_ONLY;
+       vblank_def = mode->vts_def - mode->height;
+       ov9281->vblank = v4l2_ctrl_new_std(handler, &ov9281_ctrl_ops,
+-                              V4L2_CID_VBLANK, vblank_def,
+-                              OV9281_VTS_MAX - mode->height,
+-                              1, vblank_def);
++                                         V4L2_CID_VBLANK, vblank_def,
++                                         OV9281_VTS_MAX - mode->height, 1,
++                                         vblank_def);
+       exposure_max = mode->vts_def - 4;
+       ov9281->exposure = v4l2_ctrl_new_std(handler, &ov9281_ctrl_ops,
+-                              V4L2_CID_EXPOSURE, OV9281_EXPOSURE_MIN,
+-                              exposure_max, OV9281_EXPOSURE_STEP,
+-                              mode->exp_def);
++                                           V4L2_CID_EXPOSURE,
++                                           OV9281_EXPOSURE_MIN, exposure_max,
++                                           OV9281_EXPOSURE_STEP,
++                                           mode->exp_def);
+       ov9281->anal_gain = v4l2_ctrl_new_std(handler, &ov9281_ctrl_ops,
+-                              V4L2_CID_ANALOGUE_GAIN, OV9281_GAIN_MIN,
+-                              OV9281_GAIN_MAX, OV9281_GAIN_STEP,
+-                              OV9281_GAIN_DEFAULT);
+-
+-      ov9281->test_pattern = v4l2_ctrl_new_std_menu_items(handler,
+-                              &ov9281_ctrl_ops, V4L2_CID_TEST_PATTERN,
+-                              ARRAY_SIZE(ov9281_test_pattern_menu) - 1,
+-                              0, 0, ov9281_test_pattern_menu);
++                                            V4L2_CID_ANALOGUE_GAIN,
++                                            OV9281_GAIN_MIN, OV9281_GAIN_MAX,
++                                            OV9281_GAIN_STEP,
++                                            OV9281_GAIN_DEFAULT);
++
++      ov9281->test_pattern =
++              v4l2_ctrl_new_std_menu_items(handler, &ov9281_ctrl_ops,
++                                           V4L2_CID_TEST_PATTERN,
++                                           ARRAY_SIZE(ov9281_test_pattern_menu) - 1,
++                                           0, 0, ov9281_test_pattern_menu);
+       if (handler->error) {
+               ret = handler->error;
+@@ -1000,34 +935,14 @@ static int ov9281_probe(struct i2c_clien
+                       const struct i2c_device_id *id)
+ {
+       struct device *dev = &client->dev;
+-      struct device_node *node = dev->of_node;
+       struct ov9281 *ov9281;
+       struct v4l2_subdev *sd;
+-      char facing[2];
+       int ret;
+-      dev_info(dev, "driver version: %02x.%02x.%02x",
+-              DRIVER_VERSION >> 16,
+-              (DRIVER_VERSION & 0xff00) >> 8,
+-              DRIVER_VERSION & 0x00ff);
+-
+       ov9281 = devm_kzalloc(dev, sizeof(*ov9281), GFP_KERNEL);
+       if (!ov9281)
+               return -ENOMEM;
+-      ret = of_property_read_u32(node, RKMODULE_CAMERA_MODULE_INDEX,
+-                                 &ov9281->module_index);
+-      ret |= of_property_read_string(node, RKMODULE_CAMERA_MODULE_FACING,
+-                                     &ov9281->module_facing);
+-      ret |= of_property_read_string(node, RKMODULE_CAMERA_MODULE_NAME,
+-                                     &ov9281->module_name);
+-      ret |= of_property_read_string(node, RKMODULE_CAMERA_LENS_NAME,
+-                                     &ov9281->len_name);
+-      if (ret) {
+-              dev_err(dev, "could not get module information!\n");
+-              return -EINVAL;
+-      }
+-
+       ov9281->client = client;
+       ov9281->cur_mode = &supported_modes[0];
+@@ -1037,31 +952,15 @@ static int ov9281_probe(struct i2c_clien
+               return -EINVAL;
+       }
+-      ov9281->reset_gpio = devm_gpiod_get(dev, "reset", GPIOD_OUT_LOW);
++      ov9281->reset_gpio = devm_gpiod_get_optional(dev, "reset",
++                                                   GPIOD_OUT_LOW);
+       if (IS_ERR(ov9281->reset_gpio))
+               dev_warn(dev, "Failed to get reset-gpios\n");
+-      ov9281->pwdn_gpio = devm_gpiod_get(dev, "pwdn", GPIOD_OUT_LOW);
++      ov9281->pwdn_gpio = devm_gpiod_get_optional(dev, "pwdn", GPIOD_OUT_LOW);
+       if (IS_ERR(ov9281->pwdn_gpio))
+               dev_warn(dev, "Failed to get pwdn-gpios\n");
+-      ov9281->pinctrl = devm_pinctrl_get(dev);
+-      if (!IS_ERR(ov9281->pinctrl)) {
+-              ov9281->pins_default =
+-                      pinctrl_lookup_state(ov9281->pinctrl,
+-                                           OF_CAMERA_PINCTRL_STATE_DEFAULT);
+-              if (IS_ERR(ov9281->pins_default))
+-                      dev_err(dev, "could not get default pinstate\n");
+-
+-              ov9281->pins_sleep =
+-                      pinctrl_lookup_state(ov9281->pinctrl,
+-                                           OF_CAMERA_PINCTRL_STATE_SLEEP);
+-              if (IS_ERR(ov9281->pins_sleep))
+-                      dev_err(dev, "could not get sleep pinstate\n");
+-      } else {
+-              dev_err(dev, "no pinctrl\n");
+-      }
+-
+       ret = ov9281_configure_regulators(ov9281);
+       if (ret) {
+               dev_err(dev, "Failed to get power regulators\n");
+@@ -1084,26 +983,16 @@ static int ov9281_probe(struct i2c_clien
+       if (ret)
+               goto err_power_off;
+-#ifdef CONFIG_VIDEO_V4L2_SUBDEV_API
+       sd->internal_ops = &ov9281_internal_ops;
+       sd->flags |= V4L2_SUBDEV_FL_HAS_DEVNODE;
+-#endif
+-#if defined(CONFIG_MEDIA_CONTROLLER)
++
+       ov9281->pad.flags = MEDIA_PAD_FL_SOURCE;
+-      sd->entity.type = MEDIA_ENT_T_V4L2_SUBDEV_SENSOR;
+-      ret = media_entity_init(&sd->entity, 1, &ov9281->pad, 0);
++      sd->entity.function = MEDIA_ENT_F_CAM_SENSOR;
++      ret = media_entity_pads_init(&sd->entity, 1, &ov9281->pad);
+       if (ret < 0)
+               goto err_power_off;
+-#endif
+-
+-      memset(facing, 0, sizeof(facing));
+-      if (strcmp(ov9281->module_facing, "back") == 0)
+-              facing[0] = 'b';
+-      else
+-              facing[0] = 'f';
+-      snprintf(sd->name, sizeof(sd->name), "m%02d_%s_%s %s",
+-               ov9281->module_index, facing,
++      snprintf(sd->name, sizeof(sd->name), "m%s %s",
+                OV9281_NAME, dev_name(sd->dev));
+       ret = v4l2_async_register_subdev_sensor_common(sd);
+       if (ret) {
+@@ -1118,9 +1007,7 @@ static int ov9281_probe(struct i2c_clien
+       return 0;
+ err_clean_entity:
+-#if defined(CONFIG_MEDIA_CONTROLLER)
+       media_entity_cleanup(&sd->entity);
+-#endif
+ err_power_off:
+       __ov9281_power_off(ov9281);
+ err_free_handler:
+@@ -1137,9 +1024,7 @@ static int ov9281_remove(struct i2c_clie
+       struct ov9281 *ov9281 = to_ov9281(sd);
+       v4l2_async_unregister_subdev(sd);
+-#if defined(CONFIG_MEDIA_CONTROLLER)
+       media_entity_cleanup(&sd->entity);
+-#endif
+       v4l2_ctrl_handler_free(&ov9281->ctrl_handler);
+       mutex_destroy(&ov9281->mutex);
+@@ -1151,13 +1036,11 @@ static int ov9281_remove(struct i2c_clie
+       return 0;
+ }
+-#if IS_ENABLED(CONFIG_OF)
+ static const struct of_device_id ov9281_of_match[] = {
+       { .compatible = "ovti,ov9281" },
+       {},
+ };
+ MODULE_DEVICE_TABLE(of, ov9281_of_match);
+-#endif
+ static const struct i2c_device_id ov9281_match_id[] = {
+       { "ovti,ov9281", 0 },
diff --git a/target/linux/bcm27xx/patches-5.4/950-0874-media-i2c-ov9281-Read-chip-ID-via-2-reads.patch b/target/linux/bcm27xx/patches-5.4/950-0874-media-i2c-ov9281-Read-chip-ID-via-2-reads.patch
new file mode 100644 (file)
index 0000000..0b06442
--- /dev/null
@@ -0,0 +1,42 @@
+From 5c6b7b60d7d607b59b4208e758180d4f93be8788 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Mon, 6 Jul 2020 17:51:32 +0100
+Subject: [PATCH] media: i2c: ov9281: Read chip ID via 2 reads
+
+Vision Components have made an OV9281 module which blocks reading
+back the majority of registers to comply with NDAs, and in doing
+so doesn't allow auto-increment register reading as used when
+reading the chip ID.
+
+Use two reads and manually combine the results.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/ov9281.c | 14 +++++++++-----
+ 1 file changed, 9 insertions(+), 5 deletions(-)
+
+--- a/drivers/media/i2c/ov9281.c
++++ b/drivers/media/i2c/ov9281.c
+@@ -904,13 +904,17 @@ static int ov9281_check_sensor_id(struct
+                                 struct i2c_client *client)
+ {
+       struct device *dev = &ov9281->client->dev;
+-      u32 id = 0;
++      u32 id = 0, id_msb;
+       int ret;
+-      ret = ov9281_read_reg(client, OV9281_REG_CHIP_ID,
+-                            OV9281_REG_VALUE_16BIT, &id);
+-      if (id != CHIP_ID) {
+-              dev_err(dev, "Unexpected sensor id(%06x), ret(%d)\n", id, ret);
++      ret = ov9281_read_reg(client, OV9281_REG_CHIP_ID + 1,
++                            OV9281_REG_VALUE_08BIT, &id);
++      if (!ret)
++              ret = ov9281_read_reg(client, OV9281_REG_CHIP_ID,
++                                    OV9281_REG_VALUE_08BIT, &id_msb);
++      id |= (id_msb << 8);
++      if (ret || id != CHIP_ID) {
++              dev_err(dev, "Unexpected sensor id(%04x), ret(%d)\n", id, ret);
+               return -ENODEV;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0875-dtoverlay-Add-overlay-for-Omnivision-OV9281-image-se.patch b/target/linux/bcm27xx/patches-5.4/950-0875-dtoverlay-Add-overlay-for-Omnivision-OV9281-image-se.patch
new file mode 100644 (file)
index 0000000..c166453
--- /dev/null
@@ -0,0 +1,157 @@
+From 489b7fc3df2013766f3c4d5f682dcc7992950293 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Mon, 6 Jul 2020 17:32:21 +0100
+Subject: [PATCH] dtoverlay: Add overlay for Omnivision OV9281 image
+ sensor
+
+Adds an overlay for the OV9281 mono imaging sensor using 2 CSI-2
+data lanes.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |   8 ++
+ arch/arm/boot/dts/overlays/ov9281-overlay.dts | 110 ++++++++++++++++++
+ 3 files changed, 119 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/ov9281-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -115,6 +115,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       mpu6050.dtbo \
+       mz61581.dtbo \
+       ov5647.dtbo \
++      ov9281.dtbo \
+       papirus.dtbo \
+       pibell.dtbo \
+       piglow.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1813,6 +1813,14 @@ Load:   dtoverlay=ov5647
+ Params: <None>
++Name:   ov9281
++Info:   Omnivision OV9281 camera module.
++        Uses Unicam 1, which is the standard camera connector on most Pi
++        variants.
++Load:   dtoverlay=ov9281
++Params: <None>
++
++
+ Name:   papirus
+ Info:   PaPiRus ePaper Screen by Pi Supply (both HAT and pHAT)
+ Load:   dtoverlay=papirus,<param>=<val>
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/ov9281-overlay.dts
+@@ -0,0 +1,110 @@
++// SPDX-License-Identifier: GPL-2.0-only
++// Definitions for OV9281 camera module on VC I2C bus
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/gpio/gpio.h>
++
++/{
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&i2c_csi_dsi>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      ov9281: ov9281@60 {
++                              compatible = "ovti,ov9281";
++                              reg = <0x60>;
++                              status = "okay";
++
++                              clocks = <&ov9281_clk>;
++                              clock-names = "xvclk";
++
++                              avdd-supply = <&ov9281_avdd>;
++                              dovdd-supply = <&ov9281_dovdd>;
++                              dvdd-supply = <&ov9281_dvdd>;
++
++                              port {
++                                      ov9281_0: endpoint {
++                                              remote-endpoint = <&csi1_ep>;
++                                              clock-lanes = <0>;
++                                              data-lanes = <1 2>;
++                                              clock-noncontinuous;
++                                              link-frequencies =
++                                                      /bits/ 64 <456000000>;
++                                      };
++                              };
++                      };
++              };
++      };
++
++      fragment@1 {
++              target = <&csi1>;
++              __overlay__ {
++                      status = "okay";
++
++                      port {
++                              csi1_ep: endpoint {
++                                      remote-endpoint = <&ov9281_0>;
++                                      data-lanes = <1 2>;
++                              };
++                      };
++              };
++      };
++
++      fragment@2 {
++              target = <&i2c0if>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@3 {
++              target-path="/";
++              __overlay__ {
++                      ov9281_avdd: fixedregulator@0 {
++                              compatible = "regulator-fixed";
++                              regulator-name = "ov9281_avdd";
++                              regulator-min-microvolt = <2800000>;
++                              regulator-max-microvolt = <2800000>;
++                              gpio = <&gpio 41 GPIO_ACTIVE_HIGH>;
++                              enable-active-high;
++                      };
++                      ov9281_dovdd: fixedregulator@1 {
++                              compatible = "regulator-fixed";
++                              regulator-name = "ov9281_dovdd";
++                              regulator-min-microvolt = <1800000>;
++                              regulator-max-microvolt = <1800000>;
++                      };
++                      ov9281_dvdd: fixedregulator@2 {
++                              compatible = "regulator-fixed";
++                              regulator-name = "ov9281_dvdd";
++                              regulator-min-microvolt = <1200000>;
++                              regulator-max-microvolt = <1200000>;
++                      };
++                      ov9281_clk: ov9281-clk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <24000000>;
++                      };
++              };
++      };
++
++      fragment@4 {
++              target = <&i2c0mux>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@5 {
++              target-path="/__overrides__";
++              __overlay__ {
++                      cam0-pwdn-ctrl = <&ov9281_avdd>,"gpio:0";
++                      cam0-pwdn      = <&ov9281_avdd>,"gpio:4";
++              };
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0876-Bluetooth-hci_bcm-Fix-RTS-handling-during-startup.patch b/target/linux/bcm27xx/patches-5.4/950-0876-Bluetooth-hci_bcm-Fix-RTS-handling-during-startup.patch
new file mode 100644 (file)
index 0000000..a288f5e
--- /dev/null
@@ -0,0 +1,33 @@
+From 44843cf006346751e42c0f4100eea7cefe61cbdf Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Sun, 6 Oct 2019 17:28:19 +0200
+Subject: [PATCH] Bluetooth: hci_bcm: Fix RTS handling during startup
+
+commit 3347a80965b38f096b1d6f995c00c9c9e53d4b8b upstream.
+
+The RPi 4 uses the hardware handshake lines for CYW43455, but the chip
+doesn't react to HCI requests during DT probe. The reason is the inproper
+handling of the RTS line during startup. According to the startup
+signaling sequence in the CYW43455 datasheet, the hosts RTS line must
+be driven after BT_REG_ON and BT_HOST_WAKE.
+
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+Signed-off-by: Marcel Holtmann <marcel@holtmann.org>
+---
+ drivers/bluetooth/hci_bcm.c | 2 ++
+ 1 file changed, 2 insertions(+)
+
+--- a/drivers/bluetooth/hci_bcm.c
++++ b/drivers/bluetooth/hci_bcm.c
+@@ -448,9 +448,11 @@ static int bcm_open(struct hci_uart *hu)
+ out:
+       if (bcm->dev) {
++              hci_uart_set_flow_control(hu, true);
+               hu->init_speed = bcm->dev->init_speed;
+               hu->oper_speed = bcm->dev->oper_speed;
+               err = bcm_gpio_set_power(bcm->dev, true);
++              hci_uart_set_flow_control(hu, false);
+               if (err)
+                       goto err_unset_hu;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0877-Bluetooth-hci_bcm-Add-compatible-string-for-BCM43540.patch b/target/linux/bcm27xx/patches-5.4/950-0877-Bluetooth-hci_bcm-Add-compatible-string-for-BCM43540.patch
new file mode 100644 (file)
index 0000000..f429b15
--- /dev/null
@@ -0,0 +1,28 @@
+From 9ca6a4103585907a20b099f9627abfd7312f8f1c Mon Sep 17 00:00:00 2001
+From: Abhishek Pandit-Subedi <abhishekpandit@chromium.org>
+Date: Fri, 25 Oct 2019 14:54:26 -0700
+Subject: [PATCH] Bluetooth: hci_bcm: Add compatible string for
+ BCM43540
+
+commit d462af20dbfa1b9b1a831412f32d9d6757b82459 upstream.
+
+The BCM43540 chip is a 802.11 a/b/g/n/ac + Bluetooth 4.1 combo module.
+This patch adds a compatible string match to the serdev driver for the
+Bluetooth part of the chip.
+
+Signed-off-by: Abhishek Pandit-Subedi <abhishekpandit@chromium.org>
+Signed-off-by: Marcel Holtmann <marcel@holtmann.org>
+---
+ drivers/bluetooth/hci_bcm.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/bluetooth/hci_bcm.c
++++ b/drivers/bluetooth/hci_bcm.c
+@@ -1427,6 +1427,7 @@ static const struct of_device_id bcm_blu
+       { .compatible = "brcm,bcm4345c5" },
+       { .compatible = "brcm,bcm4330-bt" },
+       { .compatible = "brcm,bcm43438-bt" },
++      { .compatible = "brcm,bcm43540-bt" },
+       { },
+ };
+ MODULE_DEVICE_TABLE(of, bcm_bluetooth_of_match);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0878-Bluetooth-btbcm-Add-entry-for-BCM4335A0-UART-bluetoo.patch b/target/linux/bcm27xx/patches-5.4/950-0878-Bluetooth-btbcm-Add-entry-for-BCM4335A0-UART-bluetoo.patch
new file mode 100644 (file)
index 0000000..e2ad19b
--- /dev/null
@@ -0,0 +1,58 @@
+From 9dcea546a8f8ccd054e693b3ab124f49886de2ab Mon Sep 17 00:00:00 2001
+From: Mohammad Rasim <mohammad.rasim96@gmail.com>
+Date: Wed, 20 Nov 2019 14:02:35 +0300
+Subject: [PATCH] Bluetooth: btbcm: Add entry for BCM4335A0 UART
+ bluetooth
+
+commit 1199ab4c9e1d4cdfbabd70b4aadbc8e72c691f65 upstream.
+
+This patch adds the device ID for the BCM4335A0 module
+(part of the AMPAK AP6335 WIFI/Bluetooth combo)
+
+hciconfig output:
+```
+hci1:   Type: Primary  Bus: UART
+        BD Address: 43:35:B0:07:1F:AC  ACL MTU: 1021:8  SCO MTU: 64:1
+        UP RUNNING
+        RX bytes:5079 acl:0 sco:0 events:567 errors:0
+        TX bytes:69065 acl:0 sco:0 commands:567 errors:0
+        Features: 0xbf 0xfe 0xcf 0xff 0xdf 0xff 0x7b 0x87
+        Packet type: DM1 DM3 DM5 DH1 DH3 DH5 HV1 HV2 HV3
+        Link policy: RSWITCH SNIFF
+        Link mode: SLAVE ACCEPT
+        Name: 'alarm'
+        Class: 0x000000
+        Service Classes: Unspecified
+        Device Class: Miscellaneous,
+        HCI Version: 4.0 (0x6)  Revision: 0x161
+        LMP Version: 4.0 (0x6)  Subversion: 0x4106
+        Manufacturer: Broadcom Corporation (15)
+```
+
+Signed-off-by: Mohammad Rasim <mohammad.rasim96@gmail.com>
+Signed-off-by: Marcel Holtmann <marcel@holtmann.org>
+---
+ drivers/bluetooth/btbcm.c   | 1 +
+ drivers/bluetooth/hci_bcm.c | 1 +
+ 2 files changed, 2 insertions(+)
+
+--- a/drivers/bluetooth/btbcm.c
++++ b/drivers/bluetooth/btbcm.c
+@@ -340,6 +340,7 @@ static const struct bcm_subver_table bcm
+       { 0x220e, "BCM20702A1"  },      /* 001.002.014 */
+       { 0x4217, "BCM4329B1"   },      /* 002.002.023 */
+       { 0x6106, "BCM4359C0"   },      /* 003.001.006 */
++      { 0x4106, "BCM4335A0"   },      /* 002.001.006 */
+       { }
+ };
+--- a/drivers/bluetooth/hci_bcm.c
++++ b/drivers/bluetooth/hci_bcm.c
+@@ -1428,6 +1428,7 @@ static const struct of_device_id bcm_blu
+       { .compatible = "brcm,bcm4330-bt" },
+       { .compatible = "brcm,bcm43438-bt" },
+       { .compatible = "brcm,bcm43540-bt" },
++      { .compatible = "brcm,bcm4335a0" },
+       { },
+ };
+ MODULE_DEVICE_TABLE(of, bcm_bluetooth_of_match);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0879-Bluetooth-hci_bcm-Disallow-set_baudrate-for-BCM4354.patch b/target/linux/bcm27xx/patches-5.4/950-0879-Bluetooth-hci_bcm-Disallow-set_baudrate-for-BCM4354.patch
new file mode 100644 (file)
index 0000000..da8f37b
--- /dev/null
@@ -0,0 +1,115 @@
+From 4c40c6ae0510be0fc9626d1717ff4163358cbfb2 Mon Sep 17 00:00:00 2001
+From: Abhishek Pandit-Subedi <abhishekpandit@chromium.org>
+Date: Tue, 26 Nov 2019 08:17:29 +0100
+Subject: [PATCH] Bluetooth: hci_bcm: Disallow set_baudrate for
+ BCM4354
+
+commit 5d6f391073d5c1c903ac12be72c66b96b2ae93f4 upstream.
+
+Without updating the patchram, the BCM4354 does not support a higher
+operating speed. The normal bcm_setup follows the correct order
+(init_speed, patchram and then oper_speed) but the serdev driver will
+set the operating speed before calling the hu->setup function. Thus,
+for the BCM4354, don't set the operating speed before patchram.
+
+Signed-off-by: Abhishek Pandit-Subedi <abhishekpandit@chromium.org>
+Signed-off-by: Marcel Holtmann <marcel@holtmann.org>
+Signed-off-by: Johan Hedberg <johan.hedberg@intel.com>
+---
+ drivers/bluetooth/hci_bcm.c | 31 +++++++++++++++++++++++++++++--
+ 1 file changed, 29 insertions(+), 2 deletions(-)
+
+--- a/drivers/bluetooth/hci_bcm.c
++++ b/drivers/bluetooth/hci_bcm.c
+@@ -48,6 +48,14 @@
+ #define BCM_NUM_SUPPLIES 2
+ /**
++ * struct bcm_device_data - device specific data
++ * @no_early_set_baudrate: Disallow set baudrate before driver setup()
++ */
++struct bcm_device_data {
++      bool    no_early_set_baudrate;
++};
++
++/**
+  * struct bcm_device - device driver resources
+  * @serdev_hu: HCI UART controller struct
+  * @list: bcm_device_list node
+@@ -79,6 +87,7 @@
+  * @hu: pointer to HCI UART controller struct,
+  *    used to disable flow control during runtime suspend and system sleep
+  * @is_suspended: whether flow control is currently disabled
++ * @no_early_set_baudrate: don't set_baudrate before setup()
+  */
+ struct bcm_device {
+       /* Must be the first member, hci_serdev.c expects this. */
+@@ -113,6 +122,7 @@ struct bcm_device {
+       struct hci_uart         *hu;
+       bool                    is_suspended;
+ #endif
++      bool                    no_early_set_baudrate;
+ };
+ /* generic bcm uart resources */
+@@ -450,7 +460,13 @@ out:
+       if (bcm->dev) {
+               hci_uart_set_flow_control(hu, true);
+               hu->init_speed = bcm->dev->init_speed;
+-              hu->oper_speed = bcm->dev->oper_speed;
++
++              /* If oper_speed is set, ldisc/serdev will set the baudrate
++               * before calling setup()
++               */
++              if (!bcm->dev->no_early_set_baudrate)
++                      hu->oper_speed = bcm->dev->oper_speed;
++
+               err = bcm_gpio_set_power(bcm->dev, true);
+               hci_uart_set_flow_control(hu, false);
+               if (err)
+@@ -568,6 +584,8 @@ static int bcm_setup(struct hci_uart *hu
+       /* Operational speed if any */
+       if (hu->oper_speed)
+               speed = hu->oper_speed;
++      else if (bcm->dev && bcm->dev->oper_speed)
++              speed = bcm->dev->oper_speed;
+       else if (hu->proto->oper_speed)
+               speed = hu->proto->oper_speed;
+       else
+@@ -1377,6 +1395,7 @@ static struct platform_driver bcm_driver
+ static int bcm_serdev_probe(struct serdev_device *serdev)
+ {
+       struct bcm_device *bcmdev;
++      const struct bcm_device_data *data;
+       int err;
+       bcmdev = devm_kzalloc(&serdev->dev, sizeof(*bcmdev), GFP_KERNEL);
+@@ -1411,6 +1430,10 @@ static int bcm_serdev_probe(struct serde
+       if (err)
+               dev_err(&serdev->dev, "Failed to power down\n");
++      data = device_get_match_data(bcmdev->dev);
++      if (data)
++              bcmdev->no_early_set_baudrate = data->no_early_set_baudrate;
++
+       return hci_uart_register_device(&bcmdev->serdev_hu, &bcm_proto);
+ }
+@@ -1422,12 +1445,16 @@ static void bcm_serdev_remove(struct ser
+ }
+ #ifdef CONFIG_OF
++static struct bcm_device_data bcm4354_device_data = {
++      .no_early_set_baudrate = true,
++};
++
+ static const struct of_device_id bcm_bluetooth_of_match[] = {
+       { .compatible = "brcm,bcm20702a1" },
+       { .compatible = "brcm,bcm4345c5" },
+       { .compatible = "brcm,bcm4330-bt" },
+       { .compatible = "brcm,bcm43438-bt" },
+-      { .compatible = "brcm,bcm43540-bt" },
++      { .compatible = "brcm,bcm43540-bt", .data = &bcm4354_device_data },
+       { .compatible = "brcm,bcm4335a0" },
+       { },
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0880-Bluetooth-btbcm-Support-pcm-configuration.patch b/target/linux/bcm27xx/patches-5.4/950-0880-Bluetooth-btbcm-Support-pcm-configuration.patch
new file mode 100644 (file)
index 0000000..2d40e7f
--- /dev/null
@@ -0,0 +1,124 @@
+From 6631d2076af26d2b5b6a3a2f17e8a33de48abcac Mon Sep 17 00:00:00 2001
+From: Abhishek Pandit-Subedi <abhishekpandit@chromium.org>
+Date: Tue, 26 Nov 2019 08:17:30 +0100
+Subject: [PATCH] Bluetooth: btbcm: Support pcm configuration
+
+commit 528379902337102b0264fe5343eafb3d6c59fa45 upstream.
+
+Add BCM vendor specific command to configure PCM parameters. The new
+vendor opcode allows us to set the sco routing, the pcm interface rate,
+and a few other pcm specific options (frame sync, sync mode, and clock
+mode). See broadcom-bluetooth.txt in Documentation for more information
+about valid values for those settings.
+
+Here is an example trace where this opcode was used to configure
+a BCM4354:
+
+        < HCI Command: Vendor (0x3f|0x001c) plen 5
+                01 02 00 01 01
+        > HCI Event: Command Complete (0x0e) plen 4
+        Vendor (0x3f|0x001c) ncmd 1
+                Status: Success (0x00)
+
+We can read back the values as well with ocf 0x001d to confirm the
+values that were set:
+        $ hcitool cmd 0x3f 0x001d
+        < HCI Command: ogf 0x3f, ocf 0x001d, plen 0
+        > HCI Event: 0x0e plen 9
+        01 1D FC 00 01 02 00 01 01
+
+Signed-off-by: Abhishek Pandit-Subedi <abhishekpandit@chromium.org>
+Signed-off-by: Marcel Holtmann <marcel@holtmann.org>
+Signed-off-by: Johan Hedberg <johan.hedberg@intel.com>
+---
+ drivers/bluetooth/btbcm.c | 46 +++++++++++++++++++++++++++++++++++++++
+ drivers/bluetooth/btbcm.h | 16 ++++++++++++++
+ 2 files changed, 62 insertions(+)
+
+--- a/drivers/bluetooth/btbcm.c
++++ b/drivers/bluetooth/btbcm.c
+@@ -105,6 +105,52 @@ int btbcm_set_bdaddr(struct hci_dev *hde
+ }
+ EXPORT_SYMBOL_GPL(btbcm_set_bdaddr);
++int btbcm_read_pcm_int_params(struct hci_dev *hdev,
++                            struct bcm_set_pcm_int_params *params)
++{
++      struct sk_buff *skb;
++      int err = 0;
++
++      skb = __hci_cmd_sync(hdev, 0xfc1d, 0, NULL, HCI_INIT_TIMEOUT);
++      if (IS_ERR(skb)) {
++              err = PTR_ERR(skb);
++              bt_dev_err(hdev, "BCM: Read PCM int params failed (%d)", err);
++              return err;
++      }
++
++      if (skb->len != 6 || skb->data[0]) {
++              bt_dev_err(hdev, "BCM: Read PCM int params length mismatch");
++              kfree_skb(skb);
++              return -EIO;
++      }
++
++      if (params)
++              memcpy(params, skb->data + 1, 5);
++
++      kfree_skb(skb);
++
++      return 0;
++}
++EXPORT_SYMBOL_GPL(btbcm_read_pcm_int_params);
++
++int btbcm_write_pcm_int_params(struct hci_dev *hdev,
++                             const struct bcm_set_pcm_int_params *params)
++{
++      struct sk_buff *skb;
++      int err;
++
++      skb = __hci_cmd_sync(hdev, 0xfc1c, 5, params, HCI_INIT_TIMEOUT);
++      if (IS_ERR(skb)) {
++              err = PTR_ERR(skb);
++              bt_dev_err(hdev, "BCM: Write PCM int params failed (%d)", err);
++              return err;
++      }
++      kfree_skb(skb);
++
++      return 0;
++}
++EXPORT_SYMBOL_GPL(btbcm_write_pcm_int_params);
++
+ int btbcm_patchram(struct hci_dev *hdev, const struct firmware *fw)
+ {
+       const struct hci_command_hdr *cmd;
+--- a/drivers/bluetooth/btbcm.h
++++ b/drivers/bluetooth/btbcm.h
+@@ -54,6 +54,10 @@ struct bcm_set_pcm_format_params {
+ int btbcm_check_bdaddr(struct hci_dev *hdev);
+ int btbcm_set_bdaddr(struct hci_dev *hdev, const bdaddr_t *bdaddr);
+ int btbcm_patchram(struct hci_dev *hdev, const struct firmware *fw);
++int btbcm_read_pcm_int_params(struct hci_dev *hdev,
++                            struct bcm_set_pcm_int_params *params);
++int btbcm_write_pcm_int_params(struct hci_dev *hdev,
++                             const struct bcm_set_pcm_int_params *params);
+ int btbcm_setup_patchram(struct hci_dev *hdev);
+ int btbcm_setup_apple(struct hci_dev *hdev);
+@@ -73,6 +77,18 @@ static inline int btbcm_set_bdaddr(struc
+ {
+       return -EOPNOTSUPP;
+ }
++
++int btbcm_read_pcm_int_params(struct hci_dev *hdev,
++                            struct bcm_set_pcm_int_params *params)
++{
++      return -EOPNOTSUPP;
++}
++
++int btbcm_write_pcm_int_params(struct hci_dev *hdev,
++                             const struct bcm_set_pcm_int_params *params)
++{
++      return -EOPNOTSUPP;
++}
+ static inline int btbcm_patchram(struct hci_dev *hdev, const struct firmware *fw)
+ {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0881-Bluetooth-hci_bcm-Support-pcm-params-in-dts.patch b/target/linux/bcm27xx/patches-5.4/950-0881-Bluetooth-hci_bcm-Support-pcm-params-in-dts.patch
new file mode 100644 (file)
index 0000000..fa2de56
--- /dev/null
@@ -0,0 +1,74 @@
+From 8b4d7321625cc8403a9ce7f050bd8d1b4ef7446d Mon Sep 17 00:00:00 2001
+From: Abhishek Pandit-Subedi <abhishekpandit@chromium.org>
+Date: Tue, 26 Nov 2019 08:17:32 +0100
+Subject: [PATCH] Bluetooth: hci_bcm: Support pcm params in dts
+
+commit eb762b94111b646b4f116ebfdbfcadbad14e12b3 upstream.
+
+BCM chips may require configuration of PCM to operate correctly and
+there is a vendor specific HCI command to do this. Add support in the
+hci_bcm driver to parse this from devicetree and configure the chip.
+
+Signed-off-by: Abhishek Pandit-Subedi <abhishekpandit@chromium.org>
+Signed-off-by: Marcel Holtmann <marcel@holtmann.org>
+Signed-off-by: Johan Hedberg <johan.hedberg@intel.com>
+---
+ drivers/bluetooth/hci_bcm.c | 19 +++++++++++++++++++
+ 1 file changed, 19 insertions(+)
+
+--- a/drivers/bluetooth/hci_bcm.c
++++ b/drivers/bluetooth/hci_bcm.c
+@@ -123,6 +123,7 @@ struct bcm_device {
+       bool                    is_suspended;
+ #endif
+       bool                    no_early_set_baudrate;
++      u8                      pcm_int_params[5];
+ };
+ /* generic bcm uart resources */
+@@ -597,6 +598,16 @@ static int bcm_setup(struct hci_uart *hu
+                       host_set_baudrate(hu, speed);
+       }
++      /* PCM parameters if provided */
++      if (bcm->dev && bcm->dev->pcm_int_params[0] != 0xff) {
++              struct bcm_set_pcm_int_params params;
++
++              btbcm_read_pcm_int_params(hu->hdev, &params);
++
++              memcpy(&params, bcm->dev->pcm_int_params, 5);
++              btbcm_write_pcm_int_params(hu->hdev, &params);
++      }
++
+ finalize:
+       release_firmware(fw);
+@@ -1134,6 +1145,8 @@ static int bcm_acpi_probe(struct bcm_dev
+ static int bcm_of_probe(struct bcm_device *bdev)
+ {
+       device_property_read_u32(bdev->dev, "max-speed", &bdev->oper_speed);
++      device_property_read_u8_array(bdev->dev, "brcm,bt-pcm-int-params",
++                                    bdev->pcm_int_params, 5);
+       return 0;
+ }
+@@ -1149,6 +1162,9 @@ static int bcm_probe(struct platform_dev
+       dev->dev = &pdev->dev;
+       dev->irq = platform_get_irq(pdev, 0);
++      /* Initialize routing field to an unused value */
++      dev->pcm_int_params[0] = 0xff;
++
+       if (has_acpi_companion(&pdev->dev)) {
+               ret = bcm_acpi_probe(dev);
+               if (ret)
+@@ -1409,6 +1425,9 @@ static int bcm_serdev_probe(struct serde
+       bcmdev->serdev_hu.serdev = serdev;
+       serdev_device_set_drvdata(serdev, bcmdev);
++      /* Initialize routing field to an unused value */
++      bcmdev->pcm_int_params[0] = 0xff;
++
+       if (has_acpi_companion(&serdev->dev))
+               err = bcm_acpi_probe(bcmdev);
+       else
diff --git a/target/linux/bcm27xx/patches-5.4/950-0882-Bluetooth-hci_bcm-Drive-RTS-only-for-BCM43438.patch b/target/linux/bcm27xx/patches-5.4/950-0882-Bluetooth-hci_bcm-Drive-RTS-only-for-BCM43438.patch
new file mode 100644 (file)
index 0000000..507f21a
--- /dev/null
@@ -0,0 +1,92 @@
+From b2e19dbff471eb6b9b39ff8f21c8d38e4fac8fe6 Mon Sep 17 00:00:00 2001
+From: Stefan Wahren <wahrenst@gmx.net>
+Date: Wed, 1 Jan 2020 15:01:34 +0100
+Subject: [PATCH] Bluetooth: hci_bcm: Drive RTS only for BCM43438
+MIME-Version: 1.0
+Content-Type: text/plain; charset=UTF-8
+Content-Transfer-Encoding: 8bit
+
+commit e601daed271e9eb1b923972a0a1af65f8c7bb77b upstream.
+
+The commit 3347a80965b3 ("Bluetooth: hci_bcm: Fix RTS handling during
+startup") is causing at least a regression for AP6256 on Orange Pi 3.
+So do the RTS line handing during startup only on the necessary platform.
+
+Fixes: 3347a80965b3 ("Bluetooth: hci_bcm: Fix RTS handling during startup")
+Reported-by: Ondřej Jirman <megous@megous.com>
+Signed-off-by: Stefan Wahren <wahrenst@gmx.net>
+Signed-off-by: Marcel Holtmann <marcel@holtmann.org>
+---
+ drivers/bluetooth/hci_bcm.c | 21 +++++++++++++++++----
+ 1 file changed, 17 insertions(+), 4 deletions(-)
+
+--- a/drivers/bluetooth/hci_bcm.c
++++ b/drivers/bluetooth/hci_bcm.c
+@@ -53,6 +53,7 @@
+  */
+ struct bcm_device_data {
+       bool    no_early_set_baudrate;
++      bool    drive_rts_on_open;
+ };
+ /**
+@@ -123,6 +124,7 @@ struct bcm_device {
+       bool                    is_suspended;
+ #endif
+       bool                    no_early_set_baudrate;
++      bool                    drive_rts_on_open;
+       u8                      pcm_int_params[5];
+ };
+@@ -459,7 +461,9 @@ static int bcm_open(struct hci_uart *hu)
+ out:
+       if (bcm->dev) {
+-              hci_uart_set_flow_control(hu, true);
++              if (bcm->dev->drive_rts_on_open)
++                      hci_uart_set_flow_control(hu, true);
++
+               hu->init_speed = bcm->dev->init_speed;
+               /* If oper_speed is set, ldisc/serdev will set the baudrate
+@@ -469,7 +473,10 @@ out:
+                       hu->oper_speed = bcm->dev->oper_speed;
+               err = bcm_gpio_set_power(bcm->dev, true);
+-              hci_uart_set_flow_control(hu, false);
++
++              if (bcm->dev->drive_rts_on_open)
++                      hci_uart_set_flow_control(hu, false);
++
+               if (err)
+                       goto err_unset_hu;
+       }
+@@ -1450,8 +1457,10 @@ static int bcm_serdev_probe(struct serde
+               dev_err(&serdev->dev, "Failed to power down\n");
+       data = device_get_match_data(bcmdev->dev);
+-      if (data)
++      if (data) {
+               bcmdev->no_early_set_baudrate = data->no_early_set_baudrate;
++              bcmdev->drive_rts_on_open = data->drive_rts_on_open;
++      }
+       return hci_uart_register_device(&bcmdev->serdev_hu, &bcm_proto);
+ }
+@@ -1468,11 +1477,15 @@ static struct bcm_device_data bcm4354_de
+       .no_early_set_baudrate = true,
+ };
++static struct bcm_device_data bcm43438_device_data = {
++      .drive_rts_on_open = true,
++};
++
+ static const struct of_device_id bcm_bluetooth_of_match[] = {
+       { .compatible = "brcm,bcm20702a1" },
+       { .compatible = "brcm,bcm4345c5" },
+       { .compatible = "brcm,bcm4330-bt" },
+-      { .compatible = "brcm,bcm43438-bt" },
++      { .compatible = "brcm,bcm43438-bt", .data = &bcm43438_device_data },
+       { .compatible = "brcm,bcm43540-bt", .data = &bcm4354_device_data },
+       { .compatible = "brcm,bcm4335a0" },
+       { },
diff --git a/target/linux/bcm27xx/patches-5.4/950-0883-Enhances-the-DAC-driver-to-control-the-optional-head.patch b/target/linux/bcm27xx/patches-5.4/950-0883-Enhances-the-DAC-driver-to-control-the-optional-head.patch
new file mode 100644 (file)
index 0000000..1289060
--- /dev/null
@@ -0,0 +1,134 @@
+From defa915bf0acf6ab5e56997a6733546c33f87682 Mon Sep 17 00:00:00 2001
+From: Joerg Schambacher <joerg@i2audio.com>
+Date: Tue, 7 Jul 2020 15:09:06 +0200
+Subject: [PATCH] Enhances the DAC+ driver to control the optional
+ headphone amplifier
+
+Probes on the I2C bus for TPA6130A2, if successful, it sets DT-parameter
+'status' from 'disabled' to 'okay' using change_sets to enable
+the headphone control.
+
+Signed-off-by: Joerg Schambacher joerg@i2audio.com
+---
+ sound/soc/bcm/Kconfig             |  1 +
+ sound/soc/bcm/hifiberry_dacplus.c | 68 ++++++++++++++++++++++++++++++-
+ 2 files changed, 67 insertions(+), 2 deletions(-)
+
+--- a/sound/soc/bcm/Kconfig
++++ b/sound/soc/bcm/Kconfig
+@@ -38,6 +38,7 @@ config SND_BCM2708_SOC_HIFIBERRY_DACPLUS
+         tristate "Support for HifiBerry DAC+"
+         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
+         select SND_SOC_PCM512x
++        select SND_SOC_TPA6130A2
+         select COMMON_CLK_HIFIBERRY_DACPRO
+         help
+          Say Y or M if you want to add support for HifiBerry DAC+.
+--- a/sound/soc/bcm/hifiberry_dacplus.c
++++ b/sound/soc/bcm/hifiberry_dacplus.c
+@@ -4,6 +4,7 @@
+  * Author:    Daniel Matuschek, Stuart MacLean <stuart@hifiberry.com>
+  *            Copyright 2014-2015
+  *            based on code by Florian Meier <florian.meier@koalo.de>
++ *            Headphone added by Joerg Schambacher, joerg@i2audio.com
+  *
+  * This program is free software; you can redistribute it and/or
+  * modify it under the terms of the GNU General Public License
+@@ -24,6 +25,7 @@
+ #include <linux/of.h>
+ #include <linux/slab.h>
+ #include <linux/delay.h>
++#include <linux/i2c.h>
+ #include <sound/core.h>
+ #include <sound/pcm.h>
+@@ -177,8 +179,7 @@ static int snd_rpi_hifiberry_dacplus_ini
+       else
+               snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
+-      if (digital_gain_0db_limit)
+-      {
++      if (digital_gain_0db_limit) {
+               int ret;
+               struct snd_soc_card *card = rtd->card;
+@@ -292,6 +293,15 @@ static struct snd_soc_dai_link snd_rpi_h
+ },
+ };
++/* aux device for optional headphone amp */
++static struct snd_soc_aux_dev hifiberry_dacplus_aux_devs[] = {
++      {
++              .dlc = {
++                      .name = "tpa6130a2.1-0060",
++              },
++      },
++};
++
+ /* audio machine driver */
+ static struct snd_soc_card snd_rpi_hifiberry_dacplus = {
+       .name         = "snd_rpi_hifiberry_dacplus",
+@@ -301,9 +311,63 @@ static struct snd_soc_card snd_rpi_hifib
+       .num_links    = ARRAY_SIZE(snd_rpi_hifiberry_dacplus_dai),
+ };
++static int hb_hp_detect(void)
++{
++      struct i2c_adapter *adap = i2c_get_adapter(1);
++      int ret;
++
++      struct i2c_client tpa_i2c_client = {
++              .addr = 0x60,
++              .adapter = adap,
++      };
++
++      ret = i2c_smbus_read_byte(&tpa_i2c_client) >= 0;
++      i2c_put_adapter(adap);
++      return ret;
++};
++
++static struct property tpa_enable_prop = {
++             .name = "status",
++             .length = 4 + 1, /* length 'okay' + 1 */
++             .value = "okay",
++      };
++
+ static int snd_rpi_hifiberry_dacplus_probe(struct platform_device *pdev)
+ {
+       int ret = 0;
++      struct snd_soc_card *card = &snd_rpi_hifiberry_dacplus;
++      int len;
++      struct device_node *tpa_node;
++      struct property *tpa_prop;
++      struct of_changeset ocs;
++
++      /* probe for head phone amp */
++      if (hb_hp_detect()) {
++              card->aux_dev = hifiberry_dacplus_aux_devs;
++              card->num_aux_devs =
++                              ARRAY_SIZE(hifiberry_dacplus_aux_devs);
++              tpa_node = of_find_compatible_node(NULL, NULL, "ti,tpa6130a2");
++              tpa_prop = of_find_property(tpa_node, "status", &len);
++
++              if (strcmp((char *)tpa_prop->value, "okay")) {
++                      /* and activate headphone using change_sets */
++                      dev_info(&pdev->dev, "activating headphone amplifier");
++                      of_changeset_init(&ocs);
++                      ret = of_changeset_update_property(&ocs, tpa_node,
++                                                      &tpa_enable_prop);
++                      if (ret) {
++                              dev_err(&pdev->dev,
++                              "cannot activate headphone amplifier\n");
++                              return -ENODEV;
++                      }
++                      ret = of_changeset_apply(&ocs);
++                      if (ret) {
++                              dev_err(&pdev->dev,
++                              "cannot activate headphone amplifier\n");
++                              return -ENODEV;
++                      }
++              }
++      }
+       snd_rpi_hifiberry_dacplus.dev = &pdev->dev;
+       if (pdev->dev.of_node) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0884-ARM-dts-hifiberry-dacplus-headphone-amp-support.patch b/target/linux/bcm27xx/patches-5.4/950-0884-ARM-dts-hifiberry-dacplus-headphone-amp-support.patch
new file mode 100644 (file)
index 0000000..937d104
--- /dev/null
@@ -0,0 +1,24 @@
+From 3295a6b483ea507f8e5d19a806b7db1da1f5a567 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 8 Jul 2020 17:33:06 +0100
+Subject: [PATCH] ARM: dts: hifiberry-dacplus headphone amp support
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/hifiberry-dacplus-overlay.dts | 5 +++++
+ 1 file changed, 5 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/hifiberry-dacplus-overlay.dts
++++ b/arch/arm/boot/dts/overlays/hifiberry-dacplus-overlay.dts
+@@ -39,6 +39,11 @@
+                               CPVDD-supply = <&vdd_3v3_reg>;
+                               status = "okay";
+                       };
++                      hpamp: hpamp@60 {
++                              compatible = "ti,tpa6130a2";
++                              reg = <0x60>;
++                              status = "disabled";
++                      };
+               };
+       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0885-media-i2c-imx290-Explicitly-set-v-h-blank-on-mode-ch.patch b/target/linux/bcm27xx/patches-5.4/950-0885-media-i2c-imx290-Explicitly-set-v-h-blank-on-mode-ch.patch
new file mode 100644 (file)
index 0000000..751f46d
--- /dev/null
@@ -0,0 +1,46 @@
+From 0a47c61cee411b3ada4413f6cebae8cdb06f062e Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 7 Jul 2020 10:31:53 +0100
+Subject: [PATCH] media: i2c: imx290: Explicitly set v&h blank on
+ mode change
+
+__v4l2_ctrl_modify_range only updates the current value should
+it be invalid within the new range. That can leave modes producing
+odd frame rates.
+
+Explicitly update the HBLANK and VBLANK values so that on mode
+change we revert to the default frame rate for the mode.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/imx290.c | 10 ++++++++--
+ 1 file changed, 8 insertions(+), 2 deletions(-)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -796,17 +796,23 @@ static int imx290_set_fmt(struct v4l2_su
+                       __v4l2_ctrl_s_ctrl_int64(imx290->pixel_rate,
+                                                imx290_calc_pixel_rate(imx290));
+-              if (imx290->hblank)
++              if (imx290->hblank) {
+                       __v4l2_ctrl_modify_range(imx290->hblank,
+                                                imx290->hmax_min - mode->width,
+                                                IMX290_HMAX_MAX - mode->width,
+                                                1, mode->hmax - mode->width);
+-              if (imx290->vblank)
++                      __v4l2_ctrl_s_ctrl(imx290->hblank,
++                                         mode->hmax - mode->width);
++              }
++              if (imx290->vblank) {
+                       __v4l2_ctrl_modify_range(imx290->vblank,
+                                                mode->vmax - mode->height,
+                                                IMX290_VMAX_MAX - mode->height,
+                                                1,
+                                                mode->vmax - mode->height);
++                      __v4l2_ctrl_s_ctrl(imx290->vblank,
++                                         mode->vmax - mode->height);
++              }
+               if (imx290->exposure)
+                       __v4l2_ctrl_modify_range(imx290->exposure,
+                                                mode->vmax - mode->height,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0886-media-i2c-imx290-Add-support-for-g_selection-to-repo.patch b/target/linux/bcm27xx/patches-5.4/950-0886-media-i2c-imx290-Add-support-for-g_selection-to-repo.patch
new file mode 100644 (file)
index 0000000..86680af
--- /dev/null
@@ -0,0 +1,156 @@
+From a191c6d6e5180f54ecf16adda61988a16ce9fe48 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 7 Jul 2020 11:23:48 +0100
+Subject: [PATCH] media: i2c: imx290: Add support for g_selection to
+ report cropping
+
+Userspace needs to know the cropping arrangements for each mode,
+so expose this through g_selection.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/imx290.c | 84 ++++++++++++++++++++++++++++++++++++++
+ 1 file changed, 84 insertions(+)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -61,6 +61,13 @@ enum imx290_clk_index {
+ #define IMX290_PGCTRL_THRU BIT(1)
+ #define IMX290_PGCTRL_MODE(n) ((n) << 4)
++#define IMX290_NATIVE_WIDTH           1945U
++#define IMX290_NATIVE_HEIGHT          1109U
++#define IMX290_PIXEL_ARRAY_LEFT               4U
++#define IMX290_PIXEL_ARRAY_TOP                12U
++#define IMX290_PIXEL_ARRAY_WIDTH      1937U
++#define IMX290_PIXEL_ARRAY_HEIGHT     1097U
++
+ static const char * const imx290_supply_name[] = {
+       "vdda",
+       "vddd",
+@@ -80,6 +87,7 @@ struct imx290_mode {
+       u32 hmax;
+       u32 vmax;
+       u8 link_freq_index;
++      struct v4l2_rect crop;
+       const struct imx290_regval *data;
+       u32 data_size;
+@@ -384,6 +392,12 @@ static const struct imx290_mode imx290_m
+               .hmax = 0x1130,
+               .vmax = 0x0465,
+               .link_freq_index = FREQ_INDEX_1080P,
++              .crop = {
++                      .left = 4 + 8,
++                      .top = 12 + 8,
++                      .width = 1920,
++                      .height = 1080,
++              },
+               .data = imx290_1080p_settings,
+               .data_size = ARRAY_SIZE(imx290_1080p_settings),
+               .clk_data = {
+@@ -398,6 +412,12 @@ static const struct imx290_mode imx290_m
+               .hmax = 0x19c8,
+               .vmax = 0x02ee,
+               .link_freq_index = FREQ_INDEX_720P,
++              .crop = {
++                      .left = 4 + 8 + 320,
++                      .top = 12 + 8 + 180,
++                      .width = 1280,
++                      .height = 720,
++              },
+               .data = imx290_720p_settings,
+               .data_size = ARRAY_SIZE(imx290_720p_settings),
+               .clk_data = {
+@@ -415,6 +435,12 @@ static const struct imx290_mode imx290_m
+               .hmax = 0x0898,
+               .vmax = 0x0465,
+               .link_freq_index = FREQ_INDEX_1080P,
++              .crop = {
++                      .left = 4 + 8,
++                      .top = 12 + 8,
++                      .width = 1920,
++                      .height = 1080,
++              },
+               .data = imx290_1080p_settings,
+               .data_size = ARRAY_SIZE(imx290_1080p_settings),
+               .clk_data = {
+@@ -429,6 +455,12 @@ static const struct imx290_mode imx290_m
+               .hmax = 0x0ce4,
+               .vmax = 0x02ee,
+               .link_freq_index = FREQ_INDEX_720P,
++              .crop = {
++                      .left = 4 + 8 + 320,
++                      .top = 12 + 8 + 180,
++                      .width = 1280,
++                      .height = 720,
++              },
+               .data = imx290_720p_settings,
+               .data_size = ARRAY_SIZE(imx290_720p_settings),
+               .clk_data = {
+@@ -875,6 +907,57 @@ static int imx290_write_current_format(s
+       return 0;
+ }
++static const struct v4l2_rect *
++__imx290_get_pad_crop(struct imx290 *imx290, struct v4l2_subdev_pad_config *cfg,
++                    unsigned int pad, enum v4l2_subdev_format_whence which)
++{
++      switch (which) {
++      case V4L2_SUBDEV_FORMAT_TRY:
++              return v4l2_subdev_get_try_crop(&imx290->sd, cfg, pad);
++      case V4L2_SUBDEV_FORMAT_ACTIVE:
++              return &imx290->current_mode->crop;
++      }
++
++      return NULL;
++}
++
++static int imx290_get_selection(struct v4l2_subdev *sd,
++                              struct v4l2_subdev_pad_config *cfg,
++                              struct v4l2_subdev_selection *sel)
++{
++      switch (sel->target) {
++      case V4L2_SEL_TGT_CROP: {
++              struct imx290 *imx290 = to_imx290(sd);
++
++              mutex_lock(&imx290->lock);
++              sel->r = *__imx290_get_pad_crop(imx290, cfg, sel->pad,
++                                              sel->which);
++              mutex_unlock(&imx290->lock);
++
++              return 0;
++      }
++
++      case V4L2_SEL_TGT_NATIVE_SIZE:
++              sel->r.top = 0;
++              sel->r.left = 0;
++              sel->r.width = IMX290_NATIVE_WIDTH;
++              sel->r.height = IMX290_NATIVE_HEIGHT;
++
++              return 0;
++
++      case V4L2_SEL_TGT_CROP_DEFAULT:
++      case V4L2_SEL_TGT_CROP_BOUNDS:
++              sel->r.top = IMX290_PIXEL_ARRAY_TOP;
++              sel->r.left = IMX290_PIXEL_ARRAY_LEFT;
++              sel->r.width = IMX290_PIXEL_ARRAY_WIDTH;
++              sel->r.height = IMX290_PIXEL_ARRAY_HEIGHT;
++
++              return 0;
++      }
++
++      return -EINVAL;
++}
++
+ /* Start streaming */
+ static int imx290_start_streaming(struct imx290 *imx290)
+ {
+@@ -1073,6 +1156,7 @@ static const struct v4l2_subdev_pad_ops
+       .enum_frame_size = imx290_enum_frame_size,
+       .get_fmt = imx290_get_fmt,
+       .set_fmt = imx290_set_fmt,
++      .get_selection = imx290_get_selection,
+ };
+ static const struct v4l2_subdev_ops imx290_subdev_ops = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0887-media-i2c-imx290-Set-the-colorspace-fields-in-the-fo.patch b/target/linux/bcm27xx/patches-5.4/950-0887-media-i2c-imx290-Set-the-colorspace-fields-in-the-fo.patch
new file mode 100644 (file)
index 0000000..28c43cd
--- /dev/null
@@ -0,0 +1,31 @@
+From b02ddac32c2bcd8894dac22de7ef1fb80a4dfe1a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 7 Jul 2020 11:51:26 +0100
+Subject: [PATCH] media: i2c: imx290: Set the colorspace fields in
+ the format
+
+The colorspace fields were left untouched in imx290_set_fmt
+which lead to a v4l2-compliance failure.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/imx290.c | 8 ++++++++
+ 1 file changed, 8 insertions(+)
+
+--- a/drivers/media/i2c/imx290.c
++++ b/drivers/media/i2c/imx290.c
+@@ -813,6 +813,14 @@ static int imx290_set_fmt(struct v4l2_su
+       fmt->format.code = imx290->formats[i].code;
+       fmt->format.field = V4L2_FIELD_NONE;
++      fmt->format.colorspace = V4L2_COLORSPACE_SRGB;
++      fmt->format.ycbcr_enc =
++                      V4L2_MAP_YCBCR_ENC_DEFAULT(fmt->format.colorspace);
++      fmt->format.quantization =
++              V4L2_MAP_QUANTIZATION_DEFAULT(true, fmt->format.colorspace,
++                                            fmt->format.ycbcr_enc);
++      fmt->format.xfer_func =
++              V4L2_MAP_XFER_FUNC_DEFAULT(fmt->format.colorspace);
+       if (fmt->which == V4L2_SUBDEV_FORMAT_TRY) {
+               format = v4l2_subdev_get_try_format(sd, cfg, fmt->pad);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0888-media-bcm2835-unicam-Reinstate-V4L2_CAP_READWRITE-in.patch b/target/linux/bcm27xx/patches-5.4/950-0888-media-bcm2835-unicam-Reinstate-V4L2_CAP_READWRITE-in.patch
new file mode 100644 (file)
index 0000000..5b2b280
--- /dev/null
@@ -0,0 +1,28 @@
+From 6253cd2eee555c6b2779667cc41ee1d75aa85034 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 7 Jul 2020 14:23:40 +0100
+Subject: [PATCH] media: bcm2835-unicam: Reinstate V4L2_CAP_READWRITE
+ in the caps
+
+v4l2-compliance throws a failure if the device doesn't advertise
+V4L2_CAP_READWRITE but allows read or write operations.
+We do support read, so reinstate the flag.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -2416,8 +2416,8 @@ static int register_node(struct unicam_d
+       vdev->queue = q;
+       vdev->lock = &node->lock;
+       vdev->device_caps = (pad_id == IMAGE_PAD) ?
+-                          (V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_STREAMING) :
+-                          (V4L2_CAP_META_CAPTURE | V4L2_CAP_STREAMING);
++                              V4L2_CAP_VIDEO_CAPTURE : V4L2_CAP_META_CAPTURE;
++      vdev->device_caps |= V4L2_CAP_READWRITE | V4L2_CAP_STREAMING;
+       /* Define the device names */
+       snprintf(vdev->name, sizeof(vdev->name), "%s-%s", UNICAM_MODULE_NAME,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0889-media-bcm2835-unicam-Ensure-type-is-VIDEO_CAPTURE-in.patch b/target/linux/bcm27xx/patches-5.4/950-0889-media-bcm2835-unicam-Ensure-type-is-VIDEO_CAPTURE-in.patch
new file mode 100644 (file)
index 0000000..1e8407f
--- /dev/null
@@ -0,0 +1,36 @@
+From 470e49cf5ee0b77e6812595ca396e34af8ae67b4 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 7 Jul 2020 14:52:43 +0100
+Subject: [PATCH] media: bcm2835-unicam: Ensure type is VIDEO_CAPTURE
+ in [g|s]_selection
+
+[g|s]_selection pass in a buffer type that needs to be validated
+before passing on to the sensor subdev.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 6 ++++++
+ 1 file changed, 6 insertions(+)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -1880,6 +1880,9 @@ static int unicam_s_selection(struct fil
+               .r = sel->r,
+       };
++      if (sel->type != V4L2_BUF_TYPE_VIDEO_CAPTURE)
++              return -EINVAL;
++
+       return v4l2_subdev_call(dev->sensor, pad, set_selection, NULL, &sdsel);
+ }
+@@ -1894,6 +1897,9 @@ static int unicam_g_selection(struct fil
+       };
+       int ret;
++      if (sel->type != V4L2_BUF_TYPE_VIDEO_CAPTURE)
++              return -EINVAL;
++
+       ret = v4l2_subdev_call(dev->sensor, pad, get_selection, NULL, &sdsel);
+       if (!ret)
+               sel->r = sdsel.r;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0890-dtoverlays-Create-an-overlay-for-the-Omnivision-OV72.patch b/target/linux/bcm27xx/patches-5.4/950-0890-dtoverlays-Create-an-overlay-for-the-Omnivision-OV72.patch
new file mode 100644 (file)
index 0000000..6a4da8f
--- /dev/null
@@ -0,0 +1,157 @@
+From 870de3bc0e80a9b79b470a45636437a0078041c1 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 7 Jul 2020 16:12:05 +0100
+Subject: [PATCH] dtoverlays: Create an overlay for the Omnivision
+ OV7251 sensor
+
+Adds an overlay for the OV7251 VGA global shutter sensor.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |   8 ++
+ arch/arm/boot/dts/overlays/ov7251-overlay.dts | 111 ++++++++++++++++++
+ 3 files changed, 120 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/ov7251-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -115,6 +115,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       mpu6050.dtbo \
+       mz61581.dtbo \
+       ov5647.dtbo \
++      ov7251.dtbo \
+       ov9281.dtbo \
+       papirus.dtbo \
+       pibell.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1813,6 +1813,14 @@ Load:   dtoverlay=ov5647
+ Params: <None>
++Name:   ov7251
++Info:   Omnivision OV7251 camera module.
++        Uses Unicam 1, which is the standard camera connector on most Pi
++        variants.
++Load:   dtoverlay=ov7251
++Params: <None>
++
++
+ Name:   ov9281
+ Info:   Omnivision OV9281 camera module.
+         Uses Unicam 1, which is the standard camera connector on most Pi
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/ov7251-overlay.dts
+@@ -0,0 +1,111 @@
++// SPDX-License-Identifier: GPL-2.0-only
++// Definitions for OV7251 camera module on VC I2C bus
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/gpio/gpio.h>
++
++/{
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&i2c_csi_dsi>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      ov7251: ov7251@60 {
++                              compatible = "ovti,ov7251";
++                              reg = <0x60>;
++                              status = "okay";
++
++                              clocks = <&ov7251_clk>;
++                              clock-names = "xclk";
++                              clock-frequency = <24000000>;
++
++                              vdddo-supply = <&ov7251_dovdd>;
++                              vdda-supply = <&ov7251_avdd>;
++                              vddd-supply = <&ov7251_dvdd>;
++
++                              enable-gpios = <&gpio 41 GPIO_ACTIVE_HIGH>;
++
++                              port {
++                                      ov7251_0: endpoint {
++                                              remote-endpoint = <&csi1_ep>;
++                                              clock-lanes = <0>;
++                                              data-lanes = <1>;
++                                              clock-noncontinuous;
++                                              link-frequencies =
++                                                      /bits/ 64 <456000000>;
++                                      };
++                              };
++                      };
++              };
++      };
++
++      fragment@1 {
++              target = <&csi1>;
++              __overlay__ {
++                      status = "okay";
++
++                      port {
++                              csi1_ep: endpoint {
++                                      remote-endpoint = <&ov7251_0>;
++                                      data-lanes = <1>;
++                              };
++                      };
++              };
++      };
++
++      fragment@2 {
++              target = <&i2c0if>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@3 {
++              target-path="/";
++              __overlay__ {
++                      ov7251_avdd: fixedregulator@0 {
++                              compatible = "regulator-fixed";
++                              regulator-name = "ov7251_avdd";
++                              regulator-min-microvolt = <2800000>;
++                              regulator-max-microvolt = <2800000>;
++                      };
++                      ov7251_dovdd: fixedregulator@1 {
++                              compatible = "regulator-fixed";
++                              regulator-name = "ov7251_dovdd";
++                              regulator-min-microvolt = <1800000>;
++                              regulator-max-microvolt = <1800000>;
++                      };
++                      ov7251_dvdd: fixedregulator@2 {
++                              compatible = "regulator-fixed";
++                              regulator-name = "ov7251_dvdd";
++                              regulator-min-microvolt = <1200000>;
++                              regulator-max-microvolt = <1200000>;
++                      };
++                      ov7251_clk: ov7251-clk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <24000000>;
++                      };
++              };
++      };
++
++      fragment@4 {
++              target = <&i2c0mux>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@5 {
++              target-path="/__overrides__";
++              __overlay__ {
++                      cam0-pwdn-ctrl = <&ov7251>,"enable-gpios:0";
++                      cam0-pwdn      = <&ov7251>,"enable-gpios:4";
++              };
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0891-vc4_hdmi-Set-HDMI_MAI_FMT.patch b/target/linux/bcm27xx/patches-5.4/950-0891-vc4_hdmi-Set-HDMI_MAI_FMT.patch
new file mode 100644 (file)
index 0000000..2c305a0
--- /dev/null
@@ -0,0 +1,124 @@
+From c9ba62745ba17d21542db28bf7dc28a39e19f7c2 Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Tue, 10 Mar 2020 22:21:15 +0000
+Subject: [PATCH] vc4_hdmi: Set HDMI_MAI_FMT
+
+The hardware uses this for generating the right audio
+data island packets when using formats other than PCM
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 47 ++++++++++++++++++++++++++++++++++
+ drivers/gpu/drm/vc4/vc4_regs.h | 31 ++++++++++++++++++++++
+ 2 files changed, 78 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -917,6 +917,45 @@ static void vc4_hdmi_audio_shutdown(stru
+       vc4_hdmi->audio.substream = NULL;
+ }
++static int sample_rate_to_mai_fmt(int samplerate)
++{
++   switch(samplerate)
++   {
++      case 8000:
++         return VC4_HDMI_MAI_SAMPLE_RATE_8000;
++      case 11025:
++         return VC4_HDMI_MAI_SAMPLE_RATE_11025;
++      case 12000:
++         return VC4_HDMI_MAI_SAMPLE_RATE_12000;
++      case 16000:
++         return VC4_HDMI_MAI_SAMPLE_RATE_16000;
++      case 22050:
++         return VC4_HDMI_MAI_SAMPLE_RATE_22050;
++      case 24000:
++         return VC4_HDMI_MAI_SAMPLE_RATE_24000;
++      case 32000:
++         return VC4_HDMI_MAI_SAMPLE_RATE_32000;
++      case 44100:
++         return VC4_HDMI_MAI_SAMPLE_RATE_44100;
++      case 48000:
++         return VC4_HDMI_MAI_SAMPLE_RATE_48000;
++      case 64000:
++         return VC4_HDMI_MAI_SAMPLE_RATE_64000;
++      case 88200:
++         return VC4_HDMI_MAI_SAMPLE_RATE_88200;
++      case 96000:
++         return VC4_HDMI_MAI_SAMPLE_RATE_96000;
++      case 128000:
++         return VC4_HDMI_MAI_SAMPLE_RATE_128000;
++      case 176400:
++         return VC4_HDMI_MAI_SAMPLE_RATE_176400;
++      case 192000:
++         return VC4_HDMI_MAI_SAMPLE_RATE_192000;
++      default:
++         return VC4_HDMI_MAI_SAMPLE_RATE_NOT_INDICATED;
++   }
++}
++
+ /* HDMI audio codec callbacks */
+ static int vc4_hdmi_audio_hw_params(struct snd_pcm_substream *substream,
+                                   struct snd_pcm_hw_params *params,
+@@ -926,6 +965,8 @@ static int vc4_hdmi_audio_hw_params(stru
+       struct device *dev = &vc4_hdmi->pdev->dev;
+       u32 audio_packet_config, channel_mask;
+       u32 channel_map;
++      u32 mai_audio_format;
++      u32 mai_sample_rate;
+       if (substream != vc4_hdmi->audio.substream)
+               return -EINVAL;
+@@ -946,6 +987,12 @@ static int vc4_hdmi_audio_hw_params(stru
+       vc4_hdmi_audio_set_mai_clock(vc4_hdmi);
++      mai_sample_rate = sample_rate_to_mai_fmt(vc4_hdmi->audio.samplerate);
++      mai_audio_format = VC4_HDMI_MAI_FORMAT_PCM;
++      HDMI_WRITE(HDMI_MAI_FMT,
++              VC4_SET_FIELD(mai_sample_rate, VC4_HDMI_MAI_FORMAT_SAMPLE_RATE) |
++              VC4_SET_FIELD(mai_audio_format, VC4_HDMI_MAI_FORMAT_AUDIO_FORMAT));
++
+       /* The B frame identifier should match the value used by alsa-lib (8) */
+       audio_packet_config =
+               VC4_HDMI_AUDIO_PACKET_ZERO_DATA_ON_SAMPLE_FLAT |
+--- a/drivers/gpu/drm/vc4/vc4_regs.h
++++ b/drivers/gpu/drm/vc4/vc4_regs.h
+@@ -516,6 +516,37 @@
+ # define VC4_HDMI_AUDIO_PACKET_CEA_MASK_MASK                  VC4_MASK(7, 0)
+ # define VC4_HDMI_AUDIO_PACKET_CEA_MASK_SHIFT                 0
++
++# define VC4_HDMI_MAI_FORMAT_AUDIO_FORMAT_MASK                VC4_MASK(23, 16)
++# define VC4_HDMI_MAI_FORMAT_AUDIO_FORMAT_SHIFT               16
++
++enum {
++      VC4_HDMI_MAI_FORMAT_PCM = 2,
++      VC4_HDMI_MAI_FORMAT_HBR = 200,
++};
++
++# define VC4_HDMI_MAI_FORMAT_SAMPLE_RATE_MASK         VC4_MASK(15, 8)
++# define VC4_HDMI_MAI_FORMAT_SAMPLE_RATE_SHIFT                8
++
++enum {
++      VC4_HDMI_MAI_SAMPLE_RATE_NOT_INDICATED = 0,
++      VC4_HDMI_MAI_SAMPLE_RATE_8000 = 1,
++      VC4_HDMI_MAI_SAMPLE_RATE_11025 = 2,
++      VC4_HDMI_MAI_SAMPLE_RATE_12000 = 3,
++      VC4_HDMI_MAI_SAMPLE_RATE_16000 = 4,
++      VC4_HDMI_MAI_SAMPLE_RATE_22050 = 5,
++      VC4_HDMI_MAI_SAMPLE_RATE_24000 = 6,
++      VC4_HDMI_MAI_SAMPLE_RATE_32000 = 7,
++      VC4_HDMI_MAI_SAMPLE_RATE_44100 = 8,
++      VC4_HDMI_MAI_SAMPLE_RATE_48000 = 9,
++      VC4_HDMI_MAI_SAMPLE_RATE_64000 = 10,
++      VC4_HDMI_MAI_SAMPLE_RATE_88200 = 11,
++      VC4_HDMI_MAI_SAMPLE_RATE_96000 = 12,
++      VC4_HDMI_MAI_SAMPLE_RATE_128000 = 13,
++      VC4_HDMI_MAI_SAMPLE_RATE_176400 = 14,
++      VC4_HDMI_MAI_SAMPLE_RATE_192000 = 15,
++};
++
+ # define VC4_HDMI_RAM_PACKET_ENABLE           BIT(16)
+ /* When set, the CTS_PERIOD counts based on MAI bus sync pulse instead
diff --git a/target/linux/bcm27xx/patches-5.4/950-0892-drm-vc4-add-iec958-controls-to-vc4_hdmi.patch b/target/linux/bcm27xx/patches-5.4/950-0892-drm-vc4-add-iec958-controls-to-vc4_hdmi.patch
new file mode 100644 (file)
index 0000000..bc5aaca
--- /dev/null
@@ -0,0 +1,119 @@
+From 209a350d81cded4ac83c31088d9e941c6497eb0e Mon Sep 17 00:00:00 2001
+From: Matthias Reichl <hias@horus.com>
+Date: Tue, 17 Mar 2020 12:12:22 +0100
+Subject: [PATCH] drm/vc4: add iec958 controls to vc4_hdmi
+
+Although vc4 get an IEC958 formatted stream passed in from userspace
+the driver needs the info from the channel status bits to properly
+set up the hardware, eg for HBR passthrough.
+
+Add iec958 controls so the channel status bits can be passed in
+from userspace.
+
+Signed-off-by: Matthias Reichl <hias@horus.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 60 ++++++++++++++++++++++++++++++++++
+ drivers/gpu/drm/vc4/vc4_hdmi.h |  2 ++
+ 2 files changed, 62 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -43,6 +43,7 @@
+ #include <linux/pm_runtime.h>
+ #include <linux/rational.h>
+ #include <linux/reset.h>
++#include <sound/asoundef.h>
+ #include <sound/dmaengine_pcm.h>
+ #include <sound/pcm_drm_eld.h>
+ #include <sound/pcm_params.h>
+@@ -1106,6 +1107,47 @@ static int vc4_hdmi_audio_eld_ctl_get(st
+       return 0;
+ }
++static int vc4_spdif_info(struct snd_kcontrol *kcontrol,
++                        struct snd_ctl_elem_info *uinfo)
++{
++      uinfo->type = SNDRV_CTL_ELEM_TYPE_IEC958;
++      uinfo->count = 1;
++      return 0;
++}
++
++static int vc4_spdif_playback_get(struct snd_kcontrol *kcontrol,
++                                struct snd_ctl_elem_value *ucontrol)
++{
++      struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
++      struct vc4_hdmi *vc4_hdmi = snd_component_to_hdmi(component);
++
++      memcpy(ucontrol->value.iec958.status, vc4_hdmi->audio.iec_status,
++              sizeof(vc4_hdmi->audio.iec_status));
++
++      return 0;
++}
++
++static int vc4_spdif_playback_put(struct snd_kcontrol *kcontrol,
++                                struct snd_ctl_elem_value *ucontrol)
++{
++      struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
++      struct vc4_hdmi *vc4_hdmi = snd_component_to_hdmi(component);
++
++      memcpy(vc4_hdmi->audio.iec_status, ucontrol->value.iec958.status,
++              sizeof(vc4_hdmi->audio.iec_status));
++
++      return 0;
++}
++
++static int vc4_spdif_mask_get(struct snd_kcontrol *kcontrol,
++                            struct snd_ctl_elem_value *ucontrol)
++{
++      memset(ucontrol->value.iec958.status, 0xff,
++              FIELD_SIZEOF(struct vc4_hdmi_audio, iec_status));
++
++      return 0;
++}
++
+ static const struct snd_kcontrol_new vc4_hdmi_audio_controls[] = {
+       {
+               .access = SNDRV_CTL_ELEM_ACCESS_READ |
+@@ -1115,6 +1157,19 @@ static const struct snd_kcontrol_new vc4
+               .info = vc4_hdmi_audio_eld_ctl_info,
+               .get = vc4_hdmi_audio_eld_ctl_get,
+       },
++      {
++              .iface =   SNDRV_CTL_ELEM_IFACE_MIXER,
++              .name =    SNDRV_CTL_NAME_IEC958("", PLAYBACK, DEFAULT),
++              .info =    vc4_spdif_info,
++              .get =     vc4_spdif_playback_get,
++              .put =     vc4_spdif_playback_put,
++      },
++      {
++              .iface =   SNDRV_CTL_ELEM_IFACE_MIXER,
++              .name =    SNDRV_CTL_NAME_IEC958("", PLAYBACK, MASK),
++              .info =    vc4_spdif_info,
++              .get =     vc4_spdif_mask_get,
++      },
+ };
+ static const struct snd_soc_dapm_widget vc4_hdmi_audio_widgets[] = {
+@@ -1235,6 +1290,11 @@ static int vc4_hdmi_audio_init(struct vc
+       vc4_hdmi->audio.dma_data.addr_width = DMA_SLAVE_BUSWIDTH_4_BYTES;
+       vc4_hdmi->audio.dma_data.maxburst = 2;
++      vc4_hdmi->audio.iec_status[0] = IEC958_AES0_CON_NOT_COPYRIGHT;
++      vc4_hdmi->audio.iec_status[1] =
++              IEC958_AES1_CON_ORIGINAL | IEC958_AES1_CON_PCM_CODER;
++      vc4_hdmi->audio.iec_status[3] = IEC958_AES3_CON_FS_48000;
++
+       ret = devm_snd_dmaengine_pcm_register(dev, &pcm_conf, 0);
+       if (ret) {
+               dev_err(dev, "Could not register PCM component: %d\n", ret);
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -115,6 +115,8 @@ struct vc4_hdmi_audio {
+       struct snd_pcm_substream *substream;
+       bool streaming;
++
++      unsigned char iec_status[4];
+ };
+ /* General HDMI hardware state. */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0893-drm-vc4-move-setup-from-hw_params-to-prepare.patch b/target/linux/bcm27xx/patches-5.4/950-0893-drm-vc4-move-setup-from-hw_params-to-prepare.patch
new file mode 100644 (file)
index 0000000..1e63b34
--- /dev/null
@@ -0,0 +1,56 @@
+From 18b1ff043d713b6b3669a8746062f2faeb6c3557 Mon Sep 17 00:00:00 2001
+From: Matthias Reichl <hias@horus.com>
+Date: Thu, 19 Mar 2020 20:00:35 +0100
+Subject: [PATCH] drm/vc4: move setup from hw_params to prepare
+
+Configuring HDMI audio registers in prepare allows us to take
+IEC958 bits into account which are set by the alsa hook after
+the hw_params call.
+
+Signed-off-by: Matthias Reichl <hias@horus.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 18 ++++++++++--------
+ 1 file changed, 10 insertions(+), 8 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -958,8 +958,7 @@ static int sample_rate_to_mai_fmt(int sa
+ }
+ /* HDMI audio codec callbacks */
+-static int vc4_hdmi_audio_hw_params(struct snd_pcm_substream *substream,
+-                                  struct snd_pcm_hw_params *params,
++static int vc4_hdmi_audio_prepare(struct snd_pcm_substream *substream,
+                                   struct snd_soc_dai *dai)
+ {
+       struct vc4_hdmi *vc4_hdmi = dai_to_hdmi(dai);
+@@ -972,12 +971,15 @@ static int vc4_hdmi_audio_hw_params(stru
+       if (substream != vc4_hdmi->audio.substream)
+               return -EINVAL;
+-      dev_dbg(dev, "%s: %u Hz, %d bit, %d channels\n", __func__,
+-              params_rate(params), params_width(params),
+-              params_channels(params));
++      dev_dbg(dev, "%s: %u Hz, %d bit, %d channels AES0=%02x\n",
++              __func__,
++              substream->runtime->rate,
++              snd_pcm_format_width(substream->runtime->format),
++              substream->runtime->channels,
++              vc4_hdmi->audio.iec_status[0]);
+-      vc4_hdmi->audio.channels = params_channels(params);
+-      vc4_hdmi->audio.samplerate = params_rate(params);
++      vc4_hdmi->audio.channels = substream->runtime->channels;
++      vc4_hdmi->audio.samplerate = substream->runtime->rate;
+       HDMI_WRITE(HDMI_MAI_CTL,
+                VC4_HD_MAI_CTL_RESET |
+@@ -1196,7 +1198,7 @@ static const struct snd_soc_component_dr
+ static const struct snd_soc_dai_ops vc4_hdmi_audio_dai_ops = {
+       .startup = vc4_hdmi_audio_startup,
+       .shutdown = vc4_hdmi_audio_shutdown,
+-      .hw_params = vc4_hdmi_audio_hw_params,
++      .prepare = vc4_hdmi_audio_prepare,
+       .set_fmt = vc4_hdmi_audio_set_fmt,
+       .trigger = vc4_hdmi_audio_trigger,
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0894-drm-vc4-enable-HBR-MAI-format-on-HBR-streams.patch b/target/linux/bcm27xx/patches-5.4/950-0894-drm-vc4-enable-HBR-MAI-format-on-HBR-streams.patch
new file mode 100644 (file)
index 0000000..823e9eb
--- /dev/null
@@ -0,0 +1,25 @@
+From 52beca6270b034cce84a738c71d36ffff7088389 Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Fri, 10 Jul 2020 11:51:16 +0100
+Subject: [PATCH] drm/vc4: enable HBR MAI format on HBR streams
+
+Signed-off-by: Matthias Reichl <hias@horus.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 6 +++++-
+ 1 file changed, 5 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -991,7 +991,11 @@ static int vc4_hdmi_audio_prepare(struct
+       vc4_hdmi_audio_set_mai_clock(vc4_hdmi);
+       mai_sample_rate = sample_rate_to_mai_fmt(vc4_hdmi->audio.samplerate);
+-      mai_audio_format = VC4_HDMI_MAI_FORMAT_PCM;
++      if (vc4_hdmi->audio.iec_status[0] & IEC958_AES0_NONAUDIO &&
++              vc4_hdmi->audio.channels == 8)
++              mai_audio_format = VC4_HDMI_MAI_FORMAT_HBR;
++      else
++              mai_audio_format = VC4_HDMI_MAI_FORMAT_PCM;
+       HDMI_WRITE(HDMI_MAI_FMT,
+               VC4_SET_FIELD(mai_sample_rate, VC4_HDMI_MAI_FORMAT_SAMPLE_RATE) |
+               VC4_SET_FIELD(mai_audio_format, VC4_HDMI_MAI_FORMAT_AUDIO_FORMAT));
diff --git a/target/linux/bcm27xx/patches-5.4/950-0895-vc4_hdmi-Remove-firmware-logic-for-MAI-threshold-set.patch b/target/linux/bcm27xx/patches-5.4/950-0895-vc4_hdmi-Remove-firmware-logic-for-MAI-threshold-set.patch
new file mode 100644 (file)
index 0000000..e08ceca
--- /dev/null
@@ -0,0 +1,47 @@
+From 5f22fca663178a49bbb72058ea9225d35b3d155d Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Thu, 25 Jun 2020 18:48:40 +0100
+Subject: [PATCH] vc4_hdmi: Remove firmware logic for MAI threshold
+ setting
+
+This was a workaround for bugs in hardware on earlier Pi models
+and wasn't totally successful.
+
+It makes audio quality worse on a Pi4 at the higher sample rates
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 22 ++++++----------------
+ 1 file changed, 6 insertions(+), 16 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1010,22 +1010,12 @@ static int vc4_hdmi_audio_prepare(struct
+       audio_packet_config |= VC4_SET_FIELD(channel_mask,
+                                            VC4_HDMI_AUDIO_PACKET_CEA_MASK);
+-      /* Set the MAI threshold.  This logic mimics the firmware's. */
+-      if (vc4_hdmi->audio.samplerate > 96000) {
+-              HDMI_WRITE(HDMI_MAI_THR,
+-                       VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQHIGH) |
+-                       VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQLOW));
+-      } else if (vc4_hdmi->audio.samplerate > 48000) {
+-              HDMI_WRITE(HDMI_MAI_THR,
+-                       VC4_SET_FIELD(0x14, VC4_HD_MAI_THR_DREQHIGH) |
+-                       VC4_SET_FIELD(0x12, VC4_HD_MAI_THR_DREQLOW));
+-      } else {
+-              HDMI_WRITE(HDMI_MAI_THR,
+-                       VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_PANICHIGH) |
+-                       VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_PANICLOW) |
+-                       VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_DREQHIGH) |
+-                       VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_DREQLOW));
+-      }
++      /* Set the MAI threshold */
++      HDMI_WRITE(HDMI_MAI_THR,
++               VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_PANICHIGH) |
++               VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_PANICLOW) |
++               VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_DREQHIGH) |
++               VC4_SET_FIELD(0x10, VC4_HD_MAI_THR_DREQLOW));
+       HDMI_WRITE(HDMI_MAI_CONFIG,
+                  VC4_HDMI_MAI_CONFIG_BIT_REVERSE |
diff --git a/target/linux/bcm27xx/patches-5.4/950-0896-vc_hdmi-Set-VC4_HDMI_MAI_CONFIG_FORMAT_REVERSE.patch b/target/linux/bcm27xx/patches-5.4/950-0896-vc_hdmi-Set-VC4_HDMI_MAI_CONFIG_FORMAT_REVERSE.patch
new file mode 100644 (file)
index 0000000..6fca81a
--- /dev/null
@@ -0,0 +1,24 @@
+From 203c14fa37c25a7d92c4f433ae918c6a7ce4f4db Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Tue, 30 Jun 2020 11:23:49 +0100
+Subject: [PATCH] vc_hdmi: Set VC4_HDMI_MAI_CONFIG_FORMAT_REVERSE
+
+Without this bit set, HDMI_MAI_FORMAT doesn't pick up
+the format and samplerate from DVP_CFG_MAI0_FMT and you
+can't get HDMI_HDMI_13_AUDIO_STATUS_1 to indicate HBR mode
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -1019,6 +1019,7 @@ static int vc4_hdmi_audio_prepare(struct
+       HDMI_WRITE(HDMI_MAI_CONFIG,
+                  VC4_HDMI_MAI_CONFIG_BIT_REVERSE |
++                 VC4_HDMI_MAI_CONFIG_FORMAT_REVERSE |
+                  VC4_SET_FIELD(channel_mask, VC4_HDMI_MAI_CHANNEL_MASK));
+       channel_map = vc4_hdmi->variant->channel_map(vc4_hdmi, channel_mask);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0897-dts-Enable-NO_WAIT_RESP-for-hdmi-audio-dma.patch b/target/linux/bcm27xx/patches-5.4/950-0897-dts-Enable-NO_WAIT_RESP-for-hdmi-audio-dma.patch
new file mode 100644 (file)
index 0000000..7e84dc4
--- /dev/null
@@ -0,0 +1,45 @@
+From 2318f056a6c740f66fdeb6b9f47cea319dcdeb20 Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Thu, 2 Jul 2020 19:36:08 +0100
+Subject: [PATCH] dts: Enable NO_WAIT_RESP for hdmi audio dma
+
+Without this set, DVP_CFG_MAI0_CTL indicates occasional
+DLATE errors when configured to 8 channel 192kHz
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi    | 4 ++--
+ arch/arm/boot/dts/bcm2835-common.dtsi | 2 +-
+ 2 files changed, 3 insertions(+), 3 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -74,7 +74,7 @@
+                       clock-names = "hdmi";
+                       resets = <&dvp 0>;
+                       ddc = <&ddc0>;
+-                      dmas = <&dma 10>;
++                      dmas = <&dma (10|(1<<27))>;
+                       dma-names = "audio-rx";
+                       interrupts = <GIC_SPI 96 IRQ_TYPE_LEVEL_HIGH>;
+                       status = "disabled";
+@@ -114,7 +114,7 @@
+                       clocks = <&firmware_clocks 13>;
+                       clock-names = "hdmi";
+                       resets = <&dvp 1>;
+-                      dmas = <&dma 17>;
++                      dmas = <&dma (17|(1<<27))>;
+                       dma-names = "audio-rx";
+                       interrupts = <GIC_SPI 96 IRQ_TYPE_LEVEL_HIGH>;
+                       status = "disabled";
+--- a/arch/arm/boot/dts/bcm2835-common.dtsi
++++ b/arch/arm/boot/dts/bcm2835-common.dtsi
+@@ -117,7 +117,7 @@
+                       clocks = <&clocks BCM2835_PLLH_PIX>,
+                                <&clocks BCM2835_CLOCK_HSM>;
+                       clock-names = "pixel", "hdmi";
+-                      dmas = <&dma 17>;
++                      dmas = <&dma (17|(1<<27))>;
+                       dma-names = "audio-rx";
+                       status = "disabled";
+               };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0898-SQUASH-dts-Further-simplify-firmware-clocks.patch b/target/linux/bcm27xx/patches-5.4/950-0898-SQUASH-dts-Further-simplify-firmware-clocks.patch
new file mode 100644 (file)
index 0000000..eea0166
--- /dev/null
@@ -0,0 +1,69 @@
+From af629960be92b1548d0b3c15b6e082930403fbae Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 10 Jul 2020 16:57:43 +0100
+Subject: [PATCH] SQUASH: dts: Further simplify firmware clocks
+
+All Pi platforms will use the firmware clocks driver, so declare it in
+the most common place - bcm2835-rpi.dtsi.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2709-rpi.dtsi | 7 -------
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 7 -------
+ arch/arm/boot/dts/bcm2835-rpi.dtsi | 5 +++++
+ arch/arm/boot/dts/bcm2836-rpi.dtsi | 7 -------
+ 4 files changed, 5 insertions(+), 21 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2709-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2709-rpi.dtsi
+@@ -3,10 +3,3 @@
+ &vchiq {
+       compatible = "brcm,bcm2836-vchiq", "brcm,bcm2835-vchiq";
+ };
+-
+-&firmware {
+-      firmware_clocks: clocks {
+-              compatible = "raspberrypi,firmware-clocks";
+-              #clock-cells = <1>;
+-      };
+-};
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -309,10 +309,3 @@
+ &hvs {
+       clocks = <&firmware_clocks 4>;
+ };
+-
+-&firmware {
+-      firmware_clocks: clocks {
+-              compatible = "raspberrypi,firmware-clocks";
+-              #clock-cells = <1>;
+-      };
+-};
+--- a/arch/arm/boot/dts/bcm2835-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2835-rpi.dtsi
+@@ -16,6 +16,11 @@
+                       compatible = "raspberrypi,bcm2835-firmware", "simple-bus";
+                       mboxes = <&mailbox>;
+                       dma-ranges;
++
++                      firmware_clocks: clocks {
++                              compatible = "raspberrypi,firmware-clocks";
++                              #clock-cells = <1>;
++                      };
+               };
+               power: power {
+--- a/arch/arm/boot/dts/bcm2836-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2836-rpi.dtsi
+@@ -4,10 +4,3 @@
+ &vchiq {
+       compatible = "brcm,bcm2836-vchiq", "brcm,bcm2835-vchiq";
+ };
+-
+-&firmware {
+-      firmware_clocks: clocks {
+-              compatible = "raspberrypi,firmware-clocks";
+-              #clock-cells = <1>;
+-      };
+-};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0899-media-bcm2835-unicam-Set-VPU-min-clock-freq-to-250Mh.patch b/target/linux/bcm27xx/patches-5.4/950-0899-media-bcm2835-unicam-Set-VPU-min-clock-freq-to-250Mh.patch
new file mode 100644 (file)
index 0000000..788606c
--- /dev/null
@@ -0,0 +1,154 @@
+From 09c1533f7f5dabd79aa2018083d1b71d26cd7eda Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Mon, 11 May 2020 13:02:22 +0100
+Subject: [PATCH] media: bcm2835: unicam: Set VPU min clock freq to
+ 250Mhz.
+
+When streaming with Unicam, the VPU must have a clock frequency of at
+least 250Mhz.  Otherwise, the input fifos could overrun, causing
+image corruption.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm270x.dtsi                | 10 ++--
+ .../media/platform/bcm2835/bcm2835-unicam.c   | 49 +++++++++++++++++--
+ 2 files changed, 50 insertions(+), 9 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm270x.dtsi
++++ b/arch/arm/boot/dts/bcm270x.dtsi
+@@ -88,8 +88,9 @@
+                       reg = <0x7e800000 0x800>,
+                             <0x7e802000 0x4>;
+                       interrupts = <2 6>;
+-                      clocks = <&clocks BCM2835_CLOCK_CAM0>;
+-                      clock-names = "lp";
++                      clocks = <&clocks BCM2835_CLOCK_CAM0>,
++                               <&firmware_clocks 4>;
++                      clock-names = "lp", "vpu";
+                       power-domains = <&power RPI_POWER_DOMAIN_UNICAM0>;
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+@@ -102,8 +103,9 @@
+                       reg = <0x7e801000 0x800>,
+                             <0x7e802004 0x4>;
+                       interrupts = <2 7>;
+-                      clocks = <&clocks BCM2835_CLOCK_CAM1>;
+-                      clock-names = "lp";
++                      clocks = <&clocks BCM2835_CLOCK_CAM1>,
++                               <&firmware_clocks 4>;
++                      clock-names = "lp", "vpu";
+                       power-domains = <&power RPI_POWER_DOMAIN_UNICAM1>;
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -89,6 +89,11 @@ MODULE_PARM_DESC(debug, "Debug level 0-3
+               v4l2_err(&(dev)->v4l2_dev, fmt, ##arg)
+ /*
++ * Unicam must request a minimum of 250Mhz from the VPU clock.
++ * Otherwise the input FIFOs overrun and cause image corruption.
++ */
++#define MIN_VPU_CLOCK_RATE (250 * 1000 * 1000)
++/*
+  * To protect against a dodgy sensor driver never returning an error from
+  * enum_mbus_code, set a maximum index value to be used.
+  */
+@@ -417,8 +422,10 @@ struct unicam_device {
+       void __iomem *base;
+       /* clock gating base address */
+       void __iomem *clk_gate_base;
+-      /* clock handle */
++      /* lp clock handle */
+       struct clk *clock;
++      /* vpu clock handle */
++      struct clk *vpu_clock;
+       /* V4l2 device */
+       struct v4l2_device v4l2_dev;
+       struct media_device mdev;
+@@ -1674,16 +1681,28 @@ static int unicam_start_streaming(struct
+       unicam_dbg(1, dev, "Running with %u data lanes\n",
+                  dev->active_data_lanes);
+-      ret = clk_set_rate(dev->clock, 100 * 1000 * 1000);
++      ret = clk_set_min_rate(dev->vpu_clock, MIN_VPU_CLOCK_RATE);
++      if (ret) {
++              unicam_err(dev, "failed to set up VPU clock\n");
++              goto err_pm_put;
++      }
++
++      ret = clk_prepare_enable(dev->vpu_clock);
+       if (ret) {
+-              unicam_err(dev, "failed to set up clock\n");
++              unicam_err(dev, "Failed to enable VPU clock: %d\n", ret);
+               goto err_pm_put;
+       }
++      ret = clk_set_rate(dev->clock, 100 * 1000 * 1000);
++      if (ret) {
++              unicam_err(dev, "failed to set up CSI clock\n");
++              goto err_vpu_clock;
++      }
++
+       ret = clk_prepare_enable(dev->clock);
+       if (ret) {
+               unicam_err(dev, "Failed to enable CSI clock: %d\n", ret);
+-              goto err_pm_put;
++              goto err_vpu_clock;
+       }
+       for (i = 0; i < ARRAY_SIZE(dev->node); i++) {
+@@ -1717,6 +1736,11 @@ static int unicam_start_streaming(struct
+ err_disable_unicam:
+       unicam_disable(dev);
+       clk_disable_unprepare(dev->clock);
++err_vpu_clock:
++      ret = clk_set_min_rate(dev->vpu_clock, 0);
++      if (ret)
++              unicam_err(dev, "failed to reset the VPU clock\n");
++      clk_disable_unprepare(dev->vpu_clock);
+ err_pm_put:
+       unicam_runtime_put(dev);
+ err_streaming:
+@@ -1734,6 +1758,8 @@ static void unicam_stop_streaming(struct
+       node->streaming = false;
+       if (node->pad_id == IMAGE_PAD) {
++              int ret;
++
+               /*
+                * Stop streaming the sensor and disable the peripheral.
+                * We cannot continue streaming embedded data with the
+@@ -1743,6 +1769,12 @@ static void unicam_stop_streaming(struct
+                       unicam_err(dev, "stream off failed in subdev\n");
+               unicam_disable(dev);
++
++              ret = clk_set_min_rate(dev->vpu_clock, 0);
++              if (ret)
++                      unicam_err(dev, "failed to reset the min VPU clock\n");
++
++              clk_disable_unprepare(dev->vpu_clock);
+               clk_disable_unprepare(dev->clock);
+               unicam_runtime_put(dev);
+@@ -2742,11 +2774,18 @@ static int unicam_probe(struct platform_
+       unicam->clock = devm_clk_get(&pdev->dev, "lp");
+       if (IS_ERR(unicam->clock)) {
+-              unicam_err(unicam, "Failed to get clock\n");
++              unicam_err(unicam, "Failed to get lp clock\n");
+               ret = PTR_ERR(unicam->clock);
+               goto err_unicam_put;
+       }
++      unicam->vpu_clock = devm_clk_get(&pdev->dev, "vpu");
++      if (IS_ERR(unicam->vpu_clock)) {
++              unicam_err(unicam, "Failed to get vpu clock\n");
++              ret = PTR_ERR(unicam->vpu_clock);
++              goto err_unicam_put;
++      }
++
+       ret = platform_get_irq(pdev, 0);
+       if (ret <= 0) {
+               dev_err(&pdev->dev, "No IRQ resource\n");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0900-dt-bindings-bcm2835-unicam-Update-documentation-with.patch b/target/linux/bcm27xx/patches-5.4/950-0900-dt-bindings-bcm2835-unicam-Update-documentation-with.patch
new file mode 100644 (file)
index 0000000..c8b53d6
--- /dev/null
@@ -0,0 +1,38 @@
+From f747c5b5f35490ff177b8327ff1f7299f7d0bf4a Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Mon, 11 May 2020 13:06:27 +0100
+Subject: [PATCH] dt-bindings: bcm2835-unicam: Update documentation
+ with new clock params
+
+Update the documentation to reflect the new "VPU" clock needed
+by the bcm2835-unicam driver.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ .../devicetree/bindings/media/bcm2835-unicam.txt          | 8 ++++----
+ 1 file changed, 4 insertions(+), 4 deletions(-)
+
+--- a/Documentation/devicetree/bindings/media/bcm2835-unicam.txt
++++ b/Documentation/devicetree/bindings/media/bcm2835-unicam.txt
+@@ -20,7 +20,7 @@ Required properties:
+ - interrupts  : should contain the IRQ line for this Unicam instance.
+ - clocks      : list of clock specifiers, corresponding to entries in
+                 clock-names property.
+-- clock-names : must contain an "lp" entry, matching entries in the
++- clock-names : must contain "lp" and "vpu" entries, matching entries in the
+                 clocks property.
+ Unicam supports a single port node. It should contain one 'port' child node
+@@ -46,9 +46,9 @@ Example:
+               reg = <0x7e801000 0x800>,
+                     <0x7e802004 0x4>;
+               interrupts = <2 7>;
+-              clocks = <&clocks BCM2835_CLOCK_CAM1>;
+-              clock-names = "lp";
+-
++              clocks = <&clocks BCM2835_CLOCK_CAM1>,
++                       <&firmware_clocks 4>;
++              clock-names = "lp", "vpu";
+               port {
+                       csi1_ep: endpoint {
+                               remote-endpoint = <&tc358743_0>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0901-correct-SND_SOC_DAILINK_DEFS.patch b/target/linux/bcm27xx/patches-5.4/950-0901-correct-SND_SOC_DAILINK_DEFS.patch
new file mode 100644 (file)
index 0000000..6823283
--- /dev/null
@@ -0,0 +1,21 @@
+From b27a6e98851f8889f8d9059bfebb91014ac7b057 Mon Sep 17 00:00:00 2001
+From: AMuszkat <ariel.muszkat@gmail.com>
+Date: Tue, 14 Jul 2020 17:51:03 +0200
+Subject: [PATCH] correct SND_SOC_DAILINK_DEFS
+
+Signed-off-by: AMuszkat <ariel.muszkat@gmail.com>
+---
+ sound/soc/bcm/rpi-simple-soundcard.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/sound/soc/bcm/rpi-simple-soundcard.c
++++ b/sound/soc/bcm/rpi-simple-soundcard.c
+@@ -235,7 +235,7 @@ static struct snd_rpi_simple_drvdata drv
+ SND_SOC_DAILINK_DEFS(merus_amp,
+       DAILINK_COMP_ARRAY(COMP_EMPTY()),
+-      DAILINK_COMP_ARRAY(COMP_CODEC("ma120x0p-amp", "ma120x0p.1-0020")),
++      DAILINK_COMP_ARRAY(COMP_CODEC("ma120x0p.1-0020","ma120x0p-amp")),
+       DAILINK_COMP_ARRAY(COMP_EMPTY()));
+ static struct snd_soc_dai_link snd_merus_amp_dai[] = {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0902-media-dt-bindings-video-interfaces-Document-orientat.patch b/target/linux/bcm27xx/patches-5.4/950-0902-media-dt-bindings-video-interfaces-Document-orientat.patch
new file mode 100644 (file)
index 0000000..de2fd15
--- /dev/null
@@ -0,0 +1,43 @@
+From cc7d8104a44cf0ad67081f9ad0efd0e260a2379b Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo@jmondi.org>
+Date: Sat, 9 May 2020 11:04:44 +0200
+Subject: [PATCH] media: dt-bindings: video-interfaces: Document
+ 'orientation' property
+
+Add the 'orientation' device property, used to specify the device mounting
+position. The property is particularly meaningful for mobile devices
+with a well defined usage orientation.
+
+Reviewed-by: Rob Herring <robh@kernel.org>
+Acked-by: Tomasz Figa <tfiga@chromium.org>
+Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+
+Commit cabc918e5b877ed547e5b6463f5ea6e3ac4edbb3 upstream
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+---
+ .../devicetree/bindings/media/video-interfaces.txt    | 11 +++++++++++
+ 1 file changed, 11 insertions(+)
+
+--- a/Documentation/devicetree/bindings/media/video-interfaces.txt
++++ b/Documentation/devicetree/bindings/media/video-interfaces.txt
+@@ -89,6 +89,17 @@ Optional properties
+   but a number of degrees counter clockwise. Typical values are 0 and 180
+   (upside down).
++- orientation: The orientation of a device (typically an image sensor or a flash
++  LED) describing its mounting position relative to the usage orientation of the
++  system where the device is installed on.
++  Possible values are:
++  0 - Front. The device is mounted on the front facing side of the system.
++  For mobile devices such as smartphones, tablets and laptops the front side is
++  the user facing side.
++  1 - Back. The device is mounted on the back side of the system, which is
++  defined as the opposite side of the front facing one.
++  2 - External. The device is not attached directly to the system but is
++  attached in a way that allows it to move freely.
+ Optional endpoint properties
+ ----------------------------
diff --git a/target/linux/bcm27xx/patches-5.4/950-0903-media-dt-bindings-video-interface-Replace-rotation-d.patch b/target/linux/bcm27xx/patches-5.4/950-0903-media-dt-bindings-video-interface-Replace-rotation-d.patch
new file mode 100644 (file)
index 0000000..a070c2a
--- /dev/null
@@ -0,0 +1,390 @@
+From 1af1c75a6965dd975d40e904e921d9f07395ffcb Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo@jmondi.org>
+Date: Sat, 9 May 2020 11:04:45 +0200
+Subject: [PATCH] media: dt-bindings: video-interface: Replace
+ 'rotation' description
+
+Replace the 'rotation' property description by providing a definition
+relative to the camera sensor pixel array coordinate system and the
+captured scene.
+
+Acked-by: Rob Herring <robh@kernel.org>
+Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+
+Commit 915bd31ce9ed328535e5ecf3ca730c5764ec1a38 upstream
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+---
+ .../bindings/media/video-interfaces.txt       | 359 +++++++++++++++++-
+ 1 file changed, 356 insertions(+), 3 deletions(-)
+
+--- a/Documentation/devicetree/bindings/media/video-interfaces.txt
++++ b/Documentation/devicetree/bindings/media/video-interfaces.txt
+@@ -85,9 +85,362 @@ Optional properties
+ - lens-focus: A phandle to the node of the focus lens controller.
+-- rotation: The device, typically an image sensor, is not mounted upright,
+-  but a number of degrees counter clockwise. Typical values are 0 and 180
+-  (upside down).
++- rotation: The camera rotation is expressed as the angular difference in
++  degrees between two reference systems, one relative to the camera module, and
++  one defined on the external world scene to be captured when projected on the
++  image sensor pixel array.
++
++  A camera sensor has a 2-dimensional reference system 'Rc' defined by
++  its pixel array read-out order. The origin is set to the first pixel
++  being read out, the X-axis points along the column read-out direction
++  towards the last columns, and the Y-axis along the row read-out
++  direction towards the last row.
++
++  A typical example for a sensor with a 2592x1944 pixel array matrix
++  observed from the front is:
++
++              2591       X-axis          0
++                <------------------------+ 0
++                .......... ... ..........!
++                .......... ... ..........! Y-axis
++                           ...           !
++                .......... ... ..........!
++                .......... ... ..........! 1943
++                                         V
++
++  The external world scene reference system 'Rs' is a 2-dimensional
++  reference system on the focal plane of the camera module. The origin is
++  placed on the top-left corner of the visible scene, the X-axis points
++  towards the right, and the Y-axis points towards the bottom of the
++  scene. The top, bottom, left and right directions are intentionally not
++  defined and depend on the environment in which the camera is used.
++
++  A typical example of a (very common) picture of a shark swimming from
++  left to right, as seen from the camera, is:
++
++               0               X-axis
++             0 +------------------------------------->
++               !
++               !
++               !
++               !           |\____)\___
++               !           ) _____  __`<
++               !           |/     )/
++               !
++               !
++               !
++               V
++             Y-axis
++
++  with the reference system 'Rs' placed on the camera focal plane:
++
++                                  ¸.·˙!
++                              ¸.·˙    !
++                  _       ¸.·˙        !
++               +-/ \-+¸.·˙            !
++               | (o) |                ! Camera focal plane
++               +-----+˙·.¸            !
++                          ˙·.¸        !
++                              ˙·.¸    !
++                                  ˙·.¸!
++
++  When projected on the sensor's pixel array, the image and the associated
++  reference system 'Rs' are typically (but not always) inverted, due to
++  the camera module's lens optical inversion effect.
++
++  Assuming the above represented scene of the swimming shark, the lens
++  inversion projects the scene and its reference system onto the sensor
++  pixel array, seen from the front of the camera sensor, as follows:
++
++            Y-axis
++               ^
++               !
++               !
++               !
++               !            |\_____)\__
++               !            ) ____  ___.<
++               !            |/    )/
++               !
++               !
++               !
++             0 +------------------------------------->
++               0               X-axis
++
++  Note the shark being upside-down.
++
++  The resulting projected reference system is named 'Rp'.
++
++  The camera rotation property is then defined as the angular difference
++  in the counter-clockwise direction between the camera reference system
++  'Rc' and the projected scene reference system 'Rp'. It is expressed in
++  degrees as a number in the range [0, 360[.
++
++  Examples
++
++  0 degrees camera rotation:
++
++
++                    Y-Rp
++                     ^
++              Y-Rc   !
++               ^     !
++               !     !
++               !     !
++               !     !
++               !     !
++               !     !
++               !     !
++               !     !
++               !   0 +------------------------------------->
++               !     0               X-Rp
++             0 +------------------------------------->
++               0               X-Rc
++
++
++                                X-Rc                0
++               <------------------------------------+ 0
++                           X-Rp                 0   !
++           <------------------------------------+ 0 !
++                                                !   !
++                                                !   !
++                                                !   !
++                                                !   !
++                                                !   !
++                                                !   !
++                                                !   !
++                                                !   V
++                                                !  Y-Rc
++                                                V
++                                               Y-Rp
++
++  90 degrees camera rotation:
++
++               0        Y-Rc
++             0 +-------------------->
++               !   Y-Rp
++               !    ^
++               !    !
++               !    !
++               !    !
++               !    !
++               !    !
++               !    !
++               !    !
++               !    !
++               !    !
++               !  0 +------------------------------------->
++               !    0              X-Rp
++               !
++               !
++               !
++               !
++               V
++              X-Rc
++
++  180 degrees camera rotation:
++
++                                            0
++       <------------------------------------+ 0
++                        X-Rc                !
++              Y-Rp                          !
++               ^                            !
++               !                            !
++               !                            !
++               !                            !
++               !                            !
++               !                            !
++               !                            !
++               !                            V
++               !                           Y-Rc
++             0 +------------------------------------->
++               0              X-Rp
++
++  270 degrees camera rotation:
++
++               0        Y-Rc
++             0 +-------------------->
++               !                                        0
++               !    <-----------------------------------+ 0
++               !                    X-Rp                !
++               !                                        !
++               !                                        !
++               !                                        !
++               !                                        !
++               !                                        !
++               !                                        !
++               !                                        !
++               !                                        !
++               !                                        V
++               !                                       Y-Rp
++               !
++               !
++               !
++               !
++               V
++              X-Rc
++
++
++  Example one - Webcam
++
++  A camera module installed on the user facing part of a laptop screen
++  casing used for video calls. The captured images are meant to be
++  displayed in landscape mode (width > height) on the laptop screen.
++
++  The camera is typically mounted upside-down to compensate the lens
++  optical inversion effect:
++
++                    Y-Rp
++              Y-Rc   ^
++               ^     !
++               !     !
++               !     !       |\_____)\__
++               !     !       ) ____  ___.<
++               !     !       |/    )/
++               !     !
++               !     !
++               !     !
++               !   0 +------------------------------------->
++               !     0           X-Rp
++             0 +------------------------------------->
++               0            X-Rc
++
++  The two reference systems are aligned, the resulting camera rotation is
++  0 degrees, no rotation correction needs to be applied to the resulting
++  image once captured to memory buffers to correctly display it to users:
++
++               +--------------------------------------+
++               !                                      !
++               !                                      !
++               !                                      !
++               !             |\____)\___              !
++               !             ) _____  __`<            !
++               !             |/     )/                !
++               !                                      !
++               !                                      !
++               !                                      !
++               +--------------------------------------+
++
++  If the camera sensor is not mounted upside-down to compensate for the
++  lens optical inversion, the two reference systems will not be aligned,
++  with 'Rp' being rotated 180 degrees relatively to 'Rc':
++
++
++                        X-Rc                0
++       <------------------------------------+ 0
++                                            !
++              Y-Rp                          !
++               ^                            !
++               !                            !
++               !       |\_____)\__          !
++               !       ) ____  ___.<        !
++               !       |/    )/             !
++               !                            !
++               !                            !
++               !                            V
++               !                           Y-Rc
++             0 +------------------------------------->
++               0            X-Rp
++
++  The image once captured to memory will then be rotated by 180 degrees:
++
++               +--------------------------------------+
++               !                                      !
++               !                                      !
++               !                                      !
++               !              __/(_____/|             !
++               !            >.___  ____ (             !
++               !                 \(    \|             !
++               !                                      !
++               !                                      !
++               !                                      !
++               +--------------------------------------+
++
++  A software rotation correction of 180 degrees should be applied to
++  correctly display the image:
++
++               +--------------------------------------+
++               !                                      !
++               !                                      !
++               !                                      !
++               !             |\____)\___              !
++               !             ) _____  __`<            !
++               !             |/     )/                !
++               !                                      !
++               !                                      !
++               !                                      !
++               +--------------------------------------+
++
++  Example two - Phone camera
++
++  A camera installed on the back side of a mobile device facing away from
++  the user. The captured images are meant to be displayed in portrait mode
++  (height > width) to match the device screen orientation and the device
++  usage orientation used when taking the picture.
++
++  The camera sensor is typically mounted with its pixel array longer side
++  aligned to the device longer side, upside-down mounted to compensate for
++  the lens optical inversion effect:
++
++               0        Y-Rc
++             0 +-------------------->
++               !   Y-Rp
++               !    ^
++               !    !
++               !    !
++               !    !
++               !    !            |\_____)\__
++               !    !            ) ____  ___.<
++               !    !            |/    )/
++               !    !
++               !    !
++               !    !
++               !  0 +------------------------------------->
++               !    0                X-Rp
++               !
++               !
++               !
++               !
++               V
++              X-Rc
++
++  The two reference systems are not aligned and the 'Rp' reference
++  system is rotated by 90 degrees in the counter-clockwise direction
++  relatively to the 'Rc' reference system.
++
++  The image once captured to memory will be rotated:
++
++               +-------------------------------------+
++               |                 _ _                 |
++               |                \   /                |
++               |                 | |                 |
++               |                 | |                 |
++               |                 |  >                |
++               |                <  |                 |
++               |                 | |                 |
++               |                   .                 |
++               |                  V                  |
++               +-------------------------------------+
++
++  A correction of 90 degrees in counter-clockwise direction has to be
++  applied to correctly display the image in portrait mode on the device
++  screen:
++
++                        +--------------------+
++                        |                    |
++                        |                    |
++                        |                    |
++                        |                    |
++                        |                    |
++                        |                    |
++                        |   |\____)\___      |
++                        |   ) _____  __`<    |
++                        |   |/     )/        |
++                        |                    |
++                        |                    |
++                        |                    |
++                        |                    |
++                        |                    |
++                        +--------------------+
+ - orientation: The orientation of a device (typically an image sensor or a flash
+   LED) describing its mounting position relative to the usage orientation of the
diff --git a/target/linux/bcm27xx/patches-5.4/950-0904-media-v4l2-ctrl-Document-V4L2_CID_CAMERA_ORIENTATION.patch b/target/linux/bcm27xx/patches-5.4/950-0904-media-v4l2-ctrl-Document-V4L2_CID_CAMERA_ORIENTATION.patch
new file mode 100644 (file)
index 0000000..9516e65
--- /dev/null
@@ -0,0 +1,61 @@
+From 16a7ef36055e414560143ebcb95113f9513ca49d Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo@jmondi.org>
+Date: Sat, 9 May 2020 11:04:46 +0200
+Subject: [PATCH] media: v4l2-ctrl: Document
+ V4L2_CID_CAMERA_ORIENTATION
+
+Add documentation for the V4L2_CID_CAMERA_ORIENTATION camera
+control. The newly added read-only control reports the camera device
+orientation relative to the usage orientation of the system the camera
+is installed on.
+
+Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+
+Commit 9397a83f40183eeafd5c787af2240ed0d6b26daa upstream
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+---
+ .../media/uapi/v4l/ext-ctrls-camera.rst       | 30 +++++++++++++++++++
+ 1 file changed, 30 insertions(+)
+
+--- a/Documentation/media/uapi/v4l/ext-ctrls-camera.rst
++++ b/Documentation/media/uapi/v4l/ext-ctrls-camera.rst
+@@ -510,6 +510,36 @@ enum v4l2_scene_mode -
+     value down. A value of zero stops the motion if one is in progress
+     and has no effect otherwise.
++``V4L2_CID_CAMERA_ORIENTATION (menu)``
++    This read-only control describes the camera orientation by reporting its
++    mounting position on the device where the camera is installed. The control
++    value is constant and not modifiable by software. This control is
++    particularly meaningful for devices which have a well defined orientation,
++    such as phones, laptops and portable devices since the control is expressed
++    as a position relative to the device's intended usage orientation. For
++    example, a camera installed on the user-facing side of a phone, a tablet or
++    a laptop device is said to be have ``V4L2_CAMERA_ORIENTATION_FRONT``
++    orientation, while a camera installed on the opposite side of the front one
++    is said to be have ``V4L2_CAMERA_ORIENTATION_BACK`` orientation. Camera
++    sensors not directly attached to the device, or attached in a way that
++    allows them to move freely, such as webcams and digital cameras, are said to
++    have the ``V4L2_CAMERA_ORIENTATION_EXTERNAL`` orientation.
++
++
++
++.. flat-table::
++    :header-rows:  0
++    :stub-columns: 0
++
++    * - ``V4L2_CAMERA_ORIENTATION_FRONT``
++      - The camera is oriented towards the user facing side of the device.
++    * - ``V4L2_CAMERA_ORIENTATION_BACK``
++      - The camera is oriented towards the back facing side of the device.
++    * - ``V4L2_CAMERA_ORIENTATION_EXTERNAL``
++      - The camera is not directly attached to the device and is freely movable.
++
++
++
+ .. [#f1]
+    This control may be changed to a menu control in the future, if more
+    options are required.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0905-media-v4l2-ctrl-Document-V4L2_CID_CAMERA_SENSOR_ROTA.patch b/target/linux/bcm27xx/patches-5.4/950-0905-media-v4l2-ctrl-Document-V4L2_CID_CAMERA_SENSOR_ROTA.patch
new file mode 100644 (file)
index 0000000..9be7e6a
--- /dev/null
@@ -0,0 +1,151 @@
+From 00d84a43c7042b55e81d0818b0d1a6e44c6f79b5 Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo@jmondi.org>
+Date: Sat, 9 May 2020 11:04:47 +0200
+Subject: [PATCH] media: v4l2-ctrl: Document
+ V4L2_CID_CAMERA_SENSOR_ROTATION
+
+Add documentation for the V4L2_CID_CAMERA_SENSOR_ROTATION camera
+control. The newly added read-only control reports the rotation
+correction to be applied to images before displaying them to the user.
+
+Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+
+Commit 9926c2248740a632b0629fd8c07d0fc361dc15cc upstream
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+---
+ .../media/uapi/v4l/ext-ctrls-camera.rst       | 121 ++++++++++++++++++
+ 1 file changed, 121 insertions(+)
+
+--- a/Documentation/media/uapi/v4l/ext-ctrls-camera.rst
++++ b/Documentation/media/uapi/v4l/ext-ctrls-camera.rst
+@@ -540,6 +540,127 @@ enum v4l2_scene_mode -
++``V4L2_CID_CAMERA_SENSOR_ROTATION (integer)``
++    This read-only control describes the rotation correction in degrees in the
++    counter-clockwise direction to be applied to the captured images once
++    captured to memory to compensate for the camera sensor mounting rotation.
++
++    For a precise definition of the sensor mounting rotation refer to the
++    extensive description of the 'rotation' properties in the device tree
++    bindings file 'video-interfaces.txt'.
++
++    A few examples are below reported, using a shark swimming from left to
++    right in front of the user as the example scene to capture. ::
++
++                 0               X-axis
++               0 +------------------------------------->
++                 !
++                 !
++                 !
++                 !           |\____)\___
++                 !           ) _____  __`<
++                 !           |/     )/
++                 !
++                 !
++                 !
++                 V
++               Y-axis
++
++    Example one - Webcam
++
++    Assuming you can bring your laptop with you while swimming with sharks,
++    the camera module of the laptop is installed on the user facing part of a
++    laptop screen casing, and is typically used for video calls. The captured
++    images are meant to be displayed in landscape mode (width > height) on the
++    laptop screen.
++
++    The camera is typically mounted upside-down to compensate the lens optical
++    inversion effect. In this case the value of the
++    V4L2_CID_CAMERA_SENSOR_ROTATION control is 0, no rotation is required to
++    display images correctly to the user.
++
++    If the camera sensor is not mounted upside-down it is required to compensate
++    the lens optical inversion effect and the value of the
++    V4L2_CID_CAMERA_SENSOR_ROTATION control is 180 degrees, as images will
++    result rotated when captured to memory. ::
++
++                 +--------------------------------------+
++                 !                                      !
++                 !                                      !
++                 !                                      !
++                 !              __/(_____/|             !
++                 !            >.___  ____ (             !
++                 !                 \(    \|             !
++                 !                                      !
++                 !                                      !
++                 !                                      !
++                 +--------------------------------------+
++
++    A software rotation correction of 180 degrees has to be applied to correctly
++    display the image on the user screen. ::
++
++                 +--------------------------------------+
++                 !                                      !
++                 !                                      !
++                 !                                      !
++                 !             |\____)\___              !
++                 !             ) _____  __`<            !
++                 !             |/     )/                !
++                 !                                      !
++                 !                                      !
++                 !                                      !
++                 +--------------------------------------+
++
++    Example two - Phone camera
++
++    It is more handy to go and swim with sharks with only your mobile phone
++    with you and take pictures with the camera that is installed on the back
++    side of the device, facing away from the user. The captured images are meant
++    to be displayed in portrait mode (height > width) to match the device screen
++    orientation and the device usage orientation used when taking the picture.
++
++    The camera sensor is typically mounted with its pixel array longer side
++    aligned to the device longer side, upside-down mounted to compensate for
++    the lens optical inversion effect.
++
++    The images once captured to memory will be rotated and the value of the
++    V4L2_CID_CAMERA_SENSOR_ROTATION will report a 90 degree rotation. ::
++
++
++                 +-------------------------------------+
++                 |                 _ _                 |
++                 |                \   /                |
++                 |                 | |                 |
++                 |                 | |                 |
++                 |                 |  >                |
++                 |                <  |                 |
++                 |                 | |                 |
++                 |                   .                 |
++                 |                  V                  |
++                 +-------------------------------------+
++
++    A correction of 90 degrees in counter-clockwise direction has to be
++    applied to correctly display the image in portrait mode on the device
++    screen. ::
++
++                          +--------------------+
++                          |                    |
++                          |                    |
++                          |                    |
++                          |                    |
++                          |                    |
++                          |                    |
++                          |   |\____)\___      |
++                          |   ) _____  __`<    |
++                          |   |/     )/        |
++                          |                    |
++                          |                    |
++                          |                    |
++                          |                    |
++                          |                    |
++                          +--------------------+
++
++
+ .. [#f1]
+    This control may be changed to a menu control in the future, if more
+    options are required.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0906-media-v4l2-ctrls-Add-camera-orientation-and-rotation.patch b/target/linux/bcm27xx/patches-5.4/950-0906-media-v4l2-ctrls-Add-camera-orientation-and-rotation.patch
new file mode 100644 (file)
index 0000000..921b08e
--- /dev/null
@@ -0,0 +1,89 @@
+From d25d713f7bfe272cbeaac90769eb88fee382b2e9 Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo@jmondi.org>
+Date: Sat, 9 May 2020 11:04:48 +0200
+Subject: [PATCH] media: v4l2-ctrls: Add camera orientation and
+ rotation
+
+Add support for the newly defined V4L2_CID_CAMERA_ORIENTATION
+and V4L2_CID_CAMERA_SENSOR_ROTATION read-only controls used to report
+the camera device mounting position and orientation respectively.
+
+Reviewed-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+
+Commit 926645d43fd43622a2b056471a2cf41cc19cbf4c upstream
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+---
+ drivers/media/v4l2-core/v4l2-ctrls.c | 13 +++++++++++++
+ include/uapi/linux/v4l2-controls.h   |  7 +++++++
+ 2 files changed, 20 insertions(+)
+
+--- a/drivers/media/v4l2-core/v4l2-ctrls.c
++++ b/drivers/media/v4l2-core/v4l2-ctrls.c
+@@ -577,6 +577,12 @@ const char * const *v4l2_ctrl_get_menu(u
+               "Annex B Start Code",
+               NULL,
+       };
++      static const char * const camera_orientation[] = {
++              "Front",
++              "Back",
++              "External",
++              NULL,
++      };
+       switch (id) {
+       case V4L2_CID_MPEG_AUDIO_SAMPLING_FREQ:
+@@ -702,6 +708,8 @@ const char * const *v4l2_ctrl_get_menu(u
+               return hevc_decode_mode;
+       case V4L2_CID_MPEG_VIDEO_HEVC_START_CODE:
+               return hevc_start_code;
++      case V4L2_CID_CAMERA_ORIENTATION:
++              return camera_orientation;
+       default:
+               return NULL;
+       }
+@@ -1015,6 +1023,8 @@ const char *v4l2_ctrl_get_name(u32 id)
+       case V4L2_CID_PAN_SPEED:                return "Pan, Speed";
+       case V4L2_CID_TILT_SPEED:               return "Tilt, Speed";
+       case V4L2_CID_UNIT_CELL_SIZE:           return "Unit Cell Size";
++      case V4L2_CID_CAMERA_ORIENTATION:       return "Camera Orientation";
++      case V4L2_CID_CAMERA_SENSOR_ROTATION:   return "Camera Sensor Rotation";
+       /* FM Radio Modulator controls */
+       /* Keep the order of the 'case's the same as in v4l2-controls.h! */
+@@ -1288,6 +1298,7 @@ void v4l2_ctrl_fill(u32 id, const char *
+       case V4L2_CID_MPEG_VIDEO_HEVC_LOOP_FILTER_MODE:
+       case V4L2_CID_MPEG_VIDEO_HEVC_DECODE_MODE:
+       case V4L2_CID_MPEG_VIDEO_HEVC_START_CODE:
++      case V4L2_CID_CAMERA_ORIENTATION:
+               *type = V4L2_CTRL_TYPE_MENU;
+               break;
+       case V4L2_CID_LINK_FREQ:
+@@ -1480,6 +1491,8 @@ void v4l2_ctrl_fill(u32 id, const char *
+       case V4L2_CID_RDS_RX_TRAFFIC_ANNOUNCEMENT:
+       case V4L2_CID_RDS_RX_TRAFFIC_PROGRAM:
+       case V4L2_CID_RDS_RX_MUSIC_SPEECH:
++      case V4L2_CID_CAMERA_ORIENTATION:
++      case V4L2_CID_CAMERA_SENSOR_ROTATION:
+               *flags |= V4L2_CTRL_FLAG_READ_ONLY;
+               break;
+       case V4L2_CID_RF_TUNER_PLL_LOCK:
+--- a/include/uapi/linux/v4l2-controls.h
++++ b/include/uapi/linux/v4l2-controls.h
+@@ -917,6 +917,13 @@ enum v4l2_auto_focus_range {
+ #define V4L2_CID_PAN_SPEED                    (V4L2_CID_CAMERA_CLASS_BASE+32)
+ #define V4L2_CID_TILT_SPEED                   (V4L2_CID_CAMERA_CLASS_BASE+33)
++#define V4L2_CID_CAMERA_ORIENTATION           (V4L2_CID_CAMERA_CLASS_BASE+34)
++#define V4L2_CAMERA_ORIENTATION_FRONT         0
++#define V4L2_CAMERA_ORIENTATION_BACK          1
++#define V4L2_CAMERA_ORIENTATION_EXTERNAL      2
++
++#define V4L2_CID_CAMERA_SENSOR_ROTATION               (V4L2_CID_CAMERA_CLASS_BASE+35)
++
+ /* FM Modulator class control IDs */
+ #define V4L2_CID_FM_TX_CLASS_BASE             (V4L2_CTRL_CLASS_FM_TX | 0x900)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0907-media-v4l2-fwnode-Add-helper-to-parse-device-propert.patch b/target/linux/bcm27xx/patches-5.4/950-0907-media-v4l2-fwnode-Add-helper-to-parse-device-propert.patch
new file mode 100644 (file)
index 0000000..d0d21fc
--- /dev/null
@@ -0,0 +1,138 @@
+From 1cc9056759e1f500de5e401afd4b0acff90cc653 Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo@jmondi.org>
+Date: Sat, 9 May 2020 11:04:49 +0200
+Subject: [PATCH] media: v4l2-fwnode: Add helper to parse device
+ properties
+
+Add an helper function to parse common device properties in the same
+way as v4l2_fwnode_endpoint_parse() parses common endpoint properties.
+
+Parse the 'rotation' and 'orientation' properties from the firmware
+interface.
+
+Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+
+Commit 344897ef1d9b33e246b64e255d807ca6c053f349 upstream
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+---
+ drivers/media/v4l2-core/v4l2-fwnode.c | 42 ++++++++++++++++++++++++
+ include/media/v4l2-fwnode.h           | 47 +++++++++++++++++++++++++++
+ 2 files changed, 89 insertions(+)
+
+--- a/drivers/media/v4l2-core/v4l2-fwnode.c
++++ b/drivers/media/v4l2-core/v4l2-fwnode.c
+@@ -599,6 +599,48 @@ void v4l2_fwnode_put_link(struct v4l2_fw
+ }
+ EXPORT_SYMBOL_GPL(v4l2_fwnode_put_link);
++int v4l2_fwnode_device_parse(struct device *dev,
++                           struct v4l2_fwnode_device_properties *props)
++{
++      struct fwnode_handle *fwnode = dev_fwnode(dev);
++      u32 val;
++      int ret;
++
++      memset(props, 0, sizeof(*props));
++
++      props->orientation = V4L2_FWNODE_PROPERTY_UNSET;
++      ret = fwnode_property_read_u32(fwnode, "orientation", &val);
++      if (!ret) {
++              switch (val) {
++              case V4L2_FWNODE_ORIENTATION_FRONT:
++              case V4L2_FWNODE_ORIENTATION_BACK:
++              case V4L2_FWNODE_ORIENTATION_EXTERNAL:
++                      break;
++              default:
++                      dev_warn(dev, "Unsupported device orientation: %u\n", val);
++                      return -EINVAL;
++              }
++
++              props->orientation = val;
++              dev_dbg(dev, "device orientation: %u\n", val);
++      }
++
++      props->rotation = V4L2_FWNODE_PROPERTY_UNSET;
++      ret = fwnode_property_read_u32(fwnode, "rotation", &val);
++      if (!ret) {
++              if (val >= 360) {
++                      dev_warn(dev, "Unsupported device rotation: %u\n", val);
++                      return -EINVAL;
++              }
++
++              props->rotation = val;
++              dev_dbg(dev, "device rotation: %u\n", val);
++      }
++
++      return 0;
++}
++EXPORT_SYMBOL_GPL(v4l2_fwnode_device_parse);
++
+ static int
+ v4l2_async_notifier_fwnode_parse_endpoint(struct device *dev,
+                                         struct v4l2_async_notifier *notifier,
+--- a/include/media/v4l2-fwnode.h
++++ b/include/media/v4l2-fwnode.h
+@@ -110,6 +110,36 @@ struct v4l2_fwnode_endpoint {
+ };
+ /**
++ * V4L2_FWNODE_PROPERTY_UNSET - identify a non initialized property
++ *
++ * All properties in &struct v4l2_fwnode_device_properties are initialized
++ * to this value.
++ */
++#define V4L2_FWNODE_PROPERTY_UNSET   (-1U)
++
++/**
++ * enum v4l2_fwnode_orientation - possible device orientation
++ * @V4L2_FWNODE_ORIENTATION_FRONT: device installed on the front side
++ * @V4L2_FWNODE_ORIENTATION_BACK: device installed on the back side
++ * @V4L2_FWNODE_ORIENTATION_EXTERNAL: device externally located
++ */
++enum v4l2_fwnode_orientation {
++      V4L2_FWNODE_ORIENTATION_FRONT,
++      V4L2_FWNODE_ORIENTATION_BACK,
++      V4L2_FWNODE_ORIENTATION_EXTERNAL
++};
++
++/**
++ * struct v4l2_fwnode_device_properties - fwnode device properties
++ * @orientation: device orientation. See &enum v4l2_fwnode_orientation
++ * @rotation: device rotation
++ */
++struct v4l2_fwnode_device_properties {
++      enum v4l2_fwnode_orientation orientation;
++      unsigned int rotation;
++};
++
++/**
+  * struct v4l2_fwnode_link - a link between two endpoints
+  * @local_node: pointer to device_node of this endpoint
+  * @local_port: identifier of the port this endpoint belongs to
+@@ -234,6 +264,23 @@ int v4l2_fwnode_parse_link(struct fwnode
+ void v4l2_fwnode_put_link(struct v4l2_fwnode_link *link);
+ /**
++ * v4l2_fwnode_device_parse() - parse fwnode device properties
++ * @dev: pointer to &struct device
++ * @props: pointer to &struct v4l2_fwnode_device_properties where to store the
++ *       parsed properties values
++ *
++ * This function parses and validates the V4L2 fwnode device properties from the
++ * firmware interface, and fills the @struct v4l2_fwnode_device_properties
++ * provided by the caller.
++ *
++ * Return:
++ *    % 0 on success
++ *    %-EINVAL if a parsed property value is not valid
++ */
++int v4l2_fwnode_device_parse(struct device *dev,
++                           struct v4l2_fwnode_device_properties *props);
++
++/**
+  * typedef parse_endpoint_func - Driver's callback function to be called on
+  *    each V4L2 fwnode endpoint.
+  *
diff --git a/target/linux/bcm27xx/patches-5.4/950-0908-media-v4l2-ctrls-Add-helper-to-register-properties.patch b/target/linux/bcm27xx/patches-5.4/950-0908-media-v4l2-ctrls-Add-helper-to-register-properties.patch
new file mode 100644 (file)
index 0000000..a76de95
--- /dev/null
@@ -0,0 +1,114 @@
+From 67429ff939ad15a313663a05461d7a07d209449f Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo@jmondi.org>
+Date: Sat, 9 May 2020 11:04:52 +0200
+Subject: [PATCH] media: v4l2-ctrls: Add helper to register
+ properties
+
+Add an helper function to v4l2-ctrls to register controls associated
+with a device property.
+
+Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+
+Commit e0a360630debdf12355d9ec9f1417172c3fa6756 upstream
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+---
+ drivers/media/v4l2-core/v4l2-ctrls.c | 40 ++++++++++++++++++++++++++++
+ include/media/v4l2-ctrls.h           | 26 ++++++++++++++++++
+ 2 files changed, 66 insertions(+)
+
+--- a/drivers/media/v4l2-core/v4l2-ctrls.c
++++ b/drivers/media/v4l2-core/v4l2-ctrls.c
+@@ -17,6 +17,7 @@
+ #include <media/v4l2-ctrls.h>
+ #include <media/v4l2-event.h>
+ #include <media/v4l2-dev.h>
++#include <media/v4l2-fwnode.h>
+ #define dprintk(vdev, fmt, arg...) do {                                       \
+       if (!WARN_ON(!(vdev)) && ((vdev)->dev_debug & V4L2_DEV_DEBUG_CTRL)) \
+@@ -4577,3 +4578,42 @@ __poll_t v4l2_ctrl_poll(struct file *fil
+       return 0;
+ }
+ EXPORT_SYMBOL(v4l2_ctrl_poll);
++
++int v4l2_ctrl_new_fwnode_properties(struct v4l2_ctrl_handler *hdl,
++                                  const struct v4l2_ctrl_ops *ctrl_ops,
++                                  const struct v4l2_fwnode_device_properties *p)
++{
++      if (p->orientation != V4L2_FWNODE_PROPERTY_UNSET) {
++              u32 orientation_ctrl;
++
++              switch (p->orientation) {
++              case V4L2_FWNODE_ORIENTATION_FRONT:
++                      orientation_ctrl = V4L2_CAMERA_ORIENTATION_FRONT;
++                      break;
++              case V4L2_FWNODE_ORIENTATION_BACK:
++                      orientation_ctrl = V4L2_CAMERA_ORIENTATION_BACK;
++                      break;
++              case V4L2_FWNODE_ORIENTATION_EXTERNAL:
++                      orientation_ctrl = V4L2_CAMERA_ORIENTATION_EXTERNAL;
++                      break;
++              default:
++                      return -EINVAL;
++              }
++              if (!v4l2_ctrl_new_std_menu(hdl, ctrl_ops,
++                                          V4L2_CID_CAMERA_ORIENTATION,
++                                          V4L2_CAMERA_ORIENTATION_EXTERNAL, 0,
++                                          orientation_ctrl))
++                      return hdl->error;
++      }
++
++      if (p->rotation != V4L2_FWNODE_PROPERTY_UNSET) {
++              if (!v4l2_ctrl_new_std(hdl, ctrl_ops,
++                                     V4L2_CID_CAMERA_SENSOR_ROTATION,
++                                     p->rotation, p->rotation, 1,
++                                     p->rotation))
++                      return hdl->error;
++      }
++
++      return hdl->error;
++}
++EXPORT_SYMBOL(v4l2_ctrl_new_fwnode_properties);
+--- a/include/media/v4l2-ctrls.h
++++ b/include/media/v4l2-ctrls.h
+@@ -29,6 +29,7 @@ struct v4l2_ctrl_handler;
+ struct v4l2_ctrl_helper;
+ struct v4l2_ctrl;
+ struct video_device;
++struct v4l2_fwnode_device_properties;
+ struct v4l2_subdev;
+ struct v4l2_subscribed_event;
+ struct v4l2_fh;
+@@ -1379,4 +1380,29 @@ int v4l2_ctrl_subdev_subscribe_event(str
+  */
+ int v4l2_ctrl_subdev_log_status(struct v4l2_subdev *sd);
++/**
++ * v4l2_ctrl_new_fwnode_properties() - Register controls for the device
++ *                                   properties
++ *
++ * @hdl: pointer to &struct v4l2_ctrl_handler to register controls on
++ * @ctrl_ops: pointer to &struct v4l2_ctrl_ops to register controls with
++ * @p: pointer to &struct v4l2_fwnode_device_properties
++ *
++ * This function registers controls associated to device properties, using the
++ * property values contained in @p parameter, if the property has been set to
++ * a value.
++ *
++ * Currently the following v4l2 controls are parsed and registered:
++ * - V4L2_CID_CAMERA_ORIENTATION
++ * - V4L2_CID_CAMERA_SENSOR_ROTATION;
++ *
++ * Controls already registered by the caller with the @hdl control handler are
++ * not overwritten. Callers should register the controls they want to handle
++ * themselves before calling this function.
++ *
++ * Return: 0 on success, a negative error code on failure.
++ */
++int v4l2_ctrl_new_fwnode_properties(struct v4l2_ctrl_handler *hdl,
++                                  const struct v4l2_ctrl_ops *ctrl_ops,
++                                  const struct v4l2_fwnode_device_properties *p);
+ #endif
diff --git a/target/linux/bcm27xx/patches-5.4/950-0909-media-i2c-ov5647-Parse-and-register-properties.patch b/target/linux/bcm27xx/patches-5.4/950-0909-media-i2c-ov5647-Parse-and-register-properties.patch
new file mode 100644 (file)
index 0000000..ac7d70c
--- /dev/null
@@ -0,0 +1,49 @@
+From 4b738c3d5c0f2719642b9515cece7fbfce9dc108 Mon Sep 17 00:00:00 2001
+From: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Date: Sat, 4 Jul 2020 01:45:08 +0300
+Subject: [PATCH] media: i2c: ov5647: Parse and register properties
+
+Parse device properties and register controls for them using the V4L2
+fwnode properties helpers.
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+---
+ drivers/media/i2c/ov5647.c | 13 ++++++++++++-
+ 1 file changed, 12 insertions(+), 1 deletion(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -1501,6 +1501,7 @@ static int ov5647_probe(struct i2c_clien
+       struct device_node *np = client->dev.of_node;
+       u32 xclk_freq;
+       int hblank, exposure_max, exposure_def;
++      struct v4l2_fwnode_device_properties props;
+       sensor = devm_kzalloc(dev, sizeof(*sensor), GFP_KERNEL);
+       if (!sensor)
+@@ -1534,7 +1535,7 @@ static int ov5647_probe(struct i2c_clien
+       mutex_init(&sensor->lock);
+       /* Initialise controls. */
+-      v4l2_ctrl_handler_init(&sensor->ctrls, 7);
++      v4l2_ctrl_handler_init(&sensor->ctrls, 9);
+       v4l2_ctrl_new_std(&sensor->ctrls, &ov5647_ctrl_ops,
+                         V4L2_CID_AUTOGAIN,
+                         0,  /* min */
+@@ -1598,6 +1599,16 @@ static int ov5647_probe(struct i2c_clien
+                       __func__, ret);
+               goto error;
+       }
++
++      ret = v4l2_fwnode_device_parse(&client->dev, &props);
++      if (ret)
++              goto error;
++
++      ret = v4l2_ctrl_new_fwnode_properties(&sensor->ctrls, &ov5647_ctrl_ops,
++                                            &props);
++      if (ret)
++              goto error;
++
+       sensor->sd.ctrl_handler = &sensor->ctrls;
+       /* Write out the register set over I2C on stream-on. */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0910-media-i2c-imx219-Parse-and-register-properties.patch b/target/linux/bcm27xx/patches-5.4/950-0910-media-i2c-imx219-Parse-and-register-properties.patch
new file mode 100644 (file)
index 0000000..dc5584e
--- /dev/null
@@ -0,0 +1,51 @@
+From db0889b08409484160a9082bc0b5f39877fe9591 Mon Sep 17 00:00:00 2001
+From: Jacopo Mondi <jacopo@jmondi.org>
+Date: Sat, 9 May 2020 11:04:55 +0200
+Subject: [PATCH] media: i2c: imx219: Parse and register properties
+
+Parse device properties and register controls for them using the newly
+introduced helpers.
+
+Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+huawei@kernel.org>
+
+Commit ad3a44cbd1b2e1559c6b93e80dc0c9c29632969a upstream
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+---
+ drivers/media/i2c/imx219.c | 12 +++++++++++-
+ 1 file changed, 11 insertions(+), 1 deletion(-)
+
+--- a/drivers/media/i2c/imx219.c
++++ b/drivers/media/i2c/imx219.c
+@@ -1348,11 +1348,12 @@ static int imx219_init_controls(struct i
+       struct i2c_client *client = v4l2_get_subdevdata(&imx219->sd);
+       struct v4l2_ctrl_handler *ctrl_hdlr;
+       unsigned int height = imx219->mode->height;
++      struct v4l2_fwnode_device_properties props;
+       int exposure_max, exposure_def, hblank;
+       int i, ret;
+       ctrl_hdlr = &imx219->ctrl_handler;
+-      ret = v4l2_ctrl_handler_init(ctrl_hdlr, 9);
++      ret = v4l2_ctrl_handler_init(ctrl_hdlr, 11);
+       if (ret)
+               return ret;
+@@ -1431,6 +1432,15 @@ static int imx219_init_controls(struct i
+               goto error;
+       }
++      ret = v4l2_fwnode_device_parse(&client->dev, &props);
++      if (ret)
++              goto error;
++
++      ret = v4l2_ctrl_new_fwnode_properties(ctrl_hdlr, &imx219_ctrl_ops,
++                                            &props);
++      if (ret)
++              goto error;
++
+       imx219->sd.ctrl_handler = ctrl_hdlr;
+       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0911-media-i2c-imx477-Parse-and-register-properties.patch b/target/linux/bcm27xx/patches-5.4/950-0911-media-i2c-imx477-Parse-and-register-properties.patch
new file mode 100644 (file)
index 0000000..fe022c9
--- /dev/null
@@ -0,0 +1,45 @@
+From df12dbc41bbdb2b949eb395088f9d6b197d9db8a Mon Sep 17 00:00:00 2001
+From: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Date: Sat, 4 Jul 2020 01:45:08 +0300
+Subject: [PATCH] media: i2c: imx477: Parse and register properties
+
+Parse device properties and register controls for them using the V4L2
+fwnode properties helpers.
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+---
+ drivers/media/i2c/imx477.c | 12 +++++++++++-
+ 1 file changed, 11 insertions(+), 1 deletion(-)
+
+--- a/drivers/media/i2c/imx477.c
++++ b/drivers/media/i2c/imx477.c
+@@ -1957,11 +1957,12 @@ static int imx477_init_controls(struct i
+ {
+       struct v4l2_ctrl_handler *ctrl_hdlr;
+       struct i2c_client *client = v4l2_get_subdevdata(&imx477->sd);
++      struct v4l2_fwnode_device_properties props;
+       unsigned int i;
+       int ret;
+       ctrl_hdlr = &imx477->ctrl_handler;
+-      ret = v4l2_ctrl_handler_init(ctrl_hdlr, 14);
++      ret = v4l2_ctrl_handler_init(ctrl_hdlr, 16);
+       if (ret)
+               return ret;
+@@ -2045,6 +2046,15 @@ static int imx477_init_controls(struct i
+               goto error;
+       }
++      ret = v4l2_fwnode_device_parse(&client->dev, &props);
++      if (ret)
++              goto error;
++
++      ret = v4l2_ctrl_new_fwnode_properties(ctrl_hdlr, &imx477_ctrl_ops,
++                                            &props);
++      if (ret)
++              goto error;
++
+       imx477->sd.ctrl_handler = ctrl_hdlr;
+       /* Setup exposure and frame/line length limits. */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0912-dt-dtoverlays-ov5647-Add-parameter-to-set-camera-mod.patch b/target/linux/bcm27xx/patches-5.4/950-0912-dt-dtoverlays-ov5647-Add-parameter-to-set-camera-mod.patch
new file mode 100644 (file)
index 0000000..23a2fb9
--- /dev/null
@@ -0,0 +1,49 @@
+From 7d2efa734da00de05c8a58688da28cf1e380ef3a Mon Sep 17 00:00:00 2001
+From: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Date: Sat, 4 Jul 2020 03:04:38 +0300
+Subject: [PATCH] dt/dtoverlays: ov5647: Add parameter to set camera
+ module rotation
+
+Add a rotation DT overlay parameter to allow specifying the camera
+module mounting rotation.
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+---
+ arch/arm/boot/dts/overlays/README             | 5 +++--
+ arch/arm/boot/dts/overlays/ov5647-overlay.dts | 6 ++++++
+ 2 files changed, 9 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1809,8 +1809,9 @@ Name:   ov5647
+ Info:   Omnivision OV5647 camera module.
+         Uses Unicam 1, which is the standard camera connector on most Pi
+         variants.
+-Load:   dtoverlay=ov5647
+-Params: <None>
++Load:   dtoverlay=ov5647,<param>=<val>
++Params: rotation                Mounting rotation of the camera sensor (0 or
++                                180, default 0)
+ Name:   ov7251
+--- a/arch/arm/boot/dts/overlays/ov5647-overlay.dts
++++ b/arch/arm/boot/dts/overlays/ov5647-overlay.dts
+@@ -21,6 +21,8 @@
+                               pwdn-gpios = <&gpio 41 1>, <&gpio 32 1>;
+                               clocks = <&ov5647_clk>;
++                              rotation = <0>;
++
+                               port {
+                                       ov5647_0: endpoint {
+                                               remote-endpoint = <&csi1_ep>;
+@@ -83,4 +85,8 @@
+                       };
+               };
+       };
++
++      __overrides__ {
++              rotation = <&ov5647>,"rotation:0";
++      };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0913-dt-dtoverlays-imx219-Add-parameter-to-set-camera-mod.patch b/target/linux/bcm27xx/patches-5.4/950-0913-dt-dtoverlays-imx219-Add-parameter-to-set-camera-mod.patch
new file mode 100644 (file)
index 0000000..be22dd3
--- /dev/null
@@ -0,0 +1,50 @@
+From 30fbdcf8d58658860e43c0396cb50c5d4ade2dc5 Mon Sep 17 00:00:00 2001
+From: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Date: Sat, 4 Jul 2020 03:04:38 +0300
+Subject: [PATCH] dt/dtoverlays: imx219: Add parameter to set camera
+ module rotation
+
+Add a rotation DT overlay parameter to allow specifying the camera
+module mounting rotation. Set the default rotation to 180 as the module
+is typically mounted upside-down.
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+---
+ arch/arm/boot/dts/overlays/README             | 5 +++--
+ arch/arm/boot/dts/overlays/imx219-overlay.dts | 6 ++++++
+ 2 files changed, 9 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1433,8 +1433,9 @@ Name:   imx219
+ Info:   Sony IMX219 camera module.
+         Uses Unicam 1, which is the standard camera connector on most Pi
+         variants.
+-Load:   dtoverlay=imx219
+-Params: <None>
++Load:   dtoverlay=imx219,<param>=<val>
++Params: rotation                Mounting rotation of the camera sensor (0 or
++                                180, default 180)
+ Name:   imx290
+--- a/arch/arm/boot/dts/overlays/imx219-overlay.dts
++++ b/arch/arm/boot/dts/overlays/imx219-overlay.dts
+@@ -27,6 +27,8 @@
+                               VDIG-supply = <&imx219_vdig>;   /* 1.8v */
+                               VDDL-supply = <&imx219_vddl>;   /* 1.2v */
++                              rotation = <180>;
++
+                               port {
+                                       imx219_0: endpoint {
+                                               remote-endpoint = <&csi1_ep>;
+@@ -110,4 +112,8 @@
+                       cam0-pwdn      = <&imx219_vana>,"gpio:4";
+               };
+       };
++
++      __overrides__ {
++              rotation = <&imx219>,"rotation:0";
++      };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0914-dt-dtoverlays-imx477-Add-parameter-to-set-camera-mod.patch b/target/linux/bcm27xx/patches-5.4/950-0914-dt-dtoverlays-imx477-Add-parameter-to-set-camera-mod.patch
new file mode 100644 (file)
index 0000000..11a5d3d
--- /dev/null
@@ -0,0 +1,50 @@
+From afed51afadb2f5d43e093c969bdf6535b2ea0ad3 Mon Sep 17 00:00:00 2001
+From: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+Date: Sat, 4 Jul 2020 03:04:38 +0300
+Subject: [PATCH] dt/dtoverlays: imx477: Add parameter to set camera
+ module rotation
+
+Add a rotation DT overlay parameter to allow specifying the camera
+module mounting rotation. Set the default rotation to 180 as the module
+is typically mounted upside-down.
+
+Signed-off-by: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
+---
+ arch/arm/boot/dts/overlays/README             | 5 +++--
+ arch/arm/boot/dts/overlays/imx477-overlay.dts | 6 ++++++
+ 2 files changed, 9 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1458,8 +1458,9 @@ Name:   imx477
+ Info:   Sony IMX477 camera module.
+         Uses Unicam 1, which is the standard camera connector on most Pi
+         variants.
+-Load:   dtoverlay=imx477
+-Params: <None>
++Load:   dtoverlay=imx477,<param>=<val>
++Params: rotation                Mounting rotation of the camera sensor (0 or
++                                180, default 180)
+ Name:   iqaudio-codec
+--- a/arch/arm/boot/dts/overlays/imx477-overlay.dts
++++ b/arch/arm/boot/dts/overlays/imx477-overlay.dts
+@@ -27,6 +27,8 @@
+                               VDIG-supply = <&imx477_vdig>;   /* 1.05v */
+                               VDDL-supply = <&imx477_vddl>;   /* 1.8v */
++                              rotation = <180>;
++
+                               port {
+                                       imx477_0: endpoint {
+                                               remote-endpoint = <&csi1_ep>;
+@@ -110,4 +112,8 @@
+                       cam0-pwdn      = <&imx477_vana>,"gpio:4";
+               };
+       };
++
++      __overrides__ {
++              rotation = <&imx477>,"rotation:0";
++      };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0915-drm-vc4-Add-DRM_MODE_FLAG_DBLCLK-support-to-vc4-fkms.patch b/target/linux/bcm27xx/patches-5.4/950-0915-drm-vc4-Add-DRM_MODE_FLAG_DBLCLK-support-to-vc4-fkms.patch
new file mode 100644 (file)
index 0000000..a7a1d91
--- /dev/null
@@ -0,0 +1,54 @@
+From 16349a9b271d331a496a482f46f41a3e1db56891 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 16 Jul 2020 12:02:47 +0100
+Subject: [PATCH] drm/vc4: Add DRM_MODE_FLAG_DBLCLK support to
+ vc4-fkms
+
+480i and several other modes use DRM_MODE_FLAG_DBLCLK and pixel
+replication.
+
+Add in flags for that so that FKMS can select CEA modes 6 & 7.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 11 ++++++++++-
+ 1 file changed, 10 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -158,6 +158,8 @@ struct set_timings {
+ #define TIMINGS_FLAGS_RGB_LIMITED     BIT(8)
+ /* DVI monitor, therefore disable infoframes. Not set corresponds to HDMI. */
+ #define TIMINGS_FLAGS_DVI             BIT(9)
++/* Double clock */
++#define TIMINGS_FLAGS_DBL_CLK         BIT(10)
+ };
+ struct mailbox_set_mode {
+@@ -946,6 +948,8 @@ static void vc4_crtc_mode_set_nofb(struc
+       if (mode->flags & DRM_MODE_FLAG_INTERLACE)
+               mb.timings.flags |= TIMINGS_FLAGS_INTERLACE;
++      if (mode->flags & DRM_MODE_FLAG_DBLCLK)
++              mb.timings.flags |= TIMINGS_FLAGS_DBL_CLK;
+       mb.timings.video_id_code = frame.avi.video_code;
+@@ -1104,11 +1108,16 @@ vc4_crtc_mode_valid(struct drm_crtc *crt
+        */
+       if (fkms->bcm2711 &&
+           (vc4_crtc->display_number == 2 || vc4_crtc->display_number == 7) &&
++          !(mode->flags & DRM_MODE_FLAG_DBLCLK) &&
+           ((mode->hdisplay |                          /* active */
+             (mode->hsync_start - mode->hdisplay) |    /* front porch */
+             (mode->hsync_end - mode->hsync_start) |   /* sync pulse */
+-            (mode->htotal - mode->hsync_end)) & 1))   /* back porch */
++            (mode->htotal - mode->hsync_end)) & 1))   /* back porch */ {
++              DRM_DEBUG_KMS("[CRTC:%d] Odd timing rejected %u %u %u %u.\n",
++                            crtc->base.id, mode->hdisplay, mode->hsync_start,
++                            mode->hsync_end, mode->htotal);
+               return MODE_H_ILLEGAL;
++      }
+       return MODE_OK;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0916-leds-Add-the-actpwr-trigger.patch b/target/linux/bcm27xx/patches-5.4/950-0916-leds-Add-the-actpwr-trigger.patch
new file mode 100644 (file)
index 0000000..2f0aaa0
--- /dev/null
@@ -0,0 +1,236 @@
+From 6586d75915287dd336b71e17826f037be7926ebe Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 13 Jul 2020 10:33:19 +0100
+Subject: [PATCH] leds: Add the actpwr trigger
+
+The actpwr trigger is a meta trigger that cycles between an inverted
+mmc0 and default-on. It is written in a way that could fairly easily
+be generalised to support alternative sets of source triggers.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/leds/trigger/Kconfig          |  11 ++
+ drivers/leds/trigger/Makefile         |   1 +
+ drivers/leds/trigger/ledtrig-actpwr.c | 191 ++++++++++++++++++++++++++
+ 3 files changed, 203 insertions(+)
+ create mode 100644 drivers/leds/trigger/ledtrig-actpwr.c
+
+--- a/drivers/leds/trigger/Kconfig
++++ b/drivers/leds/trigger/Kconfig
+@@ -151,4 +151,15 @@ config LEDS_TRIGGER_AUDIO
+         the audio mute and mic-mute changes.
+         If unsure, say N
++config LEDS_TRIGGER_ACTPWR
++      tristate "ACT/PWR Input Trigger"
++      depends on LEDS_TRIGGERS
++      help
++        This trigger is intended for platforms that have one software-
++        controllable LED and no dedicated activity or power LEDs, hence the
++        need to make the one LED perform both functions. It cycles between
++        default-on and an inverted mmc0 every 500ms, guaranteeing that it is
++        on for at least half of the time.
++        If unsure, say N.
++
+ endif # LEDS_TRIGGERS
+--- a/drivers/leds/trigger/Makefile
++++ b/drivers/leds/trigger/Makefile
+@@ -16,3 +16,4 @@ obj-$(CONFIG_LEDS_TRIGGER_PANIC)     += ledt
+ obj-$(CONFIG_LEDS_TRIGGER_NETDEV)     += ledtrig-netdev.o
+ obj-$(CONFIG_LEDS_TRIGGER_PATTERN)    += ledtrig-pattern.o
+ obj-$(CONFIG_LEDS_TRIGGER_AUDIO)      += ledtrig-audio.o
++obj-$(CONFIG_LEDS_TRIGGER_ACTPWR)     += ledtrig-actpwr.o
+--- /dev/null
++++ b/drivers/leds/trigger/ledtrig-actpwr.c
+@@ -0,0 +1,191 @@
++// SPDX-License-Identifier: GPL-2.0
++/*
++ * Activity/power trigger
++ *
++ * Copyright (C) 2020 Raspberry Pi (Trading) Ltd.
++ *
++ * Based on Atsushi Nemoto's ledtrig-heartbeat.c, although there may be
++ * nothing left of the original now.
++ */
++
++#include <linux/module.h>
++#include <linux/kernel.h>
++#include <linux/init.h>
++#include <linux/timer.h>
++#include <linux/leds.h>
++#include "../leds.h"
++
++enum {
++      TRIG_ACT,
++      TRIG_PWR,
++
++      TRIG_COUNT
++};
++
++struct actpwr_trig_src {
++      const char *name;
++      int interval;
++      bool invert;
++};
++
++struct actpwr_vled {
++      struct led_classdev cdev;
++      struct actpwr_trig_data *parent;
++      enum led_brightness value;
++      unsigned int interval;
++      bool invert;
++};
++
++struct actpwr_trig_data {
++      struct led_trigger trig;
++      struct actpwr_vled virt_leds[TRIG_COUNT];
++      struct actpwr_vled *active;
++      struct timer_list timer;
++      int next_active;
++};
++
++static int actpwr_trig_activate(struct led_classdev *led_cdev);
++static void actpwr_trig_deactivate(struct led_classdev *led_cdev);
++
++static const struct actpwr_trig_src actpwr_trig_sources[TRIG_COUNT] = {
++      [TRIG_ACT] = { "mmc0", 500, true },
++      [TRIG_PWR] = { "default-on", 500, false },
++};
++
++static struct actpwr_trig_data actpwr_data = {
++      {
++              .name     = "actpwr",
++              .activate = actpwr_trig_activate,
++              .deactivate = actpwr_trig_deactivate,
++      }
++};
++
++static void actpwr_brightness_set(struct led_classdev *led_cdev,
++                                enum led_brightness value)
++{
++      struct actpwr_vled *vled = container_of(led_cdev, struct actpwr_vled,
++                                             cdev);
++      struct actpwr_trig_data *trig = vled->parent;
++
++      if (vled->invert)
++              value = !value;
++      vled->value = value;
++
++      if (vled == trig->active)
++              led_trigger_event(&trig->trig, value);
++}
++
++static int actpwr_brightness_set_blocking(struct led_classdev *led_cdev,
++                                        enum led_brightness value)
++{
++      actpwr_brightness_set(led_cdev, value);
++      return 0;
++}
++
++static enum led_brightness actpwr_brightness_get(struct led_classdev *led_cdev)
++{
++      struct actpwr_vled *vled = container_of(led_cdev, struct actpwr_vled,
++                                            cdev);
++
++      return vled->value;
++}
++
++static void actpwr_trig_cycle(struct timer_list *t)
++{
++      struct actpwr_trig_data *trig  = &actpwr_data;
++      struct actpwr_vled *active;
++      enum led_brightness value;
++
++      active = &trig->virt_leds[trig->next_active];
++      trig->active = active;
++      trig->next_active = (trig->next_active + 1) % TRIG_COUNT;
++
++      led_trigger_event(&trig->trig, active->value);
++
++      mod_timer(&trig->timer, jiffies + msecs_to_jiffies(active->interval));
++}
++
++static int actpwr_trig_activate(struct led_classdev *led_cdev)
++{
++      struct actpwr_trig_data *trig  = &actpwr_data;
++
++      /* Start the timer if this is the first LED */
++      if (!trig->active)
++              actpwr_trig_cycle(&trig->timer);
++      else
++              led_set_brightness_nosleep(led_cdev, trig->active->value);
++
++      return 0;
++}
++
++static void actpwr_trig_deactivate(struct led_classdev *led_cdev)
++{
++      struct actpwr_trig_data *trig  = &actpwr_data;
++
++      if (list_empty(&trig->trig.led_cdevs)) {
++              del_timer_sync(&trig->timer);
++              trig->active = NULL;
++      }
++}
++
++static int __init actpwr_trig_init(void)
++{
++      struct actpwr_trig_data *trig  = &actpwr_data;
++      int ret = 0;
++      int i;
++
++      timer_setup(&trig->timer, actpwr_trig_cycle, 0);
++
++      /* Register one "LED" for each source trigger */
++      for (i = 0; i < TRIG_COUNT; i++)
++      {
++              struct actpwr_vled *vled = &trig->virt_leds[i];
++              struct led_classdev *cdev = &vled->cdev;
++              const struct actpwr_trig_src *src = &actpwr_trig_sources[i];
++
++              vled->parent = trig;
++              vled->interval = src->interval;
++              vled->invert = src->invert;
++              cdev->name = src->name;
++              cdev->brightness_set = actpwr_brightness_set;
++              cdev->brightness_set_blocking = actpwr_brightness_set_blocking;
++              cdev->brightness_get = actpwr_brightness_get;
++              cdev->default_trigger = src->name;
++              ret = led_classdev_register(NULL, cdev);
++              if (ret)
++                      goto error_classdev;
++      }
++
++      ret = led_trigger_register(&trig->trig);
++      if (ret)
++              goto error_classdev;
++
++      return 0;
++
++error_classdev:
++      while (i > 0)
++      {
++              i--;
++              led_classdev_unregister(&trig->virt_leds[i].cdev);
++      }
++
++      return ret;
++}
++
++static void __exit actpwr_trig_exit(void)
++{
++      int i;
++
++      led_trigger_unregister(&actpwr_data.trig);
++      for (i = 0; i < TRIG_COUNT; i++)
++      {
++              led_classdev_unregister(&actpwr_data.virt_leds[i].cdev);
++      }
++}
++
++module_init(actpwr_trig_init);
++module_exit(actpwr_trig_exit);
++
++MODULE_AUTHOR("Phil Elwell <phil@raspberrypi.com>");
++MODULE_DESCRIPTION("ACT/PWR LED trigger");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-0917-ARM-dts-Select-the-actpwr-LED-trigger-on-Zeroes.patch b/target/linux/bcm27xx/patches-5.4/950-0917-ARM-dts-Select-the-actpwr-LED-trigger-on-Zeroes.patch
new file mode 100644 (file)
index 0000000..02f425a
--- /dev/null
@@ -0,0 +1,41 @@
+From 990bc7c8a234a0f446a7d56067b1a9f8348e0f35 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 16 Jul 2020 18:19:58 +0100
+Subject: [PATCH] ARM: dts: Select the actpwr LED trigger on Zeroes
+
+The new "actpwr" LED trigger guarantees that the combined PWR and ACT
+LED is on for at least half of every second. Under heavy SD card
+load it emits a steady 1Hz square wave.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2708-rpi-zero-w.dts | 4 ++--
+ arch/arm/boot/dts/bcm2708-rpi-zero.dts   | 4 ++--
+ 2 files changed, 4 insertions(+), 4 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
+@@ -144,8 +144,8 @@
+ &leds {
+       act_led: act {
+               label = "led0";
+-              linux,default-trigger = "mmc0";
+-              gpios = <&gpio 47 0>;
++              linux,default-trigger = "actpwr";
++              gpios = <&gpio 47 GPIO_ACTIVE_LOW>;
+       };
+ };
+--- a/arch/arm/boot/dts/bcm2708-rpi-zero.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-zero.dts
+@@ -98,8 +98,8 @@
+ &leds {
+       act_led: act {
+               label = "led0";
+-              linux,default-trigger = "mmc0";
+-              gpios = <&gpio 47 0>;
++              linux,default-trigger = "actpwr";
++              gpios = <&gpio 47 GPIO_ACTIVE_LOW>;
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0918-staging-vc04_services-isp-Rework-lens-shading-to-tak.patch b/target/linux/bcm27xx/patches-5.4/950-0918-staging-vc04_services-isp-Rework-lens-shading-to-tak.patch
new file mode 100644 (file)
index 0000000..8748214
--- /dev/null
@@ -0,0 +1,87 @@
+From 7504f92483407233d9093e164d8f001db5c374e5 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 8 May 2020 22:05:29 +0100
+Subject: [PATCH] staging: vc04_services: isp: Rework lens shading to
+ take a dmabuf
+
+This removes the need for the client to use vcsm at all.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../bcm2835-isp/bcm2835-v4l2-isp.c            | 36 +++++++++++++++++--
+ include/uapi/linux/bcm2835-isp.h              |  4 +--
+ 2 files changed, 35 insertions(+), 5 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
+@@ -21,6 +21,8 @@
+ #include "vchiq-mmal/mmal-parameters.h"
+ #include "vchiq-mmal/mmal-vchiq.h"
++#include "vc-sm-cma/vc_sm_knl.h"
++
+ #include "bcm2835_isp_ctrls.h"
+ #include "bcm2835_isp_fmts.h"
+@@ -722,10 +724,38 @@ static int bcm2835_isp_s_ctrl(struct v4l
+                                   sizeof(struct bcm2835_isp_custom_ccm));
+               break;
+       case V4L2_CID_USER_BCM2835_ISP_LENS_SHADING:
+-              ret = set_isp_param(node, MMAL_PARAMETER_LENS_SHADING_OVERRIDE,
+-                                  ctrl->p_new.p_u8,
+-                                  sizeof(struct bcm2835_isp_lens_shading));
++      {
++              struct bcm2835_isp_lens_shading ls;
++              struct dma_buf *dmabuf;
++              void *vcsm_handle;
++
++              memcpy(&ls, ctrl->p_new.p_u8,
++                     sizeof(struct bcm2835_isp_lens_shading));
++
++              dmabuf = dma_buf_get(ls.dmabuf);
++              if (!dmabuf)
++                      return -EINVAL;
++
++              ret = vc_sm_cma_import_dmabuf(dmabuf,
++                                            &vcsm_handle);
++              if (ret) {
++                      dma_buf_put(dmabuf);
++                      return -EINVAL;
++              }
++
++              ls.dmabuf = vc_sm_cma_int_handle(vcsm_handle);
++              if (ls.dmabuf)
++                      ret = set_isp_param(node,
++                                          MMAL_PARAMETER_LENS_SHADING_OVERRIDE,
++                                          &ls,
++                                          sizeof(struct bcm2835_isp_lens_shading));
++              else
++                      ret = -EINVAL;
++
++              vc_sm_cma_free(vcsm_handle);
++              dma_buf_put(dmabuf);
+               break;
++      }
+       case V4L2_CID_USER_BCM2835_ISP_BLACK_LEVEL:
+               ret = set_isp_param(node, MMAL_PARAMETER_BLACK_LEVEL,
+                                   ctrl->p_new.p_u8,
+--- a/include/uapi/linux/bcm2835-isp.h
++++ b/include/uapi/linux/bcm2835-isp.h
+@@ -108,7 +108,7 @@ enum bcm2835_isp_gain_format {
+  * @grid_stride:      Row to row distance (in grid cells) between grid cells
+  *                    in the same horizontal location.
+  * @grid_height:      Height of lens shading tables in grid cells.
+- * @mem_handle_table: Memory handle to the tables.
++ * @dmabuf:           dmabuf file handle containing the table.
+  * @ref_transform:    Reference transform - unsupported, please pass zero.
+  * @corner_sampled:   Whether the gains are sampled at the corner points
+  *                    of the grid cells or in the cell centres.
+@@ -120,7 +120,7 @@ struct bcm2835_isp_lens_shading {
+       __u32 grid_width;
+       __u32 grid_stride;
+       __u32 grid_height;
+-      __u32 mem_handle_table;
++      __s32 dmabuf;
+       __u32 ref_transform;
+       __u32 corner_sampled;
+       __u32 gain_format;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0919-Mute-bug-fix-for-the-Audioinjector.net-isolated-soun.patch b/target/linux/bcm27xx/patches-5.4/950-0919-Mute-bug-fix-for-the-Audioinjector.net-isolated-soun.patch
new file mode 100644 (file)
index 0000000..10fe757
--- /dev/null
@@ -0,0 +1,64 @@
+From 11a2b192e0a8b7514895f9f6b7451f4c6ddd0a22 Mon Sep 17 00:00:00 2001
+From: Matt Flax <flatmax@flatmax.org>
+Date: Fri, 17 Jul 2020 09:17:36 +1000
+Subject: [PATCH] Mute bug fix for the Audioinjector.net isolated
+ soundcard.
+
+---
+ .../bcm/audioinjector-isolated-soundcard.c    | 26 +++----------------
+ 1 file changed, 3 insertions(+), 23 deletions(-)
+
+--- a/sound/soc/bcm/audioinjector-isolated-soundcard.c
++++ b/sound/soc/bcm/audioinjector-isolated-soundcard.c
+@@ -42,41 +42,20 @@ static int audioinjector_isolated_dai_in
+       int ret=snd_soc_dai_set_sysclk(rtd->codec_dai, 0, 24576000, 0);
+       if (ret)
+               return ret;
+-
+       return snd_soc_dai_set_bclk_ratio(rtd->cpu_dai, 64);
+ }
+ static int audioinjector_isolated_startup(struct snd_pcm_substream *substream)
+ {
+       snd_pcm_hw_constraint_list(substream->runtime, 0,
+-                              SNDRV_PCM_HW_PARAM_RATE, &audioinjector_isolated_constraints);
+-
+-      return 0;
+-}
++                      SNDRV_PCM_HW_PARAM_RATE, &audioinjector_isolated_constraints);
+-static int audioinjector_isolated_trigger(struct snd_pcm_substream *substream,
+-                                                              int cmd){
+-
+-      switch (cmd) {
+-      case SNDRV_PCM_TRIGGER_STOP:
+-      case SNDRV_PCM_TRIGGER_SUSPEND:
+-      case SNDRV_PCM_TRIGGER_PAUSE_PUSH:
+-              gpiod_set_value(mute_gpio, 0);
+-              break;
+-      case SNDRV_PCM_TRIGGER_START:
+-      case SNDRV_PCM_TRIGGER_RESUME:
+-      case SNDRV_PCM_TRIGGER_PAUSE_RELEASE:
+-              gpiod_set_value(mute_gpio, 1);
+-              break;
+-      default:
+-              return -EINVAL;
+-      }
++      gpiod_set_value(mute_gpio, 1);
+       return 0;
+ }
+ static struct snd_soc_ops audioinjector_isolated_ops = {
+       .startup        = audioinjector_isolated_startup,
+-      .trigger = audioinjector_isolated_trigger,
+ };
+ SND_SOC_DAILINK_DEFS(audioinjector_isolated,
+@@ -153,6 +132,7 @@ static int audioinjector_isolated_probe(
+                       dev_err(&pdev->dev, "mute gpio not found in dt overlay\n");
+                       return PTR_ERR(mute_gpio);
+               }
++              gpiod_set_value(mute_gpio, 0);
+       }
+       ret = devm_snd_soc_register_card(&pdev->dev, card);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0920-vc4-Report-channel-mapping-back-to-userspace.patch b/target/linux/bcm27xx/patches-5.4/950-0920-vc4-Report-channel-mapping-back-to-userspace.patch
new file mode 100644 (file)
index 0000000..8c4e101
--- /dev/null
@@ -0,0 +1,504 @@
+From 058328afcdd3d5c9531cfac8b980d0c0db75856f Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Mon, 20 Apr 2020 18:00:38 +0100
+Subject: [PATCH] vc4: Report channel mapping back to userspace
+
+This follows logic in hdmi-codec.c to use speaker layout
+from ELD to choose a suitable speaker mapping based on
+number of channels requested and signal that in audio
+infoframe  and report this back to userspace.
+
+This allows apps like speaker-test and kodi to get the
+output to the right speakers.
+
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ drivers/gpu/drm/vc4/vc4_hdmi.c | 415 +++++++++++++++++++++++++++++++++
+ drivers/gpu/drm/vc4/vc4_hdmi.h |   3 +
+ 2 files changed, 418 insertions(+)
+
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.c
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.c
+@@ -48,6 +48,7 @@
+ #include <sound/pcm_drm_eld.h>
+ #include <sound/pcm_params.h>
+ #include <sound/soc.h>
++#include <sound/tlv.h>
+ #include "media/cec.h"
+ #include "vc4_drv.h"
+ #include "vc4_hdmi.h"
+@@ -82,6 +83,311 @@
+ #define CEC_CLOCK_FREQ 40000
+ #define VC4_HSM_CLOCK 163682864
++#define HDMI_CODEC_CHMAP_IDX_UNKNOWN  -1
++
++/*
++ * CEA speaker placement for HDMI 1.4:
++ *
++ *  FL  FLC   FC   FRC   FR   FRW
++ *
++ *                                  LFE
++ *
++ *  RL  RLC   RC   RRC   RR
++ *
++ *  Speaker placement has to be extended to support HDMI 2.0
++ */
++enum hdmi_codec_cea_spk_placement {
++      FL  = BIT(0),   /* Front Left           */
++      FC  = BIT(1),   /* Front Center         */
++      FR  = BIT(2),   /* Front Right          */
++      FLC = BIT(3),   /* Front Left Center    */
++      FRC = BIT(4),   /* Front Right Center   */
++      RL  = BIT(5),   /* Rear Left            */
++      RC  = BIT(6),   /* Rear Center          */
++      RR  = BIT(7),   /* Rear Right           */
++      RLC = BIT(8),   /* Rear Left Center     */
++      RRC = BIT(9),   /* Rear Right Center    */
++      LFE = BIT(10),  /* Low Frequency Effect */
++};
++
++/*
++ * cea Speaker allocation structure
++ */
++struct hdmi_codec_cea_spk_alloc {
++      const int ca_id;
++      unsigned int n_ch;
++      unsigned long mask;
++};
++
++/* Channel maps  stereo HDMI */
++static const struct snd_pcm_chmap_elem hdmi_codec_stereo_chmaps[] = {
++      { .channels = 2,
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR } },
++      { }
++};
++
++/* Channel maps for multi-channel playbacks, up to 8 n_ch */
++static const struct snd_pcm_chmap_elem hdmi_codec_8ch_chmaps[] = {
++      { .channels = 2, /* CA_ID 0x00 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR } },
++      { .channels = 4, /* CA_ID 0x01 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_NA } },
++      { .channels = 4, /* CA_ID 0x02 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FC } },
++      { .channels = 4, /* CA_ID 0x03 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_FC } },
++      { .channels = 6, /* CA_ID 0x04 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_RC, SNDRV_CHMAP_NA } },
++      { .channels = 6, /* CA_ID 0x05 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_RC, SNDRV_CHMAP_NA } },
++      { .channels = 6, /* CA_ID 0x06 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_RC, SNDRV_CHMAP_NA } },
++      { .channels = 6, /* CA_ID 0x07 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_RC, SNDRV_CHMAP_NA } },
++      { .channels = 6, /* CA_ID 0x08 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_RL, SNDRV_CHMAP_RR } },
++      { .channels = 6, /* CA_ID 0x09 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_RL, SNDRV_CHMAP_RR } },
++      { .channels = 6, /* CA_ID 0x0A */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_RL, SNDRV_CHMAP_RR } },
++      { .channels = 6, /* CA_ID 0x0B */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_RL, SNDRV_CHMAP_RR } },
++      { .channels = 8, /* CA_ID 0x0C */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_RL, SNDRV_CHMAP_RR,
++                 SNDRV_CHMAP_RC, SNDRV_CHMAP_NA } },
++      { .channels = 8, /* CA_ID 0x0D */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_RL, SNDRV_CHMAP_RR,
++                 SNDRV_CHMAP_RC, SNDRV_CHMAP_NA } },
++      { .channels = 8, /* CA_ID 0x0E */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_RL, SNDRV_CHMAP_RR,
++                 SNDRV_CHMAP_RC, SNDRV_CHMAP_NA } },
++      { .channels = 8, /* CA_ID 0x0F */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_RL, SNDRV_CHMAP_RR,
++                 SNDRV_CHMAP_RC, SNDRV_CHMAP_NA } },
++      { .channels = 8, /* CA_ID 0x10 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_RL, SNDRV_CHMAP_RR,
++                 SNDRV_CHMAP_RLC, SNDRV_CHMAP_RRC } },
++      { .channels = 8, /* CA_ID 0x11 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_RL, SNDRV_CHMAP_RR,
++                 SNDRV_CHMAP_RLC, SNDRV_CHMAP_RRC } },
++      { .channels = 8, /* CA_ID 0x12 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_RL, SNDRV_CHMAP_RR,
++                 SNDRV_CHMAP_RLC, SNDRV_CHMAP_RRC } },
++      { .channels = 8, /* CA_ID 0x13 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_RL, SNDRV_CHMAP_RR,
++                 SNDRV_CHMAP_RLC, SNDRV_CHMAP_RRC } },
++      { .channels = 8, /* CA_ID 0x14 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_NA, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FLC, SNDRV_CHMAP_FRC } },
++      { .channels = 8, /* CA_ID 0x15 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_NA, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FLC, SNDRV_CHMAP_FRC } },
++      { .channels = 8, /* CA_ID 0x16 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_NA, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FLC, SNDRV_CHMAP_FRC } },
++      { .channels = 8, /* CA_ID 0x17 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_NA, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FLC, SNDRV_CHMAP_FRC } },
++      { .channels = 8, /* CA_ID 0x18 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_NA, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FLC, SNDRV_CHMAP_FRC } },
++      { .channels = 8, /* CA_ID 0x19 */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_NA, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FLC, SNDRV_CHMAP_FRC } },
++      { .channels = 8, /* CA_ID 0x1A */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_NA, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FLC, SNDRV_CHMAP_FRC } },
++      { .channels = 8, /* CA_ID 0x1B */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_NA, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FLC, SNDRV_CHMAP_FRC } },
++      { .channels = 8, /* CA_ID 0x1C */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_NA, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FLC, SNDRV_CHMAP_FRC } },
++      { .channels = 8, /* CA_ID 0x1D */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_NA, SNDRV_CHMAP_NA, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FLC, SNDRV_CHMAP_FRC } },
++      { .channels = 8, /* CA_ID 0x1E */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_NA, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FLC, SNDRV_CHMAP_FRC } },
++      { .channels = 8, /* CA_ID 0x1F */
++        .map = { SNDRV_CHMAP_FL, SNDRV_CHMAP_FR, SNDRV_CHMAP_LFE,
++                 SNDRV_CHMAP_FC, SNDRV_CHMAP_NA, SNDRV_CHMAP_NA,
++                 SNDRV_CHMAP_FLC, SNDRV_CHMAP_FRC } },
++      { }
++};
++
++/*
++ * hdmi_codec_channel_alloc: speaker configuration available for CEA
++ *
++ * This is an ordered list that must match with hdmi_codec_8ch_chmaps struct
++ * The preceding ones have better chances to be selected by
++ * hdmi_codec_get_ch_alloc_table_idx().
++ */
++static const struct hdmi_codec_cea_spk_alloc hdmi_codec_channel_alloc[] = {
++      { .ca_id = 0x00, .n_ch = 2,
++        .mask = FL | FR},
++      /* 2.1 */
++      { .ca_id = 0x01, .n_ch = 4,
++        .mask = FL | FR | LFE},
++      /* Dolby Surround */
++      { .ca_id = 0x02, .n_ch = 4,
++        .mask = FL | FR | FC },
++      /* surround51 */
++      { .ca_id = 0x0b, .n_ch = 6,
++        .mask = FL | FR | LFE | FC | RL | RR},
++      /* surround40 */
++      { .ca_id = 0x08, .n_ch = 6,
++        .mask = FL | FR | RL | RR },
++      /* surround41 */
++      { .ca_id = 0x09, .n_ch = 6,
++        .mask = FL | FR | LFE | RL | RR },
++      /* surround50 */
++      { .ca_id = 0x0a, .n_ch = 6,
++        .mask = FL | FR | FC | RL | RR },
++      /* 6.1 */
++      { .ca_id = 0x0f, .n_ch = 8,
++        .mask = FL | FR | LFE | FC | RL | RR | RC },
++      /* surround71 */
++      { .ca_id = 0x13, .n_ch = 8,
++        .mask = FL | FR | LFE | FC | RL | RR | RLC | RRC },
++      /* others */
++      { .ca_id = 0x03, .n_ch = 8,
++        .mask = FL | FR | LFE | FC },
++      { .ca_id = 0x04, .n_ch = 8,
++        .mask = FL | FR | RC},
++      { .ca_id = 0x05, .n_ch = 8,
++        .mask = FL | FR | LFE | RC },
++      { .ca_id = 0x06, .n_ch = 8,
++        .mask = FL | FR | FC | RC },
++      { .ca_id = 0x07, .n_ch = 8,
++        .mask = FL | FR | LFE | FC | RC },
++      { .ca_id = 0x0c, .n_ch = 8,
++        .mask = FL | FR | RC | RL | RR },
++      { .ca_id = 0x0d, .n_ch = 8,
++        .mask = FL | FR | LFE | RL | RR | RC },
++      { .ca_id = 0x0e, .n_ch = 8,
++        .mask = FL | FR | FC | RL | RR | RC },
++      { .ca_id = 0x10, .n_ch = 8,
++        .mask = FL | FR | RL | RR | RLC | RRC },
++      { .ca_id = 0x11, .n_ch = 8,
++        .mask = FL | FR | LFE | RL | RR | RLC | RRC },
++      { .ca_id = 0x12, .n_ch = 8,
++        .mask = FL | FR | FC | RL | RR | RLC | RRC },
++      { .ca_id = 0x14, .n_ch = 8,
++        .mask = FL | FR | FLC | FRC },
++      { .ca_id = 0x15, .n_ch = 8,
++        .mask = FL | FR | LFE | FLC | FRC },
++      { .ca_id = 0x16, .n_ch = 8,
++        .mask = FL | FR | FC | FLC | FRC },
++      { .ca_id = 0x17, .n_ch = 8,
++        .mask = FL | FR | LFE | FC | FLC | FRC },
++      { .ca_id = 0x18, .n_ch = 8,
++        .mask = FL | FR | RC | FLC | FRC },
++      { .ca_id = 0x19, .n_ch = 8,
++        .mask = FL | FR | LFE | RC | FLC | FRC },
++      { .ca_id = 0x1a, .n_ch = 8,
++        .mask = FL | FR | RC | FC | FLC | FRC },
++      { .ca_id = 0x1b, .n_ch = 8,
++        .mask = FL | FR | LFE | RC | FC | FLC | FRC },
++      { .ca_id = 0x1c, .n_ch = 8,
++        .mask = FL | FR | RL | RR | FLC | FRC },
++      { .ca_id = 0x1d, .n_ch = 8,
++        .mask = FL | FR | LFE | RL | RR | FLC | FRC },
++      { .ca_id = 0x1e, .n_ch = 8,
++        .mask = FL | FR | FC | RL | RR | FLC | FRC },
++      { .ca_id = 0x1f, .n_ch = 8,
++        .mask = FL | FR | LFE | FC | RL | RR | FLC | FRC },
++};
++
++static unsigned long hdmi_codec_spk_mask_from_alloc(int spk_alloc)
++{
++      int i;
++      static const unsigned long hdmi_codec_eld_spk_alloc_bits[] = {
++              [0] = FL | FR, [1] = LFE, [2] = FC, [3] = RL | RR,
++              [4] = RC, [5] = FLC | FRC, [6] = RLC | RRC,
++      };
++      unsigned long spk_mask = 0;
++
++      for (i = 0; i < ARRAY_SIZE(hdmi_codec_eld_spk_alloc_bits); i++) {
++              if (spk_alloc & (1 << i))
++                      spk_mask |= hdmi_codec_eld_spk_alloc_bits[i];
++      }
++
++      return spk_mask;
++}
++
++static int hdmi_codec_get_ch_alloc_table_idx(struct vc4_hdmi *vc4_hdmi,
++                                           unsigned char channels)
++{
++      struct drm_connector *connector = &vc4_hdmi->connector;
++      int i;
++      u8 spk_alloc;
++      unsigned long spk_mask;
++      const struct hdmi_codec_cea_spk_alloc *cap = hdmi_codec_channel_alloc;
++
++      spk_alloc = drm_eld_get_spk_alloc(connector->eld);
++      spk_mask = hdmi_codec_spk_mask_from_alloc(spk_alloc);
++
++      for (i = 0; i < ARRAY_SIZE(hdmi_codec_channel_alloc); i++, cap++) {
++              /* If spk_alloc == 0, HDMI is unplugged return stereo config*/
++              if (!spk_alloc && cap->ca_id == 0)
++                      return i;
++              if (cap->n_ch != channels)
++                      continue;
++              if (!(cap->mask == (spk_mask & cap->mask)))
++                      continue;
++              return i;
++      }
++
++      return -EINVAL;
++}
++
++static void hdmi_codec_eld_chmap(struct vc4_hdmi *vc4_hdmi)
++{
++      struct drm_connector *connector = &vc4_hdmi->connector;
++      u8 spk_alloc;
++      unsigned long spk_mask;
++
++      spk_alloc = drm_eld_get_spk_alloc(connector->eld);
++      spk_mask = hdmi_codec_spk_mask_from_alloc(spk_alloc);
++
++      /* Detect if only stereo supported, else return 8 channels mappings */
++      if ((spk_mask & ~(FL | FR)))
++              vc4_hdmi->audio.chmap = hdmi_codec_8ch_chmaps;
++      else
++              vc4_hdmi->audio.chmap = hdmi_codec_stereo_chmaps;
++}
++
+ static int vc4_hdmi_debugfs_regs(struct seq_file *m, void *unused)
+ {
+       struct drm_info_node *node = (struct drm_info_node *)m->private;
+@@ -350,6 +656,9 @@ static void vc4_hdmi_set_audio_infoframe
+       frame.audio.sample_size = HDMI_AUDIO_SAMPLE_SIZE_STREAM;
+       frame.audio.channels = vc4_hdmi->audio.channels;
++      /* Select a channel allocation that matches with ELD and pcm channels */
++      frame.audio.channel_allocation = vc4_hdmi->audio.chmap_idx;
++
+       vc4_hdmi_write_infoframe(encoder, &frame);
+ }
+@@ -881,6 +1190,10 @@ static int vc4_hdmi_audio_startup(struct
+       if (ret)
+               return ret;
++      /* Select chmap supported */
++      vc4_hdmi->audio.max_channels = 8;
++      hdmi_codec_eld_chmap(vc4_hdmi);
++
+       return 0;
+ }
+@@ -967,6 +1280,7 @@ static int vc4_hdmi_audio_prepare(struct
+       u32 channel_map;
+       u32 mai_audio_format;
+       u32 mai_sample_rate;
++      int idx;
+       if (substream != vc4_hdmi->audio.substream)
+               return -EINVAL;
+@@ -1027,6 +1341,14 @@ static int vc4_hdmi_audio_prepare(struct
+       HDMI_WRITE(HDMI_AUDIO_PACKET_CONFIG, audio_packet_config);
+       vc4_hdmi_set_n_cts(vc4_hdmi);
++      idx = hdmi_codec_get_ch_alloc_table_idx(vc4_hdmi, vc4_hdmi->audio.channels);
++      if (idx < 0) {
++              DRM_ERROR("Not able to map channels to speakers (%d)\n", idx);
++              vc4_hdmi->audio.chmap_idx = HDMI_CODEC_CHMAP_IDX_UNKNOWN;
++      } else {
++              vc4_hdmi->audio.chmap_idx = hdmi_codec_channel_alloc[idx].ca_id;
++      }
++
+       return 0;
+ }
+@@ -1145,6 +1467,89 @@ static int vc4_spdif_mask_get(struct snd
+       return 0;
+ }
++/*
++ * ALSA API channel-map control callbacks
++ */
++static int vc4_chmap_ctl_info(struct snd_kcontrol *kcontrol,
++                              struct snd_ctl_elem_info *uinfo)
++{
++      struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
++      struct vc4_hdmi *vc4_hdmi = snd_component_to_hdmi(component);
++
++      uinfo->type = SNDRV_CTL_ELEM_TYPE_INTEGER;
++      uinfo->count = vc4_hdmi->audio.max_channels;
++      uinfo->value.integer.min = 0;
++      uinfo->value.integer.max = SNDRV_CHMAP_LAST;
++
++      return 0;
++}
++
++static int vc4_chmap_ctl_get(struct snd_kcontrol *kcontrol,
++                           struct snd_ctl_elem_value *ucontrol)
++{
++      struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
++      struct vc4_hdmi *vc4_hdmi = snd_component_to_hdmi(component);
++      unsigned const char *map;
++      unsigned int i;
++
++      if (!vc4_hdmi->audio.chmap)
++              return -EINVAL;
++
++      map = vc4_hdmi->audio.chmap[vc4_hdmi->audio.chmap_idx].map;
++
++      for (i = 0; i < vc4_hdmi->audio.max_channels; i++) {
++              if (vc4_hdmi->audio.chmap_idx == HDMI_CODEC_CHMAP_IDX_UNKNOWN)
++                      ucontrol->value.integer.value[i] = 0;
++              else
++                      ucontrol->value.integer.value[i] = map[i];
++      }
++      return 0;
++}
++
++static int vc4_chmap_ctl_tlv(struct snd_kcontrol *kcontrol, int op_flag,
++                           unsigned int size, unsigned int __user *tlv)
++{
++      struct snd_soc_component *component = snd_kcontrol_chip(kcontrol);
++      struct vc4_hdmi *vc4_hdmi = snd_component_to_hdmi(component);
++      const struct snd_pcm_chmap_elem *map;
++      unsigned int __user *dst;
++      int c, count = 0;
++
++      if (!vc4_hdmi->audio.chmap)
++              return -EINVAL;
++      if (size < 8)
++              return -ENOMEM;
++      if (put_user(SNDRV_CTL_TLVT_CONTAINER, tlv))
++              return -EFAULT;
++      size -= 8;
++      dst = tlv + 2;
++      for (map = vc4_hdmi->audio.chmap; map->channels; map++) {
++              int chs_bytes = map->channels * 4;
++              //if (!valid_chmap_channels(info, map->channels))
++              //      continue;
++              if (size < 8)
++                      return -ENOMEM;
++              if (put_user(SNDRV_CTL_TLVT_CHMAP_FIXED, dst) ||
++                  put_user(chs_bytes, dst + 1))
++                      return -EFAULT;
++              dst += 2;
++              size -= 8;
++              count += 8;
++              if (size < chs_bytes)
++                      return -ENOMEM;
++              size -= chs_bytes;
++              count += chs_bytes;
++              for (c = 0; c < map->channels; c++) {
++                      if (put_user(map->map[c], dst))
++                              return -EFAULT;
++                      dst++;
++              }
++      }
++      if (put_user(count, tlv + 1))
++              return -EFAULT;
++      return 0;
++}
++
+ static const struct snd_kcontrol_new vc4_hdmi_audio_controls[] = {
+       {
+               .access = SNDRV_CTL_ELEM_ACCESS_READ |
+@@ -1167,6 +1572,16 @@ static const struct snd_kcontrol_new vc4
+               .info =    vc4_spdif_info,
+               .get =     vc4_spdif_mask_get,
+       },
++      {
++              .access = SNDRV_CTL_ELEM_ACCESS_READ |
++                      SNDRV_CTL_ELEM_ACCESS_TLV_READ |
++                      SNDRV_CTL_ELEM_ACCESS_TLV_CALLBACK,
++              .iface = SNDRV_CTL_ELEM_IFACE_PCM,
++              .name = "Playback Channel Map",
++              .info = vc4_chmap_ctl_info,
++              .get = vc4_chmap_ctl_get,
++              .tlv.c = vc4_chmap_ctl_tlv,
++      },
+ };
+ static const struct snd_soc_dapm_widget vc4_hdmi_audio_widgets[] = {
+--- a/drivers/gpu/drm/vc4/vc4_hdmi.h
++++ b/drivers/gpu/drm/vc4/vc4_hdmi.h
+@@ -117,6 +117,9 @@ struct vc4_hdmi_audio {
+       bool streaming;
+       unsigned char iec_status[4];
++      const struct snd_pcm_chmap_elem *chmap;
++      unsigned int chmap_idx;
++      unsigned int max_channels;
+ };
+ /* General HDMI hardware state. */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0921-SQUASH-leds-actpwr-delete-unused-variable.patch b/target/linux/bcm27xx/patches-5.4/950-0921-SQUASH-leds-actpwr-delete-unused-variable.patch
new file mode 100644 (file)
index 0000000..1166f6a
--- /dev/null
@@ -0,0 +1,20 @@
+From 867a447dbe461b6cfdfae2fcd71c449dced9cd8a Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 20 Jul 2020 09:35:46 +0100
+Subject: [PATCH] SQUASH: leds: actpwr - delete unused variable
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/leds/trigger/ledtrig-actpwr.c | 1 -
+ 1 file changed, 1 deletion(-)
+
+--- a/drivers/leds/trigger/ledtrig-actpwr.c
++++ b/drivers/leds/trigger/ledtrig-actpwr.c
+@@ -94,7 +94,6 @@ static void actpwr_trig_cycle(struct tim
+ {
+       struct actpwr_trig_data *trig  = &actpwr_data;
+       struct actpwr_vled *active;
+-      enum led_brightness value;
+       active = &trig->virt_leds[trig->next_active];
+       trig->active = active;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0922-staging-vchiq_arm-children-inherit-DMA-config.patch b/target/linux/bcm27xx/patches-5.4/950-0922-staging-vchiq_arm-children-inherit-DMA-config.patch
new file mode 100644 (file)
index 0000000..9dbc80c
--- /dev/null
@@ -0,0 +1,36 @@
+From 9f0d49445428265cd6cf0862d94bc7f3dd1304d0 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 21 Jul 2020 17:34:09 +0100
+Subject: [PATCH] staging: vchiq_arm: children inherit DMA config
+
+Although it is no longer necessary for vchiq's children to have a
+different DMA configuration to the parent, they do still need to
+explicitly to have their DMA configuration set - to be that of the
+parent.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ .../vc04_services/interface/vchiq_arm/vchiq_arm.c      | 10 ++++++++++
+ 1 file changed, 10 insertions(+)
+
+--- a/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
++++ b/drivers/staging/vc04_services/interface/vchiq_arm/vchiq_arm.c
+@@ -3205,8 +3205,18 @@ vchiq_register_child(struct platform_dev
+       child->dev.of_node = np;
++      /*
++       * We want the dma-ranges etc to be copied from the parent VCHIQ device
++       * to be passed on to the children without a node of their own.
++       */
++      if (!np)
++              np = pdev->dev.of_node;
++
+       of_dma_configure(&child->dev, np, true);
++      if (np != pdev->dev.of_node)
++              of_node_put(np);
++
+       return child;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0923-ARM-dts-2711-DMA-can-address-36-bits.patch b/target/linux/bcm27xx/patches-5.4/950-0923-ARM-dts-2711-DMA-can-address-36-bits.patch
new file mode 100644 (file)
index 0000000..a777379
--- /dev/null
@@ -0,0 +1,25 @@
+From df3f3eba7e6f19694369ea52360c0d5d18d310c3 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 22 Jul 2020 17:45:24 +0100
+Subject: [PATCH] ARM: dts: 2711 DMA can address 36 bits
+
+Kernels prior to 5.7 only see the first entry in dma-ranges, so make
+it cover the full addressable range.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 3 +--
+ 1 file changed, 1 insertion(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -193,8 +193,7 @@
+                <0x0 0x40000000  0x0 0xff800000  0x0 0x00800000>,
+                <0x6 0x00000000  0x6 0x00000000  0x0 0x40000000>,
+                <0x0 0x00000000  0x0 0x00000000  0x0 0xfc000000>;
+-      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0x0 0xfc000000>,
+-                   <0x1 0x00000000  0x1 0x00000000  0x1 0x00000000>;
++      dma-ranges = <0x0 0x00000000  0x0 0x00000000  0x4 0x00000000>;
+       dma40: dma@7e007b00 {
+               compatible = "brcm,bcm2711-dma";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0924-bcm2835-dma-Advertise-the-full-DMA-range.patch b/target/linux/bcm27xx/patches-5.4/950-0924-bcm2835-dma-Advertise-the-full-DMA-range.patch
new file mode 100644 (file)
index 0000000..33c740f
--- /dev/null
@@ -0,0 +1,77 @@
+From bf7baee1935c4d485083c3b3c439631ae4ac3c0f Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 22 Jul 2020 17:59:31 +0100
+Subject: [PATCH] bcm2835-dma: Advertise the full DMA range
+
+Unless the DMA mask is set wider than 32 bits, DMA mapping will use a
+bounce buffer.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/dma/bcm2835-dma.c | 18 +++++++++++++++---
+ 1 file changed, 15 insertions(+), 3 deletions(-)
+
+--- a/drivers/dma/bcm2835-dma.c
++++ b/drivers/dma/bcm2835-dma.c
+@@ -41,6 +41,7 @@
+ #define BCM2711_DMA_MEMCPY_CHAN 14
+ struct bcm2835_dma_cfg_data {
++      u64     dma_mask;
+       u32     chan_40bit_mask;
+ };
+@@ -302,10 +303,12 @@ DEFINE_SPINLOCK(memcpy_lock);
+ static const struct bcm2835_dma_cfg_data bcm2835_dma_cfg = {
+       .chan_40bit_mask = 0,
++      .dma_mask = DMA_BIT_MASK(32),
+ };
+ static const struct bcm2835_dma_cfg_data bcm2711_dma_cfg = {
+       .chan_40bit_mask = BIT(11) | BIT(12) | BIT(13) | BIT(14),
++      .dma_mask = DMA_BIT_MASK(36),
+ };
+ static inline size_t bcm2835_dma_max_frame_length(struct bcm2835_chan *c)
+@@ -1185,6 +1188,8 @@ static struct dma_chan *bcm2835_dma_xlat
+ static int bcm2835_dma_probe(struct platform_device *pdev)
+ {
++      const struct bcm2835_dma_cfg_data *cfg_data;
++      const struct of_device_id *of_id;
+       struct bcm2835_dmadev *od;
+       struct resource *res;
+       void __iomem *base;
+@@ -1194,13 +1199,20 @@ static int bcm2835_dma_probe(struct plat
+       int irq_flags;
+       uint32_t chans_available;
+       char chan_name[BCM2835_DMA_CHAN_NAME_SIZE];
+-      const struct of_device_id *of_id;
+       int chan_count, chan_start, chan_end;
++      of_id = of_match_node(bcm2835_dma_of_match, pdev->dev.of_node);
++      if (!of_id) {
++              dev_err(&pdev->dev, "Failed to match compatible string\n");
++              return -EINVAL;
++      }
++
++      cfg_data = of_id->data;
++
+       if (!pdev->dev.dma_mask)
+               pdev->dev.dma_mask = &pdev->dev.coherent_dma_mask;
+-      rc = dma_set_mask_and_coherent(&pdev->dev, DMA_BIT_MASK(32));
++      rc = dma_set_mask_and_coherent(&pdev->dev, cfg_data->dma_mask);
+       if (rc) {
+               dev_err(&pdev->dev, "Unable to set DMA mask\n");
+               return rc;
+@@ -1266,7 +1278,7 @@ static int bcm2835_dma_probe(struct plat
+               return -EINVAL;
+       }
+-      od->cfg_data = of_id->data;
++      od->cfg_data = cfg_data;
+       /* Request DMA channel mask from device tree */
+       if (of_property_read_u32(pdev->dev.of_node,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0925-ARM-dts-Add-UART-skip-init-properties-for-U-boot.patch b/target/linux/bcm27xx/patches-5.4/950-0925-ARM-dts-Add-UART-skip-init-properties-for-U-boot.patch
new file mode 100644 (file)
index 0000000..be6c9dd
--- /dev/null
@@ -0,0 +1,35 @@
+From 197b4fbd218a0a12573cdfcb011eeb3f00765d96 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 17 Jul 2020 11:20:07 +0100
+Subject: [PATCH] ARM: dts: Add UART skip-init properties for U-boot
+
+U-boot can get stuck trying to initialise UARTs that aren't mapped
+to the pin header. There is no reason for U-boot not to rely on the
+initialisation by the firmware, so tag both UARTs with the u-boot
+magic boolean property "skip-init".
+
+See: https://github.com/raspberrypi/linux/pull/3731
+     https://lists.denx.de/pipermail/u-boot/2017-April/285606.html
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm270x-rpi.dtsi | 8 ++++++++
+ 1 file changed, 8 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm270x-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm270x-rpi.dtsi
+@@ -102,6 +102,14 @@
+       };
+ };
++&uart0 {
++      skip-init;
++};
++
++&uart1 {
++      skip-init;
++};
++
+ &txp {
+       status = "disabled";
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0926-drm-vc4-Remove-UIF-from-the-list-of-modifiers-return.patch b/target/linux/bcm27xx/patches-5.4/950-0926-drm-vc4-Remove-UIF-from-the-list-of-modifiers-return.patch
new file mode 100644 (file)
index 0000000..7ae22a7
--- /dev/null
@@ -0,0 +1,29 @@
+From 132454781a30f57ccb393443209def76dc0b572a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 28 Jul 2020 13:01:42 +0100
+Subject: [PATCH] drm/vc4: Remove UIF from the list of modifiers
+ returned by format_mod_supported
+
+FKMS was listing UIF in the supported modifiers from format_mod_supported
+when actually the pipeline doesn't support it. X was then choosing to
+use it, and that then failed to render.
+
+Remove references to UIF.
+
+https://github.com/raspberrypi/linux/issues/3665
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 1 -
+ 1 file changed, 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -718,7 +718,6 @@ static bool vc4_fkms_format_mod_supporte
+               switch (modifier) {
+               case DRM_FORMAT_MOD_BROADCOM_VC4_T_TILED:
+               case DRM_FORMAT_MOD_LINEAR:
+-              case DRM_FORMAT_MOD_BROADCOM_UIF:
+                       return true;
+               default:
+                       return false;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0927-ARM-proc-v7-Force-misalignment-of-early-stmia.patch b/target/linux/bcm27xx/patches-5.4/950-0927-ARM-proc-v7-Force-misalignment-of-early-stmia.patch
new file mode 100644 (file)
index 0000000..158ff69
--- /dev/null
@@ -0,0 +1,60 @@
+From 965cce6b1c6acc25d7cfd29ccf95c8ebbf1d7f57 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 29 Jul 2020 13:47:55 +0100
+Subject: [PATCH] ARM: proc-v7: Force misalignment of early stmia
+
+In an attempt to prevent the problem of CPUn not starting, explicitly
+misalign the scratch space used to save registers acros the cache
+invalidation.
+
+Notes:
+At this stage in the boot process the core is running with its cache
+disabled. Before enabling the cache its contents must be explicitly
+invalidated, a process that requires quite a few registers that the
+caller must preserve. Evidence suggests that something is writing a
+block of zeroes over that space at a time when all other cores should
+be idle, possibly some kind of write-combiner, and the misalignment is
+designed to disrupt any write-coalescing.
+
+In truth, I don't understand why this patch works, and when the failure
+is so random it is hard to be certain that this isn't just rolling the
+dice again. One interesting test would be to change the "addeq r12, #4"s
+to "addeq r12, #0"s determine see if the offset itself is significant or
+just the additional code.
+
+See: https://github.com/Hexxeh/rpi-firmware/issues/232
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/mm/proc-v7.S | 6 +++++-
+ 1 file changed, 5 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/mm/proc-v7.S
++++ b/arch/arm/mm/proc-v7.S
+@@ -287,6 +287,8 @@ __v7_ca17mp_setup:
+       mov     r10, #0
+ 1:    adr     r0, __v7_setup_stack_ptr
+       ldr     r12, [r0]
++      tst     r12, #0x1f
++      addeq   r12, r12, #4
+       add     r12, r12, r0                    @ the local stack
+       stmia   r12, {r1-r6, lr}                @ v7_invalidate_l1 touches r0-r6
+       bl      v7_invalidate_l1
+@@ -474,6 +476,8 @@ __v7_setup:
+       adr     r0, __v7_setup_stack_ptr
+       ldr     r12, [r0]
+       add     r12, r12, r0                    @ the local stack
++      tst     r12, #0x1f
++      addeq   r12, r12, #4
+       stmia   r12, {r1-r6, lr}                @ v7_invalidate_l1 touches r0-r6
+       bl      v7_invalidate_l1
+       ldmia   r12, {r1-r6, lr}
+@@ -557,7 +561,7 @@ ENDPROC(__v7_setup)
+       .bss
+       .align  2
+ __v7_setup_stack:
+-      .space  4 * 7                           @ 7 registers
++      .space  4 * 8                           @ 7 registers + 1 spare
+       __INITDATA
diff --git a/target/linux/bcm27xx/patches-5.4/950-0928-overlays-Fix-sc16is75x-overlays-w.r.t.-serdev.patch b/target/linux/bcm27xx/patches-5.4/950-0928-overlays-Fix-sc16is75x-overlays-w.r.t.-serdev.patch
new file mode 100644 (file)
index 0000000..ed9f1e1
--- /dev/null
@@ -0,0 +1,182 @@
+From a934bc7776953d7ce8e27c2d8720de58d5ceeeef Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 30 Jul 2020 15:13:09 +0100
+Subject: [PATCH] overlays: Fix sc16is75x overlays w.r.t. serdev
+
+Enabling serdev support in rpi-5.4.y had the unintended consequence of
+making any UART device node with a subnode look like a "serdev" node,
+which prevents it from having the usual /dev/ttyXXX character device.
+Solve the problem by moving the subnode (a static clock declaration)
+into the root node.
+
+At the same time, regularise (and sometimes correct) the overlays.
+
+See: https://github.com/raspberrypi/linux/issues/3765
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ .../dts/overlays/sc16is750-i2c-overlay.dts    | 23 +++++++++-------
+ .../dts/overlays/sc16is752-i2c-overlay.dts    | 27 ++++++++++---------
+ .../dts/overlays/sc16is752-spi0-overlay.dts   | 21 +++++++++------
+ .../dts/overlays/sc16is752-spi1-overlay.dts   |  6 ++---
+ 4 files changed, 45 insertions(+), 32 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/sc16is750-i2c-overlay.dts
++++ b/arch/arm/boot/dts/overlays/sc16is750-i2c-overlay.dts
+@@ -13,26 +13,31 @@
+                       sc16is750: sc16is750@48 {
+                               compatible = "nxp,sc16is750";
+-                              reg = <0x48>; /* address */
++                              reg = <0x48>; /* i2c address */
+                               clocks = <&sc16is750_clk>;
+                               interrupt-parent = <&gpio>;
+                               interrupts = <24 2>; /* IRQ_TYPE_EDGE_FALLING */
++                              gpio-controller;
+                               #gpio-cells = <2>;
+-
+-                              sc16is750_clk: sc16is750_clk {
+-                                      compatible = "fixed-clock";
+-                                      #clock-cells = <0>;
+-                                      clock-frequency = <14745600>;
+-                              };
++                              i2c-max-frequency = <400000>;
+                       };
+               };
+       };
++      fragment@1 {
++              target-path = "/";
++              __overlay__ {
++                      sc16is750_clk: sc16is750_i2c_clk@48 {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <14745600>;
++                      };
++              };
++      };
+       __overrides__ {
+               int_pin = <&sc16is750>,"interrupts:0";
+-              addr = <&sc16is750>,"reg:0",<&sc16is750_clk>,"name";
++              addr = <&sc16is750>,"reg:0", <&sc16is750_clk>,"name";
+               xtal = <&sc16is750_clk>,"clock-frequency:0";
+       };
+-
+ };
+--- a/arch/arm/boot/dts/overlays/sc16is752-i2c-overlay.dts
++++ b/arch/arm/boot/dts/overlays/sc16is752-i2c-overlay.dts
+@@ -5,29 +5,32 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target = <&i2c1>;
+-
+-              frag1: __overlay__ {
++              target = <&i2c_arm>;
++              __overlay__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+                       status = "okay";
+                       sc16is752: sc16is752@48 {
+                               compatible = "nxp,sc16is752";
+-                              reg = <0x48>; // i2c address
++                              reg = <0x48>; /* i2c address */
+                               clocks = <&sc16is752_clk>;
+                               interrupt-parent = <&gpio>;
+-                              interrupts = <24 0x2>; /* IRQ_TYPE_EDGE_FALLING */
++                              interrupts = <24 2>; /* IRQ_TYPE_EDGE_FALLING */
+                               gpio-controller;
+-                              #gpio-cells = <0>;
++                              #gpio-cells = <2>;
+                               i2c-max-frequency = <400000>;
+-                              status = "okay";
++                      };
++              };
++      };
+-                              sc16is752_clk: sc16is752_clk {
+-                                      compatible = "fixed-clock";
+-                                      #clock-cells = <0>;
+-                                      clock-frequency = <14745600>;
+-                              };
++      fragment@1 {
++              target-path = "/";
++              __overlay__ {
++                      sc16is752_clk: sc16is752_i2c_clk@48 {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <14745600>;
+                       };
+               };
+       };
+--- a/arch/arm/boot/dts/overlays/sc16is752-spi0-overlay.dts
++++ b/arch/arm/boot/dts/overlays/sc16is752-spi0-overlay.dts
+@@ -17,15 +17,9 @@
+                               clocks = <&sc16is752_clk>;
+                               interrupt-parent = <&gpio>;
+                               interrupts = <24 2>; /* IRQ_TYPE_EDGE_FALLING */
+-                              #gpio-controller;
++                              gpio-controller;
+                               #gpio-cells = <2>;
+                               spi-max-frequency = <4000000>;
+-
+-                              sc16is752_clk: sc16is752_clk {
+-                                      compatible = "fixed-clock";
+-                                      #clock-cells = <0>;
+-                                      clock-frequency = <14745600>;
+-                              };
+                       };
+               };
+       };
+@@ -37,8 +31,19 @@
+               };
+       };
++      fragment@2 {
++              target-path = "/";
++              __overlay__ {
++                      sc16is752_clk: sc16is752_spi0_0_clk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <14745600>;
++                      };
++              };
++      };
++
+       __overrides__ {
+               int_pin = <&sc16is752>,"interrupts:0";
+-              xtal = <&sc16is752_clk>, "clock-frequency:0";
++              xtal = <&sc16is752_clk>,"clock-frequency:0";
+       };
+ };
+--- a/arch/arm/boot/dts/overlays/sc16is752-spi1-overlay.dts
++++ b/arch/arm/boot/dts/overlays/sc16is752-spi1-overlay.dts
+@@ -21,7 +21,7 @@
+       fragment@1 {
+               target = <&spi1>;
+-              frag1: __overlay__ {
++              __overlay__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+                       pinctrl-names = "default";
+@@ -35,7 +35,7 @@
+                               clocks = <&sc16is752_clk>;
+                               interrupt-parent = <&gpio>;
+                               interrupts = <24 2>; /* IRQ_TYPE_EDGE_FALLING */
+-                              #gpio-controller;
++                              gpio-controller;
+                               #gpio-cells = <2>;
+                               spi-max-frequency = <4000000>;
+                       };
+@@ -52,7 +52,7 @@
+       fragment@3 {
+               target-path = "/";
+               __overlay__ {
+-                      sc16is752_clk: sc16is752_spi1_clk {
++                      sc16is752_clk: sc16is752_spi1_0_clk {
+                               compatible = "fixed-clock";
+                               #clock-cells = <0>;
+                               clock-frequency = <14745600>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0929-overlays-Delete-spi0-hw-cs.patch b/target/linux/bcm27xx/patches-5.4/950-0929-overlays-Delete-spi0-hw-cs.patch
new file mode 100644 (file)
index 0000000..044a3ad
--- /dev/null
@@ -0,0 +1,87 @@
+From 9fcbc40311f8de3f7a0a27155f310ff19e150d76 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 30 Jul 2020 18:06:24 +0100
+Subject: [PATCH] overlays: Delete spi0-hw-cs
+
+The spi0-hw-cs overlay is unnecessary (and actually harmful) with the
+current kernels. Delete it, leaving a note in the README and a
+deprecation message from the firmware:
+
+    dterror: overlay 'spi0-hw-cs' is deprecated: no longer necessary
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  1 -
+ arch/arm/boot/dts/overlays/README             |  6 ++---
+ arch/arm/boot/dts/overlays/overlay_map.dts    |  4 +++
+ .../boot/dts/overlays/spi0-hw-cs-overlay.dts  | 26 -------------------
+ 4 files changed, 7 insertions(+), 30 deletions(-)
+ delete mode 100644 arch/arm/boot/dts/overlays/spi0-hw-cs-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -159,7 +159,6 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       spi-gpio40-45.dtbo \
+       spi-rtc.dtbo \
+       spi0-cs.dtbo \
+-      spi0-hw-cs.dtbo \
+       spi1-1cs.dtbo \
+       spi1-2cs.dtbo \
+       spi1-3cs.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2346,9 +2346,9 @@ Params: cs0_pin                 GPIO pin
+ Name:   spi0-hw-cs
+-Info:   Re-enables hardware CS/CE (chip selects) for SPI0
+-Load:   dtoverlay=spi0-hw-cs
+-Params: <None>
++Info:   This overlay has been deprecated and removed because it is no longer
++        necessary and has been seen to prevent spi0 from working.
++Load:   <Deprecated>
+ Name:   spi1-1cs
+--- a/arch/arm/boot/dts/overlays/overlay_map.dts
++++ b/arch/arm/boot/dts/overlays/overlay_map.dts
+@@ -61,6 +61,10 @@
+               deprecated = "use sdio,bus_width=1,gpios_22_25";
+       };
++      spi0-hw-cs {
++              deprecated = "no longer necessary";
++      };
++
+       spi3-1cs {
+               bcm2711;
+       };
+--- a/arch/arm/boot/dts/overlays/spi0-hw-cs-overlay.dts
++++ /dev/null
+@@ -1,26 +0,0 @@
+-/*
+- * Device tree overlay to re-enable hardware CS for SPI0
+- */
+-
+-/dts-v1/;
+-/plugin/;
+-
+-/ {
+-      compatible = "brcm,bcm2835";
+-
+-      fragment@0 {
+-              target = <&spi0>;
+-              __overlay__ {
+-                      cs-gpios = <0>, <0>;
+-                      status = "okay";
+-              };
+-      };
+-
+-      fragment@1 {
+-              target = <&spi0_cs_pins>;
+-              __overlay__ {
+-                      brcm,pins = <8 7>;
+-                      brcm,function = <4>; /* alt0 */
+-              };
+-      };
+-};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0930-backlight-gpio-Explicitly-set-the-direction-of-the-G.patch b/target/linux/bcm27xx/patches-5.4/950-0930-backlight-gpio-Explicitly-set-the-direction-of-the-G.patch
new file mode 100644 (file)
index 0000000..d016cda
--- /dev/null
@@ -0,0 +1,88 @@
+From aa455fdc2495cb05b65cc03cc472de43df632c5c Mon Sep 17 00:00:00 2001
+From: Bartosz Golaszewski <bgolaszewski@baylibre.com>
+Date: Tue, 22 Oct 2019 10:36:24 +0200
+Subject: [PATCH] backlight: gpio: Explicitly set the direction of
+ the GPIO
+
+commit 706dc68102bc7421a9e6573d149ab6d769d71cc7 upstream.
+
+The GPIO backlight driver currently requests the line 'as is', without
+acively setting its direction. This can lead to problems: if the line
+is in input mode by default, we won't be able to drive it later when
+updating the status and also reading its initial value doesn't make
+sense for backlight setting.
+
+Request the line 'as is' initially, so that we can read its value
+without affecting it but then change the direction to output explicitly
+when setting the initial brightness.
+
+Also: check the current direction and only read the value if it's output.
+
+Signed-off-by: Bartosz Golaszewski <bgolaszewski@baylibre.com>
+Reviewed-by: Daniel Thompson <daniel.thompson@linaro.org>
+Signed-off-by: Lee Jones <lee.jones@linaro.org>
+---
+ drivers/video/backlight/gpio_backlight.c | 23 ++++++++++++++++++-----
+ 1 file changed, 18 insertions(+), 5 deletions(-)
+
+--- a/drivers/video/backlight/gpio_backlight.c
++++ b/drivers/video/backlight/gpio_backlight.c
+@@ -26,9 +26,8 @@ struct gpio_backlight {
+       int def_value;
+ };
+-static int gpio_backlight_update_status(struct backlight_device *bl)
++static int gpio_backlight_get_next_brightness(struct backlight_device *bl)
+ {
+-      struct gpio_backlight *gbl = bl_get_data(bl);
+       int brightness = bl->props.brightness;
+       if (bl->props.power != FB_BLANK_UNBLANK ||
+@@ -36,6 +35,14 @@ static int gpio_backlight_update_status(
+           bl->props.state & (BL_CORE_SUSPENDED | BL_CORE_FBBLANK))
+               brightness = 0;
++      return brightness;
++}
++
++static int gpio_backlight_update_status(struct backlight_device *bl)
++{
++      struct gpio_backlight *gbl = bl_get_data(bl);
++      int brightness = gpio_backlight_get_next_brightness(bl);
++
+       gpiod_set_value_cansleep(gbl->gpiod, brightness);
+       return 0;
+@@ -86,7 +93,8 @@ static int gpio_backlight_initial_power_
+               return gbl->def_value ? FB_BLANK_UNBLANK : FB_BLANK_POWERDOWN;
+       /* if the enable GPIO is disabled, do not enable the backlight */
+-      if (gpiod_get_value_cansleep(gbl->gpiod) == 0)
++      if (gpiod_get_direction(gbl->gpiod) == 0 &&
++          gpiod_get_value_cansleep(gbl->gpiod) == 0)
+               return FB_BLANK_POWERDOWN;
+       return FB_BLANK_UNBLANK;
+@@ -100,7 +108,7 @@ static int gpio_backlight_probe(struct p
+       struct backlight_properties props;
+       struct backlight_device *bl;
+       struct gpio_backlight *gbl;
+-      int ret;
++      int ret, init_brightness;
+       gbl = devm_kzalloc(&pdev->dev, sizeof(*gbl), GFP_KERNEL);
+       if (gbl == NULL)
+@@ -153,7 +161,12 @@ static int gpio_backlight_probe(struct p
+       bl->props.power = gpio_backlight_initial_power_state(gbl);
+       bl->props.brightness = 1;
+-      backlight_update_status(bl);
++      init_brightness = gpio_backlight_get_next_brightness(bl);
++      ret = gpiod_direction_output(gbl->gpiod, init_brightness);
++      if (ret) {
++              dev_err(&pdev->dev, "failed to set initial brightness\n");
++              return ret;
++      }
+       platform_set_drvdata(pdev, bl);
+       return 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0931-overlays-Add-maxtherm-overlay-for-MAX6675-31855.patch b/target/linux/bcm27xx/patches-5.4/950-0931-overlays-Add-maxtherm-overlay-for-MAX6675-31855.patch
new file mode 100644 (file)
index 0000000..882cb8d
--- /dev/null
@@ -0,0 +1,238 @@
+From 56e726d1631c9551530b8db4127352c64c3cb94d Mon Sep 17 00:00:00 2001
+From: Dougie Lawson <dl1ims@gmail.com>
+Date: Mon, 27 Jul 2020 23:52:40 +0100
+Subject: [PATCH] overlays: Add maxtherm overlay for MAX6675/31855
+
+Add an overlay - maxtherm - to support the MAX6675 and MAX31855 family
+of thermocouples.
+
+Developed from an original set of overlays by Dougie Lawson.
+
+See: https://github.com/raspberrypi/linux/pull/3763
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |  30 ++++
+ .../boot/dts/overlays/maxtherm-overlay.dts    | 166 ++++++++++++++++++
+ 3 files changed, 197 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/maxtherm-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -98,6 +98,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       justboom-digi.dtbo \
+       ltc294x.dtbo \
+       max98357a.dtbo \
++      maxtherm.dtbo \
+       mbed-dac.dtbo \
+       mcp23017.dtbo \
+       mcp23s17.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1622,6 +1622,36 @@ Params: no-sdmode               Driver d
+                                 of the DAC (default GPIO4 if parameter omitted).
++Name:   maxtherm
++Info:   Configure a MAX6675 or MAX31855 thermocouple as an IIO device.
++
++        For devices on spi1 or spi2, the interfaces should be enabled
++        with one of the spi1-1/2/3cs and/or spi2-1/2/3cs overlays.
++        The overlay expects to disable the relevant spidev node, so also using
++        e.g. cs0_spidev=off is unnecessary.
++
++        Note:   with the 5.7 kernel (and later) there will also be
++                overlays for MAX31855E, MAX31855J, MAX31855K,
++                MAX31885N, MAX31855R, MAX31855S and MAX31855T.
++
++        Example:
++        MAX31855 on /dev/spidev0.0
++            dtoverlay=maxtherm,spi0-0,max31855
++
++Load:   dtoverlay=maxtherm,<param>=<val>
++Params: spi<n>-<m>              Configure device at spi<n>, cs<m>
++                                (boolean, required)
++        max6675                 Enable support for the MAX6675 (default)
++        max31855                Enable support for the MAX31855
++        max31855e               Enable support for the MAX31855E
++        max31855j               Enable support for the MAX31855J
++        max31855k               Enable support for the MAX31855K
++        max31855n               Enable support for the MAX31855N
++        max31855r               Enable support for the MAX31855R
++        max31855s               Enable support for the MAX31855S
++        max31855t               Enable support for the MAX31855T
++
++
+ Name:   mbed-dac
+ Info:   Configures the mbed AudioCODEC (TLV320AIC23B)
+ Load:   dtoverlay=mbed-dac
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/maxtherm-overlay.dts
+@@ -0,0 +1,166 @@
++/*
++ * Universal device tree overlay for SPI devices
++ */
++
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&spidev0>;
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@1 {
++              target = <&spidev1>;
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@2 {
++              target-path = "spi1/spidev@0";
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@3 {
++              target-path = "spi1/spidev@1";
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@4 {
++              target-path = "spi1/spidev@2";
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@5 {
++              target-path = "spi2/spidev@0";
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@6 {
++              target-path = "spi2/spidev@1";
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@7 {
++              target-path = "spi2/spidev@2";
++              __dormant__ {
++                      status = "disabled";
++              };
++      };
++
++      maxfrag: fragment@8 {
++              target = <&spi0>;
++              __overlay__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      max: maxtherm@0 {
++                              compatible = "maxim,max6675";
++                              reg = <0>;
++                              spi-max-frequency = <500000>;
++                      };
++              };
++      };
++
++      fragment@9 {
++              target = <&max>;
++              __dormant__ {
++                      compatible = "maxim,max31855e", "maxim,max31855";
++              };
++      };
++
++      fragment@10 {
++              target = <&max>;
++              __dormant__ {
++                      compatible = "maxim,max31855j", "maxim,max31855";
++              };
++      };
++
++      fragment@11 {
++              target = <&max>;
++              __dormant__ {
++                      compatible = "maxim,max31855k", "maxim,max31855";
++              };
++      };
++
++      fragment@12 {
++              target = <&max>;
++              __dormant__ {
++                      compatible = "maxim,max31855n", "maxim,max31855";
++              };
++      };
++
++      fragment@13 {
++              target = <&max>;
++              __dormant__ {
++                      compatible = "maxim,max31855r", "maxim,max31855";
++              };
++      };
++
++      fragment@14 {
++              target = <&max>;
++              __dormant__ {
++                      compatible = "maxim,max31855s", "maxim,max31855";
++              };
++      };
++
++      fragment@15 {
++              target = <&max>;
++              __dormant__ {
++                      compatible = "maxim,max31855t", "maxim,max31855";
++              };
++      };
++
++      __overrides__ {
++              spi0-0 = <0>, "+0",
++                       <&maxfrag>,"target:0=",<&spi0>,
++                       <&max>,"reg:0=0";
++              spi0-1 = <0>, "+1",
++                       <&maxfrag>,"target:0=",<&spi0>,
++                       <&max>,"reg:0=1";
++              spi1-0 = <0>, "+2",
++                       <&maxfrag>,"target:0=",<&spi1>,
++                       <&max>,"reg:0=0";
++              spi1-1 = <0>, "+3",
++                       <&maxfrag>,"target:0=",<&spi1>,
++                       <&max>,"reg:0=1";
++              spi1-2 = <0>, "+4",
++                       <&maxfrag>,"target:0=",<&spi1>,
++                       <&max>,"reg:0=2";
++              spi2-0 = <0>, "+5",
++                       <&maxfrag>,"target:0=",<&spi2>,
++                       <&max>,"reg:0=0";
++              spi2-1 = <0>, "+6",
++                       <&maxfrag>,"target:0=",<&spi2>,
++                       <&max>,"reg:0=1";
++              spi2-2 = <0>, "+7",
++                       <&maxfrag>,"target:0=",<&spi2>,
++                       <&max>,"reg:0=2";
++              max6675 = <&max>,"compatible=maxim,max6675";
++              max31855 = <&max>,"compatible=maxim,max31855";
++              max31855e = <0>,"+9";
++              max31855j = <0>,"+10";
++              max31855k = <0>,"+11";
++              max31855n = <0>,"+12";
++              max31855r = <0>,"+13";
++              max31855s = <0>,"+14";
++              max31855t = <0>,"+15";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0932-dtoverlays-Add-the-iio_hwmon-driver-to-correct-ADC-i.patch b/target/linux/bcm27xx/patches-5.4/950-0932-dtoverlays-Add-the-iio_hwmon-driver-to-correct-ADC-i.patch
new file mode 100644 (file)
index 0000000..1005396
--- /dev/null
@@ -0,0 +1,40 @@
+From 8bfdbba339bd363633e2232777fd749000011a41 Mon Sep 17 00:00:00 2001
+From: Annaliese McDermond <nh6z@nh6z.net>
+Date: Sun, 2 Aug 2020 18:25:07 +0000
+Subject: [PATCH] dtoverlays: Add the iio_hwmon driver to correct ADC
+ issues
+
+The Linux kernel maintainers removed the hwmon driver for the
+ads1015 used on this board.  They deprecated it in favor of using
+the IIO version of the driver with the iio_hwmon bridge.  This
+patch updates the DRAWS dtoverlay to support that usage.
+
+Signed-off-by: Annaliese McDermond <nh6z@nh6z.net>
+---
+ arch/arm/boot/dts/overlays/draws-overlay.dts | 8 ++++++++
+ 1 file changed, 8 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/draws-overlay.dts
++++ b/arch/arm/boot/dts/overlays/draws-overlay.dts
+@@ -45,6 +45,13 @@
+                 gpios = <&gpio 7 0>;
+                 status = "okay";
+             };
++
++            iio-hwmon {
++                compatible = "iio-hwmon";
++                status = "okay";
++                io-channels = <&tla2024 4>, <&tla2024 5>, <&tla2024 6>,
++                              <&tla2024 7>;
++            };
+         };
+     };
+@@ -91,6 +98,7 @@
+                 reg = <0x48>;
+                 #address-cells = <1>;
+                 #size-cells = <0>;
++                #io-channel-cells = <1>;
+                 adc_ch4: channel@4 {
+                     reg = <4>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0933-dts-bcm2711-Disable-DVP-by-default.patch b/target/linux/bcm27xx/patches-5.4/950-0933-dts-bcm2711-Disable-DVP-by-default.patch
new file mode 100644 (file)
index 0000000..9e4612e
--- /dev/null
@@ -0,0 +1,44 @@
+From c74f523a5af1d9d3a6f9aee05585e52a78a79f53 Mon Sep 17 00:00:00 2001
+From: Tim Gover <tim.gover@raspberrypi.com>
+Date: Fri, 7 Aug 2020 13:55:18 +0100
+Subject: [PATCH] dts: bcm2711: Disable DVP by default
+
+The HDMI DVP should be disabled by default as is the case for other
+display related drivers. This changes resolves an issue when using
+the legacy firmware display driver where the DVP caused the 108 MHz
+clock in HDMI TX to be gated off when Linux started. This effectively
+stopped the firmware from being able to change the HDMI analog PHY
+registers.
+
+Add a fragment to re-enable this in vc4-kms-v3d-pi4-overlay
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi                     | 1 +
+ arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts | 7 +++++++
+ 2 files changed, 8 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -46,6 +46,7 @@
+                       clocks = <&clk_108MHz>;
+                       #clock-cells = <1>;
+                       #reset-cells = <1>;
++                      status = "disabled";
+               };
+               hdmi0: hdmi@7ef00700 {
+--- a/arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
++++ b/arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
+@@ -145,6 +145,13 @@
+               };
+       };
++      fragment@20 {
++              target = <&dvp>;
++              __overlay__  {
++                      status = "okay";
++              };
++      };
++
+       __overrides__ {
+               audio   = <0>,"!17";
+               audio1   = <0>,"!18";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0934-ARM-dts-Add-required-USB-power-domain-for-XCHI.patch b/target/linux/bcm27xx/patches-5.4/950-0934-ARM-dts-Add-required-USB-power-domain-for-XCHI.patch
new file mode 100644 (file)
index 0000000..28cb8e7
--- /dev/null
@@ -0,0 +1,24 @@
+From 0ce033a8b915cd72b002505dd7b7ff90c36def02 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 5 Aug 2020 17:35:48 +0100
+Subject: [PATCH] ARM: dts: Add required USB power domain for XCHI
+
+The firmware setting otg_mode=1 can be used to enable the onboard XHCI
+controller in host mode, but that requires that the USB power domain
+is enabled.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -217,6 +217,7 @@
+               status = "disabled";
+               reg = <0x0 0x7e9c0000  0x0 0x100000>;
+               interrupts = <GIC_SPI 176 IRQ_TYPE_LEVEL_HIGH>;
++              power-domains = <&power RPI_POWER_DOMAIN_USB>;
+       };
+       hevc-decoder@7eb00000 {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0935-overlays-Regenerate-upstream-pi4.patch b/target/linux/bcm27xx/patches-5.4/950-0935-overlays-Regenerate-upstream-pi4.patch
new file mode 100644 (file)
index 0000000..4293909
--- /dev/null
@@ -0,0 +1,28 @@
+From a5dd8f7ddc00f0f4e58f56b729b7e0066edf4e71 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 12 Aug 2020 10:15:52 +0100
+Subject: [PATCH] overlays: Regenerate upstream-pi4
+
+The recent modification to vc4-kms-v3d-pi4 also results in a change
+to the Pi 4 version of the upstream overlay.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts | 6 ++++++
+ 1 file changed, 6 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
++++ b/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
+@@ -128,6 +128,12 @@
+               };
+       };
+       fragment@20 {
++              target = <&dvp>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@21 {
+               target = <&usb>;
+               #address-cells = <1>;
+               #size-cells = <1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0936-drm-vc4-Increase-the-number-of-planes-per-crtc-in-FK.patch b/target/linux/bcm27xx/patches-5.4/950-0936-drm-vc4-Increase-the-number-of-planes-per-crtc-in-FK.patch
new file mode 100644 (file)
index 0000000..b2b1e7f
--- /dev/null
@@ -0,0 +1,105 @@
+From 078e6dfcff1fc4ef0ee3b29a5f94403624c2e7ac Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Mon, 20 Jul 2020 16:42:57 +0100
+Subject: [PATCH] drm/vc4: Increase the number of planes per crtc in
+ FKMS.
+
+The number assigned was arbitrary as one primary, one overlay,
+and one cursor.
+The number has to be below the DRM limit of 32 planes total,
+and the current firmware API limit of 16 planes total.
+
+Increase the number to 8 planes per crtc (1 primary,
+6 overlay, and a cursor).
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 54 ++++++++++----------------
+ 1 file changed, 21 insertions(+), 33 deletions(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -48,7 +48,7 @@ struct vc4_fkms {
+       bool bcm2711;
+ };
+-#define PLANES_PER_CRTC               3
++#define PLANES_PER_CRTC               8
+ struct set_plane {
+       u8 display;
+@@ -1742,7 +1742,6 @@ static int vc4_fkms_create_screen(struct
+       struct vc4_crtc *vc4_crtc;
+       struct vc4_fkms_encoder *vc4_encoder;
+       struct drm_crtc *crtc;
+-      struct drm_plane *primary_plane, *overlay_plane, *cursor_plane;
+       struct drm_plane *destroy_plane, *temp;
+       struct mailbox_blank_display blank = {
+               .tag1 = {RPI_FIRMWARE_FRAMEBUFFER_SET_DISPLAY_NUM, 4, 0, },
+@@ -1750,7 +1749,8 @@ static int vc4_fkms_create_screen(struct
+               .tag2 = { RPI_FIRMWARE_FRAMEBUFFER_BLANK, 4, 0, },
+               .blank = 1,
+       };
+-      int ret;
++      struct drm_plane *planes[PLANES_PER_CRTC];
++      int ret, i;
+       vc4_crtc = devm_kzalloc(dev, sizeof(*vc4_crtc), GFP_KERNEL);
+       if (!vc4_crtc)
+@@ -1763,38 +1763,26 @@ static int vc4_fkms_create_screen(struct
+       /* Blank the firmware provided framebuffer */
+       rpi_firmware_property_list(vc4->firmware, &blank, sizeof(blank));
+-      primary_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_PRIMARY,
+-                                          display_ref,
+-                                          0 + (display_idx * PLANES_PER_CRTC)
+-                                         );
+-      if (IS_ERR(primary_plane)) {
+-              dev_err(dev, "failed to construct primary plane\n");
+-              ret = PTR_ERR(primary_plane);
+-              goto err;
+-      }
+-
+-      overlay_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_OVERLAY,
+-                                          display_ref,
+-                                          1 + (display_idx * PLANES_PER_CRTC)
+-                                         );
+-      if (IS_ERR(overlay_plane)) {
+-              dev_err(dev, "failed to construct overlay plane\n");
+-              ret = PTR_ERR(overlay_plane);
+-              goto err;
+-      }
+-
+-      cursor_plane = vc4_fkms_plane_init(drm, DRM_PLANE_TYPE_CURSOR,
+-                                         display_ref,
+-                                         2 + (display_idx * PLANES_PER_CRTC)
+-                                        );
+-      if (IS_ERR(cursor_plane)) {
+-              dev_err(dev, "failed to construct cursor plane\n");
+-              ret = PTR_ERR(cursor_plane);
+-              goto err;
++      for (i = 0; i < PLANES_PER_CRTC; i++) {
++              planes[i] = vc4_fkms_plane_init(drm,
++                                              (i == 0) ?
++                                                DRM_PLANE_TYPE_PRIMARY :
++                                                (i == PLANES_PER_CRTC - 1) ?
++                                                      DRM_PLANE_TYPE_CURSOR :
++                                                      DRM_PLANE_TYPE_OVERLAY,
++                                              display_ref,
++                                              i + (display_idx * PLANES_PER_CRTC)
++                                             );
++              if (IS_ERR(planes[i])) {
++                      dev_err(dev, "failed to construct plane %u\n", i);
++                      ret = PTR_ERR(planes[i]);
++                      goto err;
++              }
+       }
+-      drm_crtc_init_with_planes(drm, crtc, primary_plane, cursor_plane,
+-                                &vc4_crtc_funcs, NULL);
++      drm_crtc_init_with_planes(drm, crtc, planes[0],
++                                planes[PLANES_PER_CRTC - 1], &vc4_crtc_funcs,
++                                NULL);
+       drm_crtc_helper_add(crtc, &vc4_crtc_helper_funcs);
+       vc4_encoder = devm_kzalloc(dev, sizeof(*vc4_encoder), GFP_KERNEL);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0937-drm-vc4-Set-the-possible-crtcs-mask-correctly-for-pl.patch b/target/linux/bcm27xx/patches-5.4/950-0937-drm-vc4-Set-the-possible-crtcs-mask-correctly-for-pl.patch
new file mode 100644 (file)
index 0000000..9f020f7
--- /dev/null
@@ -0,0 +1,40 @@
+From 84a67330f3457469bc42f203111fc5ad800c506b Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 13 Aug 2020 18:29:56 +0100
+Subject: [PATCH] drm/vc4: Set the possible crtcs mask correctly for
+ planes with FKMS
+
+The driver was assigning all planes to crtcs when actually they're
+mapped to a specific crtc.
+
+Correct the mask.
+
+https://github.com/raspberrypi/linux/issues/3734
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/gpu/drm/vc4/vc4_firmware_kms.c | 6 +++++-
+ 1 file changed, 5 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpu/drm/vc4/vc4_firmware_kms.c
++++ b/drivers/gpu/drm/vc4/vc4_firmware_kms.c
+@@ -816,7 +816,7 @@ static struct drm_plane *vc4_fkms_plane_
+               formats[num_formats++] = vc_image_formats[i].drm;
+       plane = &vc4_plane->base;
+-      ret = drm_universal_plane_init(dev, plane, 0xff,
++      ret = drm_universal_plane_init(dev, plane, 0,
+                                      &vc4_plane_funcs,
+                                      formats, num_formats, modifiers,
+                                      type, NULL);
+@@ -1785,6 +1785,10 @@ static int vc4_fkms_create_screen(struct
+                                 NULL);
+       drm_crtc_helper_add(crtc, &vc4_crtc_helper_funcs);
++      /* Update the possible_crtcs mask for the overlay plane(s) */
++      for (i = 1; i < (PLANES_PER_CRTC - 1); i++)
++              planes[i]->possible_crtcs = drm_crtc_mask(crtc);
++
+       vc4_encoder = devm_kzalloc(dev, sizeof(*vc4_encoder), GFP_KERNEL);
+       if (!vc4_encoder)
+               return -ENOMEM;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0938-staging-vc04_services-codec-Fix-incorrect-buffer-cle.patch b/target/linux/bcm27xx/patches-5.4/950-0938-staging-vc04_services-codec-Fix-incorrect-buffer-cle.patch
new file mode 100644 (file)
index 0000000..14736dc
--- /dev/null
@@ -0,0 +1,52 @@
+From 84fa15b87a5f938c064ee2d9fca43248865ffbec Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 13 Aug 2020 16:58:18 +0100
+Subject: [PATCH] staging: vc04_services: codec: Fix incorrect buffer
+ cleanup
+
+The allocated input and output buffers are initialised in
+buf_init and should only be cleared up in buf_cleanup.
+stop_streaming was (incorrectly) cleaning up the buffers to
+avoid an issue in videobuf2 that had been fixed by the orphaned
+buffer support.
+
+Remove the erroneous cleanup.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c          | 17 +----------------
+ 1 file changed, 1 insertion(+), 16 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -2320,10 +2320,7 @@ static void bcm2835_codec_stop_streaming
+       struct bcm2835_codec_q_data *q_data = get_q_data(ctx, q->type);
+       struct vchiq_mmal_port *port = get_port_data(ctx, q->type);
+       struct vb2_v4l2_buffer *vbuf;
+-      struct vb2_v4l2_buffer *vb2;
+-      struct v4l2_m2m_buffer *m2m;
+-      struct m2m_mmal_buffer *buf;
+-      int ret, i;
++      int ret;
+       v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: type: %d - return buffers\n",
+                __func__, q->type);
+@@ -2363,18 +2360,6 @@ static void bcm2835_codec_stop_streaming
+               }
+       }
+-      /*
+-       * Release the VCSM handle here as otherwise REQBUFS(0) aborts because
+-       * someone is using the dmabuf before giving the driver a chance to do
+-       * anything about it.
+-       */
+-      for (i = 0; i < q->num_buffers; i++) {
+-              vb2 = to_vb2_v4l2_buffer(q->bufs[i]);
+-              m2m = container_of(vb2, struct v4l2_m2m_buffer, vb);
+-              buf = container_of(m2m, struct m2m_mmal_buffer, m2m);
+-
+-              bcm2835_codec_mmal_buf_cleanup(&buf->mmal);
+-      }
+       /* If both ports disabled, then disable the component */
+       if (!ctx->component->input[0].enabled &&
diff --git a/target/linux/bcm27xx/patches-5.4/950-0939-staging-vc04_service-codec-Allow-start_streaming-to-.patch b/target/linux/bcm27xx/patches-5.4/950-0939-staging-vc04_service-codec-Allow-start_streaming-to-.patch
new file mode 100644 (file)
index 0000000..66a551d
--- /dev/null
@@ -0,0 +1,70 @@
+From d88ef7d22cac032c4ddf7e4b8af5982d5a3019cb Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 13 Aug 2020 17:01:27 +0100
+Subject: [PATCH] staging: vc04_service: codec: Allow start_streaming
+ to update the buffernum
+
+start_streaming passes a count of how many buffers have been queued
+to videobuf2.
+
+Allow this value to update the number of buffers the VPU allocates
+on a port to avoid buffer recycling issues.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../bcm2835-codec/bcm2835-v4l2-codec.c        | 23 +++++++++++++++----
+ 1 file changed, 19 insertions(+), 4 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -2268,6 +2268,7 @@ static int bcm2835_codec_start_streaming
+       struct bcm2835_codec_ctx *ctx = vb2_get_drv_priv(q);
+       struct bcm2835_codec_dev *dev = ctx->dev;
+       struct bcm2835_codec_q_data *q_data = get_q_data(ctx, q->type);
++      struct vchiq_mmal_port *port = get_port_data(ctx, q->type);
+       int ret;
+       v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: type: %d count %d\n",
+@@ -2283,6 +2284,20 @@ static int bcm2835_codec_start_streaming
+               ctx->component_enabled = true;
+       }
++      if (count < port->minimum_buffer.num)
++              count = port->minimum_buffer.num;
++
++      if (port->current_buffer.num != count + 1) {
++              v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: ctx:%p, buffer count changed %u to %u\n",
++                       __func__, ctx, port->current_buffer.num, count + 1);
++
++              port->current_buffer.num = count + 1;
++              ret = vchiq_mmal_port_set_format(dev->instance, port);
++              if (ret)
++                      v4l2_err(&ctx->dev->v4l2_dev, "%s: Error updating buffer count, ret %d\n",
++                               __func__, ret);
++      }
++
+       if (q->type == V4L2_BUF_TYPE_VIDEO_OUTPUT_MPLANE) {
+               /*
+                * Create the EOS buffer.
+@@ -2294,17 +2309,17 @@ static int bcm2835_codec_start_streaming
+                                     &q_data->eos_buffer.mmal);
+               q_data->eos_buffer_in_use = false;
+-              ctx->component->input[0].cb_ctx = ctx;
++              port->cb_ctx = ctx;
+               ret = vchiq_mmal_port_enable(dev->instance,
+-                                           &ctx->component->input[0],
++                                           port,
+                                            ip_buffer_cb);
+               if (ret)
+                       v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed enabling i/p port, ret %d\n",
+                                __func__, ret);
+       } else {
+-              ctx->component->output[0].cb_ctx = ctx;
++              port->cb_ctx = ctx;
+               ret = vchiq_mmal_port_enable(dev->instance,
+-                                           &ctx->component->output[0],
++                                           port,
+                                            op_buffer_cb);
+               if (ret)
+                       v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed enabling o/p port, ret %d\n",
diff --git a/target/linux/bcm27xx/patches-5.4/950-0940-staging-vc04_services-codec-Fix-component-enable-dis.patch b/target/linux/bcm27xx/patches-5.4/950-0940-staging-vc04_services-codec-Fix-component-enable-dis.patch
new file mode 100644 (file)
index 0000000..16c1cd7
--- /dev/null
@@ -0,0 +1,38 @@
+From 764c8052ce21a56a55dc25dbc6ee0c075952cdeb Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 13 Aug 2020 17:04:53 +0100
+Subject: [PATCH] staging: vc04_services: codec: Fix component
+ enable/disable
+
+start_streaming enabled the VPU component if ctx->component_enabled
+was not set.
+stop_streaming disabled the VPU component if both ports were
+disabled. It didn't clear ctx->component_enabled.
+
+If seeking, this meant that the component never got re-enabled,
+and buffers never got processed afterwards.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c  | 4 +++-
+ 1 file changed, 3 insertions(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -2377,13 +2377,15 @@ static void bcm2835_codec_stop_streaming
+       /* If both ports disabled, then disable the component */
+-      if (!ctx->component->input[0].enabled &&
++      if (ctx->component_enabled &&
++          !ctx->component->input[0].enabled &&
+           !ctx->component->output[0].enabled) {
+               ret = vchiq_mmal_component_disable(dev->instance,
+                                                  ctx->component);
+               if (ret)
+                       v4l2_err(&ctx->dev->v4l2_dev, "%s: Failed enabling component, ret %d\n",
+                                __func__, ret);
++              ctx->component_enabled = false;
+       }
+       if (V4L2_TYPE_IS_OUTPUT(q->type))
diff --git a/target/linux/bcm27xx/patches-5.4/950-0941-update-rpi-display-overlay.dts-pins-for-5.4.patch b/target/linux/bcm27xx/patches-5.4/950-0941-update-rpi-display-overlay.dts-pins-for-5.4.patch
new file mode 100644 (file)
index 0000000..eed665b
--- /dev/null
@@ -0,0 +1,32 @@
+From 6d2723af0ade644e99e069b54dbcac8b58d603f2 Mon Sep 17 00:00:00 2001
+From: Andreas Watterott <1488433+awatterott@users.noreply.github.com>
+Date: Mon, 17 Aug 2020 21:17:09 +0200
+Subject: [PATCH] update rpi-display-overlay.dts pins for 5.4
+
+---
+ arch/arm/boot/dts/overlays/rpi-display-overlay.dts | 6 +++---
+ 1 file changed, 3 insertions(+), 3 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/rpi-display-overlay.dts
++++ b/arch/arm/boot/dts/overlays/rpi-display-overlay.dts
+@@ -59,9 +59,9 @@
+                               bgr;
+                               fps = <30>;
+                               buswidth = <8>;
+-                              reset-gpios = <&gpio 23 0>;
++                              reset-gpios = <&gpio 23 1>;
+                               dc-gpios = <&gpio 24 0>;
+-                              led-gpios = <&gpio 18 1>;
++                              led-gpios = <&gpio 18 0>;
+                               debug = <0>;
+                       };
+@@ -72,7 +72,7 @@
+                               spi-max-frequency = <2000000>;
+                               interrupts = <25 2>; /* high-to-low edge triggered */
+                               interrupt-parent = <&gpio>;
+-                              pendown-gpio = <&gpio 25 0>;
++                              pendown-gpio = <&gpio 25 1>;
+                               ti,x-plate-ohms = /bits/ 16 <60>;
+                               ti,pressure-max = /bits/ 16 <255>;
+                       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0942-Bluetooth-btrtl-Add-support-for-RTL8761B.patch b/target/linux/bcm27xx/patches-5.4/950-0942-Bluetooth-btrtl-Add-support-for-RTL8761B.patch
new file mode 100644 (file)
index 0000000..f0fbdd4
--- /dev/null
@@ -0,0 +1,77 @@
+From 61695e30db3121c11e52be89751e610d2e97212a Mon Sep 17 00:00:00 2001
+From: "Ziqian SUN (Zamir)" <sztsian@gmail.com>
+Date: Sat, 11 Apr 2020 09:34:27 +0800
+Subject: [PATCH] Bluetooth: btrtl: Add support for RTL8761B
+
+commit 04896832c94aae4842100cafb8d3a73e1bed3a45 upstream.
+
+Add new compatible device RTL8761B. RTL8761B is a USB Bluetooth device,
+with support of BLE and BR/EDR. The USB info is
+
+T:  Bus=03 Lev=04 Prnt=04 Port=00 Cnt=01 Dev#= 29 Spd=12   MxCh= 0
+D:  Ver= 1.10 Cls=e0(wlcon) Sub=01 Prot=01 MxPS=64 #Cfgs=  1
+P:  Vendor=0bda ProdID=8771 Rev= 2.00
+S:  Manufacturer=Realtek
+S:  Product=Bluetooth Radio
+S:  SerialNumber=XXXXXXXXXXXX
+C:* #Ifs= 2 Cfg#= 1 Atr=e0 MxPwr=500mA
+I:* If#= 0 Alt= 0 #EPs= 3 Cls=e0(wlcon) Sub=01 Prot=01 Driver=btusb
+E:  Ad=81(I) Atr=03(Int.) MxPS=  16 Ivl=1ms
+E:  Ad=02(O) Atr=02(Bulk) MxPS=  64 Ivl=0ms
+E:  Ad=82(I) Atr=02(Bulk) MxPS=  64 Ivl=0ms
+I:* If#= 1 Alt= 0 #EPs= 2 Cls=e0(wlcon) Sub=01 Prot=01 Driver=btusb
+E:  Ad=03(O) Atr=01(Isoc) MxPS=   0 Ivl=1ms
+E:  Ad=83(I) Atr=01(Isoc) MxPS=   0 Ivl=1ms
+I:  If#= 1 Alt= 1 #EPs= 2 Cls=e0(wlcon) Sub=01 Prot=01 Driver=btusb
+E:  Ad=03(O) Atr=01(Isoc) MxPS=   9 Ivl=1ms
+E:  Ad=83(I) Atr=01(Isoc) MxPS=   9 Ivl=1ms
+I:  If#= 1 Alt= 2 #EPs= 2 Cls=e0(wlcon) Sub=01 Prot=01 Driver=btusb
+E:  Ad=03(O) Atr=01(Isoc) MxPS=  17 Ivl=1ms
+E:  Ad=83(I) Atr=01(Isoc) MxPS=  17 Ivl=1ms
+I:  If#= 1 Alt= 3 #EPs= 2 Cls=e0(wlcon) Sub=01 Prot=01 Driver=btusb
+E:  Ad=03(O) Atr=01(Isoc) MxPS=  25 Ivl=1ms
+E:  Ad=83(I) Atr=01(Isoc) MxPS=  25 Ivl=1ms
+I:  If#= 1 Alt= 4 #EPs= 2 Cls=e0(wlcon) Sub=01 Prot=01 Driver=btusb
+E:  Ad=03(O) Atr=01(Isoc) MxPS=  33 Ivl=1ms
+E:  Ad=83(I) Atr=01(Isoc) MxPS=  33 Ivl=1ms
+I:  If#= 1 Alt= 5 #EPs= 2 Cls=e0(wlcon) Sub=01 Prot=01 Driver=btusb
+E:  Ad=03(O) Atr=01(Isoc) MxPS=  49 Ivl=1ms
+E:  Ad=83(I) Atr=01(Isoc) MxPS=  49 Ivl=1ms
+
+Signed-off-by: Ziqian SUN (Zamir) <sztsian@gmail.com>
+Signed-off-by: Marcel Holtmann <marcel@holtmann.org>
+---
+ drivers/bluetooth/btrtl.c | 10 +++++++++-
+ 1 file changed, 9 insertions(+), 1 deletion(-)
+
+--- a/drivers/bluetooth/btrtl.c
++++ b/drivers/bluetooth/btrtl.c
+@@ -130,12 +130,19 @@ static const struct id_table ic_id_table
+         .cfg_name = "rtl_bt/rtl8821c_config" },
+       /* 8761A */
+-      { IC_MATCH_FL_LMPSUBV, RTL_ROM_LMP_8761A, 0x0,
++      { IC_INFO(RTL_ROM_LMP_8761A, 0xa),
+         .config_needed = false,
+         .has_rom_version = true,
+         .fw_name  = "rtl_bt/rtl8761a_fw.bin",
+         .cfg_name = "rtl_bt/rtl8761a_config" },
++      /* 8761B */
++      { IC_INFO(RTL_ROM_LMP_8761A, 0xb),
++        .config_needed = false,
++        .has_rom_version = true,
++        .fw_name  = "rtl_bt/rtl8761b_fw.bin",
++        .cfg_name = "rtl_bt/rtl8761b_config" },
++
+       /* 8822C with USB interface */
+       { IC_INFO(RTL_ROM_LMP_8822B, 0xc),
+         .config_needed = false,
+@@ -255,6 +262,7 @@ static int rtlbt_parse_firmware(struct h
+               { RTL_ROM_LMP_8723B, 9 },       /* 8723D */
+               { RTL_ROM_LMP_8821A, 10 },      /* 8821C */
+               { RTL_ROM_LMP_8822B, 13 },      /* 8822C */
++              { RTL_ROM_LMP_8761A, 14 },      /* 8761B */
+       };
+       min_size = sizeof(struct rtl_epatch_header) + sizeof(extension_sig) + 3;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0943-dtoverlays-Add-overlay-for-the-PCA953x-family-of-GPI.patch b/target/linux/bcm27xx/patches-5.4/950-0943-dtoverlays-Add-overlay-for-the-PCA953x-family-of-GPI.patch
new file mode 100644 (file)
index 0000000..181440c
--- /dev/null
@@ -0,0 +1,315 @@
+From 5a7c48622a4f7665039211414c9fe4a1914ae3eb Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Mon, 17 Aug 2020 18:11:47 +0100
+Subject: [PATCH] dtoverlays: Add overlay for the PCA953x family of
+ GPIO expanders
+
+Adds an overlay for configuring all the GPIO expanders supported
+by the driver under GPIO_PCA953X.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |  36 +++
+ .../arm/boot/dts/overlays/pca953x-overlay.dts | 240 ++++++++++++++++++
+ 3 files changed, 277 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/pca953x-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -119,6 +119,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       ov7251.dtbo \
+       ov9281.dtbo \
+       papirus.dtbo \
++      pca953x.dtbo \
+       pibell.dtbo \
+       piglow.dtbo \
+       piscreen.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1873,6 +1873,42 @@ Params: panel                   Display
+         speed                   Display SPI bus speed
++Name:   pca953x
++Info:   TI PCA953x family of I2C GPIO expanders. Default is for NXP PCA9534.
++Load:   dtoverlay=pca953x,<param>=<val>
++Params: addr                    I2C address of expander. Default 0x20.
++        pca6416                 Select the NXP PCA6416 (16 bit)
++        pca9505                 Select the NXP PCA9505 (40 bit)
++        pca9535                 Select the NXP PCA9535 (16 bit)
++        pca9536                 Select the NXP PCA9536 or TI PCA9536 (4 bit)
++        pca9537                 Select the NXP PCA9537 (4 bit)
++        pca9538                 Select the NXP PCA9538 (8 bit)
++        pca9539                 Select the NXP PCA9539 (16 bit)
++        pca9554                 Select the NXP PCA9554 (8 bit)
++        pca9555                 Select the NXP PCA9555 (16 bit)
++        pca9556                 Select the NXP PCA9556 (8 bit)
++        pca9557                 Select the NXP PCA9557 (8 bit)
++        pca9574                 Select the NXP PCA9574 (8 bit)
++        pca9575                 Select the NXP PCA9575 (16 bit)
++        pca9698                 Select the NXP PCA9698 (40 bit)
++        pca16416                Select the NXP PCA16416 (16 bit)
++        pca16524                Select the NXP PCA16524 (24 bit)
++        pca19555a               Select the NXP PCA19555A (16 bit)
++        max7310                 Select the Maxim MAX7310 (8 bit)
++        max7312                 Select the Maxim MAX7312 (16 bit)
++        max7313                 Select the Maxim MAX7313 (16 bit)
++        max7315                 Select the Maxim MAX7315 (8 bit)
++        pca6107                 Select the TI PCA6107 (8 bit)
++        tca6408                 Select the TI TCA6408 (8 bit)
++        tca6416                 Select the TI TCA6416 (16 bit)
++        tca6424                 Select the TI TCA6424 (24 bit)
++        tca9539                 Select the TI TCA9539 (16 bit)
++        tca9554                 Select the TI TCA9554 (8 bit)
++        cat9554                 Select the Onnn CAT9554 (8 bit)
++        pca9654                 Select the Onnn PCA9654 (8 bit)
++        xra1202                 Select the Exar XRA1202 (8 bit)
++
++
+ [ The pcf2127-rtc overlay has been deleted. See i2c-rtc. ]
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/pca953x-overlay.dts
+@@ -0,0 +1,240 @@
++// Definitions for NXP PCA953x family of I2C GPIO controllers on ARM I2C bus.
++/dts-v1/;
++/plugin/;
++
++/{
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&i2c_arm>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      pca: pca@20 {
++                              compatible = "nxp,pca9534";
++                              reg = <0x20>;
++                              gpio-controller;
++                              #gpio-cells = <2>;
++
++                              status = "okay";
++                      };
++              };
++      };
++
++      fragment@1 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca6416";
++              };
++      };
++      fragment@2 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca9505";
++              };
++      };
++      fragment@3 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca9535";
++              };
++      };
++      fragment@4 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca9536";
++              };
++      };
++      fragment@5 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca9537";
++              };
++      };
++      fragment@6 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca9538";
++              };
++      };
++      fragment@7 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca9539";
++              };
++      };
++      fragment@8 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca9554";
++              };
++      };
++      fragment@9 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca9555";
++              };
++      };
++      fragment@10 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca9556";
++              };
++      };
++      fragment@11 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca9557";
++              };
++      };
++      fragment@12 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca9574";
++              };
++      };
++      fragment@13 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca9575";
++              };
++      };
++      fragment@14 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca9698";
++              };
++      };
++      fragment@15 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca16416";
++              };
++      };
++      fragment@16 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca16524";
++              };
++      };
++      fragment@17 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "nxp,pca19555a";
++              };
++      };
++      fragment@18 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "maxim,max7310";
++              };
++      };
++      fragment@19 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "maxim,max7312";
++              };
++      };
++      fragment@20 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "maxim,max7313";
++              };
++      };
++      fragment@21 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "maxim,max7315";
++              };
++      };
++      fragment@22 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "ti,pca6107";
++              };
++      };
++      fragment@23 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "ti,tca6408";
++              };
++      };
++      fragment@24 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "ti,tca6416";
++              };
++      };
++      fragment@25 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "ti,tca6424";
++              };
++      };
++      fragment@26 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "ti,tca9539";
++              };
++      };
++      fragment@27 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "ti,tca9554";
++              };
++      };
++      fragment@28 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "onnn,cat9554";
++              };
++      };
++      fragment@29 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "onnn,pca9654";
++              };
++      };
++      fragment@30 {
++              target = <&pca>;
++              __dormant__ {
++                      compatible = "exar,xra1202";
++              };
++      };
++
++      __overrides__ {
++              addr = <&pca>,"reg:0";
++              pca6416 = <0>, "+1";
++              pca9505 = <0>, "+2";
++              pca9535 = <0>, "+3";
++              pca9536 = <0>, "+4";
++              pca9537 = <0>, "+5";
++              pca9538 = <0>, "+6";
++              pca9539 = <0>, "+7";
++              pca9554 = <0>, "+8";
++              pca9555 = <0>, "+9";
++              pca9556 = <0>, "+10";
++              pca9557 = <0>, "+11";
++              pca9574 = <0>, "+12";
++              pca9575 = <0>, "+13";
++              pca9698 = <0>, "+14";
++              pca16416 = <0>, "+15";
++              pca16524 = <0>, "+16";
++              pca19555a = <0>, "+17";
++              max7310 = <0>, "+18";
++              max7312 = <0>, "+19";
++              max7313 = <0>, "+20";
++              max7315 = <0>, "+21";
++              pca6107 = <0>, "+22";
++              tca6408 = <0>, "+23";
++              tca6416 = <0>, "+24";
++              tca6424 = <0>, "+25";
++              tca9539 = <0>, "+26";
++              tca9554 = <0>, "+27";
++              cat9554 = <0>, "+28";
++              pca9654 = <0>, "+29";
++              xra1202 = <0>, "+30";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0944-rtc-rv3028-Write-BSM-and-TCE-TCR-to-EEPROM.patch b/target/linux/bcm27xx/patches-5.4/950-0944-rtc-rv3028-Write-BSM-and-TCE-TCR-to-EEPROM.patch
new file mode 100644 (file)
index 0000000..54f3f4f
--- /dev/null
@@ -0,0 +1,120 @@
+From ffc137a8949cd7859bdf139fa7a56b9dbdb4b2ce Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 15 May 2020 16:28:32 +0100
+Subject: [PATCH] rtc: rv3028: Write BSM and TCE/TCR to EEPROM
+
+Periodically the RV3028 refreshes registers from the EEPROM. When this
+happens, some settings that have only been committed to registers are
+lost. Change the handling of backup-switchover-mode and
+trickle-resistor-ohms to write the EEPROM instead (if something has
+changed), on the understanding that registers will be refreshed
+afterwards.
+
+See: https://github.com/raspberrypi/linux/issues/2912
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/rtc/rtc-rv3028.c | 60 ++++++++++++++++++++++++++++------------
+ 1 file changed, 43 insertions(+), 17 deletions(-)
+
+--- a/drivers/rtc/rtc-rv3028.c
++++ b/drivers/rtc/rtc-rv3028.c
+@@ -18,6 +18,7 @@
+ #include <linux/of_device.h>
+ #include <linux/regmap.h>
+ #include <linux/rtc.h>
++//#include "rtc-core.h"
+ #define RV3028_SEC                    0x00
+ #define RV3028_MIN                    0x01
+@@ -73,7 +74,7 @@
+ #define RV3028_BACKUP_TCE             BIT(5)
+ #define RV3028_BACKUP_TCR_MASK                GENMASK(1,0)
+-#define RV3028_BACKUP_BSM_MASK                0x0C
++#define RV3028_BACKUP_BSM_MASK                GENMASK(3,2)
+ #define OFFSET_STEP_PPT                       953674
+@@ -601,7 +602,8 @@ static int rv3028_probe(struct i2c_clien
+       struct rv3028_data *rv3028;
+       int ret, status;
+       u32 ohms;
+-      u8 bsm;
++      u32 bsm;
++      u8 backup, backup_bits, backup_mask;
+       struct nvmem_config nvmem_cfg = {
+               .name = "rv3028_nvram",
+               .word_size = 1,
+@@ -673,16 +675,17 @@ static int rv3028_probe(struct i2c_clien
+       if (ret)
+               return ret;
++      backup_bits = 0;
++      backup_mask = 0;
++
+       /* setup backup switchover mode */
+-      if (!device_property_read_u8(&client->dev, "backup-switchover-mode",
+-                                   &bsm))  {
++      dev_dbg(&client->dev, "Checking RTC backup switchover-mode\n");
++      if (!device_property_read_u32(&client->dev,
++                                    "backup-switchover-mode",
++                                    &bsm)) {
+               if (bsm <= 3) {
+-                      ret = regmap_update_bits(rv3028->regmap, RV3028_BACKUP,
+-                              RV3028_BACKUP_BSM_MASK,
+-                              (bsm & 0x03) << 2);
+-
+-                      if (ret)
+-                              return ret;
++                      backup_bits |= (u8)(bsm << 2);
++                      backup_mask |= RV3028_BACKUP_BSM_MASK;
+               } else {
+                       dev_warn(&client->dev, "invalid backup switchover mode value\n");
+               }
+@@ -698,15 +701,38 @@ static int rv3028_probe(struct i2c_clien
+                               break;
+               if (i < ARRAY_SIZE(rv3028_trickle_resistors)) {
+-                      ret = regmap_update_bits(rv3028->regmap, RV3028_BACKUP,
+-                                               RV3028_BACKUP_TCE |
+-                                               RV3028_BACKUP_TCR_MASK,
+-                                               RV3028_BACKUP_TCE | i);
+-                      if (ret)
+-                              return ret;
++                      backup_bits |= RV3028_BACKUP_TCE | i;
++                      backup_mask |= RV3028_BACKUP_TCE |
++                              RV3028_BACKUP_TCR_MASK;
+               } else {
+-                      dev_warn(&client->dev, "invalid trickle resistor value\n");
++                      dev_warn(&client->dev,
++                               "invalid trickle resistor value\n");
++              }
++      }
++
++      if (backup_mask) {
++              ret = rv3028_eeprom_read((void *)(rv3028->regmap),
++                                       RV3028_BACKUP,
++                                       (void *)&backup, 1);
++              if (!ret) {
++                      /* Write EEPROM only if needed */
++                      if ((backup & backup_mask) != backup_bits) {
++                              backup = (backup & ~backup_mask) | backup_bits;
++                              dev_dbg(&client->dev,
++                                      "Backup register doesn't match: EEPROM write required\n");
++                              ret = rv3028_eeprom_write(
++                                      (void *)(rv3028->regmap),
++                                      RV3028_BACKUP, (void *)&backup, 1);
++                      }
+               }
++
++              /* In the event of an EEPROM failure, update the register
++                 instead. */
++              if (ret)
++                      ret = regmap_update_bits(rv3028->regmap, RV3028_BACKUP,
++                                               backup_mask, backup_bits);
++              if (ret)
++                      return ret;
+       }
+       ret = rtc_add_group(rv3028->rtc, &rv3028_attr_group);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0945-rtc-rv3028-Refresh-RAM-on-EEPROM-write.patch b/target/linux/bcm27xx/patches-5.4/950-0945-rtc-rv3028-Refresh-RAM-on-EEPROM-write.patch
new file mode 100644 (file)
index 0000000..94e3038
--- /dev/null
@@ -0,0 +1,92 @@
+From 010b506d6b215673f188ed5cdc4e35419e3ea715 Mon Sep 17 00:00:00 2001
+From: Einar Vading <einar.vading@rhimagnesita.com>
+Date: Fri, 14 Aug 2020 22:14:41 +0200
+Subject: [PATCH] rtc: rv3028: Refresh RAM on EEPROM write
+
+The active RV3028 settings are in RAM so after modifying the settings in
+EEPROM the RAM should be refreshed so that they take effect.
+
+Signed-off-by: Einar Vading <einar.vading@rhimagnesita.com>
+---
+ drivers/rtc/rtc-rv3028.c | 56 ++++++++++++++++++++++++++++++++++++++++
+ 1 file changed, 56 insertions(+)
+
+--- a/drivers/rtc/rtc-rv3028.c
++++ b/drivers/rtc/rtc-rv3028.c
+@@ -66,6 +66,7 @@
+ #define RV3028_EVT_CTRL_TSR           BIT(2)
++#define RV3028_EEPROM_CMD_REFRESH     0x12
+ #define RV3028_EEPROM_CMD_WRITE               0x21
+ #define RV3028_EEPROM_CMD_READ                0x22
+@@ -583,6 +584,58 @@ restore_eerd:
+       return ret;
+ }
++static int rv3028_ram_refresh(void *priv)
++{
++      u32 status, ctrl1;
++      int ret, err;
++
++      ret = regmap_read(priv, RV3028_CTRL1, &ctrl1);
++      if (ret)
++              return ret;
++
++      if (!(ctrl1 & RV3028_CTRL1_EERD)) {
++              ret = regmap_update_bits(priv, RV3028_CTRL1,
++                                       RV3028_CTRL1_EERD, RV3028_CTRL1_EERD);
++              if (ret)
++                      return ret;
++
++              ret = regmap_read_poll_timeout(priv, RV3028_STATUS, status,
++                                             !(status & RV3028_STATUS_EEBUSY),
++                                             RV3028_EEBUSY_POLL,
++                                             RV3028_EEBUSY_TIMEOUT);
++              if (ret)
++                      goto restore_eerd;
++      }
++
++      ret = regmap_write(priv, RV3028_EEPROM_CMD, 0x0);
++      if (ret)
++              goto restore_eerd;
++
++      ret = regmap_write(priv, RV3028_EEPROM_CMD,
++                         RV3028_EEPROM_CMD_REFRESH);
++      if (ret)
++              goto restore_eerd;
++
++      usleep_range(RV3028_EEBUSY_POLL, RV3028_EEBUSY_TIMEOUT);
++
++      ret = regmap_read_poll_timeout(priv, RV3028_STATUS, status,
++                                     !(status & RV3028_STATUS_EEBUSY),
++                                     RV3028_EEBUSY_POLL,
++                                     RV3028_EEBUSY_TIMEOUT);
++      if (ret)
++              goto restore_eerd;
++
++restore_eerd:
++      if (!(ctrl1 & RV3028_CTRL1_EERD)) {
++              err = regmap_update_bits(priv, RV3028_CTRL1, RV3028_CTRL1_EERD,
++                                       0);
++              if (err && !ret)
++                      ret = err;
++      }
++
++      return ret;
++}
++
+ static struct rtc_class_ops rv3028_rtc_ops = {
+       .read_time = rv3028_get_time,
+       .set_time = rv3028_set_time,
+@@ -723,6 +776,9 @@ static int rv3028_probe(struct i2c_clien
+                               ret = rv3028_eeprom_write(
+                                       (void *)(rv3028->regmap),
+                                       RV3028_BACKUP, (void *)&backup, 1);
++
++                              if (!ret)
++                                      ret = rv3028_ram_refresh((void *)(rv3028->regmap));
+                       }
+               }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0946-dt-overlays-Add-PiFace-Digital-Device-Tree-Overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0946-dt-overlays-Add-PiFace-Digital-Device-Tree-Overlay.patch
new file mode 100644 (file)
index 0000000..d9a6675
--- /dev/null
@@ -0,0 +1,199 @@
+From 296cff78df285c99a52760cbcd896abc37820e06 Mon Sep 17 00:00:00 2001
+From: Thomas Preston <thomas.preston@codethink.co.uk>
+Date: Thu, 13 Aug 2020 01:38:35 +0100
+Subject: [PATCH] dt/overlays: Add PiFace Digital Device Tree Overlay
+
+The PiFace Digital is a convenient breakout board for the Microchip
+mcp23s17 SPI GPIO port expander.
+
+The first eight GPIOs 0..7 (bank A) are connected to eight output
+terminals and LEDs, plus two relays on the first two outputs. These
+output loads are active-high.
+
+The next eight GPIOs 8..15 (bank B) are connected to eight input
+terminals with four on-board switches connecting them to ground. Inputs
+devices are therefore expected to bridge terminals to ground, so the
+mcp23s17 pullups are activated for GPIO bank B.
+
+Signed-off-by: Thomas Preston <thomas.preston@codethink.co.uk>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |   8 +
+ .../dts/overlays/pifacedigital-overlay.dts    | 144 ++++++++++++++++++
+ 3 files changed, 153 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/pifacedigital-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -121,6 +121,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       papirus.dtbo \
+       pca953x.dtbo \
+       pibell.dtbo \
++      pifacedigital.dtbo \
+       piglow.dtbo \
+       piscreen.dtbo \
+       piscreen2r.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1949,6 +1949,14 @@ Params: alsaname                Set the
+                                 "PiBell")
++Name:   pifacedigital
++Info:   Configures the PiFace Digital mcp23s17 GPIO port expander.
++Load:   dtoverlay=pifacedigital,<param>=<val>
++Params: spi-present-mask        8-bit integer, bitmap indicating MCP23S17 SPI0
++                                CS0 address. PiFace Digital supports addresses
++                                0-3, which can be configured with JP1 and JP2.
++
++
+ Name:   piglow
+ Info:   Configures the PiGlow by pimoroni.com
+ Load:   dtoverlay=piglow
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/pifacedigital-overlay.dts
+@@ -0,0 +1,144 @@
++// SPDX-License-Identifier: GPL-2.0-only
++/*
++ * PiFace Digital, Device Tree Overlay.
++ * Copyright (C) 2020 Thomas Preston <thomas.preston@codethink.co.uk>
++ *
++ * The PiFace Digital is a convenient breakout board for the Microchip mcp23s17
++ * SPI GPIO port expander.
++ *
++ * The first eight GPIOs 0..7 (bank A) are connected to eight output terminals
++ * and LEDs, plus two relays on the first two outputs. These output loads are
++ * active-high.
++ *
++ * The next eight GPIOs 8..15 (bank B) are connected to eight input terminals
++ * with four on-board switches connecting them to ground. Inputs devices are
++ * therefore expected to bridge terminals to ground, so the mcp23s17 pullups are
++ * activated for GPIO bank B.
++ *
++ * On PiFace Digital, the mcp23s17 is connected to the Raspberry Pi's SPI0 CS0
++ * bus. Each SPI bus supports up to eight addressable child devices. The PiFace
++ * Digital only supports addresses 0-4, which can be configured by jumpers JP1
++ * and JP2.
++ *
++ * You can tell the driver about these jumper configurations with the
++ * spi-present-mask bitmask:
++ *
++ *     | JP1 | JP2 | dtoverlay line in /boot/config.txt         |
++ *     | --- | --- | ------------------------------------------ |
++ *     |  0  |  0  | dtoverlay=pifacedigital                    |
++ *     |  0  |  0  | dtoverlay=pifacedigital:spi-present-mask=1 |
++ *     |  0  |  1  | dtoverlay=pifacedigital:spi-present-mask=2 |
++ *     |  1  |  0  | dtoverlay=pifacedigital:spi-present-mask=4 |
++ *     |  1  |  1  | dtoverlay=pifacedigital:spi-present-mask=8 |
++ *
++ * # Example
++ * Set the dtoverlay config in /boot/config.txt and power off the Raspberry Pi:
++ *
++ *     $ grep pifacedigital /boot/config.txt
++ *     dtoverlay=pifacedigital
++ *     $ sudo systemctl poweroff
++ *
++ * Attach the PiFace Digital and power on the Raspberry Pi.
++ * Then use the libgpiod tools to query the device:
++ *
++ *     $ sudo apt install gpiod
++ *     $ gpiodetect | grep mcp23s17
++ *     gpiochip2 [mcp23s17.0] (16 lines)
++ *
++ * Set GPIO outputs 0, 2 and 5:
++ *
++ *     $ gpioset gpiochip2 0=1 2=1 5=1
++ *
++ * Get GPIO status (input GPIO 8..15 are high, because they are active-low):
++ *
++ *     $ gpioget gpiochip2 {8..15}
++ *     1 1 1 1 1 1 1 1
++ *
++ * And even monitor interrupts:
++ *
++ *     $ gpiomon gpiochip2 {8..15}
++ *     event: FALLING EDGE offset: 11 timestamp: [1597361662.926741667]
++ *     event:  RISING EDGE offset: 11 timestamp: [1597361663.062555051]
++ *
++ */
++
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      /* Disable exposing /dev/spidev0.0 */
++      fragment@0 {
++              target = <&spidev0>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      /* Add the PiFace Digital device node to the spi0.0 device. */
++      fragment@1 {
++              target = <&spi0>;
++              __overlay__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      pfdigital: pifacedigital@0 {
++                              compatible = "microchip,mcp23s17";
++                              reg = <0>;
++
++                              /* Set devices present with 8-bit mask. */
++                              microchip,spi-present-mask = <0x01>;
++                              spi-max-frequency = <500000>;
++
++                              gpio-controller;
++                              #gpio-cells = <2>;
++
++                              /* This device can pass through interrupts. */
++                              interrupt-controller;
++                              #interrupt-cells = <2>;
++
++                              /* INTB is connected to GPIO 25.
++                               * 0x8 active-low level-sensitive
++                               */
++                              interrupts = <25 0x8>;
++                              interrupt-parent = <&gpio>;
++
++                              /* Configure pull-ups on bank B GPIOs */
++                              pinctrl-0 = <&pfdigital_irq &pfdigital_pullups>;
++                              pinctrl-names = "default";
++                              pfdigital_pullups: pinmux {
++                                      pins =
++                                              "gpio8",
++                                              "gpio9",
++                                              "gpio10",
++                                              "gpio11",
++                                              "gpio12",
++                                              "gpio13",
++                                              "gpio14",
++                                              "gpio15";
++                                      bias-pull-up;
++                              };
++                      };
++              };
++      };
++
++      /* PiFace Digital mcp23s17 INTB pin is connected to GPIO 25. The INTB
++       * pin is configured active-low (0 on interrupt), so expect to see
++       * FALLING_EDGE when inputs are bridged to ground (switch is pressed).
++       */
++      fragment@3 {
++              target = <&gpio>;
++              __overlay__ {
++                      pfdigital_irq: pifacedigital_irq {
++                              brcm,pins = <25>;
++                              brcm,function = <0>; /* input */
++                      };
++              };
++      };
++
++      __overrides__ {
++              spi-present-mask = <&pfdigital>, "microchip,spi-present-mask:0";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0947-overlays-Updated-MCP3008-compatible-strings.patch b/target/linux/bcm27xx/patches-5.4/950-0947-overlays-Updated-MCP3008-compatible-strings.patch
new file mode 100644 (file)
index 0000000..739c4da
--- /dev/null
@@ -0,0 +1,84 @@
+From 9c5770dd049b3839296ac49fb40f01b6fe55fa81 Mon Sep 17 00:00:00 2001
+From: RICCIARDI-Adrien <adrien.ricciardi@hotmail.fr>
+Date: Thu, 20 Aug 2020 10:18:35 +0200
+Subject: [PATCH] overlays: Updated MCP3008 compatible strings.
+
+Used recommended ones from Documentation/devicetree/bindings/iio/adc/mcp320x.txt.
+---
+ arch/arm/boot/dts/overlays/mcp3008-overlay.dts | 16 ++++++++--------
+ 1 file changed, 8 insertions(+), 8 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/mcp3008-overlay.dts
++++ b/arch/arm/boot/dts/overlays/mcp3008-overlay.dts
+@@ -72,7 +72,7 @@
+                       #size-cells = <0>;
+                       mcp3008_00: mcp3008@0 {
+-                              compatible = "mcp3008";
++                              compatible = "microchip,mcp3008";
+                               reg = <0>;
+                               spi-max-frequency = <1600000>;
+                       };
+@@ -87,7 +87,7 @@
+                       #size-cells = <0>;
+                       mcp3008_01: mcp3008@1 {
+-                              compatible = "mcp3008";
++                              compatible = "microchip,mcp3008";
+                               reg = <1>;
+                               spi-max-frequency = <1600000>;
+                       };
+@@ -102,7 +102,7 @@
+                       #size-cells = <0>;
+                       mcp3008_10: mcp3008@0 {
+-                              compatible = "mcp3008";
++                              compatible = "microchip,mcp3008";
+                               reg = <0>;
+                               spi-max-frequency = <1600000>;
+                       };
+@@ -117,7 +117,7 @@
+                       #size-cells = <0>;
+                       mcp3008_11: mcp3008@1 {
+-                              compatible = "mcp3008";
++                              compatible = "microchip,mcp3008";
+                               reg = <1>;
+                               spi-max-frequency = <1600000>;
+                       };
+@@ -132,7 +132,7 @@
+                       #size-cells = <0>;
+                       mcp3008_12: mcp3008@2 {
+-                              compatible = "mcp3008";
++                              compatible = "microchip,mcp3008";
+                               reg = <2>;
+                               spi-max-frequency = <1600000>;
+                       };
+@@ -147,7 +147,7 @@
+                       #size-cells = <0>;
+                       mcp3008_20: mcp3008@0 {
+-                              compatible = "mcp3008";
++                              compatible = "microchip,mcp3008";
+                               reg = <0>;
+                               spi-max-frequency = <1600000>;
+                       };
+@@ -162,7 +162,7 @@
+                       #size-cells = <0>;
+                       mcp3008_21: mcp3008@1 {
+-                              compatible = "mcp3008";
++                              compatible = "microchip,mcp3008";
+                               reg = <1>;
+                               spi-max-frequency = <1600000>;
+                       };
+@@ -177,7 +177,7 @@
+                       #size-cells = <0>;
+                       mcp3008_22: mcp3008@2 {
+-                              compatible = "mcp3008";
++                              compatible = "microchip,mcp3008";
+                               reg = <2>;
+                               spi-max-frequency = <1600000>;
+                       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0948-RESET_CONTROLLER-needs-to-be-activated-to-compile-Br.patch b/target/linux/bcm27xx/patches-5.4/950-0948-RESET_CONTROLLER-needs-to-be-activated-to-compile-Br.patch
new file mode 100644 (file)
index 0000000..68627aa
--- /dev/null
@@ -0,0 +1,20 @@
+From b28871fe542f7805751e804ee385a803af00efae Mon Sep 17 00:00:00 2001
+From: Ramin Moussavi <lordrasmus@gmail.com>
+Date: Sat, 25 Jul 2020 22:31:49 +0200
+Subject: [PATCH] RESET_CONTROLLER needs to be activated to compile
+ Broadcom BCM2835 clock support
+
+---
+ drivers/clk/bcm/Kconfig | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/clk/bcm/Kconfig
++++ b/drivers/clk/bcm/Kconfig
+@@ -5,6 +5,7 @@ config CLK_BCM2835
+       depends on COMMON_CLK
+       default ARCH_BCM2835 || ARCH_BRCMSTB
+       select RESET_SIMPLE
++      select RESET_CONTROLLER
+       help
+         Enable common clock framework support for Broadcom BCM2835
+         SoCs.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0949-media-dvbsky-use-a-single-mutex-and-state-buffers-fo.patch b/target/linux/bcm27xx/patches-5.4/950-0949-media-dvbsky-use-a-single-mutex-and-state-buffers-fo.patch
new file mode 100644 (file)
index 0000000..836364e
--- /dev/null
@@ -0,0 +1,64 @@
+From 08ccbb8e0667e90e5c7334057965c6205a3855fb Mon Sep 17 00:00:00 2001
+From: Andrei Koshkosh <andreykosh000@mail.ru>
+Date: Sun, 29 Sep 2019 05:04:05 -0300
+Subject: [PATCH] media: dvbsky: use a single mutex and state buffers
+ for all R/W ops
+
+commit cecf0bbbcb6f035a5ca2197f3e11ec2b7fb3da83 upstream.
+
+Re-use usb_mutex from dvb_usb_device for this.
+
+See: https://github.com/raspberrypi/linux/issues/3809
+
+Tested-by: Jan Pieter van Woerkom <jp@jpvw.nl>
+Signed-off-by: Andrei Koshkosh <andreykosh000@mail.ru>
+Signed-off-by: Sean Young <sean@mess.org>
+Signed-off-by: Mauro Carvalho Chehab <mchehab+samsung@kernel.org>
+---
+ drivers/media/usb/dvb-usb-v2/dvbsky.c | 16 ++++++++--------
+ 1 file changed, 8 insertions(+), 8 deletions(-)
+
+--- a/drivers/media/usb/dvb-usb-v2/dvbsky.c
++++ b/drivers/media/usb/dvb-usb-v2/dvbsky.c
+@@ -22,7 +22,6 @@ MODULE_PARM_DESC(disable_rc, "Disable in
+ DVB_DEFINE_MOD_OPT_ADAPTER_NR(adapter_nr);
+ struct dvbsky_state {
+-      struct mutex stream_mutex;
+       u8 ibuf[DVBSKY_BUF_LEN];
+       u8 obuf[DVBSKY_BUF_LEN];
+       u8 last_lock;
+@@ -60,17 +59,19 @@ static int dvbsky_usb_generic_rw(struct
+ static int dvbsky_stream_ctrl(struct dvb_usb_device *d, u8 onoff)
+ {
+       struct dvbsky_state *state = d_to_priv(d);
++      static const u8 obuf_pre[3] = { 0x37, 0, 0 };
++      static const u8 obuf_post[3] = { 0x36, 3, 0 };
+       int ret;
+-      u8 obuf_pre[3] = { 0x37, 0, 0 };
+-      u8 obuf_post[3] = { 0x36, 3, 0 };
+-      mutex_lock(&state->stream_mutex);
+-      ret = dvbsky_usb_generic_rw(d, obuf_pre, 3, NULL, 0);
++      mutex_lock(&d->usb_mutex);
++      memcpy(state->obuf, obuf_pre, 3);
++      ret = dvb_usbv2_generic_write_locked(d, state->obuf, 3);
+       if (!ret && onoff) {
+               msleep(20);
+-              ret = dvbsky_usb_generic_rw(d, obuf_post, 3, NULL, 0);
++              memcpy(state->obuf, obuf_post, 3);
++              ret = dvb_usbv2_generic_write_locked(d, state->obuf, 3);
+       }
+-      mutex_unlock(&state->stream_mutex);
++      mutex_unlock(&d->usb_mutex);
+       return ret;
+ }
+@@ -598,7 +599,6 @@ static int dvbsky_init(struct dvb_usb_de
+       if (ret)
+               return ret;
+       */
+-      mutex_init(&state->stream_mutex);
+       state->last_lock = 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0950-ARM-dts-bcm2711-Enable-support-for-DDR52-eMMC.patch b/target/linux/bcm27xx/patches-5.4/950-0950-ARM-dts-bcm2711-Enable-support-for-DDR52-eMMC.patch
new file mode 100644 (file)
index 0000000..7a0e78d
--- /dev/null
@@ -0,0 +1,22 @@
+From e31ec540cff5498f86f57cccb7a35b323bdb6f33 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 24 Aug 2020 17:11:34 +0100
+Subject: [PATCH] ARM: dts: bcm2711: Enable support for DDR52 eMMC
+
+See: https://github.com/raspberrypi/linux/issues/3802
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -310,3 +310,7 @@
+ &hvs {
+       clocks = <&firmware_clocks 4>;
+ };
++
++&emmc2 {
++      mmc-ddr-3_3v;
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0951-staging-vc04_services-ISP-Fix-dmabuf-error-check-in-.patch b/target/linux/bcm27xx/patches-5.4/950-0951-staging-vc04_services-ISP-Fix-dmabuf-error-check-in-.patch
new file mode 100644 (file)
index 0000000..32f66fb
--- /dev/null
@@ -0,0 +1,25 @@
+From 971ec8a5c6229dc45b89105196a86333635fc553 Mon Sep 17 00:00:00 2001
+From: Paul Elder <paul.elder@ideasonboard.com>
+Date: Mon, 24 Aug 2020 17:14:29 +0900
+Subject: [PATCH] staging: vc04_services: ISP: Fix dmabuf error check
+ in S_CTRL
+
+In bcm2835_isp_s_ctrl, the error check for dma_buf_get() is incorrect,
+and considers ERR_PTR pointers as valid dmabufs. Fix this error check.
+
+Signed-off-by: Paul Elder <paul.elder@ideasonboard.com>
+---
+ drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
+@@ -733,7 +733,7 @@ static int bcm2835_isp_s_ctrl(struct v4l
+                      sizeof(struct bcm2835_isp_lens_shading));
+               dmabuf = dma_buf_get(ls.dmabuf);
+-              if (!dmabuf)
++              if (IS_ERR_OR_NULL(dmabuf))
+                       return -EINVAL;
+               ret = vc_sm_cma_import_dmabuf(dmabuf,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0952-ARM-dts-bcm2708.dtsi-Don-t-delete-the-cpus-node.patch b/target/linux/bcm27xx/patches-5.4/950-0952-ARM-dts-bcm2708.dtsi-Don-t-delete-the-cpus-node.patch
new file mode 100644 (file)
index 0000000..2ad707d
--- /dev/null
@@ -0,0 +1,26 @@
+From a32c73cc5a06b0bf7f2b18314b46a052ce6517ee Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 24 Aug 2020 18:28:52 +0100
+Subject: [PATCH] ARM: dts: bcm2708.dtsi: Don't delete the cpus node
+
+The cpus node was originally deleted to match the then downstream
+version of the BCM2835 DTS files, but doing so doesn't seem to make
+any material difference. Its presence is necessary for the CPUFREQ_DT
+support that is planned in the near future, so remove the deletion.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2708.dtsi | 2 --
+ 1 file changed, 2 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2708.dtsi
++++ b/arch/arm/boot/dts/bcm2708.dtsi
+@@ -2,8 +2,6 @@
+ #include "bcm270x.dtsi"
+ / {
+-      /delete-node/ cpus;
+-
+       __overrides__ {
+               arm_freq;
+       };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0953-ARM-dts-bcm2835-Use-the-L2-non-allocating-alias.patch b/target/linux/bcm27xx/patches-5.4/950-0953-ARM-dts-bcm2835-Use-the-L2-non-allocating-alias.patch
new file mode 100644 (file)
index 0000000..d87ffc8
--- /dev/null
@@ -0,0 +1,30 @@
+From 121fdf16a2ff4cf651c376a37eeca255544c47fd Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 27 Aug 2020 17:57:18 +0100
+Subject: [PATCH] ARM: dts: bcm2835: Use the L2 non-allocating alias
+
+The /soc/dma-ranges property on BCM2835 currently results in DMA
+addresses in the range 0x40000000-0x5fffffff. This will allocate in the
+system L2 cache, which may adversely affect performance.
+
+Change the dma-ranges property to give addresses in the range
+0x80000000-0x9fffffff, which are coherent with L2 but non-allocating.
+
+See: https://github.com/raspberrypi/linux/issues/3602
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2835.dtsi | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/bcm2835.dtsi
++++ b/arch/arm/boot/dts/bcm2835.dtsi
+@@ -18,7 +18,7 @@
+       soc {
+               ranges = <0x7e000000 0x20000000 0x02000000>;
+-              dma-ranges = <0x40000000 0x00000000 0x20000000>;
++              dma-ranges = <0x80000000 0x00000000 0x20000000>;
+       };
+       arm-pmu {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0954-media-bcm2835-unicam-Drop-WARN-on-uing-direct-cache-.patch b/target/linux/bcm27xx/patches-5.4/950-0954-media-bcm2835-unicam-Drop-WARN-on-uing-direct-cache-.patch
new file mode 100644 (file)
index 0000000..481c55b
--- /dev/null
@@ -0,0 +1,34 @@
+From d535074e3e2c08ba5a6b627e54f4597f0f6f9240 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 27 Aug 2020 16:30:26 +0100
+Subject: [PATCH] media: bcm2835-unicam: Drop WARN on uing direct
+ cache alias
+
+Pi 0&1 pass all ARM accesses through the VPU L2 cache, therefore
+the dma-ranges property sets the cache alias bits to other
+than the direct alias, hence this WARN was firing.
+
+It was overprotective coding, so assume that everything is OK
+with the dma-ranges, and remove the WARN.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 7 -------
+ 1 file changed, 7 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -708,13 +708,6 @@ static void unicam_wr_dma_addr(struct un
+ {
+       dma_addr_t endaddr = dmaaddr + buffer_size;
+-      /*
+-       * dmaaddr and endaddr should be a 32-bit address with the top two bits
+-       * set to 0x3 to signify uncached access through the Videocore memory
+-       * controller.
+-       */
+-      WARN_ON((dmaaddr >> 30) != 0x3 || (endaddr >> 30) != 0x3);
+-
+       if (pad_id == IMAGE_PAD) {
+               reg_write(dev, UNICAM_IBSA0, dmaaddr);
+               reg_write(dev, UNICAM_IBEA0, endaddr);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0955-media-i2c-tc358743-Only-allow-supported-pixel-fmts-i.patch b/target/linux/bcm27xx/patches-5.4/950-0955-media-i2c-tc358743-Only-allow-supported-pixel-fmts-i.patch
new file mode 100644 (file)
index 0000000..e783660
--- /dev/null
@@ -0,0 +1,30 @@
+From b443f3889a2f689c7f480211e12f17ddc3b483f8 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 10 Jul 2020 12:40:50 +0100
+Subject: [PATCH] media: i2c: tc358743: Only allow supported pixel
+ fmts in set_fmt
+
+Fix commit "media: tc358743: Return an appropriate colorspace from
+tc358743_set_fmt" to ensure that the format passed in to set_fmt
+is checked to be valid, and reset to the current format if not.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/tc358743.c | 6 ++++--
+ 1 file changed, 4 insertions(+), 2 deletions(-)
+
+--- a/drivers/media/i2c/tc358743.c
++++ b/drivers/media/i2c/tc358743.c
+@@ -1731,8 +1731,10 @@ static int tc358743_set_fmt(struct v4l2_
+       u32 code = format->format.code; /* is overwritten by get_fmt */
+       int ret = tc358743_get_fmt(sd, cfg, format);
+-      format->format.code = code;
+-      format->format.colorspace = tc358743_g_colorspace(code);
++      if (code == MEDIA_BUS_FMT_RGB888_1X24 ||
++          code == MEDIA_BUS_FMT_UYVY8_1X16)
++              format->format.code = code;
++      format->format.colorspace = tc358743_g_colorspace(format->format.code);
+       if (ret)
+               return ret;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0956-media-i2c-ov9281-Add-support-for-8-bit-readout.patch b/target/linux/bcm27xx/patches-5.4/950-0956-media-i2c-ov9281-Add-support-for-8-bit-readout.patch
new file mode 100644 (file)
index 0000000..f6880c9
--- /dev/null
@@ -0,0 +1,188 @@
+From c673ce1338b69c5c8c6572e361be02356af93a72 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Tue, 7 Jul 2020 18:29:10 +0100
+Subject: [PATCH] media: i2c: ov9281: Add support for 8 bit readout
+
+The sensor supports 8 bit mode as well as 10bit, so add the
+relevant code to allow selection of this.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/media/i2c/ov9281.c | 66 ++++++++++++++++++++++++++++++--------
+ 1 file changed, 52 insertions(+), 14 deletions(-)
+
+--- a/drivers/media/i2c/ov9281.c
++++ b/drivers/media/i2c/ov9281.c
+@@ -29,11 +29,12 @@
+ #define OV9281_LINK_FREQ_400MHZ               400000000
+ #define OV9281_LANES                  2
+-#define OV9281_BITS_PER_SAMPLE                10
+ /* pixel rate = link frequency * 2 * lanes / BITS_PER_SAMPLE */
+-#define OV9281_PIXEL_RATE             (OV9281_LINK_FREQ_400MHZ * 2 * \
+-                                       OV9281_LANES / OV9281_BITS_PER_SAMPLE)
++#define OV9281_PIXEL_RATE_10BIT               (OV9281_LINK_FREQ_400MHZ * 2 * \
++                                       OV9281_LANES / 10)
++#define OV9281_PIXEL_RATE_8BIT                (OV9281_LINK_FREQ_400MHZ * 2 * \
++                                       OV9281_LANES / 8)
+ #define OV9281_XVCLK_FREQ             24000000
+ #define CHIP_ID                               0x9281
+@@ -122,24 +123,25 @@ struct ov9281 {
+       struct v4l2_ctrl        *digi_gain;
+       struct v4l2_ctrl        *hblank;
+       struct v4l2_ctrl        *vblank;
++      struct v4l2_ctrl        *pixel_rate;
+       struct v4l2_ctrl        *test_pattern;
+       struct mutex            mutex;
+       bool                    streaming;
+       bool                    power_on;
+       const struct ov9281_mode *cur_mode;
++      u32                     code;
+ };
+ #define to_ov9281(sd) container_of(sd, struct ov9281, subdev)
+ /*
+  * Xclk 24Mhz
+- * max_framerate 120fps
++ * max_framerate 120fps for 10 bit, 144fps for 8 bit.
+  * mipi_datarate per lane 800Mbps
+  */
+ static const struct regval ov9281_1280x800_regs[] = {
+       {0x0103, 0x01},
+       {0x0302, 0x32},
+-      {0x030d, 0x50},
+       {0x030e, 0x02},
+       {0x3001, 0x00},
+       {0x3004, 0x00},
+@@ -168,7 +170,6 @@ static const struct regval ov9281_1280x8
+       {0x3620, 0x6f},
+       {0x3632, 0x56},
+       {0x3633, 0x78},
+-      {0x3662, 0x05},
+       {0x3666, 0x00},
+       {0x366f, 0x5a},
+       {0x3680, 0x84},
+@@ -235,6 +236,18 @@ static const struct regval ov9281_1280x8
+       {REG_NULL, 0x00},
+ };
++static const struct regval op_10bit[] = {
++      {0x030d, 0x50},
++      {0x3662, 0x05},
++      {REG_NULL, 0x00},
++};
++
++static const struct regval op_8bit[] = {
++      {0x030d, 0x60},
++      {0x3662, 0x07},
++      {REG_NULL, 0x00},
++};
++
+ static const struct ov9281_mode supported_modes[] = {
+       {
+               .width = 1280,
+@@ -374,12 +387,13 @@ static int ov9281_set_fmt(struct v4l2_su
+ {
+       struct ov9281 *ov9281 = to_ov9281(sd);
+       const struct ov9281_mode *mode;
+-      s64 h_blank, vblank_def;
++      s64 h_blank, vblank_def, pixel_rate;
+       mutex_lock(&ov9281->mutex);
+       mode = ov9281_find_best_fit(fmt);
+-      fmt->format.code = MEDIA_BUS_FMT_Y10_1X10;
++      if (fmt->format.code != MEDIA_BUS_FMT_Y8_1X8)
++              fmt->format.code = MEDIA_BUS_FMT_Y10_1X10;
+       fmt->format.width = mode->width;
+       fmt->format.height = mode->height;
+       fmt->format.field = V4L2_FIELD_NONE;
+@@ -396,6 +410,7 @@ static int ov9281_set_fmt(struct v4l2_su
+               *v4l2_subdev_get_try_format(sd, cfg, fmt->pad) = fmt->format;
+       } else {
+               ov9281->cur_mode = mode;
++              ov9281->code = fmt->format.code;
+               h_blank = mode->hts_def - mode->width;
+               __v4l2_ctrl_modify_range(ov9281->hblank, h_blank,
+                                        h_blank, 1, h_blank);
+@@ -405,6 +420,11 @@ static int ov9281_set_fmt(struct v4l2_su
+                                        OV9281_VTS_MAX - mode->height,
+                                        1, vblank_def);
+               __v4l2_ctrl_s_ctrl(ov9281->vblank, vblank_def);
++
++              pixel_rate = (fmt->format.code == MEDIA_BUS_FMT_Y10_1X10) ?
++                      OV9281_PIXEL_RATE_10BIT : OV9281_PIXEL_RATE_8BIT;
++              __v4l2_ctrl_modify_range(ov9281->pixel_rate, pixel_rate,
++                                       pixel_rate, 1, pixel_rate);
+       }
+       mutex_unlock(&ov9281->mutex);
+@@ -425,7 +445,7 @@ static int ov9281_get_fmt(struct v4l2_su
+       } else {
+               fmt->format.width = mode->width;
+               fmt->format.height = mode->height;
+-              fmt->format.code = MEDIA_BUS_FMT_Y10_1X10;
++              fmt->format.code = ov9281->code;
+               fmt->format.field = V4L2_FIELD_NONE;
+               fmt->format.colorspace = V4L2_COLORSPACE_SRGB;
+               fmt->format.ycbcr_enc =
+@@ -446,9 +466,16 @@ static int ov9281_enum_mbus_code(struct
+                                struct v4l2_subdev_pad_config *cfg,
+                                struct v4l2_subdev_mbus_code_enum *code)
+ {
+-      if (code->index)
++      switch (code->index) {
++      default:
+               return -EINVAL;
+-      code->code = MEDIA_BUS_FMT_Y10_1X10;
++      case 0:
++              code->code = MEDIA_BUS_FMT_Y10_1X10;
++              break;
++      case 1:
++              code->code = MEDIA_BUS_FMT_Y8_1X8;
++              break;
++      }
+       return 0;
+ }
+@@ -460,7 +487,8 @@ static int ov9281_enum_frame_sizes(struc
+       if (fse->index >= ARRAY_SIZE(supported_modes))
+               return -EINVAL;
+-      if (fse->code != MEDIA_BUS_FMT_Y10_1X10)
++      if (fse->code != MEDIA_BUS_FMT_Y10_1X10 &&
++          fse->code != MEDIA_BUS_FMT_Y8_1X8)
+               return -EINVAL;
+       fse->min_width  = supported_modes[fse->index].width;
+@@ -543,6 +571,13 @@ static int __ov9281_start_stream(struct
+       if (ret)
+               return ret;
++      if (ov9281->code == MEDIA_BUS_FMT_Y10_1X10)
++              ret = ov9281_write_array(ov9281->client, op_10bit);
++      else
++              ret = ov9281_write_array(ov9281->client, op_8bit);
++      if (ret)
++              return ret;
++
+       /* In case these controls are set before streaming */
+       mutex_unlock(&ov9281->mutex);
+       ret = v4l2_ctrl_handler_setup(&ov9281->ctrl_handler);
+@@ -849,8 +884,11 @@ static int ov9281_initialize_controls(st
+       if (ctrl)
+               ctrl->flags |= V4L2_CTRL_FLAG_READ_ONLY;
+-      v4l2_ctrl_new_std(handler, NULL, V4L2_CID_PIXEL_RATE,
+-                        0, OV9281_PIXEL_RATE, 1, OV9281_PIXEL_RATE);
++      ov9281->pixel_rate = v4l2_ctrl_new_std(handler, NULL,
++                                             V4L2_CID_PIXEL_RATE,
++                                             OV9281_PIXEL_RATE_10BIT,
++                                             OV9281_PIXEL_RATE_10BIT, 1,
++                                             OV9281_PIXEL_RATE_10BIT);
+       h_blank = mode->hts_def - mode->width;
+       ov9281->hblank = v4l2_ctrl_new_std(handler, NULL, V4L2_CID_HBLANK,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0957-overlays-Add-spi0-1cs-and-spi0-2cs.patch b/target/linux/bcm27xx/patches-5.4/950-0957-overlays-Add-spi0-1cs-and-spi0-2cs.patch
new file mode 100644 (file)
index 0000000..3af45bb
--- /dev/null
@@ -0,0 +1,199 @@
+From 692af7a59fd6d9d3b64edbf028cd75b0096ef8c7 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 28 Aug 2020 12:55:41 +0100
+Subject: [PATCH] overlays: Add spi0-1cs and spi0-2cs
+
+The spi0-1cs overlay allows the SPI0 interface to be run with a single
+CS line, which can be useful if GPIOs are in short supply. The no_miso
+parameter is for write-only devices that don't need the return channel,
+and again is there to free up a GPIO.
+
+spi0-2cs is the new name for spi0-cs (now deprecated with a redirect
+to spi0-2cs), but with the addedd no_miso parameter.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  3 +-
+ arch/arm/boot/dts/overlays/README             | 22 ++++++++--
+ arch/arm/boot/dts/overlays/overlay_map.dts    |  4 ++
+ .../boot/dts/overlays/spi0-1cs-overlay.dts    | 42 +++++++++++++++++++
+ ...i0-cs-overlay.dts => spi0-2cs-overlay.dts} |  8 ++++
+ 5 files changed, 75 insertions(+), 4 deletions(-)
+ create mode 100644 arch/arm/boot/dts/overlays/spi0-1cs-overlay.dts
+ rename arch/arm/boot/dts/overlays/{spi0-cs-overlay.dts => spi0-2cs-overlay.dts} (79%)
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -161,7 +161,8 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       spi-gpio35-39.dtbo \
+       spi-gpio40-45.dtbo \
+       spi-rtc.dtbo \
+-      spi0-cs.dtbo \
++      spi0-1cs.dtbo \
++      spi0-2cs.dtbo \
+       spi1-1cs.dtbo \
+       spi1-2cs.dtbo \
+       spi1-3cs.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2412,11 +2412,27 @@ Load:   dtoverlay=spi-rtc,<param>=<val>
+ Params: pcf2123                 Select the PCF2123 device
+-Name:   spi0-cs
+-Info:   Allows the (software) CS pins for SPI0 to be changed
+-Load:   dtoverlay=spi0-cs,<param>=<val>
++Name:   spi0-1cs
++Info:   Only use one CS pin for SPI0
++Load:   dtoverlay=spi0-1cs,<param>=<val>
++Params: cs0_pin                 GPIO pin for CS0 (default 8)
++        no_miso                 Don't claim and use the MISO pin (9), freeing
++                                it for other uses.
++
++
++Name:   spi0-2cs
++Info:   Change the CS pins for SPI0
++Load:   dtoverlay=spi0-2cs,<param>=<val>
+ Params: cs0_pin                 GPIO pin for CS0 (default 8)
+         cs1_pin                 GPIO pin for CS1 (default 7)
++        no_miso                 Don't claim and use the MISO pin (9), freeing
++                                it for other uses.
++
++
++Name:   spi0-cs
++Info:   This overlay has been renamed spi0-1cs, keeping spi0-cs as an
++        alias for backwards compatibility.
++Load:   <Deprecated>
+ Name:   spi0-hw-cs
+--- a/arch/arm/boot/dts/overlays/overlay_map.dts
++++ b/arch/arm/boot/dts/overlays/overlay_map.dts
+@@ -61,6 +61,10 @@
+               deprecated = "use sdio,bus_width=1,gpios_22_25";
+       };
++      spi0-cs {
++              renamed = "spi0-2cs";
++      };
++
+       spi0-hw-cs {
+               deprecated = "no longer necessary";
+       };
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/spi0-1cs-overlay.dts
+@@ -0,0 +1,42 @@
++/dts-v1/;
++/plugin/;
++
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&spi0_cs_pins>;
++              frag0: __overlay__ {
++                      brcm,pins = <8>;
++              };
++      };
++
++      fragment@1 {
++              target = <&spi0>;
++              frag1: __overlay__ {
++                      cs-gpios = <&gpio 8 1>;
++                      status = "okay";
++              };
++      };
++
++      fragment@2 {
++              target = <&spidev1>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@3 {
++              target = <&spi0_pins>;
++              __dormant__ {
++                      brcm,pins = <10 11>;
++              };
++      };
++
++      __overrides__ {
++              cs0_pin  = <&frag0>,"brcm,pins:0",
++                         <&frag1>,"cs-gpios:4";
++              no_miso = <0>,"=3";
++      };
++};
+--- a/arch/arm/boot/dts/overlays/spi0-cs-overlay.dts
++++ /dev/null
+@@ -1,29 +0,0 @@
+-/dts-v1/;
+-/plugin/;
+-
+-
+-/ {
+-      compatible = "brcm,bcm2835";
+-
+-      fragment@0 {
+-              target = <&spi0_cs_pins>;
+-              frag0: __overlay__ {
+-                      brcm,pins = <8 7>;
+-              };
+-      };
+-
+-      fragment@1 {
+-              target = <&spi0>;
+-              frag1: __overlay__ {
+-                      cs-gpios = <&gpio 8 1>, <&gpio 7 1>;
+-                      status = "okay";
+-              };
+-      };
+-
+-      __overrides__ {
+-              cs0_pin  = <&frag0>,"brcm,pins:0",
+-                         <&frag1>,"cs-gpios:4";
+-              cs1_pin  = <&frag0>,"brcm,pins:4",
+-                         <&frag1>,"cs-gpios:16";
+-      };
+-};
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/spi0-2cs-overlay.dts
+@@ -0,0 +1,37 @@
++/dts-v1/;
++/plugin/;
++
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&spi0_cs_pins>;
++              frag0: __overlay__ {
++                      brcm,pins = <8 7>;
++              };
++      };
++
++      fragment@1 {
++              target = <&spi0>;
++              frag1: __overlay__ {
++                      cs-gpios = <&gpio 8 1>, <&gpio 7 1>;
++                      status = "okay";
++              };
++      };
++
++      fragment@2 {
++              target = <&spi0_pins>;
++              __dormant__ {
++                      brcm,pins = <10 11>;
++              };
++      };
++
++      __overrides__ {
++              cs0_pin  = <&frag0>,"brcm,pins:0",
++                         <&frag1>,"cs-gpios:4";
++              cs1_pin  = <&frag0>,"brcm,pins:4",
++                         <&frag1>,"cs-gpios:16";
++              no_miso = <0>,"=2";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0958-overlays-Fix-error-in-README.patch b/target/linux/bcm27xx/patches-5.4/950-0958-overlays-Fix-error-in-README.patch
new file mode 100644 (file)
index 0000000..ab6db1e
--- /dev/null
@@ -0,0 +1,23 @@
+From 9102032e6d06f271058b6bd98db453a2275ec9b9 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 28 Aug 2020 22:04:05 +0100
+Subject: [PATCH] overlays: Fix error in README
+
+spi0-cs has been renamed spi0-2cs, not spi0-1cs.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2430,7 +2430,7 @@ Params: cs0_pin                 GPIO pin
+ Name:   spi0-cs
+-Info:   This overlay has been renamed spi0-1cs, keeping spi0-cs as an
++Info:   This overlay has been renamed spi0-2cs, keeping spi0-cs as an
+         alias for backwards compatibility.
+ Load:   <Deprecated>
diff --git a/target/linux/bcm27xx/patches-5.4/950-0959-overlays-Minor-README-correction.patch b/target/linux/bcm27xx/patches-5.4/950-0959-overlays-Minor-README-correction.patch
new file mode 100644 (file)
index 0000000..1cc7ff9
--- /dev/null
@@ -0,0 +1,21 @@
+From 8314b7ffe066745d9a2045e2ecaf287487342df3 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 2 Sep 2020 08:39:57 +0100
+Subject: [PATCH] overlays: Minor README correction
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -134,7 +134,7 @@ Params:
+                                 6=Alt                    7=Speed/Flash
+                                 8=Link                   9=Activity
+-        eth_led1                Set mode of LED1 - green on Pi3B (default "6"),
++        eth_led1                Set mode of LED1 - green on Pi3B+ (default "6"),
+                                 amber on Pi4 (default "8"). See eth_led0 for
+                                 legal values.
diff --git a/target/linux/bcm27xx/patches-5.4/950-0960-staging-fbtft-Add-support-for-display-variants.patch b/target/linux/bcm27xx/patches-5.4/950-0960-staging-fbtft-Add-support-for-display-variants.patch
new file mode 100644 (file)
index 0000000..185e8eb
--- /dev/null
@@ -0,0 +1,204 @@
+From fe85ae40abf546bf592d171de942c17238103e52 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 1 Sep 2020 18:15:27 +0100
+Subject: [PATCH] staging/fbtft: Add support for display variants
+
+Display variants are intended as a replacement for the now-deleted
+fbtft_device drivers. Drivers can register additional compatible
+strings with a custom callback that can make the required changes
+to the fbtft_display structure.
+
+Start the ball rolling by adding adafruit18, adafruit18_green and
+sainsmart18 displays.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/staging/fbtft/fb_st7735r.c | 38 +++++++++++++++++++++++++++++-
+ drivers/staging/fbtft/fbtft-core.c | 15 +++++++++++-
+ drivers/staging/fbtft/fbtft.h      | 28 +++++++++++++++++-----
+ 3 files changed, 73 insertions(+), 8 deletions(-)
+
+--- a/drivers/staging/fbtft/fb_st7735r.c
++++ b/drivers/staging/fbtft/fb_st7735r.c
+@@ -16,6 +16,10 @@
+ #define DEFAULT_GAMMA   "0F 1A 0F 18 2F 28 20 22 1F 1B 23 37 00 07 02 10\n" \
+                       "0F 1B 0F 17 33 2C 29 2E 30 30 39 3F 00 07 03 10"
++#define ADAFRUIT18_GAMMA \
++                      "02 1c 07 12 37 32 29 2d 29 25 2B 39 00 01 03 10\n" \
++                      "03 1d 07 06 2E 2C 29 2D 2E 2E 37 3F 00 00 02 10"
++
+ static const s16 default_init_sequence[] = {
+       -1, MIPI_DCS_SOFT_RESET,
+       -2, 150,                               /* delay */
+@@ -94,6 +98,14 @@ static void set_addr_win(struct fbtft_pa
+       write_reg(par, MIPI_DCS_WRITE_MEMORY_START);
+ }
++static void adafruit18_green_tab_set_addr_win(struct fbtft_par *par,
++                                            int xs, int ys, int xe, int ye)
++{
++      write_reg(par, 0x2A, 0, xs + 2, 0, xe + 2);
++      write_reg(par, 0x2B, 0, ys + 1, 0, ye + 1);
++      write_reg(par, 0x2C);
++}
++
+ #define MY BIT(7)
+ #define MX BIT(6)
+ #define MV BIT(5)
+@@ -174,12 +186,36 @@ static struct fbtft_display display = {
+       },
+ };
+-FBTFT_REGISTER_DRIVER(DRVNAME, "sitronix,st7735r", &display);
++int variant_adafruit18(struct fbtft_display *display)
++{
++      display->gamma = ADAFRUIT18_GAMMA;
++      return 0;
++}
++
++int variant_adafruit18_green(struct fbtft_display *display)
++{
++      display->gamma = ADAFRUIT18_GAMMA;
++      display->fbtftops.set_addr_win = adafruit18_green_tab_set_addr_win;
++      return 0;
++}
++
++FBTFT_REGISTER_DRIVER_START(&display)
++FBTFT_COMPATIBLE("sitronix,st7735r")
++FBTFT_COMPATIBLE("fbtft,sainsmart18")
++FBTFT_VARIANT_COMPATIBLE("fbtft,adafruit18", variant_adafruit18)
++FBTFT_VARIANT_COMPATIBLE("fbtft,adafruit18_green", variant_adafruit18_green)
++FBTFT_REGISTER_DRIVER_END(DRVNAME, &display);
+ MODULE_ALIAS("spi:" DRVNAME);
+ MODULE_ALIAS("platform:" DRVNAME);
+ MODULE_ALIAS("spi:st7735r");
+ MODULE_ALIAS("platform:st7735r");
++MODULE_ALIAS("spi:sainsmart18");
++MODULE_ALIAS("platform:sainsmart");
++MODULE_ALIAS("spi:adafruit18");
++MODULE_ALIAS("platform:adafruit18");
++MODULE_ALIAS("spi:adafruit18_green");
++MODULE_ALIAS("platform:adafruit18_green");
+ MODULE_DESCRIPTION("FB driver for the ST7735R LCD Controller");
+ MODULE_AUTHOR("Noralf Tronnes");
+--- a/drivers/staging/fbtft/fbtft-core.c
++++ b/drivers/staging/fbtft/fbtft-core.c
+@@ -24,6 +24,7 @@
+ #include <linux/platform_device.h>
+ #include <linux/spinlock.h>
+ #include <linux/of.h>
++#include <linux/of_device.h>
+ #include <video/mipi_display.h>
+ #include "fbtft.h"
+@@ -1200,6 +1201,7 @@ static struct fbtft_platform_data *fbtft
+  * @display: Display properties
+  * @sdev: SPI device
+  * @pdev: Platform device
++ * @dt_ids: Compatible string table
+  *
+  * Allocates, initializes and registers a framebuffer
+  *
+@@ -1209,12 +1211,15 @@ static struct fbtft_platform_data *fbtft
+  */
+ int fbtft_probe_common(struct fbtft_display *display,
+                      struct spi_device *sdev,
+-                     struct platform_device *pdev)
++                     struct platform_device *pdev,
++                     const struct of_device_id *dt_ids)
+ {
+       struct device *dev;
+       struct fb_info *info;
+       struct fbtft_par *par;
+       struct fbtft_platform_data *pdata;
++      const struct of_device_id *match;
++      int (*variant)(struct fbtft_display *);
+       int ret;
+       if (sdev)
+@@ -1230,6 +1235,14 @@ int fbtft_probe_common(struct fbtft_disp
+               pdata = fbtft_probe_dt(dev);
+               if (IS_ERR(pdata))
+                       return PTR_ERR(pdata);
++              match = of_match_device(dt_ids, dev);
++              if (match && match->data) {
++                      /* apply the variant */
++                      variant = match->data;
++                      ret = (*variant)(display);
++                      if (ret)
++                              return ret;
++              }
+       }
+       info = fbtft_framebuffer_alloc(display, dev, pdata);
+--- a/drivers/staging/fbtft/fbtft.h
++++ b/drivers/staging/fbtft/fbtft.h
+@@ -251,7 +251,8 @@ void fbtft_register_backlight(struct fbt
+ void fbtft_unregister_backlight(struct fbtft_par *par);
+ int fbtft_init_display(struct fbtft_par *par);
+ int fbtft_probe_common(struct fbtft_display *display, struct spi_device *sdev,
+-                     struct platform_device *pdev);
++                     struct platform_device *pdev,
++                     const struct of_device_id *dt_ids);
+ int fbtft_remove_common(struct device *dev, struct fb_info *info);
+ /* fbtft-io.c */
+@@ -272,11 +273,13 @@ void fbtft_write_reg8_bus9(struct fbtft_
+ void fbtft_write_reg16_bus8(struct fbtft_par *par, int len, ...);
+ void fbtft_write_reg16_bus16(struct fbtft_par *par, int len, ...);
+-#define FBTFT_REGISTER_DRIVER(_name, _compatible, _display)                \
++#define FBTFT_REGISTER_DRIVER_START(_display)                              \
++                                                                         \
++static const struct of_device_id dt_ids[];                                 \
+                                                                          \
+ static int fbtft_driver_probe_spi(struct spi_device *spi)                  \
+ {                                                                          \
+-      return fbtft_probe_common(_display, spi, NULL);                    \
++      return fbtft_probe_common(_display, spi, NULL, dt_ids);            \
+ }                                                                          \
+                                                                          \
+ static int fbtft_driver_remove_spi(struct spi_device *spi)                 \
+@@ -288,7 +291,7 @@ static int fbtft_driver_remove_spi(struc
+                                                                          \
+ static int fbtft_driver_probe_pdev(struct platform_device *pdev)           \
+ {                                                                          \
+-      return fbtft_probe_common(_display, NULL, pdev);                   \
++      return fbtft_probe_common(_display, NULL, pdev, dt_ids);           \
+ }                                                                          \
+                                                                          \
+ static int fbtft_driver_remove_pdev(struct platform_device *pdev)          \
+@@ -298,8 +301,16 @@ static int fbtft_driver_remove_pdev(stru
+       return fbtft_remove_common(&pdev->dev, info);                      \
+ }                                                                          \
+                                                                          \
+-static const struct of_device_id dt_ids[] = {                              \
+-      { .compatible = _compatible },                                     \
++static const struct of_device_id dt_ids[] = {
++
++#define FBTFT_COMPATIBLE(_compatible)                                      \
++      { .compatible = _compatible },
++
++#define FBTFT_VARIANT_COMPATIBLE(_compatible, _variant)                    \
++      { .compatible = _compatible, .data = _variant },
++
++#define FBTFT_REGISTER_DRIVER_END(_name, _display)                         \
++                                                                         \
+       {},                                                                \
+ };                                                                         \
+                                                                          \
+@@ -344,6 +355,11 @@ static void __exit fbtft_driver_module_e
+ module_init(fbtft_driver_module_init);                                     \
+ module_exit(fbtft_driver_module_exit);
++#define FBTFT_REGISTER_DRIVER(_name, _compatible, _display)                \
++      FBTFT_REGISTER_DRIVER_START(_display)                              \
++      FBTFT_COMPATIBLE(_compatible)                                      \
++      FBTFT_REGISTER_DRIVER_END(_name, _display)
++
+ /* Debug macros */
+ /* shorthand debug levels */
diff --git a/target/linux/bcm27xx/patches-5.4/950-0961-overlays-Add-adafruit18-and-sainsmart18-overlays.patch b/target/linux/bcm27xx/patches-5.4/950-0961-overlays-Add-adafruit18-and-sainsmart18-overlays.patch
new file mode 100644 (file)
index 0000000..986ddd4
--- /dev/null
@@ -0,0 +1,169 @@
+From dde4afa87452e8499c611c9a889f4c5f35d9e2bb Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 3 Sep 2020 17:36:00 +0100
+Subject: [PATCH] overlays: Add adafruit18 and sainsmart18 overlays
+
+Add support for three ST7735R-based displays - adafruit18,
+adafruit18_green and sainsmart18.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  2 +
+ arch/arm/boot/dts/overlays/README             | 15 ++++++
+ .../boot/dts/overlays/adafruit18-overlay.dts  | 49 +++++++++++++++++++
+ .../boot/dts/overlays/sainsmart18-overlay.dts | 47 ++++++++++++++++++
+ 4 files changed, 113 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/adafruit18-overlay.dts
+ create mode 100644 arch/arm/boot/dts/overlays/sainsmart18-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -4,6 +4,7 @@ dtb-$(CONFIG_ARCH_BCM2835) += overlay_ma
+ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       act-led.dtbo \
++      adafruit18.dtbo \
+       adau1977-adc.dtbo \
+       adau7002-simple.dtbo \
+       ads1015.dtbo \
+@@ -147,6 +148,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       rpi-tv.dtbo \
+       rpivid-v4l2.dtbo \
+       rra-digidac1-wm8741-audio.dtbo \
++      sainsmart18.dtbo \
+       sc16is750-i2c.dtbo \
+       sc16is752-i2c.dtbo \
+       sc16is752-spi0.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -261,6 +261,14 @@ Params: activelow               Set to "
+                                 REQUIRED
++Name:   adafruit18
++Info:   Overlay for the SPI-connected Adafruit 1.8" display (based on the
++        ST7735R chip). It includes support for the "green tab" version.
++Load:   dtoverlay=adafruit18,<param>=<val>
++Params: green                   Use the adafruit18_green variant.
++        rotate                  Display rotation {0,90,180,270}
++
++
+ Name:   adau1977-adc
+ Info:   Overlay for activation of ADAU1977 ADC codec over I2C for control
+         and I2S for data.
+@@ -2251,6 +2259,13 @@ Load:   dtoverlay=rra-digidac1-wm8741-au
+ Params: <None>
++Name:   sainsmart18
++Info:   Overlay for the SPI-connected Sainsmart 1.8" display (based on the
++        ST7735R chip).
++Load:   dtoverlay=sainsmart18,<param>=<val>
++Params: rotate                  Display rotation {0,90,180,270}
++
++
+ Name:   sc16is750-i2c
+ Info:   Overlay for the NXP SC16IS750 UART with I2C Interface
+         Enables the chip on I2C1 at 0x48 (or the "addr" parameter value). To
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/adafruit18-overlay.dts
+@@ -0,0 +1,49 @@
++/*
++ * Device Tree overlay for Adafruit 1.8" TFT LCD with ST7735R chip 160x128
++ */
++
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&spidev0>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@1 {
++              target = <&spi0>;
++              __overlay__ {
++                      /* needed to avoid dtc warning */
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      af18: adafruit18@0 {
++                              compatible = "fbtft,adafruit18";
++                              reg = <0>;
++                              pinctrl-names = "default";
++                              spi-max-frequency = <40000000>;
++                              rotate = <90>;
++                              buswidth = <8>;
++                              fps = <50>;
++                              height = <160>;
++                              width = <128>;
++                              reset-gpios = <&gpio 25 0>;
++                              dc-gpios = <&gpio 24 0>;
++                              led-gpios = <&gpio 18 0>;
++                              bgr;
++                              debug = <0>;
++                      };
++              };
++      };
++
++      __overrides__ {
++              green = <&af18>, "compatible=fbtft,adafruit18_green";
++              rotate = <&af18>, "rotate:0";
++      };
++};
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/sainsmart18-overlay.dts
+@@ -0,0 +1,47 @@
++/*
++ * Device Tree overlay for the Sainsmart 1.8" TFT LCD with ST7735R chip 160x128
++ */
++
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&spidev0>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@1 {
++              target = <&spi0>;
++              __overlay__ {
++                      /* needed to avoid dtc warning */
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      ss18: sainsmart18@0 {
++                              compatible = "fbtft,sainsmart18";
++                              reg = <0>;
++                              pinctrl-names = "default";
++                              spi-max-frequency = <40000000>;
++                              rotate = <90>;
++                              buswidth = <8>;
++                              fps = <50>;
++                              height = <160>;
++                              width = <128>;
++                              reset-gpios = <&gpio 25 0>;
++                              dc-gpios = <&gpio 24 0>;
++                              bgr;
++                              debug = <0>;
++                      };
++              };
++      };
++
++      __overrides__ {
++              rotate = <&ss18>, "rotate:0";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0962-ARM-dts-Limit-BT-modem-baud-rate-on-3B.patch b/target/linux/bcm27xx/patches-5.4/950-0962-ARM-dts-Limit-BT-modem-baud-rate-on-3B.patch
new file mode 100644 (file)
index 0000000..f88cc7b
--- /dev/null
@@ -0,0 +1,28 @@
+From 27f210c863d3349d41e366fb7573e9dcc0708e3d Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 4 Sep 2020 09:04:29 +0100
+Subject: [PATCH] ARM: dts: Limit BT modem baud rate on 3B
+
+The 3B doesn't have the flow control signals connected to the BT modem,
+which limits the maximum usable baud rate to below 1 Mbaud. Use
+921600 as a relatively safe default value, but the krnbt_baudrate
+parameter can still override it.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2710-rpi-3-b.dts | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
+@@ -117,6 +117,10 @@
+       status = "okay";
+ };
++&bt {
++      max-speed = <921600>;
++};
++
+ &spi0 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&spi0_pins &spi0_cs_pins>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0963-overlays-Update-i2c0-overlay-to-disable-the-i2c0mux.patch b/target/linux/bcm27xx/patches-5.4/950-0963-overlays-Update-i2c0-overlay-to-disable-the-i2c0mux.patch
new file mode 100644 (file)
index 0000000..3ce5e0b
--- /dev/null
@@ -0,0 +1,70 @@
+From 2be1e1c949138b40aac6be1e6f761c69e98dcf66 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 3 Sep 2020 14:59:40 +0100
+Subject: [PATCH] overlays: Update i2c0 overlay to disable the
+ i2c0mux.
+
+The i2c0 overlay was assigning pinctrl settings to node i2c0,
+which is now the port@0 output of the mux. That leaves a high
+chance of it colliding with the port@1 output and not doing
+what was intended.
+
+Set the i2c0 overlay to disable i2c0mux, set the pin-ctrl on
+the root i2c controller, and redirect the alias, so overall it
+behaves exactly as before.
+Combining with dtparam=i2c_vc=on is going to cause conflicts,
+so this is noted in the README.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README           |  7 +++++++
+ arch/arm/boot/dts/overlays/i2c0-overlay.dts | 15 ++++++++++++++-
+ 2 files changed, 21 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1354,6 +1354,13 @@ Name:   i2c0
+ Info:   Change i2c0 pin usage. Not all pin combinations are usable on all
+         platforms - platforms other then Compute Modules can only use this
+         to disable transaction combining.
++        Do NOT use in conjunction with dtparam=i2c_vc=on. From the 5.4 kernel
++        onwards the base DT includes the use of i2c_mux_pinctrl to expose two
++        muxings of BSC0 - GPIOs 0&1, and whichever combination is used for the
++        camera and display connectors. This overlay disables that mux and
++        configures /dev/i2c0 to point at whichever set of pins is requested.
++        dtparam=i2c_vc=on will try and enable the mux, so combining the two
++        will cause conflicts.
+ Load:   dtoverlay=i2c0,<param>=<val>
+ Params: pins_0_1                Use pins 0 and 1 (default)
+         pins_28_29              Use pins 28 and 29
+--- a/arch/arm/boot/dts/overlays/i2c0-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c0-overlay.dts
+@@ -5,7 +5,7 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target = <&i2c0>;
++              target = <&i2c0if>;
+               __overlay__ {
+                       status = "okay";
+                       pinctrl-0 = <&i2c0_pins>;
+@@ -51,6 +51,19 @@
+               };
+       };
++      fragment@6 {
++              target = <&i2c0mux>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++
++      fragment@7 {
++              target-path = "/aliases";
++              __overlay__ {
++                      i2c0 = "/soc/i2c@7e205000";
++              };
++      };
+       __overrides__ {
+               pins_0_1   = <0>,"+1-2-3-4";
+               pins_28_29 = <0>,"-1+2-3-4";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0964-dt-Remove-duplicate-assignment-for-i2c0-pinctrl-conf.patch b/target/linux/bcm27xx/patches-5.4/950-0964-dt-Remove-duplicate-assignment-for-i2c0-pinctrl-conf.patch
new file mode 100644 (file)
index 0000000..ce3d9b5
--- /dev/null
@@ -0,0 +1,155 @@
+From 12bd4eee1bb882417a38c2b5c9790e97725e489d Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 3 Sep 2020 15:12:50 +0100
+Subject: [PATCH] dt: Remove duplicate assignment for i2c0 pinctrl
+ config
+
+The include file bcm283x-rpi-i2c0mux_0_XX.dtsi was setting
+pinctrl-0 on i2c0mux, as were the individual platform DT files.
+
+Remove this duplication and rely on the include file.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2708-rpi-b-plus.dts   | 4 ----
+ arch/arm/boot/dts/bcm2708-rpi-b.dts        | 4 ----
+ arch/arm/boot/dts/bcm2708-rpi-cm.dts       | 4 ----
+ arch/arm/boot/dts/bcm2708-rpi-zero-w.dts   | 4 ----
+ arch/arm/boot/dts/bcm2708-rpi-zero.dts     | 4 ----
+ arch/arm/boot/dts/bcm2709-rpi-2-b.dts      | 4 ----
+ arch/arm/boot/dts/bcm2710-rpi-2-b.dts      | 4 ----
+ arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts | 4 ----
+ arch/arm/boot/dts/bcm2710-rpi-3-b.dts      | 4 ----
+ arch/arm/boot/dts/bcm2710-rpi-cm3.dts      | 4 ----
+ 10 files changed, 40 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2708-rpi-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-b-plus.dts
+@@ -73,10 +73,6 @@
+       clock-frequency = <100000>;
+ };
+-&i2c0mux {
+-      pinctrl-0 = <&i2c0_pins>;
+-};
+-
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2708-rpi-b.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-b.dts
+@@ -73,10 +73,6 @@
+       clock-frequency = <100000>;
+ };
+-&i2c0mux {
+-      pinctrl-0 = <&i2c0_pins>;
+-};
+-
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2708-rpi-cm.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-cm.dts
+@@ -72,10 +72,6 @@
+       clock-frequency = <100000>;
+ };
+-&i2c0mux {
+-      pinctrl-0 = <&i2c0_pins>;
+-};
+-
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
+@@ -122,10 +122,6 @@
+       clock-frequency = <100000>;
+ };
+-&i2c0mux {
+-      pinctrl-0 = <&i2c0_pins>;
+-};
+-
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2708-rpi-zero.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-zero.dts
+@@ -76,10 +76,6 @@
+       clock-frequency = <100000>;
+ };
+-&i2c0mux {
+-      pinctrl-0 = <&i2c0_pins>;
+-};
+-
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2709-rpi-2-b.dts
++++ b/arch/arm/boot/dts/bcm2709-rpi-2-b.dts
+@@ -73,10 +73,6 @@
+       clock-frequency = <100000>;
+ };
+-&i2c0mux {
+-      pinctrl-0 = <&i2c0_pins>;
+-};
+-
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2710-rpi-2-b.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-2-b.dts
+@@ -73,10 +73,6 @@
+       clock-frequency = <100000>;
+ };
+-&i2c0mux {
+-      pinctrl-0 = <&i2c0_pins>;
+-};
+-
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
+@@ -132,10 +132,6 @@
+       clock-frequency = <100000>;
+ };
+-&i2c0mux {
+-      pinctrl-0 = <&i2c0_pins>;
+-};
+-
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
+@@ -147,10 +147,6 @@
+       clock-frequency = <100000>;
+ };
+-&i2c0mux {
+-      pinctrl-0 = <&i2c0_pins>;
+-};
+-
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
+--- a/arch/arm/boot/dts/bcm2710-rpi-cm3.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-cm3.dts
+@@ -92,10 +92,6 @@
+       clock-frequency = <100000>;
+ };
+-&i2c0mux {
+-      pinctrl-0 = <&i2c0_pins>;
+-};
+-
+ &i2c1 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c1_pins>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0965-overlays-Add-option-for-composite-to-vc4-kms-v3d-pi4.patch b/target/linux/bcm27xx/patches-5.4/950-0965-overlays-Add-option-for-composite-to-vc4-kms-v3d-pi4.patch
new file mode 100644 (file)
index 0000000..0334261
--- /dev/null
@@ -0,0 +1,68 @@
+From bbced22ee3d4c08612bbc35c860d2274e85d5a51 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 27 Aug 2020 18:57:26 +0100
+Subject: [PATCH] overlays: Add option for composite to
+ vc4-kms-v3d-pi4.
+
+Composite is an alternative to HDMI/DPI/DSI on Pi4 as it
+requires very particular clock setups.
+Add an option to vc4-kms-v3d-pi4 to enable composite and
+disable all other graphics outputs.
+
+NB This must be used in conjunction with enable_tvout=1
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README             |  2 ++
+ .../dts/overlays/vc4-kms-v3d-pi4-overlay.dts  | 26 +++++++++++++++++++
+ 2 files changed, 28 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2924,6 +2924,8 @@ Params: cma-256                 CMA is 2
+         audio1                  Enable or disable audio over HDMI1 (default
+                                 "on")
+         noaudio                 Disable all HDMI audio (default "off")
++        composite               Enable the composite output (disables all other
++                                outputs)
+ Name:   vga666
+--- a/arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
++++ b/arch/arm/boot/dts/overlays/vc4-kms-v3d-pi4-overlay.dts
+@@ -152,9 +152,35 @@
+               };
+       };
++      fragment@21 {
++              target = <&pixelvalve3>;
++              __dormant__  {
++                      status = "okay";
++              };
++      };
++
++      fragment@22 {
++              target = <&vec>;
++              __dormant__  {
++                      status = "okay";
++              };
++      };
++
+       __overrides__ {
+               audio   = <0>,"!17";
+               audio1   = <0>,"!18";
+               noaudio = <0>,"=17", <0>,"=18", <0>,"!19";
++              composite = <0>, "!1",
++                          <0>, "!2",
++                          <0>, "!3",
++                          <0>, "!4",
++                          <0>, "!6",
++                          <0>, "!7",
++                          <0>, "!8",
++                          <0>, "!9",
++                          <0>, "!10",
++                          <0>, "!16",
++                          <0>, "=21",
++                          <0>, "=22";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0966-minor-typo-in-directions.patch b/target/linux/bcm27xx/patches-5.4/950-0966-minor-typo-in-directions.patch
new file mode 100644 (file)
index 0000000..2a64933
--- /dev/null
@@ -0,0 +1,20 @@
+From 8f2841137865fb064ab59fc60b2bd22bbd27078a Mon Sep 17 00:00:00 2001
+From: lsellens <lsellens@gmail.com>
+Date: Mon, 14 Sep 2020 22:35:39 -0500
+Subject: [PATCH] minor typo in directions
+
+---
+ arch/arm/boot/dts/overlays/gpio-fan-overlay.dts | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/gpio-fan-overlay.dts
++++ b/arch/arm/boot/dts/overlays/gpio-fan-overlay.dts
+@@ -31,7 +31,7 @@
+  *    - sudo nano /boot/config.txt add "dtoverlay=gpio-fan" or "dtoverlay=gpio-fan,gpiopin=12,temp=45000"
+  *     or
+  *    - sudo sh -c 'printf "\n# Enable PI GPIO-Fan Default\ndtoverlay=gpio-fan\n" >> /boot/config.txt'
+- *    - sudo sh -c 'printf "\n# Enable PI GPIO-Fan Custom\ntoverlay=gpio-fan,gpiopin=12,temp=45000\n" >> /boot/config.txt'
++ *    - sudo sh -c 'printf "\n# Enable PI GPIO-Fan Custom\ndtoverlay=gpio-fan,gpiopin=12,temp=45000\n" >> /boot/config.txt'
+  *
+  */
+ /dts-v1/;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0967-overlays-Regenerate-upstream-pi4-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0967-overlays-Regenerate-upstream-pi4-overlay.patch
new file mode 100644 (file)
index 0000000..c025aa2
--- /dev/null
@@ -0,0 +1,31 @@
+From b1345dbdf08ded4350233bc95925647d01e936f8 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 14 Sep 2020 15:49:38 +0100
+Subject: [PATCH] overlays: Regenerate upstream-pi4 overlay
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts | 12 ++++++++++++
+ 1 file changed, 12 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
++++ b/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
+@@ -134,6 +134,18 @@
+               };
+       };
+       fragment@21 {
++              target = <&pixelvalve3>;
++              __dormant__ {
++                      status = "okay";
++              };
++      };
++      fragment@22 {
++              target = <&vec>;
++              __dormant__ {
++                      status = "okay";
++              };
++      };
++      fragment@23 {
+               target = <&usb>;
+               #address-cells = <1>;
+               #size-cells = <1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0968-overlays-Add-parameters-to-adafruit18-sainsmart18.patch b/target/linux/bcm27xx/patches-5.4/950-0968-overlays-Add-parameters-to-adafruit18-sainsmart18.patch
new file mode 100644 (file)
index 0000000..99ef611
--- /dev/null
@@ -0,0 +1,94 @@
+From 9249fb1ac6b8bfcc0056fe2a871d4965b774c667 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 14 Sep 2020 15:48:16 +0100
+Subject: [PATCH] overlays: Add parameters to adafruit18, sainsmart18
+
+Also fix polarity of the reset GPIO.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README                  | 13 +++++++++++++
+ arch/arm/boot/dts/overlays/adafruit18-overlay.dts  | 11 +++++++++--
+ arch/arm/boot/dts/overlays/sainsmart18-overlay.dts | 10 ++++++++--
+ 3 files changed, 30 insertions(+), 4 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -267,6 +267,13 @@ Info:   Overlay for the SPI-connected Ad
+ Load:   dtoverlay=adafruit18,<param>=<val>
+ Params: green                   Use the adafruit18_green variant.
+         rotate                  Display rotation {0,90,180,270}
++        speed                   SPI bus speed in Hz (default 4000000)
++        fps                     Display frame rate in Hz
++        bgr                     Enable BGR mode (default on)
++        debug                   Debug output level {0-7}
++        dc_pin                  GPIO pin for D/C (default 24)
++        reset_pin               GPIO pin for RESET (default 25)
++        led_pin                 GPIO used to control backlight (default 18)
+ Name:   adau1977-adc
+@@ -2271,6 +2278,12 @@ Info:   Overlay for the SPI-connected Sa
+         ST7735R chip).
+ Load:   dtoverlay=sainsmart18,<param>=<val>
+ Params: rotate                  Display rotation {0,90,180,270}
++        speed                   SPI bus speed in Hz (default 4000000)
++        fps                     Display frame rate in Hz
++        bgr                     Enable BGR mode (default on)
++        debug                   Debug output level {0-7}
++        dc_pin                  GPIO pin for D/C (default 24)
++        reset_pin               GPIO pin for RESET (default 25)
+ Name:   sc16is750-i2c
+--- a/arch/arm/boot/dts/overlays/adafruit18-overlay.dts
++++ b/arch/arm/boot/dts/overlays/adafruit18-overlay.dts
+@@ -33,7 +33,7 @@
+                               fps = <50>;
+                               height = <160>;
+                               width = <128>;
+-                              reset-gpios = <&gpio 25 0>;
++                              reset-gpios = <&gpio 25 1>;
+                               dc-gpios = <&gpio 24 0>;
+                               led-gpios = <&gpio 18 0>;
+                               bgr;
+@@ -44,6 +44,13 @@
+       __overrides__ {
+               green = <&af18>, "compatible=fbtft,adafruit18_green";
+-              rotate = <&af18>, "rotate:0";
++              speed     = <&af18>,"spi-max-frequency:0";
++              rotate    = <&af18>,"rotate:0";
++              fps       = <&af18>,"fps:0";
++              bgr       = <&af18>,"bgr?";
++              debug     = <&af18>,"debug:0";
++              dc_pin    = <&af18>,"dc-gpios:4";
++              reset_pin = <&af18>,"reset-gpios:4";
++              led_pin   = <&af18>,"led-gpios:4";
+       };
+ };
+--- a/arch/arm/boot/dts/overlays/sainsmart18-overlay.dts
++++ b/arch/arm/boot/dts/overlays/sainsmart18-overlay.dts
+@@ -33,7 +33,7 @@
+                               fps = <50>;
+                               height = <160>;
+                               width = <128>;
+-                              reset-gpios = <&gpio 25 0>;
++                              reset-gpios = <&gpio 25 1>;
+                               dc-gpios = <&gpio 24 0>;
+                               bgr;
+                               debug = <0>;
+@@ -42,6 +42,12 @@
+       };
+       __overrides__ {
+-              rotate = <&ss18>, "rotate:0";
++              speed     = <&ss18>,"spi-max-frequency:0";
++              rotate    = <&ss18>,"rotate:0";
++              fps       = <&ss18>,"fps:0";
++              bgr       = <&ss18>,"bgr?";
++              debug     = <&ss18>,"debug:0";
++              dc_pin    = <&ss18>,"dc-gpios:4";
++              reset_pin = <&ss18>,"reset-gpios:4";
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0969-rpivid_h265-Fix-width-height-typo.patch b/target/linux/bcm27xx/patches-5.4/950-0969-rpivid_h265-Fix-width-height-typo.patch
new file mode 100644 (file)
index 0000000..3aeb6e4
--- /dev/null
@@ -0,0 +1,21 @@
+From 3effc5ad6a017d4523158e04d2543a42def1744f Mon Sep 17 00:00:00 2001
+From: popcornmix <popcornmix@gmail.com>
+Date: Mon, 21 Sep 2020 14:02:44 +0100
+Subject: [PATCH] rpivid_h265: Fix width/height typo
+
+Signed-off-by: popcornmix <popcornmix@gmail.com>
+---
+ drivers/staging/media/rpivid/rpivid_h265.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/media/rpivid/rpivid_h265.c
++++ b/drivers/staging/media/rpivid/rpivid_h265.c
+@@ -2178,7 +2178,7 @@ static int rpivid_h265_start(struct rpiv
+       if (w > 4096)
+               w = 4096;
+       if (h == 0)
+-              w = 1088;
++              h = 1088;
+       if (h > 4096)
+               h = 4096;
+       wxh = w * h;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0970-overlays-Add-extra-CMA-sizes-up-to-512M.patch b/target/linux/bcm27xx/patches-5.4/950-0970-overlays-Add-extra-CMA-sizes-up-to-512M.patch
new file mode 100644 (file)
index 0000000..b9d46ac
--- /dev/null
@@ -0,0 +1,78 @@
+From 43d90a5beafa788c629c41a22623d82c10a9bbb9 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 21 Sep 2020 22:00:10 +0100
+Subject: [PATCH] overlays: Add extra CMA sizes (up to 512M)
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README          | 24 ++++++++++++++++++----
+ arch/arm/boot/dts/overlays/cma-overlay.dts |  4 ++++
+ 2 files changed, 24 insertions(+), 4 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -582,7 +582,11 @@ Name:   cma
+ Info:   Set custom CMA sizes, only use if you know what you are doing, might
+         clash with other overlays like vc4-fkms-v3d and vc4-kms-v3d.
+ Load:   dtoverlay=cma,<param>=<val>
+-Params: cma-256                 CMA is 256MB (needs 1GB)
++Params: cma-512                 CMA is 512MB (needs 1GB)
++        cma-448                 CMA is 448MB (needs 1GB)
++        cma-384                 CMA is 384MB (needs 1GB)
++        cma-320                 CMA is 320MB (needs 1GB)
++        cma-256                 CMA is 256MB (needs 1GB)
+         cma-192                 CMA is 192MB (needs 1GB)
+         cma-128                 CMA is 128MB
+         cma-96                  CMA is 96MB
+@@ -2892,7 +2896,11 @@ Name:   vc4-fkms-v3d
+ Info:   Enable Eric Anholt's DRM VC4 V3D driver on top of the dispmanx
+         display stack.
+ Load:   dtoverlay=vc4-fkms-v3d,<param>
+-Params: cma-256                 CMA is 256MB (needs 1GB)
++Params: cma-512                 CMA is 512MB (needs 1GB)
++        cma-448                 CMA is 448MB (needs 1GB)
++        cma-384                 CMA is 384MB (needs 1GB)
++        cma-320                 CMA is 320MB (needs 1GB)
++        cma-256                 CMA is 256MB (needs 1GB)
+         cma-192                 CMA is 192MB (needs 1GB)
+         cma-128                 CMA is 128MB
+         cma-96                  CMA is 96MB
+@@ -2911,7 +2919,11 @@ Params: <None>
+ Name:   vc4-kms-v3d
+ Info:   Enable Eric Anholt's DRM VC4 HDMI/HVS/V3D driver.
+ Load:   dtoverlay=vc4-kms-v3d,<param>
+-Params: cma-256                 CMA is 256MB (needs 1GB)
++Params: cma-512                 CMA is 512MB (needs 1GB)
++        cma-448                 CMA is 448MB (needs 1GB)
++        cma-384                 CMA is 384MB (needs 1GB)
++        cma-320                 CMA is 320MB (needs 1GB)
++        cma-256                 CMA is 256MB (needs 1GB)
+         cma-192                 CMA is 192MB (needs 1GB)
+         cma-128                 CMA is 128MB
+         cma-96                  CMA is 96MB
+@@ -2925,7 +2937,11 @@ Params: cma-256                 CMA is 2
+ Name:   vc4-kms-v3d-pi4
+ Info:   Enable Eric Anholt's DRM VC4 HDMI/HVS/V3D driver for Pi4.
+ Load:   dtoverlay=vc4-kms-v3d-pi4,<param>
+-Params: cma-256                 CMA is 256MB
++Params: cma-512                 CMA is 512MB
++        cma-448                 CMA is 448MB
++        cma-384                 CMA is 384MB
++        cma-320                 CMA is 320MB
++        cma-256                 CMA is 256MB
+         cma-192                 CMA is 192MB
+         cma-128                 CMA is 128MB
+         cma-96                  CMA is 96MB
+--- a/arch/arm/boot/dts/overlays/cma-overlay.dts
++++ b/arch/arm/boot/dts/overlays/cma-overlay.dts
+@@ -21,6 +21,10 @@
+       };
+       __overrides__ {
++              cma-512 = <&frag0>,"size:0=",<0x20000000>;
++              cma-448 = <&frag0>,"size:0=",<0x1c000000>;
++              cma-384 = <&frag0>,"size:0=",<0x18000000>;
++              cma-320 = <&frag0>,"size:0=",<0x14000000>;
+               cma-256 = <&frag0>,"size:0=",<0x10000000>;
+               cma-192 = <&frag0>,"size:0=",<0xC000000>;
+               cma-128 = <&frag0>,"size:0=",<0x8000000>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0971-overlays-Add-note-to-BCM2711-overlays.patch b/target/linux/bcm27xx/patches-5.4/950-0971-overlays-Add-note-to-BCM2711-overlays.patch
new file mode 100644 (file)
index 0000000..3cf76d0
--- /dev/null
@@ -0,0 +1,150 @@
+From b2e311257e8c06f5d39004515c4adb65bb4fad3f Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 21 Sep 2020 22:09:40 +0100
+Subject: [PATCH] overlays: Add note to BCM2711 overlays
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README | 32 +++++++++++++++----------------
+ 1 file changed, 16 insertions(+), 16 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1403,7 +1403,7 @@ Load:   <Deprecated>
+ Name:   i2c3
+-Info:   Enable the i2c3 bus
++Info:   Enable the i2c3 bus. BCM2711 only.
+ Load:   dtoverlay=i2c3,<param>
+ Params: pins_2_3                Use GPIOs 2 and 3
+         pins_4_5                Use GPIOs 4 and 5 (default)
+@@ -1412,7 +1412,7 @@ Params: pins_2_3                Use GPIO
+ Name:   i2c4
+-Info:   Enable the i2c4 bus
++Info:   Enable the i2c4 bus. BCM2711 only.
+ Load:   dtoverlay=i2c4,<param>
+ Params: pins_6_7                Use GPIOs 6 and 7
+         pins_8_9                Use GPIOs 8 and 9 (default)
+@@ -1421,7 +1421,7 @@ Params: pins_6_7                Use GPIO
+ Name:   i2c5
+-Info:   Enable the i2c5 bus
++Info:   Enable the i2c5 bus. BCM2711 only.
+ Load:   dtoverlay=i2c5,<param>
+ Params: pins_10_11              Use GPIOs 10 and 11
+         pins_12_13              Use GPIOs 12 and 13 (default)
+@@ -1430,7 +1430,7 @@ Params: pins_10_11              Use GPIO
+ Name:   i2c6
+-Info:   Enable the i2c6 bus
++Info:   Enable the i2c6 bus. BCM2711 only.
+ Load:   dtoverlay=i2c6,<param>
+ Params: pins_0_1                Use GPIOs 0 and 1
+         pins_22_23              Use GPIOs 22 and 23 (default)
+@@ -2582,7 +2582,7 @@ Params: cs0_pin                 GPIO pin
+ Name:   spi3-1cs
+ Info:   Enables spi3 with a single chip select (CS) line and associated spidev
+         dev node. The gpio pin number for the CS line and spidev device node
+-        creation are configurable.
++        creation are configurable. BCM2711 only.
+ Load:   dtoverlay=spi3-1cs,<param>=<val>
+ Params: cs0_pin                 GPIO pin for CS0 (default 0 - BCM SPI3_CE0).
+         cs0_spidev              Set to 'off' to prevent the creation of a
+@@ -2593,7 +2593,7 @@ Params: cs0_pin                 GPIO pin
+ Name:   spi3-2cs
+ Info:   Enables spi3 with two chip select (CS) lines and associated spidev
+         dev nodes. The gpio pin numbers for the CS lines and spidev device node
+-        creation are configurable.
++        creation are configurable. BCM2711 only.
+ Load:   dtoverlay=spi3-2cs,<param>=<val>
+ Params: cs0_pin                 GPIO pin for CS0 (default 0 - BCM SPI3_CE0).
+         cs1_pin                 GPIO pin for CS1 (default 24 - BCM SPI3_CE1).
+@@ -2608,7 +2608,7 @@ Params: cs0_pin                 GPIO pin
+ Name:   spi4-1cs
+ Info:   Enables spi4 with a single chip select (CS) line and associated spidev
+         dev node. The gpio pin number for the CS line and spidev device node
+-        creation are configurable.
++        creation are configurable. BCM2711 only.
+ Load:   dtoverlay=spi4-1cs,<param>=<val>
+ Params: cs0_pin                 GPIO pin for CS0 (default 4 - BCM SPI4_CE0).
+         cs0_spidev              Set to 'off' to prevent the creation of a
+@@ -2619,7 +2619,7 @@ Params: cs0_pin                 GPIO pin
+ Name:   spi4-2cs
+ Info:   Enables spi4 with two chip select (CS) lines and associated spidev
+         dev nodes. The gpio pin numbers for the CS lines and spidev device node
+-        creation are configurable.
++        creation are configurable. BCM2711 only.
+ Load:   dtoverlay=spi4-2cs,<param>=<val>
+ Params: cs0_pin                 GPIO pin for CS0 (default 4 - BCM SPI4_CE0).
+         cs1_pin                 GPIO pin for CS1 (default 25 - BCM SPI4_CE1).
+@@ -2634,7 +2634,7 @@ Params: cs0_pin                 GPIO pin
+ Name:   spi5-1cs
+ Info:   Enables spi5 with a single chip select (CS) line and associated spidev
+         dev node. The gpio pin numbers for the CS lines and spidev device node
+-        creation are configurable.
++        creation are configurable. BCM2711 only.
+ Load:   dtoverlay=spi5-1cs,<param>=<val>
+ Params: cs0_pin                 GPIO pin for CS0 (default 12 - BCM SPI5_CE0).
+         cs0_spidev              Set to 'off' to prevent the creation of a
+@@ -2645,7 +2645,7 @@ Params: cs0_pin                 GPIO pin
+ Name:   spi5-2cs
+ Info:   Enables spi5 with two chip select (CS) lines and associated spidev
+         dev nodes. The gpio pin numbers for the CS lines and spidev device node
+-        creation are configurable.
++        creation are configurable. BCM2711 only.
+ Load:   dtoverlay=spi5-2cs,<param>=<val>
+ Params: cs0_pin                 GPIO pin for CS0 (default 12 - BCM SPI5_CE0).
+         cs1_pin                 GPIO pin for CS1 (default 26 - BCM SPI5_CE1).
+@@ -2660,7 +2660,7 @@ Params: cs0_pin                 GPIO pin
+ Name:   spi6-1cs
+ Info:   Enables spi6 with a single chip select (CS) line and associated spidev
+         dev node. The gpio pin number for the CS line and spidev device node
+-        creation are configurable.
++        creation are configurable. BCM2711 only.
+ Load:   dtoverlay=spi6-1cs,<param>=<val>
+ Params: cs0_pin                 GPIO pin for CS0 (default 18 - BCM SPI6_CE0).
+         cs0_spidev              Set to 'off' to prevent the creation of a
+@@ -2671,7 +2671,7 @@ Params: cs0_pin                 GPIO pin
+ Name:   spi6-2cs
+ Info:   Enables spi6 with two chip select (CS) lines and associated spidev
+         dev nodes. The gpio pin numbers for the CS lines and spidev device node
+-        creation are configurable.
++        creation are configurable. BCM2711 only.
+ Load:   dtoverlay=spi6-2cs,<param>=<val>
+ Params: cs0_pin                 GPIO pin for CS0 (default 18 - BCM SPI6_CE0).
+         cs1_pin                 GPIO pin for CS1 (default 27 - BCM SPI6_CE1).
+@@ -2843,25 +2843,25 @@ Params: txd1_pin                GPIO pin
+ Name:   uart2
+-Info:   Enable uart 2 on GPIOs 0-3
++Info:   Enable uart 2 on GPIOs 0-3. BCM2711 only.
+ Load:   dtoverlay=uart2,<param>
+ Params: ctsrts                  Enable CTS/RTS on GPIOs 2-3 (default off)
+ Name:   uart3
+-Info:   Enable uart 3 on GPIOs 4-7
++Info:   Enable uart 3 on GPIOs 4-7. BCM2711 only.
+ Load:   dtoverlay=uart3,<param>
+ Params: ctsrts                  Enable CTS/RTS on GPIOs 6-7 (default off)
+ Name:   uart4
+-Info:   Enable uart 4 on GPIOs 8-11
++Info:   Enable uart 4 on GPIOs 8-11. BCM2711 only.
+ Load:   dtoverlay=uart4,<param>
+ Params: ctsrts                  Enable CTS/RTS on GPIOs 10-11 (default off)
+ Name:   uart5
+-Info:   Enable uart 5 on GPIOs 12-15
++Info:   Enable uart 5 on GPIOs 12-15. BCM2711 only.
+ Load:   dtoverlay=uart5,<param>
+ Params: ctsrts                  Enable CTS/RTS on GPIOs 14-15 (default off)
diff --git a/target/linux/bcm27xx/patches-5.4/950-0972-overlays-adafruit18-sainsmart18-default-bgr-to-off.patch b/target/linux/bcm27xx/patches-5.4/950-0972-overlays-adafruit18-sainsmart18-default-bgr-to-off.patch
new file mode 100644 (file)
index 0000000..70e11f4
--- /dev/null
@@ -0,0 +1,53 @@
+From b9801549748233e71a5c0dd76351e02a125505b4 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 21 Sep 2020 20:45:46 +0100
+Subject: [PATCH] overlays: adafruit18,sainsmart18: default bgr to
+ off
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README                  | 4 ++--
+ arch/arm/boot/dts/overlays/adafruit18-overlay.dts  | 1 -
+ arch/arm/boot/dts/overlays/sainsmart18-overlay.dts | 1 -
+ 3 files changed, 2 insertions(+), 4 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -269,7 +269,7 @@ Params: green                   Use the
+         rotate                  Display rotation {0,90,180,270}
+         speed                   SPI bus speed in Hz (default 4000000)
+         fps                     Display frame rate in Hz
+-        bgr                     Enable BGR mode (default on)
++        bgr                     Enable BGR mode (default off)
+         debug                   Debug output level {0-7}
+         dc_pin                  GPIO pin for D/C (default 24)
+         reset_pin               GPIO pin for RESET (default 25)
+@@ -2284,7 +2284,7 @@ Load:   dtoverlay=sainsmart18,<param>=<v
+ Params: rotate                  Display rotation {0,90,180,270}
+         speed                   SPI bus speed in Hz (default 4000000)
+         fps                     Display frame rate in Hz
+-        bgr                     Enable BGR mode (default on)
++        bgr                     Enable BGR mode (default off)
+         debug                   Debug output level {0-7}
+         dc_pin                  GPIO pin for D/C (default 24)
+         reset_pin               GPIO pin for RESET (default 25)
+--- a/arch/arm/boot/dts/overlays/adafruit18-overlay.dts
++++ b/arch/arm/boot/dts/overlays/adafruit18-overlay.dts
+@@ -36,7 +36,6 @@
+                               reset-gpios = <&gpio 25 1>;
+                               dc-gpios = <&gpio 24 0>;
+                               led-gpios = <&gpio 18 0>;
+-                              bgr;
+                               debug = <0>;
+                       };
+               };
+--- a/arch/arm/boot/dts/overlays/sainsmart18-overlay.dts
++++ b/arch/arm/boot/dts/overlays/sainsmart18-overlay.dts
+@@ -35,7 +35,6 @@
+                               width = <128>;
+                               reset-gpios = <&gpio 25 1>;
+                               dc-gpios = <&gpio 24 0>;
+-                              bgr;
+                               debug = <0>;
+                       };
+               };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0973-net-bcmgenet-Reset-RBUF-on-first-open.patch b/target/linux/bcm27xx/patches-5.4/950-0973-net-bcmgenet-Reset-RBUF-on-first-open.patch
new file mode 100644 (file)
index 0000000..b7f0ce0
--- /dev/null
@@ -0,0 +1,70 @@
+From 507c4d749a1bbc3eb5c364dda61ac6bf95026cf1 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 25 Sep 2020 15:07:23 +0100
+Subject: [PATCH] net: bcmgenet: Reset RBUF on first open
+
+If the RBUF logic is not reset when the kernel starts then there
+may be some data left over from any network boot loader. If the
+64-byte packet headers are enabled then this can be fatal.
+
+Extend bcmgenet_dma_disable to do perform the reset, but not when
+called from bcmgenet_resume in order to preserve a wake packet.
+
+N.B. This different handling of resume is just based on a hunch -
+why else wouldn't one reset the RBUF as well as the TBUF? If this
+isn't the case then it's easy to change the patch to make the RBUF
+reset unconditional.
+
+See: https://github.com/raspberrypi/linux/issues/3850
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/net/ethernet/broadcom/genet/bcmgenet.c | 16 ++++++++++++----
+ 1 file changed, 12 insertions(+), 4 deletions(-)
+
+--- a/drivers/net/ethernet/broadcom/genet/bcmgenet.c
++++ b/drivers/net/ethernet/broadcom/genet/bcmgenet.c
+@@ -2790,7 +2790,7 @@ static void bcmgenet_set_hw_addr(struct
+ }
+ /* Returns a reusable dma control register value */
+-static u32 bcmgenet_dma_disable(struct bcmgenet_priv *priv)
++static u32 bcmgenet_dma_disable(struct bcmgenet_priv *priv, bool flush_rx)
+ {
+       u32 reg;
+       u32 dma_ctrl;
+@@ -2809,6 +2809,14 @@ static u32 bcmgenet_dma_disable(struct b
+       udelay(10);
+       bcmgenet_umac_writel(priv, 0, UMAC_TX_FLUSH);
++      if (flush_rx) {
++          reg = bcmgenet_rbuf_ctrl_get(priv);
++          bcmgenet_rbuf_ctrl_set(priv, reg | BIT(0));
++          udelay(10);
++          bcmgenet_rbuf_ctrl_set(priv, reg);
++          udelay(10);
++      }
++
+       return dma_ctrl;
+ }
+@@ -2910,8 +2918,8 @@ static int bcmgenet_open(struct net_devi
+               bcmgenet_ext_writel(priv, reg, EXT_EXT_PWR_MGMT);
+       }
+-      /* Disable RX/TX DMA and flush TX queues */
+-      dma_ctrl = bcmgenet_dma_disable(priv);
++      /* Disable RX/TX DMA and flush TX and RX queues */
++      dma_ctrl = bcmgenet_dma_disable(priv, true);
+       /* Reinitialize TDMA and RDMA and SW housekeeping */
+       ret = bcmgenet_init_dma(priv);
+@@ -3671,7 +3679,7 @@ static int bcmgenet_resume(struct device
+               bcmgenet_power_up(priv, GENET_POWER_WOL_MAGIC);
+       /* Disable RX/TX DMA and flush TX queues */
+-      dma_ctrl = bcmgenet_dma_disable(priv);
++      dma_ctrl = bcmgenet_dma_disable(priv, false);
+       /* Reinitialize TDMA and RDMA and SW housekeeping */
+       ret = bcmgenet_init_dma(priv);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0974-ASoC-cs42xx8-Only-define-cs42xx8_of_match-once.patch b/target/linux/bcm27xx/patches-5.4/950-0974-ASoC-cs42xx8-Only-define-cs42xx8_of_match-once.patch
new file mode 100644 (file)
index 0000000..1c8e4d6
--- /dev/null
@@ -0,0 +1,43 @@
+From e84f00c45ad064f1503d2eb03d7600e59744f0e6 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 29 Sep 2020 15:03:34 +0100
+Subject: [PATCH] ASoC: cs42xx8: Only define cs42xx8_of_match once
+
+cs42xx8.c exports cs42xx8_of_match, so there's no need to redefine it
+in cs42xx8-i2c.c - doing so breaks linking when loadable module
+support is disabled. It would be tidy to use the exported match table
+in cs42xx8.c's of_match_table member, but an imported symbol can't be
+used in a module's MODULE_DEVICE_TABLE declaration. Instead, rename
+the duplicated declarations so as not to clash.
+
+See: https://github.com/raspberrypi/linux/issues/3873
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ sound/soc/codecs/cs42xx8-i2c.c | 6 +++---
+ 1 file changed, 3 insertions(+), 3 deletions(-)
+
+--- a/sound/soc/codecs/cs42xx8-i2c.c
++++ b/sound/soc/codecs/cs42xx8-i2c.c
+@@ -45,18 +45,18 @@ static struct i2c_device_id cs42xx8_i2c_
+ };
+ MODULE_DEVICE_TABLE(i2c, cs42xx8_i2c_id);
+-const struct of_device_id cs42xx8_of_match[] = {
++const struct of_device_id cs42xx8_i2c_of_match[] = {
+       { .compatible = "cirrus,cs42448", .data = &cs42448_data, },
+       { .compatible = "cirrus,cs42888", .data = &cs42888_data, },
+       { /* sentinel */ }
+ };
+-MODULE_DEVICE_TABLE(of, cs42xx8_of_match);
++MODULE_DEVICE_TABLE(of, cs42xx8_i2c_of_match);
+ static struct i2c_driver cs42xx8_i2c_driver = {
+       .driver = {
+               .name = "cs42xx8",
+               .pm = &cs42xx8_pm,
+-              .of_match_table = cs42xx8_of_match,
++              .of_match_table = cs42xx8_i2c_of_match,
+       },
+       .probe = cs42xx8_i2c_probe,
+       .remove = cs42xx8_i2c_remove,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0975-staging-bcm2835-codec-Use-a-define-the-completion-ti.patch b/target/linux/bcm27xx/patches-5.4/950-0975-staging-bcm2835-codec-Use-a-define-the-completion-ti.patch
new file mode 100644 (file)
index 0000000..f85baf2
--- /dev/null
@@ -0,0 +1,35 @@
+From 7ad713e695d67b78b3cb69927d099a29593444b1 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 30 Sep 2020 12:12:32 +0100
+Subject: [PATCH] staging: bcm2835-codec: Use a define the completion
+ timeout
+
+Hiding a use of "HZ" as a timeout is a little nasty.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c        | 6 +++++-
+ 1 file changed, 5 insertions(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -89,6 +89,9 @@ static const char * const components[] =
+       "ril.isp",
+ };
++/* Timeout for stop_streaming to allow all buffers to return */
++#define COMPLETE_TIMEOUT (2 * HZ)
++
+ #define MIN_W         32
+ #define MIN_H         32
+ #define MAX_W         1920
+@@ -2366,7 +2369,8 @@ static void bcm2835_codec_stop_streaming
+       while (atomic_read(&port->buffers_with_vpu)) {
+               v4l2_dbg(1, debug, &ctx->dev->v4l2_dev, "%s: Waiting for buffers to be returned - %d outstanding\n",
+                        __func__, atomic_read(&port->buffers_with_vpu));
+-              ret = wait_for_completion_timeout(&ctx->frame_cmplt, HZ);
++              ret = wait_for_completion_timeout(&ctx->frame_cmplt,
++                                                COMPLETE_TIMEOUT);
+               if (ret <= 0) {
+                       v4l2_err(&ctx->dev->v4l2_dev, "%s: Timeout waiting for buffers to be returned - %d outstanding\n",
+                                __func__,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0976-staging-bcm2835-codec-Correct-buffer-number-change-o.patch b/target/linux/bcm27xx/patches-5.4/950-0976-staging-bcm2835-codec-Correct-buffer-number-change-o.patch
new file mode 100644 (file)
index 0000000..c0193cb
--- /dev/null
@@ -0,0 +1,30 @@
+From 96f4d69496b483b8eb65dc4602d5cb96844f6647 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 30 Sep 2020 12:13:44 +0100
+Subject: [PATCH] staging: bcm2835-codec: Correct buffer number
+ change on start streaming
+
+"cac8c90 staging: vc04_service: codec: Allow start_streaming to update
+the buffernum" allowed the number of buffers configured to be decreased
+as well as increased, but there is no requirement for all buffers to
+have been queued when start_streaming is called.
+
+Only allow increasing the buffernum.
+
+Fixes: "cac8c90 staging: vc04_service: codec: Allow start_streaming to update the buffernum"
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c    | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -2290,7 +2290,7 @@ static int bcm2835_codec_start_streaming
+       if (count < port->minimum_buffer.num)
+               count = port->minimum_buffer.num;
+-      if (port->current_buffer.num != count + 1) {
++      if (port->current_buffer.num < count + 1) {
+               v4l2_dbg(2, debug, &ctx->dev->v4l2_dev, "%s: ctx:%p, buffer count changed %u to %u\n",
+                        __func__, ctx, port->current_buffer.num, count + 1);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0977-USB-gadget-f_hid-avoid-crashes-and-log-spam.patch b/target/linux/bcm27xx/patches-5.4/950-0977-USB-gadget-f_hid-avoid-crashes-and-log-spam.patch
new file mode 100644 (file)
index 0000000..1fe685c
--- /dev/null
@@ -0,0 +1,56 @@
+From 22198e801db7542c59098a75bdab120bcbc42652 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 30 Sep 2020 19:23:43 +0100
+Subject: [PATCH] USB: gadget: f_hid: avoid crashes and log spam
+
+Disconnecting and reconnecting the USB cable can lead to crashes and a
+variety of kernel log spam. Try to fix or minimise both.
+
+See: https://github.com/raspberrypi/linux/issues/3870
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/usb/gadget/function/f_hid.c | 18 +++++++++++++++++-
+ 1 file changed, 17 insertions(+), 1 deletion(-)
+
+--- a/drivers/usb/gadget/function/f_hid.c
++++ b/drivers/usb/gadget/function/f_hid.c
+@@ -344,6 +344,11 @@ static ssize_t f_hidg_write(struct file
+       spin_lock_irqsave(&hidg->write_spinlock, flags);
++      if (!hidg->req) {
++              spin_unlock_irqrestore(&hidg->write_spinlock, flags);
++              return -ESHUTDOWN;
++      }
++
+ #define WRITE_COND (!hidg->write_pending)
+ try_again:
+       /* write queue */
+@@ -364,7 +369,13 @@ try_again:
+       count  = min_t(unsigned, count, hidg->report_length);
+       spin_unlock_irqrestore(&hidg->write_spinlock, flags);
+-      status = copy_from_user(req->buf, buffer, count);
++      if (req) {
++              status = copy_from_user(req->buf, buffer, count);
++      } else {
++              ERROR(hidg->func.config->cdev, "hidg->req is NULL\n");
++              status = -ESHUTDOWN;
++              goto release_write_pending;
++      }
+       if (status != 0) {
+               ERROR(hidg->func.config->cdev,
+@@ -393,6 +404,11 @@ try_again:
+       spin_unlock_irqrestore(&hidg->write_spinlock, flags);
++      if (!hidg->in_ep->enabled) {
++              ERROR(hidg->func.config->cdev, "in_ep is disabled\n");
++              status = -ESHUTDOWN;
++              goto release_write_pending;
++      }
+       status = usb_ep_queue(hidg->in_ep, req, GFP_ATOMIC);
+       if (status < 0) {
+               ERROR(hidg->func.config->cdev,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0978-Update-hy28b-overlay.dts.patch b/target/linux/bcm27xx/patches-5.4/950-0978-Update-hy28b-overlay.dts.patch
new file mode 100644 (file)
index 0000000..48efb5a
--- /dev/null
@@ -0,0 +1,21 @@
+From 8c997be099769362cb201d360ad57b639e799e9e Mon Sep 17 00:00:00 2001
+From: newbloke82 <39644602+newbloke82@users.noreply.github.com>
+Date: Thu, 1 Oct 2020 19:16:35 +0200
+Subject: [PATCH] Update hy28b-overlay.dts
+
+My hy28b TFT stopped working on upgrade to 5.4 kernel.  I had a whitescreen but no obvious errors when using 'sudo vcdbg log msg' or 'dmesg'.  Both /dev/fb0 and /dev/fb1 were present.  Followed this article on waveshare32b that 'reset_gpios needed to be 0 0 1 instead of 0 0 0' (https://forum.armbian.com/topic/13233-any-clues-for-the-creation-of-a-dtoverlay-for-fbtft-on-54y/).  I applied a similar change to this dts file and compiled a new dtbo: 'dtc -O dtb -o hy28b.dtbo hy28b-overlay.dts'.  Fixed my issue - may help others?  I got from the 5.4 upgrade thread that other tft users are having issues with small tfts... https://www.raspberrypi.org/forums/viewtopic.php?f=29&t=269769&p=1706597&hilit=gpio#p1706597
+---
+ arch/arm/boot/dts/overlays/hy28b-overlay.dts | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/hy28b-overlay.dts
++++ b/arch/arm/boot/dts/overlays/hy28b-overlay.dts
+@@ -61,7 +61,7 @@
+                               fps = <50>;
+                               buswidth = <8>;
+                               startbyte = <0x70>;
+-                              reset-gpios = <&gpio 25 0>;
++                              reset-gpios = <&gpio 25 1>;
+                               led-gpios = <&gpio 18 1>;
+                               gamma = "04 1F 4 7 7 0 7 7 6 0\n0F 00 1 7 4 0 0 0 6 7";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0979-overlays-Update-display-GPIO-declarations.patch b/target/linux/bcm27xx/patches-5.4/950-0979-overlays-Update-display-GPIO-declarations.patch
new file mode 100644 (file)
index 0000000..9b3cc5d
--- /dev/null
@@ -0,0 +1,170 @@
+From 0e81e4689a34e3f413ef403e0d801970d324c5b2 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 2 Oct 2020 10:06:49 +0100
+Subject: [PATCH] overlays: Update display GPIO declarations
+
+The 5.4 kernel changes the way a number of display drivers use GPIOs.
+That change has exposed flaws/broken a number of display overlays, so
+after a trickle of single-display patches this is a best-guess attempt
+to fix the remainder. As none of these changes have been tested on real
+displays there is a possibility that this either doesn't fix the
+problem or even breaks something that was working - apologies if that
+is the case.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/goodix-overlay.dts       | 2 +-
+ arch/arm/boot/dts/overlays/hy28a-overlay.dts        | 2 +-
+ arch/arm/boot/dts/overlays/hy28b-2017-overlay.dts   | 2 +-
+ arch/arm/boot/dts/overlays/media-center-overlay.dts | 6 +++---
+ arch/arm/boot/dts/overlays/mz61581-overlay.dts      | 2 +-
+ arch/arm/boot/dts/overlays/piscreen-overlay.dts     | 4 ++--
+ arch/arm/boot/dts/overlays/piscreen2r-overlay.dts   | 4 ++--
+ arch/arm/boot/dts/overlays/sh1106-spi-overlay.dts   | 2 +-
+ arch/arm/boot/dts/overlays/ssd1306-spi-overlay.dts  | 2 +-
+ arch/arm/boot/dts/overlays/ssd1351-spi-overlay.dts  | 2 +-
+ arch/arm/boot/dts/overlays/tinylcd35-overlay.dts    | 4 ++--
+ 11 files changed, 16 insertions(+), 16 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/goodix-overlay.dts
++++ b/arch/arm/boot/dts/overlays/goodix-overlay.dts
+@@ -31,7 +31,7 @@
+                               interrupt-parent = <&gpio>;
+                               interrupts = <4 2>; // high-to-low edge triggered
+                               irq-gpios = <&gpio 4 0>; // Pin7 on GPIO header
+-                              reset-gpios = <&gpio 17 0>; // Pin11 on GPIO header
++                              reset-gpios = <&gpio 17 1>; // Pin11 on GPIO header
+                       };
+               };
+       };
+--- a/arch/arm/boot/dts/overlays/hy28a-overlay.dts
++++ b/arch/arm/boot/dts/overlays/hy28a-overlay.dts
+@@ -61,7 +61,7 @@
+                               fps = <50>;
+                               buswidth = <8>;
+                               startbyte = <0x70>;
+-                              reset-gpios = <&gpio 25 0>;
++                              reset-gpios = <&gpio 25 1>;
+                               led-gpios = <&gpio 18 1>;
+                               debug = <0>;
+                       };
+--- a/arch/arm/boot/dts/overlays/hy28b-2017-overlay.dts
++++ b/arch/arm/boot/dts/overlays/hy28b-2017-overlay.dts
+@@ -61,7 +61,7 @@
+                               fps = <50>;
+                               buswidth = <8>;
+                               startbyte = <0x70>;
+-                              reset-gpios = <&gpio 25 0>;
++                              reset-gpios = <&gpio 25 1>;
+                               led-gpios = <&gpio 18 1>;
+                               init = <0x10000e5 0x78F0
+--- a/arch/arm/boot/dts/overlays/media-center-overlay.dts
++++ b/arch/arm/boot/dts/overlays/media-center-overlay.dts
+@@ -53,9 +53,9 @@
+                               bgr;
+                               fps = <30>;
+                               buswidth = <8>;
+-                              reset-gpios = <&gpio 23 0>;
++                              reset-gpios = <&gpio 23 1>;
+                               dc-gpios = <&gpio 24 0>;
+-                              led-gpios = <&gpio 12 1>;
++                              led-gpios = <&gpio 12 0>;
+                               debug = <0>;
+                       };
+@@ -66,7 +66,7 @@
+                               spi-max-frequency = <2000000>;
+                               interrupts = <25 2>; /* high-to-low edge triggered */
+                               interrupt-parent = <&gpio>;
+-                              pendown-gpio = <&gpio 25 0>;
++                              pendown-gpio = <&gpio 25 1>;
+                               ti,x-plate-ohms = /bits/ 16 <60>;
+                               ti,pressure-max = /bits/ 16 <255>;
+                       };
+--- a/arch/arm/boot/dts/overlays/mz61581-overlay.dts
++++ b/arch/arm/boot/dts/overlays/mz61581-overlay.dts
+@@ -65,7 +65,7 @@
+                               buswidth = <8>;
+                               txbuflen = <32768>;
+-                              reset-gpios = <&gpio 15 0>;
++                              reset-gpios = <&gpio 15 1>;
+                               dc-gpios = <&gpio 25 0>;
+                               led-gpios = <&gpio 18 0>;
+--- a/arch/arm/boot/dts/overlays/piscreen-overlay.dts
++++ b/arch/arm/boot/dts/overlays/piscreen-overlay.dts
+@@ -59,9 +59,9 @@
+                               fps = <30>;
+                               buswidth = <8>;
+                               regwidth = <16>;
+-                              reset-gpios = <&gpio 25 0>;
++                              reset-gpios = <&gpio 25 1>;
+                               dc-gpios = <&gpio 24 0>;
+-                              led-gpios = <&gpio 22 1>;
++                              led-gpios = <&gpio 22 0>;
+                               debug = <0>;
+                               init = <0x10000b0 0x00
+--- a/arch/arm/boot/dts/overlays/piscreen2r-overlay.dts
++++ b/arch/arm/boot/dts/overlays/piscreen2r-overlay.dts
+@@ -59,9 +59,9 @@
+                               buswidth = <8>;
+                               regwidth = <16>;
+                               txbuflen = <32768>;
+-                              reset-gpios = <&gpio 25 0>;
++                              reset-gpios = <&gpio 25 1>;
+                               dc-gpios = <&gpio 24 0>;
+-                              led-gpios = <&gpio 22 1>;
++                              led-gpios = <&gpio 22 0>;
+                               debug = <0>;
+                                 init = <0x10000b0 0x00
+--- a/arch/arm/boot/dts/overlays/sh1106-spi-overlay.dts
++++ b/arch/arm/boot/dts/overlays/sh1106-spi-overlay.dts
+@@ -59,7 +59,7 @@
+                               rotate = <0>;
+                               fps = <25>;
+                               buswidth = <8>;
+-                              reset-gpios = <&gpio 25 0>;
++                              reset-gpios = <&gpio 25 1>;
+                               dc-gpios = <&gpio 24 0>;
+                               debug = <0>;
+--- a/arch/arm/boot/dts/overlays/ssd1306-spi-overlay.dts
++++ b/arch/arm/boot/dts/overlays/ssd1306-spi-overlay.dts
+@@ -59,7 +59,7 @@
+                               rotate = <0>;
+                               fps = <25>;
+                               buswidth = <8>;
+-                              reset-gpios = <&gpio 25 0>;
++                              reset-gpios = <&gpio 25 1>;
+                               dc-gpios = <&gpio 24 0>;
+                               debug = <0>;
+--- a/arch/arm/boot/dts/overlays/ssd1351-spi-overlay.dts
++++ b/arch/arm/boot/dts/overlays/ssd1351-spi-overlay.dts
+@@ -59,7 +59,7 @@
+                               rotate = <0>;
+                               fps = <25>;
+                               buswidth = <8>;
+-                              reset-gpios = <&gpio 25 0>;
++                              reset-gpios = <&gpio 25 1>;
+                               dc-gpios = <&gpio 24 0>;
+                               debug = <0>;
+--- a/arch/arm/boot/dts/overlays/tinylcd35-overlay.dts
++++ b/arch/arm/boot/dts/overlays/tinylcd35-overlay.dts
+@@ -85,9 +85,9 @@
+                               fps = <20>;
+                               bgr;
+                               buswidth = <8>;
+-                              reset-gpios = <&gpio 25 0>;
++                              reset-gpios = <&gpio 25 1>;
+                               dc-gpios = <&gpio 24 0>;
+-                              led-gpios = <&gpio 18 1>;
++                              led-gpios = <&gpio 18 0>;
+                               debug = <0>;
+                               init = <0x10000B0 0x80
diff --git a/target/linux/bcm27xx/patches-5.4/950-0980-SQUASH-USB-gadget-f_hid-remove-more-spam.patch b/target/linux/bcm27xx/patches-5.4/950-0980-SQUASH-USB-gadget-f_hid-remove-more-spam.patch
new file mode 100644 (file)
index 0000000..3f199cf
--- /dev/null
@@ -0,0 +1,26 @@
+From 5018dc559136e2bca24973e71ed8747adf0f37f3 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 5 Oct 2020 15:41:15 +0100
+Subject: [PATCH] SQUASH: USB: gadget: f_hid: remove more spam
+
+Tidying up the previous patch to this file dropped the deletion of a
+particularly noisy error message. Restore its removal.
+
+See: https://github.com/raspberrypi/linux/issues/3870
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/usb/gadget/function/f_hid.c | 2 --
+ 1 file changed, 2 deletions(-)
+
+--- a/drivers/usb/gadget/function/f_hid.c
++++ b/drivers/usb/gadget/function/f_hid.c
+@@ -411,8 +411,6 @@ try_again:
+       }
+       status = usb_ep_queue(hidg->in_ep, req, GFP_ATOMIC);
+       if (status < 0) {
+-              ERROR(hidg->func.config->cdev,
+-                      "usb_ep_queue error on int endpoint %zd\n", status);
+               goto release_write_pending;
+       } else {
+               status = count;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0981-overlays-Add-sd3078-to-the-i2c-rtc-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0981-overlays-Add-sd3078-to-the-i2c-rtc-overlay.patch
new file mode 100644 (file)
index 0000000..5df3d2d
--- /dev/null
@@ -0,0 +1,58 @@
+From 8688b06ae53d6f60adcdcfe3d58ad7ef0ed7dba0 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 5 Oct 2020 16:10:26 +0100
+Subject: [PATCH] overlays: Add sd3078 to the i2c-rtc overlay
+
+Add support for the SD3078 RTC to the i2c-rtc overlay.
+
+See: https://github.com/raspberrypi/linux/issues/3881
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README              |  2 ++
+ arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts | 16 ++++++++++++++++
+ 2 files changed, 18 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1232,6 +1232,8 @@ Params: abx80x                  Select o
+         rv3028                  Select the Micro Crystal RV3028 device
++        sd3078                  Select the ZXW Shenzhen whwave SD3078 device
++
+         addr                    Sets the address for the RTC. Note that the
+                                 device must be configured to use the specified
+                                 address.
+--- a/arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts
+@@ -220,6 +220,21 @@
+               };
+       };
++      fragment@14 {
++              target = <&i2c_arm>;
++              __dormant__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      sd3078: sd3078@32 {
++                              compatible = "whwave,sd3078";
++                              reg = <0x32>;
++                              status = "okay";
++                      };
++              };
++      };
++
+       __overrides__ {
+               abx80x = <0>,"+0";
+               ds1307 = <0>,"+1";
+@@ -235,6 +250,7 @@
+               pcf2129 = <0>,"+11";
+               pcf85363 = <0>,"+12";
+               rv1805 = <0>,"+13";
++              sd3078 = <0>,"+14";
+               addr = <&abx80x>, "reg:0",
+                      <&ds1307>, "reg:0",
diff --git a/target/linux/bcm27xx/patches-5.4/950-0982-dwc_otg-initialise-sched_frame-for-periodic-QHs-that.patch b/target/linux/bcm27xx/patches-5.4/950-0982-dwc_otg-initialise-sched_frame-for-periodic-QHs-that.patch
new file mode 100644 (file)
index 0000000..2a12614
--- /dev/null
@@ -0,0 +1,33 @@
+From 2ae3859a69cecc2820f768f4d22022000e9f2e22 Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Wed, 7 Oct 2020 15:09:29 +0100
+Subject: [PATCH] dwc_otg: initialise sched_frame for periodic QHs
+ that were parked
+
+If a periodic QH has no remaining QTDs, then it is removed from all
+periodic schedules. When re-adding, initialise the sched_frame and
+start_split_frame from the current value of the frame counter.
+
+See https://bugs.launchpad.net/raspbian/+bug/1819560
+and
+ https://github.com/raspberrypi/linux/issues/3883
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.com>
+---
+ drivers/usb/host/dwc_otg/dwc_otg_hcd_queue.c | 4 ++++
+ 1 file changed, 4 insertions(+)
+
+--- a/drivers/usb/host/dwc_otg/dwc_otg_hcd_queue.c
++++ b/drivers/usb/host/dwc_otg/dwc_otg_hcd_queue.c
+@@ -689,7 +689,11 @@ int dwc_otg_hcd_qh_add(dwc_otg_hcd_t * h
+                                    &qh->qh_list_entry);
+               //hcd->fiq_state->kick_np_queues = 1;
+       } else {
++              /* If the QH wasn't in a schedule, then sched_frame is stale. */
++              qh->sched_frame = dwc_frame_num_inc(dwc_otg_hcd_get_frame_number(hcd),
++                                                      SCHEDULE_SLOP);
+               status = schedule_periodic(hcd, qh);
++              qh->start_split_frame = qh->sched_frame;
+               if ( !hcd->periodic_qh_count ) {
+                       intr_mask.b.sofintr = 1;
+                       if (fiq_enable) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0983-staging-bcm2835-camera-Replace-deprecated-V4L2_PIX_F.patch b/target/linux/bcm27xx/patches-5.4/950-0983-staging-bcm2835-camera-Replace-deprecated-V4L2_PIX_F.patch
new file mode 100644 (file)
index 0000000..f86566e
--- /dev/null
@@ -0,0 +1,30 @@
+From c46ee3d6fc36bcfe42ca860f8da85775f0b9cc6a Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 8 Oct 2020 15:35:14 +0100
+Subject: [PATCH] staging: bcm2835-camera: Replace deprecated
+ V4L2_PIX_FMT_BGR32
+
+V4L2_PIX_FMT_BGR32 is deprecated as it is ambiguous over where
+the alpha byte is. Cheese/GStreamer appear to get it wrong for
+one, and qv4l2 gets red and blue swapped.
+
+Swap to the newer V4L2_PIX_FMT_BGRX32 format.
+
+https://www.raspberrypi.org/forums/viewtopic.php?f=38&t=267736&p=1738912
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
++++ b/drivers/staging/vc04_services/bcm2835-camera/bcm2835-camera.c
+@@ -175,7 +175,7 @@ static struct mmal_fmt formats[] = {
+               .ybbp = 1,
+               .remove_padding = 1,
+       }, {
+-              .fourcc = V4L2_PIX_FMT_BGR32,
++              .fourcc = V4L2_PIX_FMT_BGRX32,
+               .mmal = MMAL_ENCODING_BGRA,
+               .depth = 32,
+               .mmal_component = COMP_CAMERA,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0984-staging-bcm2835-codec-Replace-deprecated-V4L2_PIX_FM.patch b/target/linux/bcm27xx/patches-5.4/950-0984-staging-bcm2835-codec-Replace-deprecated-V4L2_PIX_FM.patch
new file mode 100644 (file)
index 0000000..2e6d04b
--- /dev/null
@@ -0,0 +1,27 @@
+From ed4adfd60c1495b864720e1f79b0b2a443447e38 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 8 Oct 2020 16:06:59 +0100
+Subject: [PATCH] staging: bcm2835-codec: Replace deprecated
+ V4L2_PIX_FMT_BGR32
+
+V4L2_PIX_FMT_BGR32 is deprecated as it is ambiguous over where
+the alpha byte is.
+
+Swap to the newer V4L2_PIX_FMT_BGRX32 format.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c    | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
++++ b/drivers/staging/vc04_services/bcm2835-codec/bcm2835-v4l2-codec.c
+@@ -207,7 +207,7 @@ static const struct bcm2835_codec_fmt su
+               .mmal_fmt               = MMAL_ENCODING_BGR24,
+               .size_multiplier_x2     = 2,
+       }, {
+-              .fourcc                 = V4L2_PIX_FMT_BGR32,
++              .fourcc                 = V4L2_PIX_FMT_BGRX32,
+               .depth                  = 32,
+               .bytesperline_align     = 32,
+               .flags                  = 0,
diff --git a/target/linux/bcm27xx/patches-5.4/950-0985-ARM-bcm2711-rpi.dts-Unlock-DMA-channels-9-10.patch b/target/linux/bcm27xx/patches-5.4/950-0985-ARM-bcm2711-rpi.dts-Unlock-DMA-channels-9-10.patch
new file mode 100644 (file)
index 0000000..8895a02
--- /dev/null
@@ -0,0 +1,33 @@
+From b10a49ab016ed9ac8f231845fb3e83fbf3505652 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 12 Oct 2020 14:36:35 +0100
+Subject: [PATCH] ARM: bcm2711-rpi.dts: Unlock DMA channels 9 & 10
+
+The downstream-specific override of the 32-bit DMA mask needlessly
+disables channels 9 and 10 - the VPU doesn't use them. This override
+is redundant, so delete it.
+
+See: https://github.com/raspberrypi/linux/issues/3896
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi.dtsi | 7 +------
+ 1 file changed, 1 insertion(+), 6 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi.dtsi
++++ b/arch/arm/boot/dts/bcm2711-rpi.dtsi
+@@ -246,13 +246,8 @@
+       };
+ };
+-&dma {
+-      /* The VPU firmware uses DMA channel 11 for VCHIQ */
+-      brcm,dma-channel-mask = <0x1f5>;
+-};
+-
+ &dma40 {
+-      /* The VPU firmware DMA channel 11 for VCHIQ */
++      /* The VPU firmware uses DMA channel 11 for VCHIQ */
+       brcm,dma-channel-mask = <0x7000>;
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0986-gpio-Add-gpio-fsm-driver.patch b/target/linux/bcm27xx/patches-5.4/950-0986-gpio-Add-gpio-fsm-driver.patch
new file mode 100644 (file)
index 0000000..7076026
--- /dev/null
@@ -0,0 +1,1182 @@
+From c31626a9e173f2b2e0adc4cb8bfbb86a958bafcd Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 30 Sep 2020 12:00:54 +0100
+Subject: [PATCH] gpio: Add gpio-fsm driver
+
+The gpio-fsm driver implements simple state machines that allow GPIOs
+to be controlled in response to inputs from other GPIOs - real and
+soft/virtual - and time delays. It can:
++ create dummy GPIOs for drivers that demand them,
++ drive multiple GPIOs from a single input, with optional delays,
++ add a debounce circuit to an input,
++ drive pattern sequences onto LEDs
+etc.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/gpio/Kconfig                |    9 +
+ drivers/gpio/Makefile               |    1 +
+ drivers/gpio/gpio-fsm.c             | 1103 +++++++++++++++++++++++++++
+ include/dt-bindings/gpio/gpio-fsm.h |   21 +
+ 4 files changed, 1134 insertions(+)
+ create mode 100644 drivers/gpio/gpio-fsm.c
+ create mode 100644 include/dt-bindings/gpio/gpio-fsm.h
+
+--- a/drivers/gpio/Kconfig
++++ b/drivers/gpio/Kconfig
+@@ -1071,6 +1071,15 @@ config HTC_EGPIO
+         several HTC phones.  It provides basic support for input
+         pins, output pins, and irqs.
++config GPIO_FSM
++      tristate "GPIO FSM support"
++      help
++        The GPIO FSM driver allows the creation of state machines for
++        manipulating GPIOs (both real and virtual), with state transitions
++        triggered by GPIO edges or delays.
++
++        If unsure, say N.
++
+ config GPIO_JANZ_TTL
+       tristate "Janz VMOD-TTL Digital IO Module"
+       depends on MFD_JANZ_CMODIO
+--- a/drivers/gpio/Makefile
++++ b/drivers/gpio/Makefile
+@@ -55,6 +55,7 @@ obj-$(CONFIG_GPIO_EP93XX)            += gpio-ep93x
+ obj-$(CONFIG_GPIO_EXAR)                       += gpio-exar.o
+ obj-$(CONFIG_GPIO_F7188X)             += gpio-f7188x.o
+ obj-$(CONFIG_GPIO_FTGPIO010)          += gpio-ftgpio010.o
++obj-$(CONFIG_GPIO_FSM)                        += gpio-fsm.o
+ obj-$(CONFIG_GPIO_GE_FPGA)            += gpio-ge.o
+ obj-$(CONFIG_GPIO_GPIO_MM)            += gpio-gpio-mm.o
+ obj-$(CONFIG_GPIO_GRGPIO)             += gpio-grgpio.o
+--- /dev/null
++++ b/drivers/gpio/gpio-fsm.c
+@@ -0,0 +1,1103 @@
++// SPDX-License-Identifier: GPL-2.0+
++/*
++ *  GPIO FSM driver
++ *
++ *  This driver implements simple state machines that allow real GPIOs to be
++ *  controlled in response to inputs from other GPIOs - real and soft/virtual -
++ *  and time delays. It can:
++ *  + create dummy GPIOs for drivers that demand them
++ *  + drive multiple GPIOs from a single input,  with optional delays
++ *  + add a debounce circuit to an input
++ *  + drive pattern sequences onto LEDs
++ *  etc.
++ *
++ *  Copyright (C) 2020 Raspberry Pi (Trading) Ltd.
++ */
++
++#include <linux/err.h>
++#include <linux/gpio.h>
++#include <linux/gpio/driver.h>
++#include <linux/interrupt.h>
++#include <linux/module.h>
++#include <linux/platform_device.h>
++
++#include <dt-bindings/gpio/gpio-fsm.h>
++
++#define MODULE_NAME "gpio-fsm"
++
++#define GF_IO_TYPE(x) ((u32)(x) & 0xffff)
++#define GF_IO_INDEX(x) ((u32)(x) >> 16)
++
++enum {
++      SIGNAL_GPIO,
++      SIGNAL_SOFT
++};
++
++enum {
++      INPUT_GPIO,
++      INPUT_SOFT
++};
++
++enum {
++      SYM_UNDEFINED,
++      SYM_NAME,
++      SYM_SET,
++      SYM_START,
++      SYM_SHUTDOWN,
++
++      SYM_MAX
++};
++
++struct soft_gpio {
++      int dir;
++      int value;
++};
++
++struct input_gpio_state {
++      struct gpio_fsm *gf;
++      struct gpio_desc  *desc;
++      struct fsm_state *target;
++      int index;
++      int value;
++      int irq;
++      bool enabled;
++      bool active_low;
++};
++
++struct gpio_event {
++      int index;
++      int value;
++      struct fsm_state *target;
++};
++
++struct symtab_entry {
++      const char *name;
++      void *value;
++      struct symtab_entry *next;
++};
++
++struct output_signal {
++      u8 type;
++      u8 value;
++      u16 index;
++};
++
++struct fsm_state {
++      const char *name;
++      struct output_signal *signals;
++      struct gpio_event *gpio_events;
++      struct gpio_event *soft_events;
++      struct fsm_state *delay_target;
++      struct fsm_state *shutdown_target;
++      unsigned int num_signals;
++      unsigned int num_gpio_events;
++      unsigned int num_soft_events;
++      unsigned int delay_ms;
++      unsigned int shutdown_ms;
++};
++
++struct gpio_fsm {
++      struct gpio_chip gc;
++      struct device *dev;
++      spinlock_t spinlock;
++      struct work_struct work;
++      struct timer_list timer;
++      wait_queue_head_t shutdown_event;
++      struct fsm_state *states;
++      struct input_gpio_state *input_gpio_states;
++      struct gpio_descs *input_gpios;
++      struct gpio_descs *output_gpios;
++      struct soft_gpio *soft_gpios;
++      struct fsm_state *start_state;
++      struct fsm_state *shutdown_state;
++      unsigned int num_states;
++      unsigned int num_output_gpios;
++      unsigned int num_input_gpios;
++      unsigned int num_soft_gpios;
++      unsigned int shutdown_timeout_ms;
++      unsigned int shutdown_jiffies;
++
++      struct fsm_state *current_state;
++      struct fsm_state *next_state;
++      struct fsm_state *delay_target_state;
++      int delay_ms;
++      unsigned int debug;
++      bool shutting_down;
++      struct symtab_entry *symtab;
++};
++
++static struct symtab_entry *do_add_symbol(struct symtab_entry **symtab,
++                                        const char *name, void *value)
++{
++      struct symtab_entry **p = symtab;
++
++      while (*p && strcmp((*p)->name, name))
++              p = &(*p)->next;
++
++      if (*p) {
++              /* This is an existing symbol */
++              if ((*p)->value) {
++                      /* Already defined */
++                      if (value) {
++                              if ((uintptr_t)value < SYM_MAX)
++                                      return ERR_PTR(-EINVAL);
++                              else
++                                      return ERR_PTR(-EEXIST);
++                      }
++              } else {
++                      /* Undefined */
++                      (*p)->value = value;
++              }
++      } else {
++              /* This is a new symbol */
++              *p = kmalloc(sizeof(struct symtab_entry), GFP_KERNEL);
++              if (*p) {
++                      (*p)->name = name;
++                      (*p)->value = value;
++                      (*p)->next = NULL;
++              }
++      }
++      return *p;
++}
++
++static int add_symbol(struct symtab_entry **symtab,
++                    const char *name, void *value)
++{
++      struct symtab_entry *sym = do_add_symbol(symtab, name, value);
++
++      return PTR_ERR_OR_ZERO(sym);
++}
++
++static struct symtab_entry *get_symbol(struct symtab_entry **symtab,
++                                     const char *name)
++{
++      struct symtab_entry *sym = do_add_symbol(symtab, name, NULL);
++
++      if (IS_ERR(sym))
++              return NULL;
++      return sym;
++}
++
++static void free_symbols(struct symtab_entry **symtab)
++{
++      struct symtab_entry *sym = *symtab;
++      void *p;
++
++      *symtab = NULL;
++      while (sym) {
++              p = sym;
++              sym = sym->next;
++              kfree(p);
++      }
++}
++
++static int gpio_fsm_get_direction(struct gpio_chip *gc, unsigned int off)
++{
++      struct gpio_fsm *gf = gpiochip_get_data(gc);
++      struct soft_gpio *sg;
++
++      if (off >= gf->num_soft_gpios)
++              return -EINVAL;
++      sg = &gf->soft_gpios[off];
++
++      return sg->dir;
++}
++
++static int gpio_fsm_get(struct gpio_chip *gc, unsigned int off)
++{
++      struct gpio_fsm *gf = gpiochip_get_data(gc);
++      struct soft_gpio *sg;
++
++      if (off >= gf->num_soft_gpios)
++              return -EINVAL;
++      sg = &gf->soft_gpios[off];
++
++      return sg->value;
++}
++
++static void gpio_fsm_go_to_state(struct gpio_fsm *gf,
++                                 struct fsm_state *new_state)
++{
++      struct input_gpio_state *inp_state;
++      struct gpio_event *gp_ev;
++      struct fsm_state *state;
++      int i;
++
++      dev_dbg(gf->dev, "go_to_state(%s)\n",
++                new_state ? new_state->name : "<unset>");
++
++      spin_lock(&gf->spinlock);
++
++      if (gf->next_state) {
++              /* Something else has already requested a transition */
++              spin_unlock(&gf->spinlock);
++              return;
++      }
++
++      gf->next_state = new_state;
++      state = gf->current_state;
++      gf->delay_target_state = NULL;
++
++      if (state) {
++              /* Disarm any GPIO IRQs */
++              for (i = 0; i < state->num_gpio_events; i++) {
++                      gp_ev = &state->gpio_events[i];
++                      inp_state = &gf->input_gpio_states[gp_ev->index];
++                      inp_state->target = NULL;
++              }
++      }
++
++      spin_unlock(&gf->spinlock);
++
++      if (new_state)
++              schedule_work(&gf->work);
++}
++
++static void gpio_fsm_set_soft(struct gpio_fsm *gf,
++                              unsigned int off, int val)
++{
++      struct soft_gpio *sg = &gf->soft_gpios[off];
++      struct gpio_event *gp_ev;
++      struct fsm_state *state;
++      int i;
++
++      dev_dbg(gf->dev, "set(%d,%d)\n", off, val);
++      state = gf->current_state;
++      sg->value = val;
++      for (i = 0; i < state->num_soft_events; i++) {
++              gp_ev = &state->soft_events[i];
++              if (gp_ev->index == off && gp_ev->value == val) {
++                      if (gf->debug)
++                              dev_info(gf->dev,
++                                       "GF_SOFT %d->%d -> %s\n", gp_ev->index,
++                                       gp_ev->value, gp_ev->target->name);
++                      gpio_fsm_go_to_state(gf, gp_ev->target);
++                      break;
++              }
++      }
++}
++
++static int gpio_fsm_direction_input(struct gpio_chip *gc, unsigned int off)
++{
++      struct gpio_fsm *gf = gpiochip_get_data(gc);
++      struct soft_gpio *sg;
++
++      if (off >= gf->num_soft_gpios)
++              return -EINVAL;
++      sg = &gf->soft_gpios[off];
++      sg->dir = GPIOF_DIR_IN;
++
++      return 0;
++}
++
++static int gpio_fsm_direction_output(struct gpio_chip *gc, unsigned int off,
++                                     int value)
++{
++      struct gpio_fsm *gf = gpiochip_get_data(gc);
++      struct soft_gpio *sg;
++
++      if (off >= gf->num_soft_gpios)
++              return -EINVAL;
++      sg = &gf->soft_gpios[off];
++      sg->dir = GPIOF_DIR_OUT;
++      gpio_fsm_set_soft(gf, off, value);
++
++      return 0;
++}
++
++static void gpio_fsm_set(struct gpio_chip *gc, unsigned int off, int val)
++{
++      struct gpio_fsm *gf;
++
++      gf = gpiochip_get_data(gc);
++      if (off < gf->num_soft_gpios)
++              gpio_fsm_set_soft(gf, off, val);
++}
++
++static void gpio_fsm_enter_state(struct gpio_fsm *gf,
++                                 struct fsm_state *state)
++{
++      struct input_gpio_state *inp_state;
++      struct output_signal *signal;
++      struct gpio_event *event;
++      struct gpio_desc *gpiod;
++      struct soft_gpio *soft;
++      int value;
++      int i;
++
++      dev_dbg(gf->dev, "enter_state(%s)\n", state->name);
++
++      gf->current_state = state;
++
++      // 1. Apply any listed signals
++      for (i = 0; i < state->num_signals; i++) {
++              signal = &state->signals[i];
++
++              if (gf->debug)
++                      dev_info(gf->dev, "  set %s %d->%d\n",
++                               (signal->type == SIGNAL_GPIO) ? "GF_OUT" :
++                               "GF_SOFT",
++                               signal->index, signal->value);
++              switch (signal->type) {
++              case SIGNAL_GPIO:
++                      gpiod = gf->output_gpios->desc[signal->index];
++                      gpiod_set_value_cansleep(gpiod, signal->value);
++                      break;
++              case SIGNAL_SOFT:
++                      soft = &gf->soft_gpios[signal->index];
++                      gpio_fsm_set_soft(gf, signal->index, signal->value);
++                      break;
++              }
++      }
++
++      // 2. Exit if successfully reached shutdown state
++      if (gf->shutting_down && state == state->shutdown_target) {
++              wake_up(&gf->shutdown_event);
++              return;
++      }
++
++      // 3. Schedule a timer callback if shutting down
++      if (state->shutdown_target) {
++              // Remember the absolute shutdown time in case remove is called
++              // at a later time.
++              gf->shutdown_jiffies =
++                      jiffies + msecs_to_jiffies(state->shutdown_ms);
++
++              if (gf->shutting_down) {
++                      gf->delay_target_state = state->shutdown_target;
++                      gf->delay_ms = state->shutdown_ms;
++                      mod_timer(&gf->timer, gf->shutdown_jiffies);
++              }
++      }
++
++      // During shutdown, skip everything else
++      if (gf->shutting_down)
++              return;
++
++      // Otherwise record what the shutdown time would be
++      gf->shutdown_jiffies = jiffies + msecs_to_jiffies(state->shutdown_ms);
++
++      // 4. Check soft inputs for transitions to take
++      for (i = 0; i < state->num_soft_events; i++) {
++              event = &state->soft_events[i];
++              if (gf->soft_gpios[event->index].value == event->value) {
++                      if (gf->debug)
++                              dev_info(gf->dev,
++                                       "GF_SOFT %d=%d -> %s\n", event->index,
++                                       event->value, event->target->name);
++                      gpio_fsm_go_to_state(gf, event->target);
++                      return;
++              }
++      }
++
++      // 5. Check GPIOs for transitions to take, enabling the IRQs
++      for (i = 0; i < state->num_gpio_events; i++) {
++              event = &state->gpio_events[i];
++              inp_state = &gf->input_gpio_states[event->index];
++              inp_state->target = event->target;
++              inp_state->value = event->value;
++              inp_state->enabled = true;
++
++              value = gpiod_get_value(gf->input_gpios->desc[event->index]);
++
++              // Clear stale event state
++              disable_irq(inp_state->irq);
++
++              irq_set_irq_type(inp_state->irq,
++                               (inp_state->value ^ inp_state->active_low) ?
++                               IRQF_TRIGGER_RISING : IRQF_TRIGGER_FALLING);
++              enable_irq(inp_state->irq);
++
++              if (value == event->value && inp_state->target) {
++                      if (gf->debug)
++                              dev_info(gf->dev,
++                                       "GF_IN %d=%d -> %s\n", event->index,
++                                       event->value, event->target->name);
++                      gpio_fsm_go_to_state(gf, event->target);
++                      return;
++              }
++      }
++
++      // 6. Schedule a timer callback if delay_target
++      if (state->delay_target) {
++              gf->delay_target_state = state->delay_target;
++              gf->delay_ms = state->delay_ms;
++              mod_timer(&gf->timer,
++                        jiffies + msecs_to_jiffies(state->delay_ms));
++      }
++}
++
++static void gpio_fsm_work(struct work_struct *work)
++{
++      struct input_gpio_state *inp_state;
++      struct fsm_state *new_state;
++      struct fsm_state *state;
++      struct gpio_event *gp_ev;
++      struct gpio_fsm *gf;
++      int i;
++
++      gf = container_of(work, struct gpio_fsm, work);
++      spin_lock(&gf->spinlock);
++      state = gf->current_state;
++      new_state = gf->next_state;
++      if (!new_state)
++              new_state = gf->delay_target_state;
++      gf->next_state = NULL;
++      gf->delay_target_state = NULL;
++      spin_unlock(&gf->spinlock);
++
++      if (state) {
++              /* Disable any enabled GPIO IRQs */
++              for (i = 0; i < state->num_gpio_events; i++) {
++                      gp_ev = &state->gpio_events[i];
++                      inp_state = &gf->input_gpio_states[gp_ev->index];
++                      if (inp_state->enabled) {
++                              inp_state->enabled = false;
++                              irq_set_irq_type(inp_state->irq,
++                                               IRQF_TRIGGER_NONE);
++                      }
++              }
++      }
++
++      if (new_state)
++              gpio_fsm_enter_state(gf, new_state);
++}
++
++static irqreturn_t gpio_fsm_gpio_irq_handler(int irq, void *dev_id)
++{
++      struct input_gpio_state *inp_state = dev_id;
++      struct gpio_fsm *gf = inp_state->gf;
++      struct fsm_state *target;
++
++      target = inp_state->target;
++      if (!target)
++              return IRQ_NONE;
++
++      /* If the IRQ has fired then the desired state _must_ have occurred */
++      inp_state->enabled = false;
++      irq_set_irq_type(inp_state->irq, IRQF_TRIGGER_NONE);
++      if (gf->debug)
++              dev_info(gf->dev, "GF_IN %d->%d -> %s\n",
++                       inp_state->index, inp_state->value, target->name);
++      gpio_fsm_go_to_state(gf, target);
++      return IRQ_HANDLED;
++}
++
++static void gpio_fsm_timer(struct timer_list *timer)
++{
++      struct gpio_fsm *gf = container_of(timer, struct gpio_fsm, timer);
++      struct fsm_state *target;
++
++      target = gf->delay_target_state;
++      if (!target)
++              return;
++
++      if (gf->debug)
++              dev_info(gf->dev, "GF_DELAY %d -> %s\n", gf->delay_ms,
++                       target->name);
++
++      gpio_fsm_go_to_state(gf, target);
++}
++
++int gpio_fsm_parse_signals(struct gpio_fsm *gf, struct fsm_state *state,
++                           struct property *prop)
++{
++      const __be32 *cells = prop->value;
++      struct output_signal *signal;
++      u32 io;
++      u32 type;
++      u32 index;
++      u32 value;
++      int ret = 0;
++      int i;
++
++      if (prop->length % 8) {
++              dev_err(gf->dev, "malformed set in state %s\n",
++                      state->name);
++              return -EINVAL;
++      }
++
++      state->num_signals = prop->length/8;
++      state->signals = devm_kcalloc(gf->dev, state->num_signals,
++                                    sizeof(struct output_signal),
++                                    GFP_KERNEL);
++      for (i = 0; i < state->num_signals; i++) {
++              signal = &state->signals[i];
++              io = be32_to_cpu(cells[0]);
++              type = GF_IO_TYPE(io);
++              index = GF_IO_INDEX(io);
++              value = be32_to_cpu(cells[1]);
++
++              if (type != GF_OUT && type != GF_SOFT) {
++                      dev_err(gf->dev,
++                              "invalid set type %d in state %s\n",
++                              type, state->name);
++                      ret = -EINVAL;
++                      break;
++              }
++              if (type == GF_OUT && index >= gf->num_output_gpios) {
++                      dev_err(gf->dev,
++                              "invalid GF_OUT number %d in state %s\n",
++                              index, state->name);
++                      ret = -EINVAL;
++                      break;
++              }
++              if (type == GF_SOFT && index >= gf->num_soft_gpios) {
++                      dev_err(gf->dev,
++                              "invalid GF_SOFT number %d in state %s\n",
++                              index, state->name);
++                      ret = -EINVAL;
++                      break;
++              }
++              if (value != 0 && value != 1) {
++                      dev_err(gf->dev,
++                              "invalid set value %d in state %s\n",
++                              value, state->name);
++                      ret = -EINVAL;
++                      break;
++              }
++              signal->type = (type == GF_OUT) ? SIGNAL_GPIO : SIGNAL_SOFT;
++              signal->index = index;
++              signal->value = value;
++              cells += 2;
++      }
++
++      return ret;
++}
++
++struct gpio_event *new_event(struct gpio_event **events, int *num_events)
++{
++      int num = ++(*num_events);
++      *events = krealloc(*events, num * sizeof(struct gpio_event),
++                         GFP_KERNEL);
++      return *events ? *events + (num - 1) : NULL;
++}
++
++int gpio_fsm_parse_events(struct gpio_fsm *gf, struct fsm_state *state,
++                          struct property *prop)
++{
++      const __be32 *cells = prop->value;
++      struct symtab_entry *sym;
++      int num_cells;
++      int ret = 0;
++      int i;
++
++      if (prop->length % 8) {
++              dev_err(gf->dev,
++                      "malformed transitions from state %s to state %s\n",
++                      state->name, prop->name);
++              return -EINVAL;
++      }
++
++      sym = get_symbol(&gf->symtab, prop->name);
++      num_cells = prop->length / 4;
++      i = 0;
++      while (i < num_cells) {
++              struct gpio_event *gp_ev;
++              u32 event, param;
++              u32 index;
++
++              event = be32_to_cpu(cells[i++]);
++              param = be32_to_cpu(cells[i++]);
++              index = GF_IO_INDEX(event);
++
++              switch (GF_IO_TYPE(event)) {
++              case GF_IN:
++                      if (index >= gf->num_input_gpios) {
++                              dev_err(gf->dev,
++                                      "invalid GF_IN %d in transitions from state %s to state %s\n",
++                                      index, state->name, prop->name);
++                              return -EINVAL;
++                      }
++                      if (param > 1) {
++                              dev_err(gf->dev,
++                                      "invalid GF_IN value %d in transitions from state %s to state %s\n",
++                                      param, state->name, prop->name);
++                              return -EINVAL;
++                      }
++                      gp_ev = new_event(&state->gpio_events,
++                                        &state->num_gpio_events);
++                      if (!gp_ev)
++                              return -ENOMEM;
++                      gp_ev->index = index;
++                      gp_ev->value = param;
++                      gp_ev->target = (struct fsm_state *)sym;
++                      break;
++
++              case GF_SOFT:
++                      if (index >= gf->num_soft_gpios) {
++                              dev_err(gf->dev,
++                                      "invalid GF_SOFT %d in transitions from state %s to state %s\n",
++                                      index, state->name, prop->name);
++                              return -EINVAL;
++                      }
++                      if (param > 1) {
++                              dev_err(gf->dev,
++                                      "invalid GF_SOFT value %d in transitions from state %s to state %s\n",
++                                      param, state->name, prop->name);
++                              return -EINVAL;
++                      }
++                      gp_ev = new_event(&state->soft_events,
++                                        &state->num_soft_events);
++                      if (!gp_ev)
++                              return -ENOMEM;
++                      gp_ev->index = index;
++                      gp_ev->value = param;
++                      gp_ev->target = (struct fsm_state *)sym;
++                      break;
++
++              case GF_DELAY:
++                      if (state->delay_target) {
++                              dev_err(gf->dev,
++                                      "state %s has multiple GF_DELAYs\n",
++                                      state->name);
++                              return -EINVAL;
++                      }
++                      state->delay_target = (struct fsm_state *)sym;
++                      state->delay_ms = param;
++                      break;
++
++              case GF_SHUTDOWN:
++                      if (state->shutdown_target == state) {
++                              dev_err(gf->dev,
++                                      "shutdown state %s has GF_SHUTDOWN\n",
++                                      state->name);
++                              return -EINVAL;
++                      } else if (state->shutdown_target) {
++                              dev_err(gf->dev,
++                                      "state %s has multiple GF_SHUTDOWNs\n",
++                                      state->name);
++                              return -EINVAL;
++                      }
++                      state->shutdown_target =
++                              (struct fsm_state *)sym;
++                      state->shutdown_ms = param;
++                      break;
++
++              default:
++                      dev_err(gf->dev,
++                              "invalid event %08x in transitions from state %s to state %s\n",
++                              event, state->name, prop->name);
++                      return -EINVAL;
++              }
++      }
++      if (i != num_cells) {
++              dev_err(gf->dev,
++                      "malformed transitions from state %s to state %s\n",
++                      state->name, prop->name);
++              return -EINVAL;
++      }
++
++      return ret;
++}
++
++int gpio_fsm_parse_state(struct gpio_fsm *gf,
++                         struct fsm_state *state,
++                         struct device_node *np)
++{
++      struct symtab_entry *sym;
++      struct property *prop;
++      int ret;
++
++      state->name = np->name;
++      ret = add_symbol(&gf->symtab, np->name, state);
++      if (ret) {
++              switch (ret) {
++              case -EINVAL:
++                      dev_err(gf->dev, "'%s' is not a valid state name\n",
++                              np->name);
++                      break;
++              case -EEXIST:
++                      dev_err(gf->dev, "state %s already defined\n",
++                              np->name);
++                      break;
++              default:
++                      dev_err(gf->dev, "error %d adding state %s symbol\n",
++                              ret, np->name);
++                      break;
++              }
++              return ret;
++      }
++
++      for_each_property_of_node(np, prop) {
++              sym = get_symbol(&gf->symtab, prop->name);
++              if (!sym) {
++                      ret = -ENOMEM;
++                      break;
++              }
++
++              switch ((uintptr_t)sym->value) {
++              case SYM_SET:
++                      ret = gpio_fsm_parse_signals(gf, state, prop);
++                      break;
++              case SYM_START:
++                      if (gf->start_state) {
++                              dev_err(gf->dev, "multiple start states\n");
++                              ret = -EINVAL;
++                      } else {
++                              gf->start_state = state;
++                      }
++                      break;
++              case SYM_SHUTDOWN:
++                      state->shutdown_target = state;
++                      gf->shutdown_state = state;
++                      break;
++              case SYM_NAME:
++                      /* Ignore */
++                      break;
++              default:
++                      /* A set of transition events to this state */
++                      ret = gpio_fsm_parse_events(gf, state, prop);
++                      break;
++              }
++      }
++
++      return ret;
++}
++
++static void dump_all(struct gpio_fsm *gf)
++{
++      int i, j;
++
++      dev_info(gf->dev, "Input GPIOs:\n");
++      for (i = 0; i < gf->num_input_gpios; i++)
++              dev_info(gf->dev, "  %d: %p\n", i,
++                       gf->input_gpios->desc[i]);
++
++      dev_info(gf->dev, "Output GPIOs:\n");
++      for (i = 0; i < gf->num_output_gpios; i++)
++              dev_info(gf->dev, "  %d: %p\n", i,
++                       gf->output_gpios->desc[i]);
++
++      dev_info(gf->dev, "Soft GPIOs:\n");
++      for (i = 0; i < gf->num_soft_gpios; i++)
++              dev_info(gf->dev, "  %d: %s %d\n", i,
++                       (gf->soft_gpios[i].dir == GPIOF_DIR_IN) ? "IN" : "OUT",
++                       gf->soft_gpios[i].value);
++
++      dev_info(gf->dev, "Start state: %s\n",
++               gf->start_state ? gf->start_state->name : "-");
++
++      dev_info(gf->dev, "Shutdown timeout: %d ms\n",
++               gf->shutdown_timeout_ms);
++
++      for (i = 0; i < gf->num_states; i++) {
++              struct fsm_state *state = &gf->states[i];
++
++              dev_info(gf->dev, "State %s:\n", state->name);
++
++              if (state->shutdown_target == state)
++                      dev_info(gf->dev, "  Shutdown state\n");
++
++              dev_info(gf->dev, "  Signals:\n");
++              for (j = 0; j < state->num_signals; j++) {
++                      struct output_signal *signal = &state->signals[j];
++
++                      dev_info(gf->dev, "    %d: %s %d=%d\n", j,
++                               (signal->type == SIGNAL_GPIO) ? "GPIO" :
++                                                               "SOFT",
++                               signal->index, signal->value);
++              }
++
++              dev_info(gf->dev, "  GPIO events:\n");
++              for (j = 0; j < state->num_gpio_events; j++) {
++                      struct gpio_event *event = &state->gpio_events[j];
++
++                      dev_info(gf->dev, "    %d: %d=%d -> %s\n", j,
++                               event->index, event->value,
++                               event->target->name);
++              }
++
++              dev_info(gf->dev, "  Soft events:\n");
++              for (j = 0; j < state->num_soft_events; j++) {
++                      struct gpio_event *event = &state->soft_events[j];
++
++                      dev_info(gf->dev, "    %d: %d=%d -> %s\n", j,
++                               event->index, event->value,
++                               event->target->name);
++              }
++
++              if (state->delay_target)
++                      dev_info(gf->dev, "  Delay: %d ms -> %s\n",
++                               state->delay_ms, state->delay_target->name);
++
++              if (state->shutdown_target && state->shutdown_target != state)
++                      dev_info(gf->dev, "  Shutdown: %d ms -> %s\n",
++                               state->shutdown_ms,
++                               state->shutdown_target->name);
++      }
++      dev_info(gf->dev, "\n");
++}
++
++static int resolve_sym_to_state(struct gpio_fsm *gf, struct fsm_state **pstate)
++{
++      struct symtab_entry *sym = (struct symtab_entry *)*pstate;
++
++      if (!sym)
++              return -ENOMEM;
++
++      *pstate = sym->value;
++
++      if (!*pstate) {
++              dev_err(gf->dev, "state %s not defined\n",
++                      sym->name);
++              return -EINVAL;
++      }
++
++      return 0;
++}
++
++static int gpio_fsm_probe(struct platform_device *pdev)
++{
++      struct input_gpio_state *inp_state;
++      struct device *dev = &pdev->dev;
++      struct device_node *np = dev->of_node;
++      struct device_node *cp;
++      struct gpio_fsm *gf;
++      u32 debug = 0;
++      int num_states;
++      u32 num_soft_gpios;
++      int ret;
++      int i;
++      static const char *const reserved_symbols[] = {
++              [SYM_NAME] = "name",
++              [SYM_SET] = "set",
++              [SYM_START] = "start_state",
++              [SYM_SHUTDOWN] = "shutdown_state",
++      };
++
++      if (of_property_read_u32(np, "num-soft-gpios", &num_soft_gpios)) {
++              dev_err(dev, "missing 'num-soft-gpios' property\n");
++              return -EINVAL;
++      }
++
++      of_property_read_u32(np, "debug", &debug);
++
++      gf = devm_kzalloc(dev, sizeof(*gf), GFP_KERNEL);
++      if (!gf)
++              return -ENOMEM;
++
++      gf->dev = dev;
++      gf->debug = debug;
++
++      if (of_property_read_u32(np, "shutdown-timeout-ms",
++                               &gf->shutdown_timeout_ms))
++              gf->shutdown_timeout_ms = 5000;
++
++      gf->num_soft_gpios = num_soft_gpios;
++      gf->soft_gpios = devm_kcalloc(dev, num_soft_gpios,
++                                    sizeof(struct soft_gpio), GFP_KERNEL);
++      if (!gf->soft_gpios)
++              return -ENOMEM;
++      for (i = 0; i < num_soft_gpios; i++) {
++              struct soft_gpio *sg = &gf->soft_gpios[i];
++
++              sg->dir = GPIOF_DIR_IN;
++              sg->value = 0;
++      }
++
++      gf->input_gpios = devm_gpiod_get_array_optional(dev, "input", GPIOD_IN);
++      if (IS_ERR(gf->input_gpios)) {
++              ret = PTR_ERR(gf->input_gpios);
++              dev_err(dev, "failed to get input gpios from DT - %d\n", ret);
++              return ret;
++      }
++      gf->num_input_gpios = (gf->input_gpios ? gf->input_gpios->ndescs : 0);
++
++      gf->input_gpio_states = devm_kcalloc(dev, gf->num_input_gpios,
++                                           sizeof(struct input_gpio_state),
++                                           GFP_KERNEL);
++      if (!gf->input_gpio_states)
++              return -ENOMEM;
++      for (i = 0; i < gf->num_input_gpios; i++) {
++              inp_state = &gf->input_gpio_states[i];
++              inp_state->desc = gf->input_gpios->desc[i];
++              inp_state->gf = gf;
++              inp_state->index = i;
++              inp_state->irq = gpiod_to_irq(inp_state->desc);
++              inp_state->active_low = gpiod_is_active_low(inp_state->desc);
++              if (inp_state->irq >= 0)
++                      ret = devm_request_irq(gf->dev, inp_state->irq,
++                                             gpio_fsm_gpio_irq_handler,
++                                             IRQF_TRIGGER_NONE,
++                                             dev_name(dev),
++                                             inp_state);
++              else
++                      ret = inp_state->irq;
++
++              if (ret) {
++                      dev_err(dev,
++                              "failed to get IRQ for input gpio - %d\n",
++                              ret);
++                      return ret;
++              }
++      }
++
++      gf->output_gpios = devm_gpiod_get_array_optional(dev, "output",
++                                                       GPIOD_OUT_LOW);
++      if (IS_ERR(gf->output_gpios)) {
++              ret = PTR_ERR(gf->output_gpios);
++              dev_err(dev, "failed to get output gpios from DT - %d\n", ret);
++              return ret;
++      }
++      gf->num_output_gpios = (gf->output_gpios ? gf->output_gpios->ndescs :
++                              0);
++
++      num_states = of_get_child_count(np);
++      if (!num_states) {
++              dev_err(dev, "no states declared\n");
++              return -EINVAL;
++      }
++      gf->states = devm_kcalloc(dev, num_states,
++                                sizeof(struct fsm_state), GFP_KERNEL);
++      if (!gf->states)
++              return -ENOMEM;
++
++      // add reserved words to the symbol table
++      for (i = 0; i < ARRAY_SIZE(reserved_symbols); i++) {
++              if (reserved_symbols[i])
++                      add_symbol(&gf->symtab, reserved_symbols[i], (void *)i);
++      }
++
++      // parse the state
++      for_each_child_of_node(np, cp) {
++              struct fsm_state *state = &gf->states[gf->num_states];
++
++              ret = gpio_fsm_parse_state(gf, state, cp);
++              if (ret)
++                      return ret;
++              gf->num_states++;
++      }
++
++      if (!gf->start_state) {
++              dev_err(gf->dev, "no start state defined\n");
++              return -EINVAL;
++      }
++
++      // resolve symbol pointers into state pointers
++      for (i = 0; !ret && i < gf->num_states; i++) {
++              struct fsm_state *state = &gf->states[i];
++              int j;
++
++              for (j = 0; !ret && j < state->num_gpio_events; j++) {
++                      struct gpio_event *ev = &state->gpio_events[j];
++
++                      ret = resolve_sym_to_state(gf, &ev->target);
++              }
++
++              for (j = 0; !ret && j < state->num_soft_events; j++) {
++                      struct gpio_event *ev = &state->soft_events[j];
++
++                      ret = resolve_sym_to_state(gf, &ev->target);
++              }
++
++              if (!ret) {
++                      resolve_sym_to_state(gf, &state->delay_target);
++                      if (state->shutdown_target != state)
++                              resolve_sym_to_state(gf,
++                                                   &state->shutdown_target);
++              }
++      }
++
++      if (!ret && gf->debug > 1)
++              dump_all(gf);
++
++      free_symbols(&gf->symtab);
++
++      if (ret)
++              return ret;
++
++      gf->gc.parent = dev;
++      gf->gc.label = np->name;
++      gf->gc.owner = THIS_MODULE;
++      gf->gc.of_node = np;
++      gf->gc.base = -1;
++      gf->gc.ngpio = num_soft_gpios;
++
++      gf->gc.get_direction = gpio_fsm_get_direction;
++      gf->gc.direction_input = gpio_fsm_direction_input;
++      gf->gc.direction_output = gpio_fsm_direction_output;
++      gf->gc.get = gpio_fsm_get;
++      gf->gc.set = gpio_fsm_set;
++      gf->gc.can_sleep = true;
++      spin_lock_init(&gf->spinlock);
++      INIT_WORK(&gf->work, gpio_fsm_work);
++      timer_setup(&gf->timer, gpio_fsm_timer, 0);
++      init_waitqueue_head(&gf->shutdown_event);
++
++      platform_set_drvdata(pdev, gf);
++
++      if (gf->debug)
++              dev_info(gf->dev, "Start -> %s\n", gf->start_state->name);
++
++      gpio_fsm_go_to_state(gf, gf->start_state);
++
++      return devm_gpiochip_add_data(dev, &gf->gc, gf);
++}
++
++static int gpio_fsm_remove(struct platform_device *pdev)
++{
++      struct gpio_fsm *gf = platform_get_drvdata(pdev);
++      int i;
++
++      if (gf->shutdown_state) {
++              if (gf->debug)
++                      dev_info(gf->dev, "Shutting down...\n");
++
++              spin_lock(&gf->spinlock);
++              gf->shutting_down = true;
++              if (gf->current_state->shutdown_target &&
++                  gf->current_state->shutdown_target != gf->current_state) {
++                      gf->delay_target_state =
++                              gf->current_state->shutdown_target;
++                      mod_timer(&gf->timer, gf->shutdown_jiffies);
++              }
++              spin_unlock(&gf->spinlock);
++
++              wait_event_timeout(gf->shutdown_event,
++                                 gf->current_state->shutdown_target ==
++                                 gf->current_state,
++                                 msecs_to_jiffies(gf->shutdown_timeout_ms));
++              if (gf->current_state->shutdown_target == gf->current_state)
++                      gpio_fsm_enter_state(gf, gf->shutdown_state);
++      }
++      cancel_work_sync(&gf->work);
++      del_timer_sync(&gf->timer);
++
++      /* Events aren't allocated from managed storage */
++      for (i = 0; i < gf->num_states; i++) {
++              kfree(gf->states[i].gpio_events);
++              kfree(gf->states[i].soft_events);
++      }
++      if (gf->debug)
++              dev_info(gf->dev, "Exiting\n");
++
++      return 0;
++}
++
++static void gpio_fsm_shutdown(struct platform_device *pdev)
++{
++      gpio_fsm_remove(pdev);
++}
++
++static const struct of_device_id gpio_fsm_ids[] = {
++      { .compatible = "rpi,gpio-fsm" },
++      { }
++};
++MODULE_DEVICE_TABLE(of, gpio_fsm_ids);
++
++static struct platform_driver gpio_fsm_driver = {
++      .driver = {
++              .name           = MODULE_NAME,
++              .of_match_table = of_match_ptr(gpio_fsm_ids),
++      },
++      .probe = gpio_fsm_probe,
++      .remove = gpio_fsm_remove,
++      .shutdown = gpio_fsm_shutdown,
++};
++module_platform_driver(gpio_fsm_driver);
++
++MODULE_LICENSE("GPL");
++MODULE_AUTHOR("Phil Elwell <phil@raspberrypi.com>");
++MODULE_DESCRIPTION("GPIO FSM driver");
++MODULE_ALIAS("platform:gpio-fsm");
+--- /dev/null
++++ b/include/dt-bindings/gpio/gpio-fsm.h
+@@ -0,0 +1,21 @@
++/* SPDX-License-Identifier: GPL-2.0+ */
++/*
++ * This header provides constants for binding rpi,gpio-fsm.
++ */
++
++#ifndef _DT_BINDINGS_GPIO_FSM_H
++#define _DT_BINDINGS_GPIO_FSM_H
++
++#define GF_IN       0
++#define GF_OUT      1
++#define GF_SOFT     2
++#define GF_DELAY    3
++#define GF_SHUTDOWN 4
++
++#define GF_IO(t, v) (((v) << 16) | ((t) & 0xffff))
++
++#define GF_IP(x)    GF_IO(GF_IN, (x))
++#define GF_OP(x)    GF_IO(GF_OUT, (x))
++#define GF_SW(x)    GF_IO(GF_SOFT, (x))
++
++#endif
diff --git a/target/linux/bcm27xx/patches-5.4/950-0987-overlays-Add-fsm-demo-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0987-overlays-Add-fsm-demo-overlay.patch
new file mode 100644 (file)
index 0000000..7b56627
--- /dev/null
@@ -0,0 +1,151 @@
+From d27d1447e49bc6ffa92f787f7bc5c2eea29ba7d2 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 30 Sep 2020 12:08:08 +0100
+Subject: [PATCH] overlays: Add fsm-demo overlay
+
+fsm-demo demonstrates the usage of the gpio-fsm driver. It is
+designed to be used with a set of "traffic light" LEDs on GPIOs
+7, 8 and 25.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |   8 ++
+ .../boot/dts/overlays/fsm-demo-overlay.dts    | 104 ++++++++++++++++++
+ 3 files changed, 113 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/fsm-demo-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -44,6 +44,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       enc28j60-spi2.dtbo \
+       exc3000.dtbo \
+       fe-pi-audio.dtbo \
++      fsm-demo.dtbo \
+       goodix.dtbo \
+       googlevoicehat-soundcard.dtbo \
+       gpio-fan.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -767,6 +767,14 @@ Load:   dtoverlay=fe-pi-audio
+ Params: <None>
++Name:   fsm-demo
++Info:   A demonstration of the gpio-fsm driver. The GPIOs are chosen to work
++        nicely with a "traffic-light" display of red, amber and green LEDs on
++        GPIOs 7, 8 and 25 respectively.
++Load:   dtoverlay=fsm-demo,<param>=<val>
++Params: fsm_debug               Enable debug logging (default off)
++
++
+ Name:   goodix
+ Info:   Enables I2C connected Goodix gt9271 multiple touch controller using
+         GPIOs 4 and 17 (pins 7 and 11 on GPIO header) for interrupt and reset.
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/fsm-demo-overlay.dts
+@@ -0,0 +1,104 @@
++// Demo overlay for the gpio-fsm driver
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/gpio/gpio-fsm.h>
++
++#define BUTTON1 GF_IP(0)
++#define BUTTON2 GF_SW(0)
++#define RED   GF_OP(0) // GPIO7
++#define AMBER GF_OP(1) // GPIO8
++#define GREEN GF_OP(2) // GPIO25
++
++/{
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target-path = "/";
++              __overlay__ {
++                      fsm_demo: fsm-demo {
++                              compatible = "rpi,gpio-fsm";
++
++                              debug = <0>;
++                              gpio-controller;
++                              #gpio-cells = <2>;
++                              num-soft-gpios = <1>;
++                              gpio-line-names = "button2";
++                              input-gpios  = <&gpio 6 1>;  // BUTTON1 (active-low)
++                              output-gpios = <&gpio 7 0>,  // RED
++                                             <&gpio 8 0>,  // AMBER
++                                             <&gpio 25 0>; // GREEN
++                              shutdown-timeout-ms = <2000>;
++
++                              start {
++                                      start_state;
++                                      set = <RED 1>, <AMBER 0>, <GREEN 0>;
++                                      start2 = <GF_DELAY 250>;
++                              };
++
++                              start2 {
++                                      set = <RED 0>, <AMBER 1>;
++                                      go = <GF_DELAY 250>;
++                              };
++
++                              go {
++                                      set = <RED 0>, <AMBER 0>, <GREEN 1>;
++                                      ready_wait = <BUTTON1 0>;
++                                      shutdown1 = <GF_SHUTDOWN 0>;
++                              };
++
++                              ready_wait {
++                                      // Clear the soft GPIO
++                                      set = <BUTTON2 0>;
++                                      ready = <GF_DELAY 1000>;
++                                      shutdown1 = <GF_SHUTDOWN 0>;
++                              };
++
++                              ready {
++                                      stopping = <BUTTON1 1>, <BUTTON2 1>;
++                                      shutdown1 = <GF_SHUTDOWN 0>;
++                              };
++
++                              stopping {
++                                      set = <GREEN 0>, <AMBER 1>;
++                                      stopped = <GF_DELAY 1000>;
++                              };
++
++                              stopped {
++                                      set = <AMBER 0>, <RED 1>;
++                                      get_set = <GF_DELAY 3000>;
++                                      shutdown1 = <GF_SHUTDOWN 0>;
++                              };
++
++                              get_set {
++                                      set = <AMBER 1>;
++                                      go = <GF_DELAY 1000>;
++                              };
++
++                              shutdown1 {
++                                      set = <RED 0>, <AMBER 0>, <GREEN 1>;
++                                      shutdown2 = <GF_SHUTDOWN 250>;
++                              };
++
++                              shutdown2 {
++                                      set = <AMBER 1>, <GREEN 0>;
++                                      shutdown3 = <GF_SHUTDOWN 250>;
++                              };
++
++                              shutdown3 {
++                                      set = <RED 1>, <AMBER 0>;
++                                      shutdown4 = <GF_SHUTDOWN 250>;
++                              };
++
++                              shutdown4 {
++                                      shutdown_state;
++                                      set = <RED 0>;
++                              };
++                      };
++             };
++        };
++
++      __overrides__ {
++              fsm_debug = <&fsm_demo>,"debug:0";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0988-overlays-Add-ghost-amp-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-0988-overlays-Add-ghost-amp-overlay.patch
new file mode 100644 (file)
index 0000000..7404bf7
--- /dev/null
@@ -0,0 +1,163 @@
+From 96f25d50e2352922e16ae74bb16fd8b6985f0f66 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 30 Sep 2020 12:17:48 +0100
+Subject: [PATCH] overlays: Add ghost-amp overlay
+
+Add an overlay for the Ghost amplifier.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |   7 ++
+ .../boot/dts/overlays/ghost-amp-overlay.dts   | 119 ++++++++++++++++++
+ 3 files changed, 127 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/ghost-amp-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -45,6 +45,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       exc3000.dtbo \
+       fe-pi-audio.dtbo \
+       fsm-demo.dtbo \
++      ghost-amp.dtbo \
+       goodix.dtbo \
+       googlevoicehat-soundcard.dtbo \
+       gpio-fan.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -775,6 +775,13 @@ Load:   dtoverlay=fsm-demo,<param>=<val>
+ Params: fsm_debug               Enable debug logging (default off)
++Name:   ghost-amp
++Info:   An overlay for the Ghost amplifier.
++Load:   dtoverlay=ghost-amp,<param>=<val>
++Params: fsm_debug               Enable debug logging of the GPIO FSM (default
++                                off)
++
++
+ Name:   goodix
+ Info:   Enables I2C connected Goodix gt9271 multiple touch controller using
+         GPIOs 4 and 17 (pins 7 and 11 on GPIO header) for interrupt and reset.
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/ghost-amp-overlay.dts
+@@ -0,0 +1,119 @@
++// Overlay for the PCM5122-based Ghost amplifier using gpio-fsm
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/gpio/gpio-fsm.h>
++
++#define ENABLE GF_SW(0)
++#define FAULT  GF_IP(0) // GPIO5
++#define RELAY1 GF_OP(0) // GPIO22
++#define RELAY2 GF_OP(1) // GPIO23
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&i2s>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@1 {
++              target = <&i2c1>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      pcm5122@4c {
++                              #sound-dai-cells = <0>;
++                              compatible = "ti,pcm5122";
++                              reg = <0x4c>;
++                              AVDD-supply = <&vdd_3v3_reg>;
++                              DVDD-supply = <&vdd_3v3_reg>;
++                              CPVDD-supply = <&vdd_3v3_reg>;
++                              status = "okay";
++                      };
++              };
++      };
++
++      fragment@2 {
++              target = <&sound>;
++              iqaudio_dac: __overlay__ {
++                      compatible = "iqaudio,iqaudio-dac";
++                      i2s-controller = <&i2s>;
++                      mute-gpios = <&amp 0 0>;
++                      iqaudio-dac,auto-mute-amp;
++                      status = "okay";
++              };
++      };
++
++      fragment@3 {
++              target-path = "/";
++              __overlay__ {
++                      amp: ghost-amp {
++                              compatible = "rpi,gpio-fsm";
++
++                              debug = <0>;
++                              gpio-controller;
++                              #gpio-cells = <2>;
++                              num-soft-gpios = <1>;
++                              gpio-line-names = "enable";
++                              input-gpios  = <&gpio 5 1>;  // FAULT (active low)
++                              output-gpios = <&gpio 22 0>, // RELAY1
++                                             <&gpio 23 0>; // RELAY2
++                              shutdown-timeout-ms = <1000>;
++
++                              amp_off {
++                                      start_state;
++                                      shutdown_state;
++
++                                      set = <RELAY2 0>,
++                                            <RELAY1 0>;
++                                      amp_on_1 = <ENABLE 1>;
++                                      fault = <FAULT 1>;
++                              };
++
++                              amp_on_1 {
++                                      set = <RELAY1 1>;
++                                      amp_on = <GF_DELAY 1500>;
++                                      amp_off = <ENABLE 0>;
++                                      fault = <FAULT 1>;
++                              };
++
++                              amp_on {
++                                      set = <RELAY2 1>;
++                                      amp_off_wait = <ENABLE 0>;
++                                      fault = <FAULT 1>;
++                              };
++
++                              amp_off_wait {
++                                      amp_off_1 = <GF_DELAY (30*60*1000)>,
++                                                  <GF_SHUTDOWN 0>;
++                                      amp_on = <ENABLE 1>;
++                                      fault = <FAULT 1>;
++                              };
++
++                              amp_off_1 {
++                                      set = <RELAY2 0>;
++                                      amp_on = <ENABLE 1>;
++                                      amp_off = <GF_DELAY 100>;
++                                      fault = <FAULT 1>;
++                              };
++
++                              // Keep this a distinct state to prevent
++                              // changes and for the diagnostic output
++                              fault {
++                                      set = <RELAY2 0>,
++                                            <RELAY1 0>;
++                                      shutdown_state;
++                              };
++                      };
++              };
++      };
++
++      __overrides__ {
++              fsm_debug = <&amp>,"debug:0";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-0989-Bluetooth-Disable-High-Speed-by-default.patch b/target/linux/bcm27xx/patches-5.4/950-0989-Bluetooth-Disable-High-Speed-by-default.patch
new file mode 100644 (file)
index 0000000..0317312
--- /dev/null
@@ -0,0 +1,26 @@
+From 8ccf890b3514c07c0596a8a3e389e08fd00c0d8e Mon Sep 17 00:00:00 2001
+From: Luiz Augusto von Dentz <luiz.von.dentz@intel.com>
+Date: Thu, 6 Aug 2020 11:17:13 -0700
+Subject: [PATCH] Bluetooth: Disable High Speed by default
+
+commit b176dd0ef6afcb3bca24f41d78b0d0b731ec2d08 upstream.
+
+Bluetooth High Speed requires hardware support which is very uncommon
+nowadays since HS has not pickup interest by the industry.
+
+Signed-off-by: Luiz Augusto von Dentz <luiz.von.dentz@intel.com>
+Signed-off-by: Marcel Holtmann <marcel@holtmann.org>
+---
+ net/bluetooth/Kconfig | 1 -
+ 1 file changed, 1 deletion(-)
+
+--- a/net/bluetooth/Kconfig
++++ b/net/bluetooth/Kconfig
+@@ -64,7 +64,6 @@ source "net/bluetooth/hidp/Kconfig"
+ config BT_HS
+       bool "Bluetooth High Speed (HS) features"
+       depends on BT_BREDR
+-      default y
+       help
+         Bluetooth High Speed includes support for off-loading
+         Bluetooth connections via 802.11 (wifi) physical layer
diff --git a/target/linux/bcm27xx/patches-5.4/950-0990-Fixes-a-problem-when-module-probes-before-i2c-module.patch b/target/linux/bcm27xx/patches-5.4/950-0990-Fixes-a-problem-when-module-probes-before-i2c-module.patch
new file mode 100644 (file)
index 0000000..e939772
--- /dev/null
@@ -0,0 +1,46 @@
+From bc55eb17b46668e70dfbd1a091159935d8f95eb9 Mon Sep 17 00:00:00 2001
+From: Joerg Schambacher <joerg@i2audio.com>
+Date: Fri, 16 Oct 2020 15:17:07 +0200
+Subject: [PATCH] Fixes a problem when module probes before i2c
+ module is available
+
+The driver crashed while a NULL pointer returned by i2c_get_adapter()
+has been used to access the i2c bus functions.
+The headphone probing function hb_hp_probe() now returns -EPROBE_DEFER
+in case the i2c module has not been loaded yet.
+
+Signed-off-by: Joerg Schambacher <joerg@i2audio.com>
+---
+ sound/soc/bcm/hifiberry_dacplus.c | 9 +++++++--
+ 1 file changed, 7 insertions(+), 2 deletions(-)
+
+--- a/sound/soc/bcm/hifiberry_dacplus.c
++++ b/sound/soc/bcm/hifiberry_dacplus.c
+@@ -315,12 +315,14 @@ static int hb_hp_detect(void)
+ {
+       struct i2c_adapter *adap = i2c_get_adapter(1);
+       int ret;
+-
+       struct i2c_client tpa_i2c_client = {
+               .addr = 0x60,
+               .adapter = adap,
+       };
++      if (!adap)
++              return -EPROBE_DEFER;   /* I2C module not yet available */
++
+       ret = i2c_smbus_read_byte(&tpa_i2c_client) >= 0;
+       i2c_put_adapter(adap);
+       return ret;
+@@ -342,7 +344,10 @@ static int snd_rpi_hifiberry_dacplus_pro
+       struct of_changeset ocs;
+       /* probe for head phone amp */
+-      if (hb_hp_detect()) {
++      ret = hb_hp_detect();
++      if (ret < 0)
++              return ret;
++      if (ret) {
+               card->aux_dev = hifiberry_dacplus_aux_devs;
+               card->num_aux_devs =
+                               ARRAY_SIZE(hifiberry_dacplus_aux_devs);
diff --git a/target/linux/bcm27xx/patches-5.4/950-0991-uapi-Update-V4L2_CID_USER_BCM2835_ISP_BASE-due-to-up.patch b/target/linux/bcm27xx/patches-5.4/950-0991-uapi-Update-V4L2_CID_USER_BCM2835_ISP_BASE-due-to-up.patch
new file mode 100644 (file)
index 0000000..71c27e1
--- /dev/null
@@ -0,0 +1,41 @@
+From 90e833fddb15bbdd8aeb6285ba596b4c657383c2 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Thu, 15 Oct 2020 11:59:02 +0100
+Subject: [PATCH] uapi: Update V4L2_CID_USER_BCM2835_ISP_BASE due to
+ upstream change
+
+Commit "4e52889 media: atmel: atmel-isc-base: expose white
+balance as v4l2 controls" in the upstream kernels reserves
+(V4L2_CID_USER_BASE + 0x10c0) for use by the Atmel ISC,
+therefore we have a control collision with our existing
+define for V4L2_CID_USER_BCM2835_ISP_BASE.
+
+Update V4L2_CID_USER_BCM2835_ISP_BASE to + 0x10e0 as the
+next available block.
+
+NB ABI breakage for libcamera (the only user of these controls).
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ include/uapi/linux/v4l2-controls.h | 8 +++++++-
+ 1 file changed, 7 insertions(+), 1 deletion(-)
+
+--- a/include/uapi/linux/v4l2-controls.h
++++ b/include/uapi/linux/v4l2-controls.h
+@@ -192,9 +192,15 @@ enum v4l2_colorfx {
+  * We reserve 16 controls for this driver. */
+ #define V4L2_CID_USER_IMX_BASE                        (V4L2_CID_USER_BASE + 0x10b0)
++/*
++ * The base for the atmel isc driver controls.
++ * We reserve 32 controls for this driver.
++ */
++#define V4L2_CID_USER_ATMEL_ISC_BASE          (V4L2_CID_USER_BASE + 0x10c0)
++
+ /* The base for the bcm2835-isp driver controls.
+  * We reserve 16 controls for this driver. */
+-#define V4L2_CID_USER_BCM2835_ISP_BASE                (V4L2_CID_USER_BASE + 0x10c0)
++#define V4L2_CID_USER_BCM2835_ISP_BASE                (V4L2_CID_USER_BASE + 0x10e0)
+ /* MPEG-class control IDs */
+ /* The MPEG controls are applicable to all codec controls
diff --git a/target/linux/bcm27xx/patches-5.4/950-0992-dtoverlays-Correct-CSI2-settings-for-ov9281.patch b/target/linux/bcm27xx/patches-5.4/950-0992-dtoverlays-Correct-CSI2-settings-for-ov9281.patch
new file mode 100644 (file)
index 0000000..84a4e98
--- /dev/null
@@ -0,0 +1,36 @@
+From ac315f66be96c5a423382efb559f193e5b786226 Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 21 Oct 2020 18:33:02 +0100
+Subject: [PATCH] dtoverlays: Correct CSI2 settings for ov9281
+
+OV9281 appears to drop the clock to LP mode between frames, but
+the overlay didn't define this at both ends of the CSI2 link.
+The overlay also had an incorrect link frequency defined, not that
+the driver ever checked for one.
+
+Fix both issues.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/ov9281-overlay.dts | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/arch/arm/boot/dts/overlays/ov9281-overlay.dts
++++ b/arch/arm/boot/dts/overlays/ov9281-overlay.dts
+@@ -34,7 +34,7 @@
+                                               data-lanes = <1 2>;
+                                               clock-noncontinuous;
+                                               link-frequencies =
+-                                                      /bits/ 64 <456000000>;
++                                                      /bits/ 64 <400000000>;
+                                       };
+                               };
+                       };
+@@ -50,6 +50,7 @@
+                               csi1_ep: endpoint {
+                                       remote-endpoint = <&ov9281_0>;
+                                       data-lanes = <1 2>;
++                                      clock-noncontinuous;
+                               };
+                       };
+               };
diff --git a/target/linux/bcm27xx/patches-5.4/950-0993-xhci-quirks-add-link-TRB-quirk-for-VL805.patch b/target/linux/bcm27xx/patches-5.4/950-0993-xhci-quirks-add-link-TRB-quirk-for-VL805.patch
new file mode 100644 (file)
index 0000000..3c89f13
--- /dev/null
@@ -0,0 +1,61 @@
+From 510fccc1a7533aa9f46d97ad500fe45ab7f91010 Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Mon, 26 Oct 2020 14:03:35 +0000
+Subject: [PATCH] xhci: quirks: add link TRB quirk for VL805
+
+The VL805 controller can't cope with the TR Dequeue Pointer for an endpoint
+being set to a Link TRB. The hardware-maintained endpoint context ends up
+stuck at the address of the Link TRB, leading to erroneous ring expansion
+events whenever the enqueue pointer wraps to the dequeue position.
+
+If the search for the end of the current TD and ring cycle state lands on
+a Link TRB, move to the next segment.
+
+See: https://github.com/raspberrypi/linux/issues/3919
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.com>
+---
+ drivers/usb/host/xhci-pci.c  |  1 +
+ drivers/usb/host/xhci-ring.c | 10 ++++++++++
+ drivers/usb/host/xhci.h      |  1 +
+ 3 files changed, 12 insertions(+)
+
+--- a/drivers/usb/host/xhci-pci.c
++++ b/drivers/usb/host/xhci-pci.c
+@@ -258,6 +258,7 @@ static void xhci_pci_quirks(struct devic
+                       pdev->device == 0x3483) {
+               xhci->quirks |= XHCI_LPM_SUPPORT;
+               xhci->quirks |= XHCI_EP_CTX_BROKEN_DCS;
++              xhci->quirks |= XHCI_AVOID_DQ_ON_LINK;
+       }
+       if (pdev->vendor == PCI_VENDOR_ID_ASMEDIA &&
+--- a/drivers/usb/host/xhci-ring.c
++++ b/drivers/usb/host/xhci-ring.c
+@@ -624,6 +624,16 @@ void xhci_find_new_dequeue_state(struct
+       } while (!cycle_found || !td_last_trb_found);
++      /*
++       * Quirk: the xHC does not correctly parse link TRBs if the HW Dequeue
++       * pointer is set to one. Advance to the next TRB (and next segment).
++       */
++      if (xhci->quirks & XHCI_AVOID_DQ_ON_LINK && trb_is_link(new_deq)) {
++              if (link_trb_toggles_cycle(new_deq))
++                      state->new_cycle_state ^= 0x1;
++              next_trb(xhci, ep_ring, &new_seg, &new_deq);
++      }
++
+       state->new_deq_seg = new_seg;
+       state->new_deq_ptr = new_deq;
+--- a/drivers/usb/host/xhci.h
++++ b/drivers/usb/host/xhci.h
+@@ -1876,6 +1876,7 @@ struct xhci_hcd {
+ #define XHCI_EP_CTX_BROKEN_DCS        BIT_ULL(36)
+ #define XHCI_SKIP_PHY_INIT    BIT_ULL(37)
+ #define XHCI_DISABLE_SPARSE   BIT_ULL(38)
++#define XHCI_AVOID_DQ_ON_LINK BIT_ULL(39)
+       unsigned int            num_active_eps;
+       unsigned int            limit_active_eps;
diff --git a/target/linux/bcm27xx/patches-5.4/950-0994-dts-Add-CM4-to-arm64-dt-files.patch b/target/linux/bcm27xx/patches-5.4/950-0994-dts-Add-CM4-to-arm64-dt-files.patch
new file mode 100644 (file)
index 0000000..03d0fe8
--- /dev/null
@@ -0,0 +1,28 @@
+From 0ea39ee546de55f9dcf5729f1e39ebc2747477ba Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Fri, 23 Oct 2020 15:45:11 +0100
+Subject: [PATCH] dts: Add CM4 to arm64 dt files
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ arch/arm64/boot/dts/broadcom/Makefile            | 3 ++-
+ arch/arm64/boot/dts/broadcom/bcm2711-rpi-cm4.dts | 1 +
+ 2 files changed, 3 insertions(+), 1 deletion(-)
+ create mode 100644 arch/arm64/boot/dts/broadcom/bcm2711-rpi-cm4.dts
+
+--- a/arch/arm64/boot/dts/broadcom/Makefile
++++ b/arch/arm64/boot/dts/broadcom/Makefile
+@@ -7,7 +7,8 @@ dtb-$(CONFIG_ARCH_BCM2709) += bcm2710-rp
+ dtb-$(CONFIG_ARCH_BCM2709) += bcm2710-rpi-3-b.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-2-b.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-3-b.dtb
+-dtb-$(CONFIG_ARCH_BCM2835) += bcm2711-rpi-4-b.dtb
++dtb-$(CONFIG_ARCH_BCM2835) += bcm2711-rpi-4-b.dtb \
++                            bcm2711-rpi-cm4.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-3-b-plus.dtb
+ dtb-$(CONFIG_ARCH_BCM2709) += bcm2710-rpi-cm3.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-cm3.dtb
+--- /dev/null
++++ b/arch/arm64/boot/dts/broadcom/bcm2711-rpi-cm4.dts
+@@ -0,0 +1 @@
++#include "../../../../arm/boot/dts/bcm2711-rpi-cm4.dts"
diff --git a/target/linux/bcm27xx/patches-5.4/950-0995-dts-Tidy-the-Raspberry-Pi-Makefile-entries.patch b/target/linux/bcm27xx/patches-5.4/950-0995-dts-Tidy-the-Raspberry-Pi-Makefile-entries.patch
new file mode 100644 (file)
index 0000000..af427f4
--- /dev/null
@@ -0,0 +1,43 @@
+From fd3620d39c813e9846ace3511bc96a868b5be61a Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 26 Oct 2020 15:01:21 +0000
+Subject: [PATCH] dts: Tidy the Raspberry Pi Makefile entries
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/Makefile            | 2 +-
+ arch/arm64/boot/dts/broadcom/Makefile | 7 ++-----
+ 2 files changed, 3 insertions(+), 6 deletions(-)
+
+--- a/arch/arm/boot/dts/Makefile
++++ b/arch/arm/boot/dts/Makefile
+@@ -10,8 +10,8 @@ dtb-$(CONFIG_ARCH_BCM2835) += \
+       bcm2709-rpi-2-b.dtb \
+       bcm2710-rpi-2-b.dtb \
+       bcm2710-rpi-3-b.dtb \
+-      bcm2711-rpi-4-b.dtb \
+       bcm2710-rpi-3-b-plus.dtb \
++      bcm2711-rpi-4-b.dtb \
+       bcm2710-rpi-cm3.dtb \
+       bcm2711-rpi-cm4.dtb
+--- a/arch/arm64/boot/dts/broadcom/Makefile
++++ b/arch/arm64/boot/dts/broadcom/Makefile
+@@ -3,15 +3,12 @@ dtb-$(CONFIG_ARCH_BCM2835) += bcm2837-rp
+                             bcm2837-rpi-3-b.dtb \
+                             bcm2837-rpi-3-b-plus.dtb \
+                             bcm2837-rpi-cm3-io3.dtb
+-dtb-$(CONFIG_ARCH_BCM2709) += bcm2710-rpi-2-b.dtb
+-dtb-$(CONFIG_ARCH_BCM2709) += bcm2710-rpi-3-b.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-2-b.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-3-b.dtb
+-dtb-$(CONFIG_ARCH_BCM2835) += bcm2711-rpi-4-b.dtb \
+-                            bcm2711-rpi-cm4.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-3-b-plus.dtb
+-dtb-$(CONFIG_ARCH_BCM2709) += bcm2710-rpi-cm3.dtb
++dtb-$(CONFIG_ARCH_BCM2835) += bcm2711-rpi-4-b.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-cm3.dtb
++dtb-$(CONFIG_ARCH_BCM2835) += bcm2711-rpi-cm4.dtb
+ subdir-y      += northstar2
+ subdir-y      += stingray
diff --git a/target/linux/bcm27xx/patches-5.4/950-0996-staging-bcm2835-audio-Add-disable-headphones-flag.patch b/target/linux/bcm27xx/patches-5.4/950-0996-staging-bcm2835-audio-Add-disable-headphones-flag.patch
new file mode 100644 (file)
index 0000000..3c30745
--- /dev/null
@@ -0,0 +1,34 @@
+From af217539bab20a3bba6e1bbd4e4c8f68e7644e50 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 26 Oct 2020 10:23:22 +0000
+Subject: [PATCH] staging: bcm2835-audio: Add disable-headphones flag
+
+Add a property to allow the headphone output to be disabled. Use an
+integer property rather than a boolean so that an overlay can clear it.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/staging/vc04_services/bcm2835-audio/bcm2835.c | 9 +++++++--
+ 1 file changed, 7 insertions(+), 2 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
++++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835.c
+@@ -381,11 +381,16 @@ static int snd_bcm2835_alsa_probe(struct
+       }
+       if (!enable_compat_alsa) {
++              // In this mode, enable analog output by default
++              u32 disable_headphones = 0;
++
+               if (!of_property_read_bool(dev->of_node, "brcm,disable-hdmi"))
+                       set_hdmi_enables(dev);
+-              // In this mode, always enable analog output
+-              enable_headphones = true;
++              of_property_read_u32(dev->of_node,
++                                   "brcm,disable-headphones",
++                                   &disable_headphones);
++              enable_headphones = !disable_headphones;
+       } else {
+               enable_hdmi0 = enable_hdmi;
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-0997-ARM-dts-Disable-headphone-audio-on-Zeroes-CM4.patch b/target/linux/bcm27xx/patches-5.4/950-0997-ARM-dts-Disable-headphone-audio-on-Zeroes-CM4.patch
new file mode 100644 (file)
index 0000000..475d0a8
--- /dev/null
@@ -0,0 +1,42 @@
+From 9fc10178e1130b43ccc8b855a73fb22643963da0 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 26 Oct 2020 10:18:50 +0000
+Subject: [PATCH] ARM: dts: Disable headphone audio on Zeroes, CM4
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2708-rpi-zero-w.dts | 1 +
+ arch/arm/boot/dts/bcm2708-rpi-zero.dts   | 1 +
+ arch/arm/boot/dts/bcm2711-rpi-cm4.dts    | 1 +
+ 3 files changed, 3 insertions(+)
+
+--- a/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
+@@ -152,6 +152,7 @@
+ &audio {
+       pinctrl-names = "default";
+       pinctrl-0 = <&audio_pins>;
++      brcm,disable-headphones = <1>;
+ };
+ / {
+--- a/arch/arm/boot/dts/bcm2708-rpi-zero.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-zero.dts
+@@ -106,6 +106,7 @@
+ &audio {
+       pinctrl-names = "default";
+       pinctrl-0 = <&audio_pins>;
++      brcm,disable-headphones = <1>;
+ };
+ / {
+--- a/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
+@@ -460,6 +460,7 @@
+ &audio {
+       pinctrl-names = "default";
+       pinctrl-0 = <&audio_pins>;
++      brcm,disable-headphones = <1>;
+ };
+ &vc4 {
diff --git a/target/linux/bcm27xx/patches-5.4/950-0998-overlays-Enable-headphone-audio-in-audremap.patch b/target/linux/bcm27xx/patches-5.4/950-0998-overlays-Enable-headphone-audio-in-audremap.patch
new file mode 100644 (file)
index 0000000..e206ca4
--- /dev/null
@@ -0,0 +1,26 @@
+From 4a69ef912ed791855fcc058230eed14342c6cd02 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 26 Oct 2020 10:21:23 +0000
+Subject: [PATCH] overlays: Enable headphone audio in audremap
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/audremap-overlay.dts | 7 +++++++
+ 1 file changed, 7 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/audremap-overlay.dts
++++ b/arch/arm/boot/dts/overlays/audremap-overlay.dts
+@@ -26,6 +26,13 @@
+               };
+       };
++      fragment@3 {
++              target = <&audio>;
++              __overlay__  {
++                      brcm,disable-headphones = <0>;
++              };
++      };
++
+       __overrides__ {
+               swap_lr = <&frag0>, "swap_lr?";
+               enable_jack = <&frag0>, "enable_jack?";
diff --git a/target/linux/bcm27xx/patches-5.4/950-0999-rpisense-fb-Set-pseudo_pallete-to-prevent-crash-on-f.patch b/target/linux/bcm27xx/patches-5.4/950-0999-rpisense-fb-Set-pseudo_pallete-to-prevent-crash-on-f.patch
new file mode 100644 (file)
index 0000000..66d9c69
--- /dev/null
@@ -0,0 +1,30 @@
+From 24a2cc7c39f7232b5256dc8adb2f2e87ee1062ec Mon Sep 17 00:00:00 2001
+From: Serge Schneider <serge@raspberrypi.com>
+Date: Mon, 26 Oct 2020 16:38:21 +0000
+Subject: [PATCH] rpisense-fb: Set pseudo_pallete to prevent crash on
+ fbcon takeover
+
+Signed-off-by: Serge Schneider <serge@raspberrypi.com>
+---
+ drivers/video/fbdev/rpisense-fb.c | 3 +++
+ 1 file changed, 3 insertions(+)
+
+--- a/drivers/video/fbdev/rpisense-fb.c
++++ b/drivers/video/fbdev/rpisense-fb.c
+@@ -52,6 +52,8 @@ static u8 gamma_low[32] = {0x00, 0x01, 0
+ static u8 gamma_user[32];
++static u32 pseudo_palette[16];
++
+ static struct rpisense_fb_param rpisense_fb_param = {
+       .vmem = NULL,
+       .vmemsize = 128,
+@@ -225,6 +227,7 @@ static int rpisense_fb_probe(struct plat
+       info->flags = FBINFO_FLAG_DEFAULT | FBINFO_VIRTFB;
+       info->screen_base = rpisense_fb_param.vmem;
+       info->screen_size = rpisense_fb_param.vmemsize;
++      info->pseudo_palette = pseudo_palette;
+       if (lowlight)
+               rpisense_fb_param.gamma = gamma_low;
diff --git a/target/linux/bcm27xx/patches-5.4/950-1000-PiFi-40-Devicetree-files.patch b/target/linux/bcm27xx/patches-5.4/950-1000-PiFi-40-Devicetree-files.patch
new file mode 100644 (file)
index 0000000..06f5da6
--- /dev/null
@@ -0,0 +1,91 @@
+From a822d6667a687451cdf65aef66ecc7fa15891205 Mon Sep 17 00:00:00 2001
+From: David Knell <david.knell@gmail.com>
+Date: Wed, 28 Oct 2020 14:20:56 +0000
+Subject: [PATCH] PiFi-40 Devicetree files
+
+Signed-off-by: David Knell <david.knell@gmail.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  1 +
+ arch/arm/boot/dts/overlays/README             |  6 +++
+ .../arm/boot/dts/overlays/pifi-40-overlay.dts | 50 +++++++++++++++++++
+ 3 files changed, 57 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/pifi-40-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -125,6 +125,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       pca953x.dtbo \
+       pibell.dtbo \
+       pifacedigital.dtbo \
++      pifi-40.dtbo \
+       piglow.dtbo \
+       piscreen.dtbo \
+       piscreen2r.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2000,6 +2000,12 @@ Params: spi-present-mask        8-bit in
+                                 0-3, which can be configured with JP1 and JP2.
++Name:   pifi-40
++Info:   Configures the PiFi 40W stereo amplifier
++Load:   dtoverlay=pifi-40
++Params: <None>
++
++
+ Name:   piglow
+ Info:   Configures the PiGlow by pimoroni.com
+ Load:   dtoverlay=piglow
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/pifi-40-overlay.dts
+@@ -0,0 +1,50 @@
++// Definitions for PiFi-40 Amp
++/dts-v1/;
++/plugin/;
++#include <dt-bindings/gpio/gpio.h>
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&i2s>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@1 {
++              target = <&i2c1>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      tas5711l: audio-codec@1a {
++                              compatible = "ti,tas5711";
++                              reg = <0x1a>;
++                              #sound-dai-cells = <0>;
++                              sound-name-prefix = "Left";
++                              status = "okay";
++                      };
++
++                      tas5711r: audio-codec@1b {
++                              compatible = "ti,tas5711";
++                              reg = <0x1b>;
++                              #sound-dai-cells = <0>;
++                              sound-name-prefix = "Right";
++                              status = "okay";
++                      };
++              };
++      };
++
++      fragment@2 {
++              target = <&sound>;
++              pifi_40: __overlay__ {
++                      compatible = "pifi,pifi-40";
++                      audio-codec = <&tas5711l &tas5711r>;
++                      i2s-controller = <&i2s>;
++                      pdn-gpios = <&gpio 23 1>;
++                      status = "okay";
++              };
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-1001-PiFi-40-driver-Makefile-and-Kconfig.patch b/target/linux/bcm27xx/patches-5.4/950-1001-PiFi-40-driver-Makefile-and-Kconfig.patch
new file mode 100644 (file)
index 0000000..5c584f4
--- /dev/null
@@ -0,0 +1,331 @@
+From b7bbdd72e5c9e1b7538b74deb584a7ab0f85847d Mon Sep 17 00:00:00 2001
+From: David Knell <david.knell@gmail.com>
+Date: Wed, 28 Oct 2020 14:21:37 +0000
+Subject: [PATCH] PiFi-40 driver, Makefile and Kconfig
+
+Signed-off-by: David Knell <david.knell@gmail.com>
+---
+ sound/soc/bcm/Kconfig   |   8 ++
+ sound/soc/bcm/Makefile  |   3 +
+ sound/soc/bcm/pifi-40.c | 282 ++++++++++++++++++++++++++++++++++++++++
+ 3 files changed, 293 insertions(+)
+ create mode 100644 sound/soc/bcm/pifi-40.c
+
+--- a/sound/soc/bcm/Kconfig
++++ b/sound/soc/bcm/Kconfig
+@@ -91,6 +91,14 @@ config SND_BCM2708_SOC_HIFIBERRY_AMP
+         help
+          Say Y or M if you want to add support for the HifiBerry Amp amplifier board.
++ config SND_BCM2708_SOC_PIFI_40
++         tristate "Support for the PiFi-40 amp"
++         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
++         select SND_SOC_TAS571X
++         select SND_PIFI_40
++         help
++          Say Y or M if you want to add support for the PiFi40 amp board
++
+ config SND_BCM2708_SOC_RPI_CIRRUS
+         tristate "Support for Cirrus Logic Audio Card"
+         depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
+--- a/sound/soc/bcm/Makefile
++++ b/sound/soc/bcm/Makefile
+@@ -40,6 +40,7 @@ snd-soc-pisound-objs := pisound.o
+ snd-soc-fe-pi-audio-objs := fe-pi-audio.o
+ snd-soc-rpi-simple-soundcard-objs := rpi-simple-soundcard.o
+ snd-soc-rpi-wm8804-soundcard-objs := rpi-wm8804-soundcard.o
++snd-soc-pifi-40-objs := pifi-40.o
+ obj-$(CONFIG_SND_BCM2708_SOC_GOOGLEVOICEHAT_SOUNDCARD)  += snd-soc-googlevoicehat-codec.o
+ obj-$(CONFIG_SND_BCM2708_SOC_HIFIBERRY_DACPLUS) += snd-soc-hifiberry-dacplus.o
+@@ -69,3 +70,5 @@ obj-$(CONFIG_SND_PISOUND) += snd-soc-pis
+ obj-$(CONFIG_SND_BCM2708_SOC_FE_PI_AUDIO) += snd-soc-fe-pi-audio.o
+ obj-$(CONFIG_SND_RPI_SIMPLE_SOUNDCARD) += snd-soc-rpi-simple-soundcard.o
+ obj-$(CONFIG_SND_RPI_WM8804_SOUNDCARD) += snd-soc-rpi-wm8804-soundcard.o
++obj-$(CONFIG_SND_BCM2708_SOC_PIFI_40) += snd-soc-pifi-40.o
++
+--- /dev/null
++++ b/sound/soc/bcm/pifi-40.c
+@@ -0,0 +1,282 @@
++// SPDX-License-Identifier: GPL-2.0-only
++/*
++ * ALSA ASoC Machine Driver for PiFi-40
++ *
++ * Author:    David Knell <david.knell@gmail.com)
++ *            based on code by Daniel Matuschek <info@crazy-audio.com>
++ *            based on code by Florian Meier <florian.meier@koalo.de>
++ * Copyright (C) 2020
++ *
++ * This program is free software; you can redistribute it and/or
++ * modify it under the terms of the GNU General Public License
++ * version 2 as published by the Free Software Foundation.
++ *
++ * This program is distributed in the hope that it will be useful, but
++ * WITHOUT ANY WARRANTY; without even the implied warranty of
++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
++ * General Public License for more details.
++ */
++
++#include <linux/module.h>
++#include <linux/platform_device.h>
++#include <linux/gpio/consumer.h>
++#include <sound/core.h>
++#include <sound/pcm.h>
++#include <sound/pcm_params.h>
++#include <sound/soc.h>
++#include <linux/firmware.h>
++#include <linux/delay.h>
++#include <sound/tlv.h>
++
++static struct gpio_desc *pdn_gpio;
++static int vol = 0x30;
++
++// Volume control
++static int pifi_40_vol_get(struct snd_kcontrol *kcontrol,
++                         struct snd_ctl_elem_value *ucontrol)
++{
++      ucontrol->value.integer.value[0] = vol;
++      ucontrol->value.integer.value[1] = vol;
++      return 0;
++}
++
++static int pifi_40_vol_set(struct snd_kcontrol *kcontrol,
++                         struct snd_ctl_elem_value *ucontrol)
++{
++      struct snd_soc_card *card = snd_kcontrol_chip(kcontrol);
++      struct snd_soc_pcm_runtime *rtd;
++      unsigned int v = ucontrol->value.integer.value[0];
++      struct snd_soc_component *dac[2];
++
++      rtd = snd_soc_get_pcm_runtime(card, card->dai_link[0].name);
++      dac[0] = rtd->codec_dais[0]->component;
++      dac[1] = rtd->codec_dais[1]->component;
++
++      snd_soc_component_write(dac[0], 0x07, 255 - v);
++      snd_soc_component_write(dac[1], 0x07, 255 - v);
++
++      vol = v;
++      return 1;
++}
++
++static const DECLARE_TLV_DB_SCALE(digital_tlv_master, -10350, 50, 1);
++static const struct snd_kcontrol_new pifi_40_controls[] = {
++      SOC_DOUBLE_R_EXT_TLV("Master Volume", 0x00, 0x01,
++                           0x00, // Min
++                           0xff, // Max
++                           0x01, // Invert
++                           pifi_40_vol_get, pifi_40_vol_set,
++                           digital_tlv_master)
++};
++
++static const char * const codec_ctl_pfx[] = { "Left", "Right" };
++
++static const char * const codec_ctl_name[] = { "Master Volume",
++                                      "Speaker Volume",
++                                      "Speaker Switch" };
++
++static int snd_pifi_40_init(struct snd_soc_pcm_runtime *rtd)
++{
++      struct snd_soc_card *card = rtd->card;
++      struct snd_soc_component *dac[2];
++      struct snd_kcontrol *kctl;
++      int i, j;
++
++      dac[0] = rtd->codec_dais[0]->component;
++      dac[1] = rtd->codec_dais[1]->component;
++
++      // Set up cards - pulse power down first
++      gpiod_set_value_cansleep(pdn_gpio, 1);
++      usleep_range(1000, 10000);
++      gpiod_set_value_cansleep(pdn_gpio, 0);
++      usleep_range(20000, 30000);
++
++      // Oscillator trim
++      snd_soc_component_write(dac[0], 0x1b, 0);
++      snd_soc_component_write(dac[1], 0x1b, 0);
++      usleep_range(60000, 80000);
++
++      // Common setup
++      for (i = 0; i < 2; i++) {
++              // MCLK at 64fs, sample rate 44.1 or 48kHz
++              snd_soc_component_write(dac[i], 0x00, 0x60);
++
++              // Set up for PBTL
++              snd_soc_component_write(dac[i], 0x19, 0x3A);
++              snd_soc_component_write(dac[i], 0x25, 0x01103245);
++
++              // Master vol to -10db
++              snd_soc_component_write(dac[i], 0x07, 0x44);
++      }
++      // Inputs set to L and R respectively
++      snd_soc_component_write(dac[0], 0x20, 0x00017772);
++      snd_soc_component_write(dac[1], 0x20, 0x00107772);
++
++      // Remove codec controls
++      for (i = 0; i < 2; i++) {
++              for (j = 0; j < 3; j++) {
++                      char cname[256];
++
++                      sprintf(cname, "%s %s", codec_ctl_pfx[i],
++                              codec_ctl_name[j]);
++                      kctl = snd_soc_card_get_kcontrol(card, cname);
++                      if (!kctl) {
++                              pr_info("Control %s not found\n",
++                                     cname);
++                      } else {
++                              kctl->vd[0].access =
++                                      SNDRV_CTL_ELEM_ACCESS_READWRITE;
++                              snd_ctl_remove(card->snd_card, kctl);
++                      }
++              }
++      }
++
++      return 0;
++}
++
++static int snd_pifi_40_hw_params(struct snd_pcm_substream *substream,
++                               struct snd_pcm_hw_params *params)
++{
++      struct snd_soc_pcm_runtime *rtd = substream->private_data;
++      struct snd_soc_dai *cpu_dai = rtd->cpu_dai;
++      unsigned int sample_bits;
++
++      sample_bits = snd_pcm_format_physical_width(params_format(params));
++      return snd_soc_dai_set_bclk_ratio(cpu_dai, 64);
++}
++
++static struct snd_soc_ops snd_pifi_40_ops = { .hw_params =
++                                                    snd_pifi_40_hw_params };
++
++static struct snd_soc_dai_link_component pifi_40_codecs[] = {
++      {
++              .dai_name = "tas571x-hifi",
++      },
++      {
++              .dai_name = "tas571x-hifi",
++      },
++};
++
++SND_SOC_DAILINK_DEFS(
++      pifi_40_dai, DAILINK_COMP_ARRAY(COMP_EMPTY()),
++      DAILINK_COMP_ARRAY(COMP_CODEC("tas571x.1-001a", "tas571x-hifi"),
++                         COMP_CODEC("tas571x.1-001b", "tas571x-hifi")),
++      DAILINK_COMP_ARRAY(COMP_EMPTY()));
++
++static struct snd_soc_dai_link snd_pifi_40_dai[] = {
++      {
++              .name = "PiFi40",
++              .stream_name = "PiFi40",
++              .dai_fmt = SND_SOC_DAIFMT_I2S | SND_SOC_DAIFMT_NB_NF |
++                         SND_SOC_DAIFMT_CBS_CFS,
++              .ops = &snd_pifi_40_ops,
++              .init = snd_pifi_40_init,
++              SND_SOC_DAILINK_REG(pifi_40_dai),
++      },
++};
++
++// Machine driver
++static struct snd_soc_card snd_pifi_40 = {
++      .name = "PiFi40",
++      .owner = THIS_MODULE,
++      .dai_link = snd_pifi_40_dai,
++      .num_links = ARRAY_SIZE(snd_pifi_40_dai),
++      .controls = pifi_40_controls,
++      .num_controls = ARRAY_SIZE(pifi_40_controls)
++};
++
++static void snd_pifi_40_pdn(struct snd_soc_card *card, int on)
++{
++      if (pdn_gpio)
++              gpiod_set_value_cansleep(pdn_gpio, on ? 0 : 1);
++}
++
++static int snd_pifi_40_probe(struct platform_device *pdev)
++{
++      struct snd_soc_card *card = &snd_pifi_40;
++      int ret = 0, i = 0;
++
++      card->dev = &pdev->dev;
++      platform_set_drvdata(pdev, &snd_pifi_40);
++
++      if (pdev->dev.of_node) {
++              struct device_node *i2s_node;
++              struct snd_soc_dai_link *dai;
++
++              dai = &snd_pifi_40_dai[0];
++              i2s_node = of_parse_phandle(pdev->dev.of_node, "i2s-controller",
++                                          0);
++              if (i2s_node) {
++                      for (i = 0; i < card->num_links; i++) {
++                              dai->cpus->dai_name = NULL;
++                              dai->cpus->of_node = i2s_node;
++                              dai->platforms->name = NULL;
++                              dai->platforms->of_node = i2s_node;
++                      }
++              }
++
++              pifi_40_codecs[0].of_node =
++                      of_parse_phandle(pdev->dev.of_node, "audio-codec", 0);
++              pifi_40_codecs[1].of_node =
++                      of_parse_phandle(pdev->dev.of_node, "audio-codec", 1);
++              if (!pifi_40_codecs[0].of_node || !pifi_40_codecs[1].of_node) {
++                      dev_err(&pdev->dev,
++                              "Property 'audio-codec' missing or invalid\n");
++                      return -EINVAL;
++              }
++
++              pdn_gpio = devm_gpiod_get_optional(&pdev->dev, "pdn",
++                                                 GPIOD_OUT_LOW);
++              if (IS_ERR(pdn_gpio)) {
++                      ret = PTR_ERR(pdn_gpio);
++                      dev_err(&pdev->dev, "failed to get pdn gpio: %d\n",
++                              ret);
++                      return ret;
++              }
++
++              ret = snd_soc_register_card(&snd_pifi_40);
++              if (ret < 0) {
++                      dev_err(&pdev->dev,
++                              "snd_soc_register_card() failed: %d\n", ret);
++                      return ret;
++              }
++
++              return 0;
++      }
++
++      return -EINVAL;
++}
++
++static int snd_pifi_40_remove(struct platform_device *pdev)
++{
++      struct snd_soc_card *card = platform_get_drvdata(pdev);
++
++      kfree(&card->drvdata);
++      snd_pifi_40_pdn(&snd_pifi_40, 0);
++      return snd_soc_unregister_card(&snd_pifi_40);
++}
++
++static const struct of_device_id snd_pifi_40_of_match[] = {
++      {
++              .compatible = "pifi,pifi-40",
++      },
++      { /* sentinel */ },
++};
++
++MODULE_DEVICE_TABLE(of, snd_pifi_40_of_match);
++
++static struct platform_driver snd_pifi_40_driver = {
++      .driver = {
++              .name = "snd-pifi-40",
++              .owner = THIS_MODULE,
++              .of_match_table = snd_pifi_40_of_match,
++      },
++      .probe = snd_pifi_40_probe,
++      .remove = snd_pifi_40_remove,
++};
++
++module_platform_driver(snd_pifi_40_driver);
++
++MODULE_AUTHOR("David Knell <david.knell@gmail.com>");
++MODULE_DESCRIPTION("ALSA ASoC Machine Driver for PiFi-40");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-1002-dwc_otg-Minimise-header-and-fix-build-warnings.patch b/target/linux/bcm27xx/patches-5.4/950-1002-dwc_otg-Minimise-header-and-fix-build-warnings.patch
new file mode 100644 (file)
index 0000000..233b9f3
--- /dev/null
@@ -0,0 +1,747 @@
+From ed478a5013976948e94834244d8e5c0abcaab5c3 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 27 Oct 2020 09:59:49 +0000
+Subject: [PATCH] dwc_otg: Minimise header and fix build warnings
+
+Delete a large amount of unused declaration from "usb.h", some of which
+were causing build warnings, and get the module building cleanly.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/usb/host/dwc_common_port/usb.h       | 664 -------------------
+ drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c |   4 +-
+ drivers/usb/host/dwc_otg/dwc_otg_pcd_intr.c  |   2 +-
+ 3 files changed, 3 insertions(+), 667 deletions(-)
+
+--- a/drivers/usb/host/dwc_common_port/usb.h
++++ b/drivers/usb/host/dwc_common_port/usb.h
+@@ -55,12 +55,6 @@ typedef u_int8_t uByte;
+ typedef u_int8_t uWord[2];
+ typedef u_int8_t uDWord[4];
+-#define USETW2(w,h,l) ((w)[0] = (u_int8_t)(l), (w)[1] = (u_int8_t)(h))
+-#define UCONSTW(x)    { (x) & 0xff, ((x) >> 8) & 0xff }
+-#define UCONSTDW(x)   { (x) & 0xff, ((x) >> 8) & 0xff, \
+-                        ((x) >> 16) & 0xff, ((x) >> 24) & 0xff }
+-
+-#if 1
+ #define UGETW(w) ((w)[0] | ((w)[1] << 8))
+ #define USETW(w,v) ((w)[0] = (u_int8_t)(v), (w)[1] = (u_int8_t)((v) >> 8))
+ #define UGETDW(w) ((w)[0] | ((w)[1] << 8) | ((w)[2] << 16) | ((w)[3] << 24))
+@@ -68,31 +62,6 @@ typedef u_int8_t uDWord[4];
+                    (w)[1] = (u_int8_t)((v) >> 8), \
+                    (w)[2] = (u_int8_t)((v) >> 16), \
+                    (w)[3] = (u_int8_t)((v) >> 24))
+-#else
+-/*
+- * On little-endian machines that can handle unanliged accesses
+- * (e.g. i386) these macros can be replaced by the following.
+- */
+-#define UGETW(w) (*(u_int16_t *)(w))
+-#define USETW(w,v) (*(u_int16_t *)(w) = (v))
+-#define UGETDW(w) (*(u_int32_t *)(w))
+-#define USETDW(w,v) (*(u_int32_t *)(w) = (v))
+-#endif
+-
+-/*
+- * Macros for accessing UAS IU fields, which are big-endian
+- */
+-#define IUSETW2(w,h,l) ((w)[0] = (u_int8_t)(h), (w)[1] = (u_int8_t)(l))
+-#define IUCONSTW(x)   { ((x) >> 8) & 0xff, (x) & 0xff }
+-#define IUCONSTDW(x)  { ((x) >> 24) & 0xff, ((x) >> 16) & 0xff, \
+-                      ((x) >> 8) & 0xff, (x) & 0xff }
+-#define IUGETW(w) (((w)[0] << 8) | (w)[1])
+-#define IUSETW(w,v) ((w)[0] = (u_int8_t)((v) >> 8), (w)[1] = (u_int8_t)(v))
+-#define IUGETDW(w) (((w)[0] << 24) | ((w)[1] << 16) | ((w)[2] << 8) | (w)[3])
+-#define IUSETDW(w,v) ((w)[0] = (u_int8_t)((v) >> 24), \
+-                    (w)[1] = (u_int8_t)((v) >> 16), \
+-                    (w)[2] = (u_int8_t)((v) >> 8), \
+-                    (w)[3] = (u_int8_t)(v))
+ #define UPACKED __attribute__((__packed__))
+@@ -119,29 +88,6 @@ typedef struct {
+ #define UT_ENDPOINT           0x02
+ #define UT_OTHER              0x03
+-#define UT_READ_DEVICE                (UT_READ  | UT_STANDARD | UT_DEVICE)
+-#define UT_READ_INTERFACE     (UT_READ  | UT_STANDARD | UT_INTERFACE)
+-#define UT_READ_ENDPOINT      (UT_READ  | UT_STANDARD | UT_ENDPOINT)
+-#define UT_WRITE_DEVICE               (UT_WRITE | UT_STANDARD | UT_DEVICE)
+-#define UT_WRITE_INTERFACE    (UT_WRITE | UT_STANDARD | UT_INTERFACE)
+-#define UT_WRITE_ENDPOINT     (UT_WRITE | UT_STANDARD | UT_ENDPOINT)
+-#define UT_READ_CLASS_DEVICE  (UT_READ  | UT_CLASS | UT_DEVICE)
+-#define UT_READ_CLASS_INTERFACE       (UT_READ  | UT_CLASS | UT_INTERFACE)
+-#define UT_READ_CLASS_OTHER   (UT_READ  | UT_CLASS | UT_OTHER)
+-#define UT_READ_CLASS_ENDPOINT        (UT_READ  | UT_CLASS | UT_ENDPOINT)
+-#define UT_WRITE_CLASS_DEVICE (UT_WRITE | UT_CLASS | UT_DEVICE)
+-#define UT_WRITE_CLASS_INTERFACE (UT_WRITE | UT_CLASS | UT_INTERFACE)
+-#define UT_WRITE_CLASS_OTHER  (UT_WRITE | UT_CLASS | UT_OTHER)
+-#define UT_WRITE_CLASS_ENDPOINT       (UT_WRITE | UT_CLASS | UT_ENDPOINT)
+-#define UT_READ_VENDOR_DEVICE (UT_READ  | UT_VENDOR | UT_DEVICE)
+-#define UT_READ_VENDOR_INTERFACE (UT_READ  | UT_VENDOR | UT_INTERFACE)
+-#define UT_READ_VENDOR_OTHER  (UT_READ  | UT_VENDOR | UT_OTHER)
+-#define UT_READ_VENDOR_ENDPOINT       (UT_READ  | UT_VENDOR | UT_ENDPOINT)
+-#define UT_WRITE_VENDOR_DEVICE        (UT_WRITE | UT_VENDOR | UT_DEVICE)
+-#define UT_WRITE_VENDOR_INTERFACE (UT_WRITE | UT_VENDOR | UT_INTERFACE)
+-#define UT_WRITE_VENDOR_OTHER (UT_WRITE | UT_VENDOR | UT_OTHER)
+-#define UT_WRITE_VENDOR_ENDPOINT (UT_WRITE | UT_VENDOR | UT_ENDPOINT)
+-
+ /* Requests */
+ #define UR_GET_STATUS         0x00
+ #define  USTAT_STANDARD_STATUS  0x00
+@@ -243,71 +189,6 @@ typedef struct {
+ typedef struct {
+       uByte           bLength;
+       uByte           bDescriptorType;
+-      uByte           bDescriptorSubtype;
+-} UPACKED usb_descriptor_t;
+-
+-typedef struct {
+-      uByte           bLength;
+-      uByte           bDescriptorType;
+-} UPACKED usb_descriptor_header_t;
+-
+-typedef struct {
+-      uByte           bLength;
+-      uByte           bDescriptorType;
+-      uWord           bcdUSB;
+-#define UD_USB_2_0            0x0200
+-#define UD_IS_USB2(d) (UGETW((d)->bcdUSB) >= UD_USB_2_0)
+-      uByte           bDeviceClass;
+-      uByte           bDeviceSubClass;
+-      uByte           bDeviceProtocol;
+-      uByte           bMaxPacketSize;
+-      /* The fields below are not part of the initial descriptor. */
+-      uWord           idVendor;
+-      uWord           idProduct;
+-      uWord           bcdDevice;
+-      uByte           iManufacturer;
+-      uByte           iProduct;
+-      uByte           iSerialNumber;
+-      uByte           bNumConfigurations;
+-} UPACKED usb_device_descriptor_t;
+-#define USB_DEVICE_DESCRIPTOR_SIZE 18
+-
+-typedef struct {
+-      uByte           bLength;
+-      uByte           bDescriptorType;
+-      uWord           wTotalLength;
+-      uByte           bNumInterface;
+-      uByte           bConfigurationValue;
+-      uByte           iConfiguration;
+-#define UC_ATT_ONE            (1 << 7)        /* must be set */
+-#define UC_ATT_SELFPOWER      (1 << 6)        /* self powered */
+-#define UC_ATT_WAKEUP         (1 << 5)        /* can wakeup */
+-#define UC_ATT_BATTERY                (1 << 4)        /* battery powered */
+-      uByte           bmAttributes;
+-#define UC_BUS_POWERED                0x80
+-#define UC_SELF_POWERED               0x40
+-#define UC_REMOTE_WAKEUP      0x20
+-      uByte           bMaxPower; /* max current in 2 mA units */
+-#define UC_POWER_FACTOR 2
+-} UPACKED usb_config_descriptor_t;
+-#define USB_CONFIG_DESCRIPTOR_SIZE 9
+-
+-typedef struct {
+-      uByte           bLength;
+-      uByte           bDescriptorType;
+-      uByte           bInterfaceNumber;
+-      uByte           bAlternateSetting;
+-      uByte           bNumEndpoints;
+-      uByte           bInterfaceClass;
+-      uByte           bInterfaceSubClass;
+-      uByte           bInterfaceProtocol;
+-      uByte           iInterface;
+-} UPACKED usb_interface_descriptor_t;
+-#define USB_INTERFACE_DESCRIPTOR_SIZE 9
+-
+-typedef struct {
+-      uByte           bLength;
+-      uByte           bDescriptorType;
+       uByte           bEndpointAddress;
+ #define UE_GET_DIR(a) ((a) & 0x80)
+ #define UE_SET_DIR(a,d)       ((a) | (((d)&1) << 7))
+@@ -332,27 +213,6 @@ typedef struct {
+ } UPACKED usb_endpoint_descriptor_t;
+ #define USB_ENDPOINT_DESCRIPTOR_SIZE 7
+-typedef struct ss_endpoint_companion_descriptor {
+-      uByte bLength;
+-      uByte bDescriptorType;
+-      uByte bMaxBurst;
+-#define USSE_GET_MAX_STREAMS(a)               ((a) & 0x1f)
+-#define USSE_SET_MAX_STREAMS(a, b)    ((a) | ((b) & 0x1f))
+-#define USSE_GET_MAX_PACKET_NUM(a)    ((a) & 0x03)
+-#define USSE_SET_MAX_PACKET_NUM(a, b) ((a) | ((b) & 0x03))
+-      uByte bmAttributes;
+-      uWord wBytesPerInterval;
+-} UPACKED ss_endpoint_companion_descriptor_t;
+-#define USB_SS_ENDPOINT_COMPANION_DESCRIPTOR_SIZE 6
+-
+-typedef struct {
+-      uByte           bLength;
+-      uByte           bDescriptorType;
+-      uWord           bString[127];
+-} UPACKED usb_string_descriptor_t;
+-#define USB_MAX_STRING_LEN 128
+-#define USB_LANGUAGE_TABLE 0  /* # of the string language id table */
+-
+ /* Hub specific request */
+ #define UR_GET_BUS_STATE      0x02
+ #define UR_CLEAR_TT_BUFFER    0x08
+@@ -411,530 +271,6 @@ typedef struct {
+ } UPACKED usb_hub_descriptor_t;
+ #define USB_HUB_DESCRIPTOR_SIZE 9 /* includes deprecated PortPowerCtrlMask */
+-typedef struct {
+-      uByte           bLength;
+-      uByte           bDescriptorType;
+-      uWord           bcdUSB;
+-      uByte           bDeviceClass;
+-      uByte           bDeviceSubClass;
+-      uByte           bDeviceProtocol;
+-      uByte           bMaxPacketSize0;
+-      uByte           bNumConfigurations;
+-      uByte           bReserved;
+-} UPACKED usb_device_qualifier_t;
+-#define USB_DEVICE_QUALIFIER_SIZE 10
+-
+-typedef struct {
+-      uByte           bLength;
+-      uByte           bDescriptorType;
+-      uByte           bmAttributes;
+-#define UOTG_SRP      0x01
+-#define UOTG_HNP      0x02
+-} UPACKED usb_otg_descriptor_t;
+-
+-/* OTG feature selectors */
+-#define UOTG_B_HNP_ENABLE     3
+-#define UOTG_A_HNP_SUPPORT    4
+-#define UOTG_A_ALT_HNP_SUPPORT        5
+-
+-typedef struct {
+-      uWord           wStatus;
+-/* Device status flags */
+-#define UDS_SELF_POWERED              0x0001
+-#define UDS_REMOTE_WAKEUP             0x0002
+-/* Endpoint status flags */
+-#define UES_HALT                      0x0001
+-} UPACKED usb_status_t;
+-
+-typedef struct {
+-      uWord           wHubStatus;
+-#define UHS_LOCAL_POWER                       0x0001
+-#define UHS_OVER_CURRENT              0x0002
+-      uWord           wHubChange;
+-} UPACKED usb_hub_status_t;
+-
+-typedef struct {
+-      uWord           wPortStatus;
+-#define UPS_CURRENT_CONNECT_STATUS    0x0001
+-#define UPS_PORT_ENABLED              0x0002
+-#define UPS_SUSPEND                   0x0004
+-#define UPS_OVERCURRENT_INDICATOR     0x0008
+-#define UPS_RESET                     0x0010
+-#define UPS_PORT_POWER                        0x0100
+-#define UPS_LOW_SPEED                 0x0200
+-#define UPS_HIGH_SPEED                        0x0400
+-#define UPS_PORT_TEST                 0x0800
+-#define UPS_PORT_INDICATOR            0x1000
+-      uWord           wPortChange;
+-#define UPS_C_CONNECT_STATUS          0x0001
+-#define UPS_C_PORT_ENABLED            0x0002
+-#define UPS_C_SUSPEND                 0x0004
+-#define UPS_C_OVERCURRENT_INDICATOR   0x0008
+-#define UPS_C_PORT_RESET              0x0010
+-} UPACKED usb_port_status_t;
+-
+-#ifdef _MSC_VER
+-#include <poppack.h>
+-#endif
+-
+-/* Device class codes */
+-#define UDCLASS_IN_INTERFACE  0x00
+-#define UDCLASS_COMM          0x02
+-#define UDCLASS_HUB           0x09
+-#define  UDSUBCLASS_HUB               0x00
+-#define  UDPROTO_FSHUB                0x00
+-#define  UDPROTO_HSHUBSTT     0x01
+-#define  UDPROTO_HSHUBMTT     0x02
+-#define UDCLASS_DIAGNOSTIC    0xdc
+-#define UDCLASS_WIRELESS      0xe0
+-#define  UDSUBCLASS_RF                0x01
+-#define   UDPROTO_BLUETOOTH   0x01
+-#define UDCLASS_VENDOR                0xff
+-
+-/* Interface class codes */
+-#define UICLASS_UNSPEC                0x00
+-
+-#define UICLASS_AUDIO         0x01
+-#define  UISUBCLASS_AUDIOCONTROL      1
+-#define  UISUBCLASS_AUDIOSTREAM               2
+-#define  UISUBCLASS_MIDISTREAM                3
+-
+-#define UICLASS_CDC           0x02 /* communication */
+-#define  UISUBCLASS_DIRECT_LINE_CONTROL_MODEL 1
+-#define  UISUBCLASS_ABSTRACT_CONTROL_MODEL    2
+-#define  UISUBCLASS_TELEPHONE_CONTROL_MODEL   3
+-#define  UISUBCLASS_MULTICHANNEL_CONTROL_MODEL        4
+-#define  UISUBCLASS_CAPI_CONTROLMODEL         5
+-#define  UISUBCLASS_ETHERNET_NETWORKING_CONTROL_MODEL 6
+-#define  UISUBCLASS_ATM_NETWORKING_CONTROL_MODEL 7
+-#define   UIPROTO_CDC_AT                      1
+-
+-#define UICLASS_HID           0x03
+-#define  UISUBCLASS_BOOT      1
+-#define  UIPROTO_BOOT_KEYBOARD        1
+-
+-#define UICLASS_PHYSICAL      0x05
+-
+-#define UICLASS_IMAGE         0x06
+-
+-#define UICLASS_PRINTER               0x07
+-#define  UISUBCLASS_PRINTER   1
+-#define  UIPROTO_PRINTER_UNI  1
+-#define  UIPROTO_PRINTER_BI   2
+-#define  UIPROTO_PRINTER_1284 3
+-
+-#define UICLASS_MASS          0x08
+-#define  UISUBCLASS_RBC               1
+-#define  UISUBCLASS_SFF8020I  2
+-#define  UISUBCLASS_QIC157    3
+-#define  UISUBCLASS_UFI               4
+-#define  UISUBCLASS_SFF8070I  5
+-#define  UISUBCLASS_SCSI      6
+-#define  UIPROTO_MASS_CBI_I   0
+-#define  UIPROTO_MASS_CBI     1
+-#define  UIPROTO_MASS_BBB_OLD 2       /* Not in the spec anymore */
+-#define  UIPROTO_MASS_BBB     80      /* 'P' for the Iomega Zip drive */
+-
+-#define UICLASS_HUB           0x09
+-#define  UISUBCLASS_HUB               0
+-#define  UIPROTO_FSHUB                0
+-#define  UIPROTO_HSHUBSTT     0 /* Yes, same as previous */
+-#define  UIPROTO_HSHUBMTT     1
+-
+-#define UICLASS_CDC_DATA      0x0a
+-#define  UISUBCLASS_DATA              0
+-#define   UIPROTO_DATA_ISDNBRI                0x30    /* Physical iface */
+-#define   UIPROTO_DATA_HDLC           0x31    /* HDLC */
+-#define   UIPROTO_DATA_TRANSPARENT    0x32    /* Transparent */
+-#define   UIPROTO_DATA_Q921M          0x50    /* Management for Q921 */
+-#define   UIPROTO_DATA_Q921           0x51    /* Data for Q921 */
+-#define   UIPROTO_DATA_Q921TM         0x52    /* TEI multiplexer for Q921 */
+-#define   UIPROTO_DATA_V42BIS         0x90    /* Data compression */
+-#define   UIPROTO_DATA_Q931           0x91    /* Euro-ISDN */
+-#define   UIPROTO_DATA_V120           0x92    /* V.24 rate adaption */
+-#define   UIPROTO_DATA_CAPI           0x93    /* CAPI 2.0 commands */
+-#define   UIPROTO_DATA_HOST_BASED     0xfd    /* Host based driver */
+-#define   UIPROTO_DATA_PUF            0xfe    /* see Prot. Unit Func. Desc.*/
+-#define   UIPROTO_DATA_VENDOR         0xff    /* Vendor specific */
+-
+-#define UICLASS_SMARTCARD     0x0b
+-
+-/*#define UICLASS_FIRM_UPD    0x0c*/
+-
+-#define UICLASS_SECURITY      0x0d
+-
+-#define UICLASS_DIAGNOSTIC    0xdc
+-
+-#define UICLASS_WIRELESS      0xe0
+-#define  UISUBCLASS_RF                        0x01
+-#define   UIPROTO_BLUETOOTH           0x01
+-
+-#define UICLASS_APPL_SPEC     0xfe
+-#define  UISUBCLASS_FIRMWARE_DOWNLOAD 1
+-#define  UISUBCLASS_IRDA              2
+-#define  UIPROTO_IRDA                 0
+-
+-#define UICLASS_VENDOR                0xff
+-
+-#define USB_HUB_MAX_DEPTH 5
+-
+-/*
+- * Minimum time a device needs to be powered down to go through
+- * a power cycle.  XXX Are these time in the spec?
+- */
+-#define USB_POWER_DOWN_TIME   200 /* ms */
+-#define USB_PORT_POWER_DOWN_TIME      100 /* ms */
+-
+-#if 0
+-/* These are the values from the spec. */
+-#define USB_PORT_RESET_DELAY  10  /* ms */
+-#define USB_PORT_ROOT_RESET_DELAY 50  /* ms */
+-#define USB_PORT_RESET_RECOVERY       10  /* ms */
+-#define USB_PORT_POWERUP_DELAY        100 /* ms */
+-#define USB_SET_ADDRESS_SETTLE        2   /* ms */
+-#define USB_RESUME_DELAY      (20*5)  /* ms */
+-#define USB_RESUME_WAIT               10  /* ms */
+-#define USB_RESUME_RECOVERY   10  /* ms */
+-#define USB_EXTRA_POWER_UP_TIME       0   /* ms */
+-#else
+-/* Allow for marginal (i.e. non-conforming) devices. */
+-#define USB_PORT_RESET_DELAY  50  /* ms */
+-#define USB_PORT_ROOT_RESET_DELAY 250  /* ms */
+-#define USB_PORT_RESET_RECOVERY       250  /* ms */
+-#define USB_PORT_POWERUP_DELAY        300 /* ms */
+-#define USB_SET_ADDRESS_SETTLE        10  /* ms */
+-#define USB_RESUME_DELAY      (50*5)  /* ms */
+-#define USB_RESUME_WAIT               50  /* ms */
+-#define USB_RESUME_RECOVERY   50  /* ms */
+-#define USB_EXTRA_POWER_UP_TIME       20  /* ms */
+-#endif
+-
+-#define USB_MIN_POWER         100 /* mA */
+-#define USB_MAX_POWER         500 /* mA */
+-
+-#define USB_BUS_RESET_DELAY   100 /* ms XXX?*/
+-
+-#define USB_UNCONFIG_NO 0
+-#define USB_UNCONFIG_INDEX (-1)
+-
+-/*** ioctl() related stuff ***/
+-
+-struct usb_ctl_request {
+-      int     ucr_addr;
+-      usb_device_request_t ucr_request;
+-      void    *ucr_data;
+-      int     ucr_flags;
+-#define USBD_SHORT_XFER_OK    0x04    /* allow short reads */
+-      int     ucr_actlen;             /* actual length transferred */
+-};
+-
+-struct usb_alt_interface {
+-      int     uai_config_index;
+-      int     uai_interface_index;
+-      int     uai_alt_no;
+-};
+-
+-#define USB_CURRENT_CONFIG_INDEX (-1)
+-#define USB_CURRENT_ALT_INDEX (-1)
+-
+-struct usb_config_desc {
+-      int     ucd_config_index;
+-      usb_config_descriptor_t ucd_desc;
+-};
+-
+-struct usb_interface_desc {
+-      int     uid_config_index;
+-      int     uid_interface_index;
+-      int     uid_alt_index;
+-      usb_interface_descriptor_t uid_desc;
+-};
+-
+-struct usb_endpoint_desc {
+-      int     ued_config_index;
+-      int     ued_interface_index;
+-      int     ued_alt_index;
+-      int     ued_endpoint_index;
+-      usb_endpoint_descriptor_t ued_desc;
+-};
+-
+-struct usb_full_desc {
+-      int     ufd_config_index;
+-      u_int   ufd_size;
+-      u_char  *ufd_data;
+-};
+-
+-struct usb_string_desc {
+-      int     usd_string_index;
+-      int     usd_language_id;
+-      usb_string_descriptor_t usd_desc;
+-};
+-
+-struct usb_ctl_report_desc {
+-      int     ucrd_size;
+-      u_char  ucrd_data[1024];        /* filled data size will vary */
+-};
+-
+-typedef struct { u_int32_t cookie; } usb_event_cookie_t;
+-
+-#define USB_MAX_DEVNAMES 4
+-#define USB_MAX_DEVNAMELEN 16
+-struct usb_device_info {
+-      u_int8_t        udi_bus;
+-      u_int8_t        udi_addr;       /* device address */
+-      usb_event_cookie_t udi_cookie;
+-      char            udi_product[USB_MAX_STRING_LEN];
+-      char            udi_vendor[USB_MAX_STRING_LEN];
+-      char            udi_release[8];
+-      u_int16_t       udi_productNo;
+-      u_int16_t       udi_vendorNo;
+-      u_int16_t       udi_releaseNo;
+-      u_int8_t        udi_class;
+-      u_int8_t        udi_subclass;
+-      u_int8_t        udi_protocol;
+-      u_int8_t        udi_config;
+-      u_int8_t        udi_speed;
+-#define USB_SPEED_UNKNOWN     0
+-#define USB_SPEED_LOW         1
+-#define USB_SPEED_FULL                2
+-#define USB_SPEED_HIGH                3
+-#define USB_SPEED_VARIABLE    4
+-#define USB_SPEED_SUPER               5
+-      int             udi_power;      /* power consumption in mA, 0 if selfpowered */
+-      int             udi_nports;
+-      char            udi_devnames[USB_MAX_DEVNAMES][USB_MAX_DEVNAMELEN];
+-      u_int8_t        udi_ports[16];/* hub only: addresses of devices on ports */
+-#define USB_PORT_ENABLED 0xff
+-#define USB_PORT_SUSPENDED 0xfe
+-#define USB_PORT_POWERED 0xfd
+-#define USB_PORT_DISABLED 0xfc
+-};
+-
+-struct usb_ctl_report {
+-      int     ucr_report;
+-      u_char  ucr_data[1024]; /* filled data size will vary */
+-};
+-
+-struct usb_device_stats {
+-      u_long  uds_requests[4];        /* indexed by transfer type UE_* */
+-};
+-
+-#define WUSB_MIN_IE                   0x80
+-#define WUSB_WCTA_IE                  0x80
+-#define WUSB_WCONNECTACK_IE           0x81
+-#define WUSB_WHOSTINFO_IE             0x82
+-#define  WUHI_GET_CA(_bmAttributes_) ((_bmAttributes_) & 0x3)
+-#define   WUHI_CA_RECONN              0x00
+-#define   WUHI_CA_LIMITED             0x01
+-#define   WUHI_CA_ALL                 0x03
+-#define  WUHI_GET_MLSI(_bmAttributes_) (((_bmAttributes_) & 0x38) >> 3)
+-#define WUSB_WCHCHANGEANNOUNCE_IE     0x83
+-#define WUSB_WDEV_DISCONNECT_IE               0x84
+-#define WUSB_WHOST_DISCONNECT_IE      0x85
+-#define WUSB_WRELEASE_CHANNEL_IE      0x86
+-#define WUSB_WWORK_IE                 0x87
+-#define WUSB_WCHANNEL_STOP_IE         0x88
+-#define WUSB_WDEV_KEEPALIVE_IE                0x89
+-#define WUSB_WISOCH_DISCARD_IE                0x8A
+-#define WUSB_WRESETDEVICE_IE          0x8B
+-#define WUSB_WXMIT_PACKET_ADJUST_IE   0x8C
+-#define WUSB_MAX_IE                   0x8C
+-
+-/* Device Notification Types */
+-
+-#define WUSB_DN_MIN                   0x01
+-#define WUSB_DN_CONNECT                       0x01
+-# define WUSB_DA_OLDCONN      0x00
+-# define WUSB_DA_NEWCONN      0x01
+-# define WUSB_DA_SELF_BEACON  0x02
+-# define WUSB_DA_DIR_BEACON   0x04
+-# define WUSB_DA_NO_BEACON    0x06
+-#define WUSB_DN_DISCONNECT            0x02
+-#define WUSB_DN_EPRDY                 0x03
+-#define WUSB_DN_MASAVAILCHANGED               0x04
+-#define WUSB_DN_REMOTEWAKEUP          0x05
+-#define WUSB_DN_SLEEP                 0x06
+-#define WUSB_DN_ALIVE                 0x07
+-#define WUSB_DN_MAX                   0x07
+-
+-#ifdef _MSC_VER
+-#include <pshpack1.h>
+-#endif
+-
+-/* WUSB Handshake Data.  Used during the SET/GET HANDSHAKE requests */
+-typedef struct wusb_hndshk_data {
+-      uByte bMessageNumber;
+-      uByte bStatus;
+-      uByte tTKID[3];
+-      uByte bReserved;
+-      uByte CDID[16];
+-      uByte Nonce[16];
+-      uByte MIC[8];
+-} UPACKED wusb_hndshk_data_t;
+-#define WUSB_HANDSHAKE_LEN_FOR_MIC    38
+-
+-/* WUSB Connection Context */
+-typedef struct wusb_conn_context {
+-      uByte CHID [16];
+-      uByte CDID [16];
+-      uByte CK [16];
+-} UPACKED wusb_conn_context_t;
+-
+-/* WUSB Security Descriptor */
+-typedef struct wusb_security_desc {
+-      uByte bLength;
+-      uByte bDescriptorType;
+-      uWord wTotalLength;
+-      uByte bNumEncryptionTypes;
+-} UPACKED wusb_security_desc_t;
+-
+-/* WUSB Encryption Type Descriptor */
+-typedef struct wusb_encrypt_type_desc {
+-      uByte bLength;
+-      uByte bDescriptorType;
+-
+-      uByte bEncryptionType;
+-#define WUETD_UNSECURE                0
+-#define WUETD_WIRED           1
+-#define WUETD_CCM_1           2
+-#define WUETD_RSA_1           3
+-
+-      uByte bEncryptionValue;
+-      uByte bAuthKeyIndex;
+-} UPACKED wusb_encrypt_type_desc_t;
+-
+-/* WUSB Key Descriptor */
+-typedef struct wusb_key_desc {
+-      uByte bLength;
+-      uByte bDescriptorType;
+-      uByte tTKID[3];
+-      uByte bReserved;
+-      uByte KeyData[1];       /* variable length */
+-} UPACKED wusb_key_desc_t;
+-
+-/* WUSB BOS Descriptor (Binary device Object Store) */
+-typedef struct wusb_bos_desc {
+-      uByte bLength;
+-      uByte bDescriptorType;
+-      uWord wTotalLength;
+-      uByte bNumDeviceCaps;
+-} UPACKED wusb_bos_desc_t;
+-
+-#define USB_DEVICE_CAPABILITY_20_EXTENSION    0x02
+-typedef struct usb_dev_cap_20_ext_desc {
+-      uByte bLength;
+-      uByte bDescriptorType;
+-      uByte bDevCapabilityType;
+-#define USB_20_EXT_LPM                                0x02
+-      uDWord bmAttributes;
+-} UPACKED usb_dev_cap_20_ext_desc_t;
+-
+-#define USB_DEVICE_CAPABILITY_SS_USB          0x03
+-typedef struct usb_dev_cap_ss_usb {
+-      uByte bLength;
+-      uByte bDescriptorType;
+-      uByte bDevCapabilityType;
+-#define USB_DC_SS_USB_LTM_CAPABLE             0x02
+-      uByte bmAttributes;
+-#define USB_DC_SS_USB_SPEED_SUPPORT_LOW               0x01
+-#define USB_DC_SS_USB_SPEED_SUPPORT_FULL      0x02
+-#define USB_DC_SS_USB_SPEED_SUPPORT_HIGH      0x04
+-#define USB_DC_SS_USB_SPEED_SUPPORT_SS                0x08
+-      uWord wSpeedsSupported;
+-      uByte bFunctionalitySupport;
+-      uByte bU1DevExitLat;
+-      uWord wU2DevExitLat;
+-} UPACKED usb_dev_cap_ss_usb_t;
+-
+-#define USB_DEVICE_CAPABILITY_CONTAINER_ID    0x04
+-typedef struct usb_dev_cap_container_id {
+-      uByte bLength;
+-      uByte bDescriptorType;
+-      uByte bDevCapabilityType;
+-      uByte bReserved;
+-      uByte containerID[16];
+-} UPACKED usb_dev_cap_container_id_t;
+-
+-/* Device Capability Type Codes */
+-#define WUSB_DEVICE_CAPABILITY_WIRELESS_USB 0x01
+-
+-/* Device Capability Descriptor */
+-typedef struct wusb_dev_cap_desc {
+-      uByte bLength;
+-      uByte bDescriptorType;
+-      uByte bDevCapabilityType;
+-      uByte caps[1];  /* Variable length */
+-} UPACKED wusb_dev_cap_desc_t;
+-
+-/* Device Capability Descriptor */
+-typedef struct wusb_dev_cap_uwb_desc {
+-      uByte bLength;
+-      uByte bDescriptorType;
+-      uByte bDevCapabilityType;
+-      uByte bmAttributes;
+-      uWord wPHYRates;        /* Bitmap */
+-      uByte bmTFITXPowerInfo;
+-      uByte bmFFITXPowerInfo;
+-      uWord bmBandGroup;
+-      uByte bReserved;
+-} UPACKED wusb_dev_cap_uwb_desc_t;
+-
+-/* Wireless USB Endpoint Companion Descriptor */
+-typedef struct wusb_endpoint_companion_desc {
+-      uByte bLength;
+-      uByte bDescriptorType;
+-      uByte bMaxBurst;
+-      uByte bMaxSequence;
+-      uWord wMaxStreamDelay;
+-      uWord wOverTheAirPacketSize;
+-      uByte bOverTheAirInterval;
+-      uByte bmCompAttributes;
+-} UPACKED wusb_endpoint_companion_desc_t;
+-
+-/* Wireless USB Numeric Association M1 Data Structure */
+-typedef struct wusb_m1_data {
+-      uByte version;
+-      uWord langId;
+-      uByte deviceFriendlyNameLength;
+-      uByte sha_256_m3[32];
+-      uByte deviceFriendlyName[256];
+-} UPACKED wusb_m1_data_t;
+-
+-typedef struct wusb_m2_data {
+-      uByte version;
+-      uWord langId;
+-      uByte hostFriendlyNameLength;
+-      uByte pkh[384];
+-      uByte hostFriendlyName[256];
+-} UPACKED wusb_m2_data_t;
+-
+-typedef struct wusb_m3_data {
+-      uByte pkd[384];
+-      uByte nd;
+-} UPACKED wusb_m3_data_t;
+-
+-typedef struct wusb_m4_data {
+-      uDWord _attributeTypeIdAndLength_1;
+-      uWord  associationTypeId;
+-
+-      uDWord _attributeTypeIdAndLength_2;
+-      uWord  associationSubTypeId;
+-
+-      uDWord _attributeTypeIdAndLength_3;
+-      uDWord length;
+-
+-      uDWord _attributeTypeIdAndLength_4;
+-      uDWord associationStatus;
+-
+-      uDWord _attributeTypeIdAndLength_5;
+-      uByte  chid[16];
+-
+-      uDWord _attributeTypeIdAndLength_6;
+-      uByte  cdid[16];
+-
+-      uDWord _attributeTypeIdAndLength_7;
+-      uByte  bandGroups[2];
+-} UPACKED wusb_m4_data_t;
+-
+ #ifdef _MSC_VER
+ #include <poppack.h>
+ #endif
+--- a/drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c
++++ b/drivers/usb/host/dwc_otg/dwc_otg_hcd_linux.c
+@@ -457,8 +457,8 @@ static void hcd_init_fiq(void *cookie)
+                       otg_dev->os_dep.mphi_base + 0x1f0;
+               dwc_otg_hcd->fiq_state->mphi_regs.swirq_clr =
+                       otg_dev->os_dep.mphi_base + 0x1f4;
+-              DWC_WARN("Fake MPHI regs_base at 0x%08x",
+-                       (int)dwc_otg_hcd->fiq_state->mphi_regs.base);
++              DWC_WARN("Fake MPHI regs_base at %px",
++                       dwc_otg_hcd->fiq_state->mphi_regs.base);
+       } else {
+               dwc_otg_hcd->fiq_state->mphi_regs.ctrl =
+                       otg_dev->os_dep.mphi_base + 0x4c;
+--- a/drivers/usb/host/dwc_otg/dwc_otg_pcd_intr.c
++++ b/drivers/usb/host/dwc_otg/dwc_otg_pcd_intr.c
+@@ -3377,7 +3377,7 @@ void predict_nextep_seq( dwc_otg_core_if
+       dtknq1_data_t dtknqr1;
+       uint32_t in_tkn_epnums[4];
+       uint8_t seqnum[MAX_EPS_CHANNELS];
+-      uint8_t intkn_seq[TOKEN_Q_DEPTH];
++      uint8_t intkn_seq[1 << 5];
+       grstctl_t resetctl = {.d32 = 0 };
+       uint8_t temp;
+       int ndx = 0;
diff --git a/target/linux/bcm27xx/patches-5.4/950-1003-gpio-fsm-Fix-a-build-warning.patch b/target/linux/bcm27xx/patches-5.4/950-1003-gpio-fsm-Fix-a-build-warning.patch
new file mode 100644 (file)
index 0000000..d9ebc3a
--- /dev/null
@@ -0,0 +1,22 @@
+From 60f17bc7d9100d7c3296987498a9f2d6c13f3f02 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 27 Oct 2020 12:10:04 +0000
+Subject: [PATCH] gpio-fsm: Fix a build warning
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/gpio/gpio-fsm.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/gpio/gpio-fsm.c
++++ b/drivers/gpio/gpio-fsm.c
+@@ -956,7 +956,8 @@ static int gpio_fsm_probe(struct platfor
+       // add reserved words to the symbol table
+       for (i = 0; i < ARRAY_SIZE(reserved_symbols); i++) {
+               if (reserved_symbols[i])
+-                      add_symbol(&gf->symtab, reserved_symbols[i], (void *)i);
++                      add_symbol(&gf->symtab, reserved_symbols[i],
++                                 (void *)(uintptr_t)i);
+       }
+       // parse the state
diff --git a/target/linux/bcm27xx/patches-5.4/950-1004-rpivid_h625-Fix-build-warnings.patch b/target/linux/bcm27xx/patches-5.4/950-1004-rpivid_h625-Fix-build-warnings.patch
new file mode 100644 (file)
index 0000000..375f1ca
--- /dev/null
@@ -0,0 +1,68 @@
+From c23b30df4bcafaa1a2bca210bc09f65c01ace375 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 27 Oct 2020 12:10:40 +0000
+Subject: [PATCH] rpivid_h625: Fix build warnings
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/staging/media/rpivid/rpivid_h265.c | 16 ++++++++--------
+ 1 file changed, 8 insertions(+), 8 deletions(-)
+
+--- a/drivers/staging/media/rpivid/rpivid_h265.c
++++ b/drivers/staging/media/rpivid/rpivid_h265.c
+@@ -1341,10 +1341,10 @@ static int frame_end(struct rpivid_dev *
+               if (gptr_realloc_new(dev, de->cmd_copy_gptr, cmd_alloc)) {
+                       v4l2_err(&dev->v4l2_dev,
+-                               "Alloc cmd buffer (%d): FAILED\n", cmd_alloc);
++                               "Alloc cmd buffer (%zu): FAILED\n", cmd_alloc);
+                       return -ENOMEM;
+               }
+-              v4l2_info(&dev->v4l2_dev, "Alloc cmd buffer (%d): OK\n",
++              v4l2_info(&dev->v4l2_dev, "Alloc cmd buffer (%zu): OK\n",
+                         cmd_alloc);
+       }
+@@ -1696,12 +1696,12 @@ static void rpivid_h265_setup(struct rpi
+                                      bits_alloc,
+                                      DMA_ATTR_FORCE_CONTIGUOUS) != 0) {
+                               v4l2_err(&dev->v4l2_dev,
+-                                       "Unable to alloc buf (%d) for bit copy\n",
++                                       "Unable to alloc buf (%zu) for bit copy\n",
+                                        bits_alloc);
+                               goto fail;
+                       }
+                       v4l2_info(&dev->v4l2_dev,
+-                                "Alloc buf (%d) for bit copy OK\n",
++                                "Alloc buf (%zu) for bit copy OK\n",
+                                 bits_alloc);
+               }
+       }
+@@ -1995,11 +1995,11 @@ static void phase1_thread(struct rpivid_
+       if (de->p1_status & STATUS_PU_EXHAUSTED) {
+               if (gptr_realloc_new(dev, pu_gptr, next_size(pu_gptr->size))) {
+                       v4l2_err(&dev->v4l2_dev,
+-                               "%s: PU realloc (%#x) failed\n",
++                               "%s: PU realloc (%zx) failed\n",
+                                __func__, pu_gptr->size);
+                       goto fail;
+               }
+-              v4l2_info(&dev->v4l2_dev, "%s: PU realloc (%#x) OK\n",
++              v4l2_info(&dev->v4l2_dev, "%s: PU realloc (%zx) OK\n",
+                         __func__, pu_gptr->size);
+       }
+@@ -2007,11 +2007,11 @@ static void phase1_thread(struct rpivid_
+               if (gptr_realloc_new(dev, coeff_gptr,
+                                    next_size(coeff_gptr->size))) {
+                       v4l2_err(&dev->v4l2_dev,
+-                               "%s: Coeff realloc (%#x) failed\n",
++                               "%s: Coeff realloc (%zx) failed\n",
+                                __func__, coeff_gptr->size);
+                       goto fail;
+               }
+-              v4l2_info(&dev->v4l2_dev, "%s: Coeff realloc (%#x) OK\n",
++              v4l2_info(&dev->v4l2_dev, "%s: Coeff realloc (%zx) OK\n",
+                         __func__, coeff_gptr->size);
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-1005-dwc_otg-Fix-more-build-warnings.patch b/target/linux/bcm27xx/patches-5.4/950-1005-dwc_otg-Fix-more-build-warnings.patch
new file mode 100644 (file)
index 0000000..a9f5605
--- /dev/null
@@ -0,0 +1,149 @@
+From 9b899afd231b7dde6084092cf46dd15c05ed8a5c Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 27 Oct 2020 12:11:56 +0000
+Subject: [PATCH] dwc_otg: Fix more build warnings
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/usb/host/dwc_otg/dwc_otg_fiq_fsm.c  | 10 +++++----
+ drivers/usb/host/dwc_otg/dwc_otg_hcd.c      | 23 ++++++++++++++-------
+ drivers/usb/host/dwc_otg/dwc_otg_hcd_ddma.c |  3 ++-
+ drivers/usb/host/dwc_otg/dwc_otg_hcd_intr.c |  8 +++----
+ 4 files changed, 27 insertions(+), 17 deletions(-)
+
+--- a/drivers/usb/host/dwc_otg/dwc_otg_fiq_fsm.c
++++ b/drivers/usb/host/dwc_otg/dwc_otg_fiq_fsm.c
+@@ -240,7 +240,8 @@ static int notrace fiq_increment_dma_buf
+       hcdma_data_t hcdma;
+       int i = st->channel[n].dma_info.index;
+       int len;
+-      struct fiq_dma_blob *blob = (struct fiq_dma_blob *) st->dma_base;
++      struct fiq_dma_blob *blob =
++              (struct fiq_dma_blob *)(uintptr_t)st->dma_base;
+       len = fiq_get_xfer_len(st, n);
+       fiq_print(FIQDBG_INT, st, "LEN: %03d", len);
+@@ -249,7 +250,7 @@ static int notrace fiq_increment_dma_buf
+       if (i > 6)
+               BUG();
+-      hcdma.d32 = (dma_addr_t) &blob->channel[n].index[i].buf[0];
++      hcdma.d32 = (u32)(uintptr_t)&blob->channel[n].index[i].buf[0];
+       FIQ_WRITE(st->dwc_regs_base + HC_START + (HC_OFFSET * n) + HC_DMA, hcdma.d32);
+       st->channel[n].dma_info.index = i;
+       return 0;
+@@ -289,7 +290,8 @@ static int notrace fiq_iso_out_advance(s
+       hcsplt_data_t hcsplt;
+       hctsiz_data_t hctsiz;
+       hcdma_data_t hcdma;
+-      struct fiq_dma_blob *blob = (struct fiq_dma_blob *) st->dma_base;
++      struct fiq_dma_blob *blob =
++              (struct fiq_dma_blob *)(uintptr_t)st->dma_base;
+       int last = 0;
+       int i = st->channel[n].dma_info.index;
+@@ -301,7 +303,7 @@ static int notrace fiq_iso_out_advance(s
+               last = 1;
+       /* New DMA address - address of bounce buffer referred to in index */
+-      hcdma.d32 = (dma_addr_t) blob->channel[n].index[i].buf;
++      hcdma.d32 = (u32)(uintptr_t)blob->channel[n].index[i].buf;
+       //hcdma.d32 = FIQ_READ(st->dwc_regs_base + HC_START + (HC_OFFSET * n) + HC_DMA);
+       //hcdma.d32 += st->channel[n].dma_info.slot_len[i];
+       fiq_print(FIQDBG_INT, st, "LAST: %01d ", last);
+--- a/drivers/usb/host/dwc_otg/dwc_otg_hcd.c
++++ b/drivers/usb/host/dwc_otg/dwc_otg_hcd.c
+@@ -1268,7 +1268,8 @@ static void assign_and_init_hc(dwc_otg_h
+       hc->multi_count = 1;
+       if (hcd->core_if->dma_enable) {
+-              hc->xfer_buff = (uint8_t *) urb->dma + urb->actual_length;
++              hc->xfer_buff =
++                  (uint8_t *)(uintptr_t)urb->dma + urb->actual_length;
+               /* For non-dword aligned case */
+               if (((unsigned long)hc->xfer_buff & 0x3)
+@@ -1312,7 +1313,8 @@ static void assign_and_init_hc(dwc_otg_h
+                       hc->ep_is_in = 0;
+                       hc->data_pid_start = DWC_OTG_HC_PID_SETUP;
+                       if (hcd->core_if->dma_enable) {
+-                              hc->xfer_buff = (uint8_t *) urb->setup_dma;
++                              hc->xfer_buff =
++                                      (uint8_t *)(uintptr_t)urb->setup_dma;
+                       } else {
+                               hc->xfer_buff = (uint8_t *) urb->setup_packet;
+                       }
+@@ -1360,7 +1362,8 @@ static void assign_and_init_hc(dwc_otg_h
+                       hc->xfer_len = 0;
+                       if (hcd->core_if->dma_enable) {
+-                              hc->xfer_buff = (uint8_t *) hcd->status_buf_dma;
++                              hc->xfer_buff = (uint8_t *)
++                                      (uintptr_t)hcd->status_buf_dma;
+                       } else {
+                               hc->xfer_buff = (uint8_t *) hcd->status_buf;
+                       }
+@@ -1388,7 +1391,7 @@ static void assign_and_init_hc(dwc_otg_h
+                       frame_desc->status = 0;
+                       if (hcd->core_if->dma_enable) {
+-                              hc->xfer_buff = (uint8_t *) urb->dma;
++                              hc->xfer_buff = (uint8_t *)(uintptr_t)urb->dma;
+                       } else {
+                               hc->xfer_buff = (uint8_t *) urb->buf;
+                       }
+@@ -1569,8 +1572,10 @@ int fiq_fsm_setup_periodic_dma(dwc_otg_h
+                * Pointer arithmetic on hcd->fiq_state->dma_base (a dma_addr_t)
+                * to point it to the correct offset in the allocated buffers.
+                */
+-              blob = (struct fiq_dma_blob *) hcd->fiq_state->dma_base;
+-              st->hcdma_copy.d32 = (dma_addr_t) blob->channel[hc->hc_num].index[0].buf;
++              blob = (struct fiq_dma_blob *)
++                      (uintptr_t)hcd->fiq_state->dma_base;
++              st->hcdma_copy.d32 =(u32)(uintptr_t)
++                      blob->channel[hc->hc_num].index[0].buf;
+               /* Calculate the max number of CSPLITS such that the FIQ can time out
+                * a transaction if it fails.
+@@ -1625,8 +1630,10 @@ int fiq_fsm_setup_periodic_dma(dwc_otg_h
+                        * dma_addr_t) to point it to the correct offset in the
+                        * allocated buffers.
+                        */
+-                      blob = (struct fiq_dma_blob *) hcd->fiq_state->dma_base;
+-                      st->hcdma_copy.d32 = (dma_addr_t) blob->channel[hc->hc_num].index[0].buf;
++                      blob = (struct fiq_dma_blob *)
++                              (uintptr_t)hcd->fiq_state->dma_base;
++                      st->hcdma_copy.d32 = (u32)(uintptr_t)
++                              blob->channel[hc->hc_num].index[0].buf;
+                       /* fixup xfersize to the actual packet size */
+                       st->hctsiz_copy.b.pid = 0;
+--- a/drivers/usb/host/dwc_otg/dwc_otg_hcd_ddma.c
++++ b/drivers/usb/host/dwc_otg/dwc_otg_hcd_ddma.c
+@@ -620,7 +620,8 @@ static void init_non_isoc_dma_desc(dwc_o
+               if (n_desc) {
+                       /* SG request - more than 1 QTDs */
+-                      hc->xfer_buff = (uint8_t *)qtd->urb->dma + qtd->urb->actual_length;
++                      hc->xfer_buff = (uint8_t *)(uintptr_t)qtd->urb->dma +
++                                      qtd->urb->actual_length;
+                       hc->xfer_len = qtd->urb->length - qtd->urb->actual_length;
+               }
+--- a/drivers/usb/host/dwc_otg/dwc_otg_hcd_intr.c
++++ b/drivers/usb/host/dwc_otg/dwc_otg_hcd_intr.c
+@@ -1857,10 +1857,10 @@ static int32_t handle_hc_ahberr_intr(dwc
+       DWC_ERROR("  Max packet size: %d\n",
+                 dwc_otg_hcd_get_mps(&urb->pipe_info));
+       DWC_ERROR("  Data buffer length: %d\n", urb->length);
+-      DWC_ERROR("  Transfer buffer: %p, Transfer DMA: %p\n",
+-                urb->buf, (void *)urb->dma);
+-      DWC_ERROR("  Setup buffer: %p, Setup DMA: %p\n",
+-                urb->setup_packet, (void *)urb->setup_dma);
++      DWC_ERROR("  Transfer buffer: %p, Transfer DMA: %pad\n",
++                urb->buf, &urb->dma);
++      DWC_ERROR("  Setup buffer: %p, Setup DMA: %pad\n",
++                urb->setup_packet, &urb->setup_dma);
+       DWC_ERROR("  Interval: %d\n", urb->interval);
+       /* Core haltes the channel for Descriptor DMA mode */
diff --git a/target/linux/bcm27xx/patches-5.4/950-1006-bcm2708_fb-Fix-a-build-warning.patch b/target/linux/bcm27xx/patches-5.4/950-1006-bcm2708_fb-Fix-a-build-warning.patch
new file mode 100644 (file)
index 0000000..2eb5f78
--- /dev/null
@@ -0,0 +1,22 @@
+From 61ed3659dae5bdb4c7b1120b3ba4efd3e6abff05 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 27 Oct 2020 12:12:22 +0000
+Subject: [PATCH] bcm2708_fb: Fix a build warning
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/video/fbdev/bcm2708_fb.c | 3 ++-
+ 1 file changed, 2 insertions(+), 1 deletion(-)
+
+--- a/drivers/video/fbdev/bcm2708_fb.c
++++ b/drivers/video/fbdev/bcm2708_fb.c
+@@ -705,7 +705,8 @@ static long vc_mem_copy(struct bcm2708_f
+               u8 *q = (u8 *)ioparam->dst + offset;
+               dma_memcpy(fb, bus_addr,
+-                         INTALIAS_L1L2_NONALLOCATING((dma_addr_t)p), size);
++                         INTALIAS_L1L2_NONALLOCATING((u32)(uintptr_t)p),
++                                                     size);
+               if (copy_to_user(q, buf, s) != 0) {
+                       pr_err("[%s]: failed to copy-to-user\n", __func__);
+                       rc = -EFAULT;
diff --git a/target/linux/bcm27xx/patches-5.4/950-1007-bcm2835-pcm-Fix-up-multichannel-pcm-audio.patch b/target/linux/bcm27xx/patches-5.4/950-1007-bcm2835-pcm-Fix-up-multichannel-pcm-audio.patch
new file mode 100644 (file)
index 0000000..a41d16e
--- /dev/null
@@ -0,0 +1,55 @@
+From 88bddc0f26024c64e1e17562dea77d8a50e1f1d0 Mon Sep 17 00:00:00 2001
+From: Dom Cobley <popcornmix@gmail.com>
+Date: Tue, 27 Oct 2020 12:24:14 +0000
+Subject: [PATCH] bcm2835-pcm: Fix up multichannel pcm audio
+
+Fixes: a9c1660ff5f02d048c5f31abf1fd1108ccf9ef87
+Signed-off-by: Dom Cobley <popcornmix@gmail.com>
+---
+ .../vc04_services/bcm2835-audio/bcm2835-pcm.c | 21 +++++++++----------
+ 1 file changed, 10 insertions(+), 11 deletions(-)
+
+--- a/drivers/staging/vc04_services/bcm2835-audio/bcm2835-pcm.c
++++ b/drivers/staging/vc04_services/bcm2835-audio/bcm2835-pcm.c
+@@ -14,14 +14,14 @@ static const struct snd_pcm_hardware snd
+                SNDRV_PCM_INFO_MMAP | SNDRV_PCM_INFO_MMAP_VALID |
+                SNDRV_PCM_INFO_SYNC_APPLPTR),
+       .formats = SNDRV_PCM_FMTBIT_U8 | SNDRV_PCM_FMTBIT_S16_LE,
+-      .rates = SNDRV_PCM_RATE_CONTINUOUS |  SNDRV_PCM_RATE_8000_192000,
++      .rates = SNDRV_PCM_RATE_CONTINUOUS | SNDRV_PCM_RATE_8000_192000,
+       .rate_min = 8000,
+       .rate_max = 192000,
+       .channels_min = 1,
+-      .channels_max = 2,
+-      .buffer_bytes_max = 128 * 1024,
++      .channels_max = 8,
++      .buffer_bytes_max = 512 * 1024,
+       .period_bytes_min = 1 * 1024,
+-      .period_bytes_max = 128 * 1024,
++      .period_bytes_max = 512 * 1024,
+       .periods_min = 1,
+       .periods_max = 128,
+ };
+@@ -31,16 +31,15 @@ static const struct snd_pcm_hardware snd
+                SNDRV_PCM_INFO_MMAP | SNDRV_PCM_INFO_MMAP_VALID |
+                SNDRV_PCM_INFO_SYNC_APPLPTR),
+       .formats = SNDRV_PCM_FMTBIT_S16_LE,
+-      .rates = SNDRV_PCM_RATE_44100 | SNDRV_PCM_RATE_48000 |
+-      SNDRV_PCM_RATE_88200 | SNDRV_PCM_RATE_96000 |
+-      SNDRV_PCM_RATE_176400 | SNDRV_PCM_RATE_192000,
++      .rates = SNDRV_PCM_RATE_CONTINUOUS | SNDRV_PCM_RATE_44100 |
++      SNDRV_PCM_RATE_48000,
+       .rate_min = 44100,
+-      .rate_max = 192000,
++      .rate_max = 48000,
+       .channels_min = 2,
+-      .channels_max = 8,
+-      .buffer_bytes_max = 512 * 1024,
++      .channels_max = 2,
++      .buffer_bytes_max = 128 * 1024,
+       .period_bytes_min = 1 * 1024,
+-      .period_bytes_max = 512 * 1024,
++      .period_bytes_max = 128 * 1024,
+       .periods_min = 1,
+       .periods_max = 128,
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-1008-watchdog-bcm2835-Ignore-params-after-the-partition-n.patch b/target/linux/bcm27xx/patches-5.4/950-1008-watchdog-bcm2835-Ignore-params-after-the-partition-n.patch
new file mode 100644 (file)
index 0000000..44108ec
--- /dev/null
@@ -0,0 +1,29 @@
+From 118538ed52b7dd927226837094d44a5d1cd14ab7 Mon Sep 17 00:00:00 2001
+From: Tim Gover <tim.gover@raspberrypi.org>
+Date: Thu, 22 Oct 2020 15:30:55 +0100
+Subject: [PATCH] watchdog: bcm2835: Ignore params after the
+ partition number
+
+Use sscanf to extract the partition number and ignore extra parameters
+which are only relevant to other reboot notifiers.
+---
+ drivers/watchdog/bcm2835_wdt.c | 6 ++++--
+ 1 file changed, 4 insertions(+), 2 deletions(-)
+
+--- a/drivers/watchdog/bcm2835_wdt.c
++++ b/drivers/watchdog/bcm2835_wdt.c
+@@ -126,10 +126,12 @@ static int bcm2835_restart(struct watchd
+ {
+       struct bcm2835_wdt *wdt = watchdog_get_drvdata(wdog);
+-      unsigned long long val;
++      unsigned long val;
+       u8 partition = 0;
+-      if (data && !kstrtoull(data, 0, &val) && val <= 63)
++      // Allow extra arguments separated by spaces after
++      // the partition number.
++      if (data && sscanf(data, "%lu", &val) && val < 63)
+               partition = val;
+       __bcm2835_restart(wdt, partition);
diff --git a/target/linux/bcm27xx/patches-5.4/950-1009-firmware-raspberrypi-Add-support-for-tryonce-reboot-.patch b/target/linux/bcm27xx/patches-5.4/950-1009-firmware-raspberrypi-Add-support-for-tryonce-reboot-.patch
new file mode 100644 (file)
index 0000000..4d7a83f
--- /dev/null
@@ -0,0 +1,72 @@
+From bf41f32582df3ca5faab2cf2de15e5d4ff688822 Mon Sep 17 00:00:00 2001
+From: Tim Gover <tim.gover@raspberrypi.com>
+Date: Tue, 20 Oct 2020 11:55:37 +0100
+Subject: [PATCH] firmware: raspberrypi: Add support for tryonce
+ reboot flag
+
+Define a new mailbox (SET_REBOOT_FLAGS) which may be used to
+pass optional flags to the Raspberry Pi firmware that changes
+the behaviour of the bootloader and firmware during a reboot.
+
+Currently this just defines the 'tryboot' flag which causes
+the firmware to load tryboot.txt instead config.txt. This
+alternate configuration file can be used to specify the
+path of an alternate firmware and kernels allowing a fallback
+mechanism to be implemented for OS upgrades.
+---
+ drivers/firmware/raspberrypi.c             | 25 ++++++++++++++++++++--
+ include/soc/bcm2835/raspberrypi-firmware.h |  2 ++
+ 2 files changed, 25 insertions(+), 2 deletions(-)
+
+--- a/drivers/firmware/raspberrypi.c
++++ b/drivers/firmware/raspberrypi.c
+@@ -190,6 +190,7 @@ static int rpi_firmware_notify_reboot(st
+ {
+       struct rpi_firmware *fw;
+       struct platform_device *pdev = g_pdev;
++      u32 reboot_flags = 0;
+       if (!pdev)
+               return 0;
+@@ -198,8 +199,28 @@ static int rpi_firmware_notify_reboot(st
+       if (!fw)
+               return 0;
+-      (void)rpi_firmware_property(fw, RPI_FIRMWARE_NOTIFY_REBOOT,
+-                                  0, 0);
++      // The partition id is the first parameter followed by zero or
++      // more flags separated by spaces indicating the reason for the reboot.
++      //
++      // 'tryboot': Sets a one-shot flag which is cleared upon reboot and
++      //            causes the tryboot.txt to be loaded instead of config.txt
++      //            by the bootloader and the start.elf firmware.
++      //
++      //            This is intended to allow automatic fallback to a known
++      //            good image if an OS/FW upgrade fails.
++      //
++      // N.B. The firmware mechanism for storing reboot flags may vary
++      // on different Raspberry Pi models.
++      if (data && strstr(data, " tryboot"))
++              reboot_flags |= 0x1;
++
++      // The mailbox might have been called earlier, directly via vcmailbox
++      // so only overwrite if reboot flags are passed to the reboot command.
++      if (reboot_flags)
++              (void)rpi_firmware_property(fw, RPI_FIRMWARE_SET_REBOOT_FLAGS,
++                              &reboot_flags, sizeof(reboot_flags));
++
++      (void)rpi_firmware_property(fw, RPI_FIRMWARE_NOTIFY_REBOOT, NULL, 0);
+       return 0;
+ }
+--- a/include/soc/bcm2835/raspberrypi-firmware.h
++++ b/include/soc/bcm2835/raspberrypi-firmware.h
+@@ -96,6 +96,8 @@ enum rpi_firmware_property_tag {
+       RPI_FIRMWARE_GET_POE_HAT_VAL =                        0x00030049,
+       RPI_FIRMWARE_SET_POE_HAT_VAL =                        0x00030050,
+       RPI_FIRMWARE_NOTIFY_XHCI_RESET =                      0x00030058,
++      RPI_FIRMWARE_GET_REBOOT_FLAGS =                       0x00030064,
++      RPI_FIRMWARE_SET_REBOOT_FLAGS =                       0x00038064,
+       /* Dispmanx TAGS */
+       RPI_FIRMWARE_FRAMEBUFFER_ALLOCATE =                   0x00040001,
diff --git a/target/linux/bcm27xx/patches-5.4/950-1010-phy-broadcom-split-out-the-BCM54213PE-from-the-BCM54.patch b/target/linux/bcm27xx/patches-5.4/950-1010-phy-broadcom-split-out-the-BCM54213PE-from-the-BCM54.patch
new file mode 100644 (file)
index 0000000..cf376b9
--- /dev/null
@@ -0,0 +1,71 @@
+From 797cf96a09d1d4ee851a3998e590f7641cfc9b9a Mon Sep 17 00:00:00 2001
+From: Jonathan Bell <jonathan@raspberrypi.org>
+Date: Tue, 14 May 2019 17:00:41 +0100
+Subject: [PATCH] phy: broadcom: split out the BCM54213PE from the
+ BCM54210E IDs
+
+The last nibble is a revision ID, and the 54213pe is a later rev
+than the 54210e. Running the 54210e setup code on a 54213pe results
+in a broken RGMII interface.
+
+Signed-off-by: Jonathan Bell <jonathan@raspberrypi.org>
+---
+ drivers/net/phy/broadcom.c | 16 +++++++++++++---
+ include/linux/brcmphy.h    |  1 +
+ 2 files changed, 14 insertions(+), 3 deletions(-)
+
+--- a/drivers/net/phy/broadcom.c
++++ b/drivers/net/phy/broadcom.c
+@@ -213,7 +213,8 @@ static void bcm54xx_adjust_rxrefclk(stru
+       /* Abort if we are using an untested phy. */
+       if (BRCM_PHY_MODEL(phydev) != PHY_ID_BCM57780 &&
+           BRCM_PHY_MODEL(phydev) != PHY_ID_BCM50610 &&
+-          BRCM_PHY_MODEL(phydev) != PHY_ID_BCM50610M)
++          BRCM_PHY_MODEL(phydev) != PHY_ID_BCM50610M &&
++          BRCM_PHY_MODEL(phydev) != PHY_ID_BCM54213PE)
+               return;
+       val = bcm_phy_read_shadow(phydev, BCM54XX_SHD_SCR3);
+@@ -620,13 +621,21 @@ static struct phy_driver broadcom_driver
+       .config_intr    = bcm_phy_config_intr,
+ }, {
+       .phy_id         = PHY_ID_BCM54210E,
+-      .phy_id_mask    = 0xfffffff0,
++      .phy_id_mask    = 0xffffffff,
+       .name           = "Broadcom BCM54210E",
+       /* PHY_GBIT_FEATURES */
+       .config_init    = bcm54xx_config_init,
+       .ack_interrupt  = bcm_phy_ack_intr,
+       .config_intr    = bcm_phy_config_intr,
+ }, {
++      .phy_id         = PHY_ID_BCM54213PE,
++      .phy_id_mask    = 0xffffffff,
++      .name           = "Broadcom BCM54213PE",
++      /* PHY_GBIT_FEATURES */
++      .config_init    = bcm54xx_config_init,
++      .ack_interrupt  = bcm_phy_ack_intr,
++      .config_intr    = bcm_phy_config_intr,
++}, {
+       .phy_id         = PHY_ID_BCM5461,
+       .phy_id_mask    = 0xfffffff0,
+       .name           = "Broadcom BCM5461",
+@@ -753,7 +762,8 @@ module_phy_driver(broadcom_drivers);
+ static struct mdio_device_id __maybe_unused broadcom_tbl[] = {
+       { PHY_ID_BCM5411, 0xfffffff0 },
+       { PHY_ID_BCM5421, 0xfffffff0 },
+-      { PHY_ID_BCM54210E, 0xfffffff0 },
++      { PHY_ID_BCM54210E, 0xffffffff },
++      { PHY_ID_BCM54213PE, 0xffffffff },
+       { PHY_ID_BCM5461, 0xfffffff0 },
+       { PHY_ID_BCM54612E, 0xfffffff0 },
+       { PHY_ID_BCM54616S, 0xfffffff0 },
+--- a/include/linux/brcmphy.h
++++ b/include/linux/brcmphy.h
+@@ -20,6 +20,7 @@
+ #define PHY_ID_BCM5411                        0x00206070
+ #define PHY_ID_BCM5421                        0x002060e0
+ #define PHY_ID_BCM54210E              0x600d84a0
++#define PHY_ID_BCM54213PE             0x600d84a2
+ #define PHY_ID_BCM5464                        0x002060b0
+ #define PHY_ID_BCM5461                        0x002060c0
+ #define PHY_ID_BCM54612E              0x03625e60
diff --git a/target/linux/bcm27xx/patches-5.4/950-1011-phy-broadcom-Add-bcm54213pe-configuration.patch b/target/linux/bcm27xx/patches-5.4/950-1011-phy-broadcom-Add-bcm54213pe-configuration.patch
new file mode 100644 (file)
index 0000000..d4f6c24
--- /dev/null
@@ -0,0 +1,35 @@
+From 9c08f4ff9f8f9e56011395dc064100ff6f139bdc Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 29 Oct 2020 14:10:56 +0000
+Subject: [PATCH] phy: broadcom: Add bcm54213pe configuration
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/net/phy/broadcom.c | 9 +++++++++
+ 1 file changed, 9 insertions(+)
+
+--- a/drivers/net/phy/broadcom.c
++++ b/drivers/net/phy/broadcom.c
+@@ -43,6 +43,11 @@ static int bcm54210e_config_init(struct
+       return 0;
+ }
++static int bcm54213pe_config_init(struct phy_device *phydev)
++{
++      return bcm54210e_config_init(phydev);
++}
++
+ static int bcm54612e_config_init(struct phy_device *phydev)
+ {
+       int reg;
+@@ -304,6 +309,10 @@ static int bcm54xx_config_init(struct ph
+               err = bcm54210e_config_init(phydev);
+               if (err)
+                       return err;
++      } else if (BRCM_PHY_MODEL(phydev) == PHY_ID_BCM54213PE) {
++              err = bcm54213pe_config_init(phydev);
++              if (err)
++                      return err;
+       } else if (BRCM_PHY_MODEL(phydev) == PHY_ID_BCM54612E) {
+               err = bcm54612e_config_init(phydev);
+               if (err)
diff --git a/target/linux/bcm27xx/patches-5.4/950-1012-Allo-boss2-driver.patch b/target/linux/bcm27xx/patches-5.4/950-1012-Allo-boss2-driver.patch
new file mode 100644 (file)
index 0000000..aacbc7d
--- /dev/null
@@ -0,0 +1,1185 @@
+From 51ce8712f4633484615b9982dc48038b3b86e235 Mon Sep 17 00:00:00 2001
+From: Sudeep <sudeepkumar@cem-solutions.net>
+Date: Fri, 23 Oct 2020 15:47:17 +0530
+Subject: [PATCH] Allo boss2 driver
+
+Signed-off-by: Sudeep <sudeepkumar@cem-solutions.net>
+---
+ sound/soc/bcm/Kconfig          |    9 +
+ sound/soc/bcm/Makefile         |    2 +
+ sound/soc/bcm/allo-boss2-dac.c | 1133 ++++++++++++++++++++++++++++++++
+ 3 files changed, 1144 insertions(+)
+ create mode 100644 sound/soc/bcm/allo-boss2-dac.c
+
+--- a/sound/soc/bcm/Kconfig
++++ b/sound/soc/bcm/Kconfig
+@@ -258,6 +258,15 @@ config SND_BCM2708_SOC_ALLO_BOSS_DAC
+       help
+         Say Y or M if you want to add support for Allo Boss DAC.
++config SND_BCM2708_SOC_ALLO_BOSS2_DAC
++      tristate "Support for Allo Boss2 DAC"
++      depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
++      depends on I2C
++      select REGMAP_I2C
++      select SND_AUDIO_GRAPH_CARD
++      help
++        Say Y or M if you want to add support for Allo Boss2 DAC.
++
+ config SND_BCM2708_SOC_ALLO_DIGIONE
+       tristate "Support for Allo DigiOne"
+       depends on SND_BCM2708_SOC_I2S || SND_BCM2835_SOC_I2S
+--- a/sound/soc/bcm/Makefile
++++ b/sound/soc/bcm/Makefile
+@@ -33,6 +33,7 @@ snd-soc-digidac1-soundcard-objs := digid
+ snd-soc-dionaudio-loco-objs := dionaudio_loco.o
+ snd-soc-dionaudio-loco-v2-objs := dionaudio_loco-v2.o
+ snd-soc-allo-boss-dac-objs := allo-boss-dac.o
++snd-soc-allo-boss2-dac-objs := allo-boss2-dac.o
+ snd-soc-allo-piano-dac-objs := allo-piano-dac.o
+ snd-soc-allo-piano-dac-plus-objs := allo-piano-dac-plus.o
+ snd-soc-allo-katana-codec-objs := allo-katana-codec.o
+@@ -63,6 +64,7 @@ obj-$(CONFIG_SND_DIGIDAC1_SOUNDCARD) +=
+ obj-$(CONFIG_SND_BCM2708_SOC_DIONAUDIO_LOCO) += snd-soc-dionaudio-loco.o
+ obj-$(CONFIG_SND_BCM2708_SOC_DIONAUDIO_LOCO_V2) += snd-soc-dionaudio-loco-v2.o
+ obj-$(CONFIG_SND_BCM2708_SOC_ALLO_BOSS_DAC) += snd-soc-allo-boss-dac.o
++obj-$(CONFIG_SND_BCM2708_SOC_ALLO_BOSS2_DAC) += snd-soc-allo-boss2-dac.o
+ obj-$(CONFIG_SND_BCM2708_SOC_ALLO_PIANO_DAC) += snd-soc-allo-piano-dac.o
+ obj-$(CONFIG_SND_BCM2708_SOC_ALLO_PIANO_DAC_PLUS) += snd-soc-allo-piano-dac-plus.o
+ obj-$(CONFIG_SND_BCM2708_SOC_ALLO_KATANA_DAC) += snd-soc-allo-katana-codec.o
+--- /dev/null
++++ b/sound/soc/bcm/allo-boss2-dac.c
+@@ -0,0 +1,1133 @@
++/*
++ * Driver for the ALLO KATANA CODEC
++ *
++ * Author: Jaikumar <sudeepkumar@cem-solutions.net>
++ *            Copyright 2018
++ *
++ * This program is free software; you can redistribute it and/or
++ * modify it under the terms of the GNU General Public License
++ * version 2 as published by the Free Software Foundation.
++ *
++ * This program is distributed in the hope that it will be useful, but
++ * WITHOUT ANY WARRANTY; without even the implied warranty of
++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
++ * General Public License for more details.
++ */
++
++#include <linux/module.h>
++#include <linux/moduleparam.h>
++#include <linux/kernel.h>
++#include <linux/init.h>
++#include <linux/delay.h>
++#include <linux/gpio.h>
++#include <linux/gpio/consumer.h>
++#include <linux/platform_device.h>
++#include <linux/pm.h>
++#include <linux/i2c.h>
++#include <linux/of_device.h>
++#include <linux/regmap.h>
++#include <linux/slab.h>
++#include <sound/core.h>
++#include <sound/pcm.h>
++#include <sound/pcm_params.h>
++#include <sound/soc.h>
++#include <sound/soc-dapm.h>
++#include <sound/initval.h>
++#include <sound/tlv.h>
++#include <linux/of_gpio.h>
++#include <linux/regulator/consumer.h>
++#include <linux/pm_runtime.h>
++#include <linux/of_irq.h>
++#include <linux/completion.h>
++#include <linux/mutex.h>
++#include <linux/workqueue.h>
++#include <sound/jack.h>
++
++#include "../codecs/cs43130.h"
++
++#include <linux/clk.h>
++#include <linux/gcd.h>
++#define DEBUG
++
++#define CS43130_DSD_EN_MASK             0x10
++#define CS43130_PDN_DONE_INT_MASK        0x00
++
++static struct gpio_desc *snd_allo_clk44gpio;
++static struct gpio_desc *snd_allo_clk48gpio;
++
++struct  cs43130_priv {
++      struct snd_soc_component        *component;
++      struct regmap                   *regmap;
++      struct regulator_bulk_data      supplies[CS43130_NUM_SUPPLIES];
++      struct gpio_desc                *reset_gpio;
++      unsigned int                    dev_id; /* codec device ID */
++      int                             xtal_ibias;
++      /* shared by both DAIs */
++      struct mutex                    clk_mutex;
++      int                             clk_req;
++      bool                            pll_bypass;
++      struct completion               xtal_rdy;
++      struct completion               pll_rdy;
++      unsigned int                    mclk;
++      unsigned int                    mclk_int;
++      int                             mclk_int_src;
++
++      /* DAI specific */
++      struct cs43130_dai              dais[CS43130_DAI_ID_MAX];
++
++      /* HP load specific */
++      bool                            dc_meas;
++      bool                            ac_meas;
++      bool                            hpload_done;
++      struct completion               hpload_evt;
++      unsigned int                    hpload_stat;
++      u16                             hpload_dc[2];
++      u16                             dc_threshold[CS43130_DC_THRESHOLD];
++      u16                             ac_freq[CS43130_AC_FREQ];
++      u16                             hpload_ac[CS43130_AC_FREQ][2];
++      struct workqueue_struct         *wq;
++      struct work_struct              work;
++      struct snd_soc_jack             jack;
++};
++
++static const struct reg_default cs43130_reg_defaults[] = {
++      {CS43130_SYS_CLK_CTL_1, 0x06},
++      {CS43130_SP_SRATE, 0x01},
++      {CS43130_SP_BITSIZE, 0x05},
++      {CS43130_PAD_INT_CFG, 0x03},
++      {CS43130_PWDN_CTL, 0xFE},
++      {CS43130_CRYSTAL_SET, 0x04},
++      {CS43130_PLL_SET_1, 0x00},
++      {CS43130_PLL_SET_2, 0x00},
++      {CS43130_PLL_SET_3, 0x00},
++      {CS43130_PLL_SET_4, 0x00},
++      {CS43130_PLL_SET_5, 0x40},
++      {CS43130_PLL_SET_6, 0x10},
++      {CS43130_PLL_SET_7, 0x80},
++      {CS43130_PLL_SET_8, 0x03},
++      {CS43130_PLL_SET_9, 0x02},
++      {CS43130_PLL_SET_10, 0x02},
++      {CS43130_CLKOUT_CTL, 0x00},
++      {CS43130_ASP_NUM_1, 0x01},
++      {CS43130_ASP_NUM_2, 0x00},
++      {CS43130_ASP_DEN_1, 0x08},
++      {CS43130_ASP_DEN_2, 0x00},
++      {CS43130_ASP_LRCK_HI_TIME_1, 0x1F},
++      {CS43130_ASP_LRCK_HI_TIME_2, 0x00},
++      {CS43130_ASP_LRCK_PERIOD_1, 0x3F},
++      {CS43130_ASP_LRCK_PERIOD_2, 0x00},
++      {CS43130_ASP_CLOCK_CONF, 0x0C},
++      {CS43130_ASP_FRAME_CONF, 0x0A},
++      {CS43130_XSP_NUM_1, 0x01},
++      {CS43130_XSP_NUM_2, 0x00},
++      {CS43130_XSP_DEN_1, 0x02},
++      {CS43130_XSP_DEN_2, 0x00},
++      {CS43130_XSP_LRCK_HI_TIME_1, 0x1F},
++      {CS43130_XSP_LRCK_HI_TIME_2, 0x00},
++      {CS43130_XSP_LRCK_PERIOD_1, 0x3F},
++      {CS43130_XSP_LRCK_PERIOD_2, 0x00},
++      {CS43130_XSP_CLOCK_CONF, 0x0C},
++      {CS43130_XSP_FRAME_CONF, 0x0A},
++      {CS43130_ASP_CH_1_LOC, 0x00},
++      {CS43130_ASP_CH_2_LOC, 0x00},
++      {CS43130_ASP_CH_1_SZ_EN, 0x06},
++      {CS43130_ASP_CH_2_SZ_EN, 0x0E},
++      {CS43130_XSP_CH_1_LOC, 0x00},
++      {CS43130_XSP_CH_2_LOC, 0x00},
++      {CS43130_XSP_CH_1_SZ_EN, 0x06},
++      {CS43130_XSP_CH_2_SZ_EN, 0x0E},
++      {CS43130_DSD_VOL_B, 0x78},
++      {CS43130_DSD_VOL_A, 0x78},
++      {CS43130_DSD_PATH_CTL_1, 0xA8},
++      {CS43130_DSD_INT_CFG, 0x00},
++      {CS43130_DSD_PATH_CTL_2, 0x02},
++      {CS43130_DSD_PCM_MIX_CTL, 0x00},
++      {CS43130_DSD_PATH_CTL_3, 0x40},
++      {CS43130_HP_OUT_CTL_1, 0x30},
++      {CS43130_PCM_FILT_OPT, 0x02},
++      {CS43130_PCM_VOL_B, 0x78},
++      {CS43130_PCM_VOL_A, 0x78},
++      {CS43130_PCM_PATH_CTL_1, 0xA8},
++      {CS43130_PCM_PATH_CTL_2, 0x00},
++      {CS43130_CLASS_H_CTL, 0x1E},
++      {CS43130_HP_DETECT, 0x04},
++      {CS43130_HP_LOAD_1, 0x00},
++      {CS43130_HP_MEAS_LOAD_1, 0x00},
++      {CS43130_HP_MEAS_LOAD_2, 0x00},
++      {CS43130_INT_MASK_1, 0xFF},
++      {CS43130_INT_MASK_2, 0xFF},
++      {CS43130_INT_MASK_3, 0xFF},
++      {CS43130_INT_MASK_4, 0xFF},
++      {CS43130_INT_MASK_5, 0xFF},
++};
++static bool cs43130_volatile_register(struct device *dev, unsigned int reg)
++{
++      switch (reg) {
++      case CS43130_INT_STATUS_1 ... CS43130_INT_STATUS_5:
++      case CS43130_HP_DC_STAT_1 ... CS43130_HP_DC_STAT_2:
++      case CS43130_HP_AC_STAT_1 ... CS43130_HP_AC_STAT_2:
++              return true;
++      default:
++              return false;
++      }
++}
++
++static const char * const pcm_spd_texts[] = {
++      "Fast",
++      "Slow",
++};
++
++static SOC_ENUM_SINGLE_DECL(pcm_spd_enum, CS43130_PCM_FILT_OPT, 7,
++                      pcm_spd_texts);
++
++static const SNDRV_CTL_TLVD_DECLARE_DB_MINMAX(master_tlv, -12750, 0);
++
++static const struct snd_kcontrol_new cs43130_controls[] = {
++      SOC_DOUBLE_R_TLV("Master Playback Volume", CS43130_PCM_VOL_B,
++                      CS43130_PCM_VOL_A, 0, 255, 1, master_tlv),
++      SOC_DOUBLE("Master Playback Switch", CS43130_PCM_PATH_CTL_1,
++                      0, 1, 1, 1),
++      SOC_DOUBLE_R_TLV("Digital Playback Volume", CS43130_DSD_VOL_B,
++                      CS43130_DSD_VOL_A, 0, 255, 1, master_tlv),
++      SOC_DOUBLE("Digital Playback Switch", CS43130_DSD_PATH_CTL_1,
++                      0, 1, 1, 1),
++      SOC_SINGLE("HV_Enable", CS43130_HP_OUT_CTL_1, 0, 1, 0),
++      SOC_ENUM("PCM Filter Speed", pcm_spd_enum),
++      SOC_SINGLE("PCM Phase Compensation", CS43130_PCM_FILT_OPT, 6, 1, 0),
++      SOC_SINGLE("PCM Nonoversample Emulate", CS43130_PCM_FILT_OPT, 5, 1, 0),
++      SOC_SINGLE("PCM High-pass Filter", CS43130_PCM_FILT_OPT, 1, 1, 0),
++      SOC_SINGLE("PCM De-emphasis Filter", CS43130_PCM_FILT_OPT, 0, 1, 0),
++};
++
++static bool cs43130_readable_register(struct device *dev, unsigned int reg)
++{
++      switch (reg) {
++      case CS43130_DEVID_AB ... CS43130_SYS_CLK_CTL_1:
++      case CS43130_SP_SRATE ... CS43130_PAD_INT_CFG:
++      case CS43130_PWDN_CTL:
++      case CS43130_CRYSTAL_SET:
++      case CS43130_PLL_SET_1 ... CS43130_PLL_SET_5:
++      case CS43130_PLL_SET_6:
++      case CS43130_PLL_SET_7:
++      case CS43130_PLL_SET_8:
++      case CS43130_PLL_SET_9:
++      case CS43130_PLL_SET_10:
++      case CS43130_CLKOUT_CTL:
++      case CS43130_ASP_NUM_1 ... CS43130_ASP_FRAME_CONF:
++      case CS43130_XSP_NUM_1 ... CS43130_XSP_FRAME_CONF:
++      case CS43130_ASP_CH_1_LOC:
++      case CS43130_ASP_CH_2_LOC:
++      case CS43130_ASP_CH_1_SZ_EN:
++      case CS43130_ASP_CH_2_SZ_EN:
++      case CS43130_XSP_CH_1_LOC:
++      case CS43130_XSP_CH_2_LOC:
++      case CS43130_XSP_CH_1_SZ_EN:
++      case CS43130_XSP_CH_2_SZ_EN:
++      case CS43130_DSD_VOL_B ... CS43130_DSD_PATH_CTL_3:
++      case CS43130_HP_OUT_CTL_1:
++      case CS43130_PCM_FILT_OPT ... CS43130_PCM_PATH_CTL_2:
++      case CS43130_CLASS_H_CTL:
++      case CS43130_HP_DETECT:
++      case CS43130_HP_STATUS:
++      case CS43130_HP_LOAD_1:
++      case CS43130_HP_MEAS_LOAD_1:
++      case CS43130_HP_MEAS_LOAD_2:
++      case CS43130_HP_DC_STAT_1:
++      case CS43130_HP_DC_STAT_2:
++      case CS43130_HP_AC_STAT_1:
++      case CS43130_HP_AC_STAT_2:
++      case CS43130_HP_LOAD_STAT:
++      case CS43130_INT_STATUS_1 ... CS43130_INT_STATUS_5:
++      case CS43130_INT_MASK_1 ... CS43130_INT_MASK_5:
++              return true;
++      default:
++              return false;
++      }
++}
++static bool cs43130_precious_register(struct device *dev, unsigned int reg)
++{
++      switch (reg) {
++      case CS43130_INT_STATUS_1 ... CS43130_INT_STATUS_5:
++              return true;
++      default:
++              return false;
++      }
++}
++static int cs43130_pcm_pdn(struct snd_soc_component *component)
++{
++      struct cs43130_priv *cs43130 =
++                              snd_soc_component_get_drvdata(component);
++      int ret;
++      unsigned int reg, pdn_int;
++
++      regmap_write(cs43130->regmap, CS43130_DSD_PATH_CTL_2, 0x02);
++      regmap_update_bits(cs43130->regmap, CS43130_INT_MASK_1,
++                      CS43130_PDN_DONE_INT_MASK, 0);
++      regmap_update_bits(cs43130->regmap, CS43130_PWDN_CTL,
++                      CS43130_PDN_HP_MASK, 1 << CS43130_PDN_HP_SHIFT);
++      usleep_range(10, 50);
++      ret = regmap_read(cs43130->regmap, CS43130_INT_STATUS_1, &reg);
++      pdn_int = reg & 0xFE;
++      regmap_update_bits(cs43130->regmap, CS43130_PWDN_CTL,
++                      CS43130_PDN_ASP_MASK, 1 << CS43130_PDN_ASP_SHIFT);
++      return 0;
++
++}
++static int cs43130_pwr_up_asp_dac(struct snd_soc_component *component)
++{
++      struct cs43130_priv *cs43130 =
++                              snd_soc_component_get_drvdata(component);
++
++      regmap_update_bits(cs43130->regmap, CS43130_PAD_INT_CFG,
++                      CS43130_ASP_3ST_MASK, 0);
++      regmap_write(cs43130->regmap, CS43130_DXD1, 0x99);
++      regmap_write(cs43130->regmap, CS43130_DXD13, 0x20);
++      regmap_update_bits(cs43130->regmap, CS43130_PWDN_CTL,
++                      CS43130_PDN_ASP_MASK, 0);
++      regmap_update_bits(cs43130->regmap, CS43130_PWDN_CTL,
++                      CS43130_PDN_HP_MASK, 0);
++      usleep_range(10000, 12000);
++      regmap_write(cs43130->regmap, CS43130_DXD1, 0x00);
++      regmap_write(cs43130->regmap, CS43130_DXD13, 0x00);
++      return 0;
++}
++static int cs43130_change_clksrc(struct snd_soc_component *component,
++                              enum cs43130_mclk_src_sel src)
++{
++      int ret;
++      struct cs43130_priv *cs43130 =
++                              snd_soc_component_get_drvdata(component);
++      int mclk_int_decoded;
++
++      if (src == cs43130->mclk_int_src) {
++              /* clk source has not changed */
++              return 0;
++      }
++      switch (cs43130->mclk_int) {
++      case CS43130_MCLK_22M:
++              mclk_int_decoded = CS43130_MCLK_22P5;
++              break;
++      case CS43130_MCLK_24M:
++              mclk_int_decoded = CS43130_MCLK_24P5;
++              break;
++      default:
++              dev_err(component->dev, "Invalid MCLK INT freq: %u\n",
++                      cs43130->mclk_int);
++              return -EINVAL;
++      }
++
++      switch (src) {
++      case CS43130_MCLK_SRC_EXT:
++              cs43130->pll_bypass = true;
++              cs43130->mclk_int_src = CS43130_MCLK_SRC_EXT;
++              if (cs43130->xtal_ibias == CS43130_XTAL_UNUSED) {
++                      regmap_update_bits(cs43130->regmap, CS43130_PWDN_CTL,
++                                      CS43130_PDN_XTAL_MASK,
++                                      1 << CS43130_PDN_XTAL_SHIFT);
++              } else {
++                      reinit_completion(&cs43130->xtal_rdy);
++                      regmap_update_bits(cs43130->regmap, CS43130_INT_MASK_1,
++                                      CS43130_XTAL_RDY_INT_MASK, 0);
++                      regmap_update_bits(cs43130->regmap, CS43130_PWDN_CTL,
++                                      CS43130_PDN_XTAL_MASK, 0);
++                      ret = wait_for_completion_timeout(&cs43130->xtal_rdy,
++                                      msecs_to_jiffies(100));
++                      regmap_update_bits(cs43130->regmap, CS43130_INT_MASK_1,
++                                      CS43130_XTAL_RDY_INT_MASK,
++                                      1 << CS43130_XTAL_RDY_INT_SHIFT);
++                      if (ret == 0) {
++                              dev_err(component->dev, "Timeout waiting for XTAL_READY interrupt\n");
++                              return -ETIMEDOUT;
++                      }
++              }
++      regmap_update_bits(cs43130->regmap, CS43130_SYS_CLK_CTL_1,
++                              CS43130_MCLK_SRC_SEL_MASK,
++                              src << CS43130_MCLK_SRC_SEL_SHIFT);
++      regmap_update_bits(cs43130->regmap, CS43130_SYS_CLK_CTL_1,
++                              CS43130_MCLK_INT_MASK,
++                              mclk_int_decoded << CS43130_MCLK_INT_SHIFT);
++      usleep_range(150, 200);
++      regmap_update_bits(cs43130->regmap, CS43130_PWDN_CTL,
++                              CS43130_PDN_PLL_MASK,
++                              1 << CS43130_PDN_PLL_SHIFT);
++      break;
++      case CS43130_MCLK_SRC_RCO:
++              cs43130->mclk_int_src = CS43130_MCLK_SRC_RCO;
++
++              regmap_update_bits(cs43130->regmap, CS43130_SYS_CLK_CTL_1,
++                              CS43130_MCLK_SRC_SEL_MASK,
++                              src << CS43130_MCLK_SRC_SEL_SHIFT);
++              regmap_update_bits(cs43130->regmap, CS43130_SYS_CLK_CTL_1,
++                              CS43130_MCLK_INT_MASK,
++                              CS43130_MCLK_22P5 << CS43130_MCLK_INT_SHIFT);
++              usleep_range(150, 200);
++              regmap_update_bits(cs43130->regmap, CS43130_PWDN_CTL,
++                              CS43130_PDN_XTAL_MASK,
++                              1 << CS43130_PDN_XTAL_SHIFT);
++              regmap_update_bits(cs43130->regmap, CS43130_PWDN_CTL,
++                              CS43130_PDN_PLL_MASK,
++                              1 << CS43130_PDN_PLL_SHIFT);
++      break;
++      default:
++              dev_err(component->dev, "Invalid MCLK source value\n");
++              return -EINVAL;
++      }
++
++      return 0;
++}
++static const struct cs43130_bitwidth_map cs43130_bitwidth_table[] = {
++      {8,     CS43130_SP_BIT_SIZE_8,  CS43130_CH_BIT_SIZE_8},
++      {16,    CS43130_SP_BIT_SIZE_16, CS43130_CH_BIT_SIZE_16},
++      {24,    CS43130_SP_BIT_SIZE_24, CS43130_CH_BIT_SIZE_24},
++      {32,    CS43130_SP_BIT_SIZE_32, CS43130_CH_BIT_SIZE_32},
++};
++
++static const struct cs43130_bitwidth_map *cs43130_get_bitwidth_table(
++                                      unsigned int bitwidth)
++{
++      int i;
++
++      for (i = 0; i < ARRAY_SIZE(cs43130_bitwidth_table); i++) {
++              if (cs43130_bitwidth_table[i].bitwidth == bitwidth)
++                      return &cs43130_bitwidth_table[i];
++      }
++
++      return NULL;
++}
++static int cs43130_set_bitwidth(int dai_id, unsigned int bitwidth_dai,
++                              struct regmap *regmap)
++{
++      const struct cs43130_bitwidth_map *bw_map;
++
++      bw_map = cs43130_get_bitwidth_table(bitwidth_dai);
++      if (!bw_map)
++              return -EINVAL;
++
++      switch (dai_id) {
++      case CS43130_ASP_PCM_DAI:
++      case CS43130_ASP_DOP_DAI:
++              regmap_update_bits(regmap, CS43130_ASP_CH_1_SZ_EN,
++                              CS43130_CH_BITSIZE_MASK, bw_map->ch_bit);
++              regmap_update_bits(regmap, CS43130_ASP_CH_2_SZ_EN,
++                              CS43130_CH_BITSIZE_MASK, bw_map->ch_bit);
++              regmap_update_bits(regmap, CS43130_SP_BITSIZE,
++                              CS43130_ASP_BITSIZE_MASK, bw_map->sp_bit);
++              break;
++      case CS43130_XSP_DOP_DAI:
++              regmap_update_bits(regmap, CS43130_XSP_CH_1_SZ_EN,
++                              CS43130_CH_BITSIZE_MASK, bw_map->ch_bit);
++              regmap_update_bits(regmap, CS43130_XSP_CH_2_SZ_EN,
++                              CS43130_CH_BITSIZE_MASK, bw_map->ch_bit);
++              regmap_update_bits(regmap, CS43130_SP_BITSIZE,
++                              CS43130_XSP_BITSIZE_MASK, bw_map->sp_bit <<
++                              CS43130_XSP_BITSIZE_SHIFT);
++      break;
++      default:
++              return -EINVAL;
++      }
++
++      return 0;
++}
++static const struct cs43130_rate_map cs43130_rate_table[] = {
++      {32000,         CS43130_ASP_SPRATE_32K},
++      {44100,         CS43130_ASP_SPRATE_44_1K},
++      {48000,         CS43130_ASP_SPRATE_48K},
++      {88200,         CS43130_ASP_SPRATE_88_2K},
++      {96000,         CS43130_ASP_SPRATE_96K},
++      {176400,        CS43130_ASP_SPRATE_176_4K},
++      {192000,        CS43130_ASP_SPRATE_192K},
++      {352800,        CS43130_ASP_SPRATE_352_8K},
++      {384000,        CS43130_ASP_SPRATE_384K},
++};
++
++static const struct cs43130_rate_map *cs43130_get_rate_table(int fs)
++{
++      int i;
++
++      for (i = 0; i < ARRAY_SIZE(cs43130_rate_table); i++) {
++              if (cs43130_rate_table[i].fs == fs)
++                      return &cs43130_rate_table[i];
++      }
++
++      return NULL;
++}
++
++static const struct cs43130_clk_gen *cs43130_get_clk_gen(int mclk_int, int fs,
++      const struct cs43130_clk_gen *clk_gen_table, int len_clk_gen_table)
++{
++      int i;
++
++      for (i = 0; i < len_clk_gen_table; i++) {
++              if (clk_gen_table[i].mclk_int == mclk_int &&
++                                      clk_gen_table[i].fs == fs)
++                      return &clk_gen_table[i];
++      }
++      return NULL;
++}
++
++static int cs43130_set_sp_fmt(int dai_id, unsigned int bitwidth_sclk,
++                              struct snd_pcm_hw_params *params,
++                              struct cs43130_priv *cs43130)
++{
++      u16 frm_size;
++      u16 hi_size;
++      u8 frm_delay;
++      u8 frm_phase;
++      u8 frm_data;
++      u8 sclk_edge;
++      u8 lrck_edge;
++      u8 clk_data;
++      u8 loc_ch1;
++      u8 loc_ch2;
++      u8 dai_mode_val;
++      const struct cs43130_clk_gen *clk_gen;
++
++      switch (cs43130->dais[dai_id].dai_format) {
++      case SND_SOC_DAIFMT_I2S:
++              hi_size = bitwidth_sclk;
++              frm_delay = 2;
++              frm_phase = 0;
++              break;
++      case SND_SOC_DAIFMT_LEFT_J:
++              hi_size = bitwidth_sclk;
++              frm_delay = 2;
++              frm_phase = 1;
++              break;
++      case SND_SOC_DAIFMT_DSP_A:
++              hi_size = 1;
++              frm_delay = 2;
++              frm_phase = 1;
++              break;
++      case SND_SOC_DAIFMT_DSP_B:
++              hi_size = 1;
++              frm_delay = 0;
++              frm_phase = 1;
++              break;
++      default:
++              return -EINVAL;
++      }
++      switch (cs43130->dais[dai_id].dai_mode) {
++      case SND_SOC_DAIFMT_CBS_CFS:
++              dai_mode_val = 0;
++              break;
++      case SND_SOC_DAIFMT_CBM_CFM:
++              dai_mode_val = 1;
++              break;
++      default:
++              return -EINVAL;
++      }
++
++      frm_size = bitwidth_sclk * params_channels(params);
++      sclk_edge = 1;
++      lrck_edge = 0;
++      loc_ch1 = 0;
++      loc_ch2 = bitwidth_sclk * (params_channels(params) - 1);
++
++      frm_data = frm_delay & CS43130_SP_FSD_MASK;
++      frm_data |= (frm_phase << CS43130_SP_STP_SHIFT) & CS43130_SP_STP_MASK;
++
++      clk_data = lrck_edge & CS43130_SP_LCPOL_IN_MASK;
++      clk_data |= (lrck_edge << CS43130_SP_LCPOL_OUT_SHIFT) &
++                      CS43130_SP_LCPOL_OUT_MASK;
++      clk_data |= (sclk_edge << CS43130_SP_SCPOL_IN_SHIFT) &
++                      CS43130_SP_SCPOL_IN_MASK;
++      clk_data |= (sclk_edge << CS43130_SP_SCPOL_OUT_SHIFT) &
++                      CS43130_SP_SCPOL_OUT_MASK;
++      clk_data |= (dai_mode_val << CS43130_SP_MODE_SHIFT) &
++                      CS43130_SP_MODE_MASK;
++      switch (dai_id) {
++      case CS43130_ASP_PCM_DAI:
++      case CS43130_ASP_DOP_DAI:
++              regmap_update_bits(cs43130->regmap, CS43130_ASP_LRCK_PERIOD_1,
++                      CS43130_SP_LCPR_DATA_MASK, (frm_size - 1) >>
++                      CS43130_SP_LCPR_LSB_DATA_SHIFT);
++              regmap_update_bits(cs43130->regmap, CS43130_ASP_LRCK_PERIOD_2,
++                      CS43130_SP_LCPR_DATA_MASK, (frm_size - 1) >>
++                      CS43130_SP_LCPR_MSB_DATA_SHIFT);
++              regmap_update_bits(cs43130->regmap, CS43130_ASP_LRCK_HI_TIME_1,
++                      CS43130_SP_LCHI_DATA_MASK, (hi_size - 1) >>
++                      CS43130_SP_LCHI_LSB_DATA_SHIFT);
++              regmap_update_bits(cs43130->regmap, CS43130_ASP_LRCK_HI_TIME_2,
++                      CS43130_SP_LCHI_DATA_MASK, (hi_size - 1) >>
++                      CS43130_SP_LCHI_MSB_DATA_SHIFT);
++              regmap_write(cs43130->regmap, CS43130_ASP_FRAME_CONF, frm_data);
++              regmap_write(cs43130->regmap, CS43130_ASP_CH_1_LOC, loc_ch1);
++              regmap_write(cs43130->regmap, CS43130_ASP_CH_2_LOC, loc_ch2);
++              regmap_update_bits(cs43130->regmap, CS43130_ASP_CH_1_SZ_EN,
++                      CS43130_CH_EN_MASK, 1 << CS43130_CH_EN_SHIFT);
++              regmap_update_bits(cs43130->regmap, CS43130_ASP_CH_2_SZ_EN,
++                      CS43130_CH_EN_MASK, 1 << CS43130_CH_EN_SHIFT);
++              regmap_write(cs43130->regmap, CS43130_ASP_CLOCK_CONF, clk_data);
++              break;
++      case CS43130_XSP_DOP_DAI:
++              regmap_update_bits(cs43130->regmap, CS43130_XSP_LRCK_PERIOD_1,
++                      CS43130_SP_LCPR_DATA_MASK, (frm_size - 1) >>
++                      CS43130_SP_LCPR_LSB_DATA_SHIFT);
++              regmap_update_bits(cs43130->regmap, CS43130_XSP_LRCK_PERIOD_2,
++                      CS43130_SP_LCPR_DATA_MASK, (frm_size - 1) >>
++                      CS43130_SP_LCPR_MSB_DATA_SHIFT);
++              regmap_update_bits(cs43130->regmap, CS43130_XSP_LRCK_HI_TIME_1,
++                      CS43130_SP_LCHI_DATA_MASK, (hi_size - 1) >>
++                      CS43130_SP_LCHI_LSB_DATA_SHIFT);
++              regmap_update_bits(cs43130->regmap, CS43130_XSP_LRCK_HI_TIME_2,
++                      CS43130_SP_LCHI_DATA_MASK, (hi_size - 1) >>
++                      CS43130_SP_LCHI_MSB_DATA_SHIFT);
++              regmap_write(cs43130->regmap, CS43130_XSP_FRAME_CONF, frm_data);
++              regmap_write(cs43130->regmap, CS43130_XSP_CH_1_LOC, loc_ch1);
++              regmap_write(cs43130->regmap, CS43130_XSP_CH_2_LOC, loc_ch2);
++              regmap_update_bits(cs43130->regmap, CS43130_XSP_CH_1_SZ_EN,
++                      CS43130_CH_EN_MASK, 1 << CS43130_CH_EN_SHIFT);
++              regmap_update_bits(cs43130->regmap, CS43130_XSP_CH_2_SZ_EN,
++                      CS43130_CH_EN_MASK, 1 << CS43130_CH_EN_SHIFT);
++              regmap_write(cs43130->regmap, CS43130_XSP_CLOCK_CONF, clk_data);
++              break;
++      default:
++              return -EINVAL;
++      }
++      switch (frm_size) {
++      case 16:
++              clk_gen = cs43130_get_clk_gen(cs43130->mclk_int,
++                                              params_rate(params),
++                                              cs43130_16_clk_gen,
++                                              ARRAY_SIZE(cs43130_16_clk_gen));
++              break;
++      case 32:
++              clk_gen = cs43130_get_clk_gen(cs43130->mclk_int,
++                                              params_rate(params),
++                                              cs43130_32_clk_gen,
++                                              ARRAY_SIZE(cs43130_32_clk_gen));
++              break;
++      case 48:
++              clk_gen = cs43130_get_clk_gen(cs43130->mclk_int,
++                                              params_rate(params),
++                                              cs43130_48_clk_gen,
++                                              ARRAY_SIZE(cs43130_48_clk_gen));
++              break;
++      case 64:
++              clk_gen = cs43130_get_clk_gen(cs43130->mclk_int,
++                                              params_rate(params),
++                                              cs43130_64_clk_gen,
++                                              ARRAY_SIZE(cs43130_64_clk_gen));
++              break;
++      default:
++              return -EINVAL;
++      }
++      if (!clk_gen)
++              return -EINVAL;
++      switch (dai_id) {
++      case CS43130_ASP_PCM_DAI:
++      case CS43130_ASP_DOP_DAI:
++              regmap_write(cs43130->regmap, CS43130_ASP_DEN_1,
++                              (clk_gen->den & CS43130_SP_M_LSB_DATA_MASK) >>
++                              CS43130_SP_M_LSB_DATA_SHIFT);
++              regmap_write(cs43130->regmap, CS43130_ASP_DEN_2,
++                              (clk_gen->den & CS43130_SP_M_MSB_DATA_MASK) >>
++                              CS43130_SP_M_MSB_DATA_SHIFT);
++              regmap_write(cs43130->regmap, CS43130_ASP_NUM_1,
++                              (clk_gen->num & CS43130_SP_N_LSB_DATA_MASK) >>
++                              CS43130_SP_N_LSB_DATA_SHIFT);
++              regmap_write(cs43130->regmap, CS43130_ASP_NUM_2,
++                              (clk_gen->num & CS43130_SP_N_MSB_DATA_MASK) >>
++                              CS43130_SP_N_MSB_DATA_SHIFT);
++              break;
++      case CS43130_XSP_DOP_DAI:
++              regmap_write(cs43130->regmap, CS43130_XSP_DEN_1,
++                              (clk_gen->den & CS43130_SP_M_LSB_DATA_MASK) >>
++                              CS43130_SP_M_LSB_DATA_SHIFT);
++              regmap_write(cs43130->regmap, CS43130_XSP_DEN_2,
++                              (clk_gen->den & CS43130_SP_M_MSB_DATA_MASK) >>
++                              CS43130_SP_M_MSB_DATA_SHIFT);
++              regmap_write(cs43130->regmap, CS43130_XSP_NUM_1,
++                              (clk_gen->num & CS43130_SP_N_LSB_DATA_MASK) >>
++                              CS43130_SP_N_LSB_DATA_SHIFT);
++              regmap_write(cs43130->regmap, CS43130_XSP_NUM_2,
++                              (clk_gen->num & CS43130_SP_N_MSB_DATA_MASK) >>
++                              CS43130_SP_N_MSB_DATA_SHIFT);
++              break;
++      default:
++              return -EINVAL;
++      }
++      return 0;
++}
++
++static int cs43130_hw_params(struct snd_pcm_substream *substream,
++                              struct snd_pcm_hw_params *params,
++                              struct snd_soc_dai *dai)
++{
++      struct snd_soc_component *component = dai->component;
++      struct cs43130_priv *cs43130 =
++                              snd_soc_component_get_drvdata(component);
++      const struct cs43130_rate_map *rate_map;
++      unsigned int sclk = cs43130->dais[dai->id].sclk;
++      unsigned int bitwidth_sclk;
++      unsigned int bitwidth_dai = (unsigned int)(params_width(params));
++      unsigned int dop_rate = (unsigned int)(params_rate(params));
++      unsigned int required_clk, ret;
++      u8 dsd_speed;
++
++      cs43130->pll_bypass = true;
++      cs43130_pcm_pdn(component);
++      mutex_lock(&cs43130->clk_mutex);
++      if (!cs43130->clk_req) {
++              /* no DAI is currently using clk */
++              if (!(CS43130_MCLK_22M % params_rate(params))) {
++                      required_clk = CS43130_MCLK_22M;
++                      cs43130->mclk_int =  CS43130_MCLK_22M;
++                      gpiod_set_value_cansleep(snd_allo_clk44gpio, 1);
++                      gpiod_set_value_cansleep(snd_allo_clk48gpio, 0);
++                      usleep_range(13500, 14000);
++              } else {
++                      required_clk = CS43130_MCLK_24M;
++                      cs43130->mclk_int =  CS43130_MCLK_24M;
++                      gpiod_set_value_cansleep(snd_allo_clk48gpio, 1);
++                      gpiod_set_value_cansleep(snd_allo_clk44gpio, 0);
++                      usleep_range(13500, 14000);
++              }
++              if (cs43130->pll_bypass)
++                      cs43130_change_clksrc(component, CS43130_MCLK_SRC_EXT);
++              else
++                      cs43130_change_clksrc(component, CS43130_MCLK_SRC_PLL);
++      }
++
++      cs43130->clk_req++;
++      mutex_unlock(&cs43130->clk_mutex);
++
++      switch (dai->id) {
++      case CS43130_ASP_DOP_DAI:
++      case CS43130_XSP_DOP_DAI:
++              /* DoP bitwidth is always 24-bit */
++              bitwidth_dai = 24;
++              sclk = params_rate(params) * bitwidth_dai *
++                              params_channels(params);
++
++              switch (params_rate(params)) {
++              case 176400:
++                      dsd_speed = 0;
++                      break;
++              case 352800:
++                      dsd_speed = 1;
++                      break;
++              default:
++                      dev_err(component->dev, "Rate(%u) not supported\n",
++                              params_rate(params));
++                      return -EINVAL;
++              }
++
++              regmap_update_bits(cs43130->regmap, CS43130_DSD_PATH_CTL_2,
++                                      CS43130_DSD_SPEED_MASK,
++                                      dsd_speed << CS43130_DSD_SPEED_SHIFT);
++              break;
++      case CS43130_ASP_PCM_DAI:
++              rate_map = cs43130_get_rate_table(params_rate(params));
++              if (!rate_map)
++                      return -EINVAL;
++
++              regmap_write(cs43130->regmap, CS43130_SP_SRATE, rate_map->val);
++              if ((dop_rate == 176400) && (bitwidth_dai == 24)) {
++                      dsd_speed = 0;
++                      regmap_update_bits(cs43130->regmap,
++                                      CS43130_DSD_PATH_CTL_2,
++                                      CS43130_DSD_SPEED_MASK,
++                                      dsd_speed << CS43130_DSD_SPEED_SHIFT);
++                      regmap_update_bits(cs43130->regmap,
++                                      CS43130_DSD_PATH_CTL_2,
++                                      CS43130_DSD_SRC_MASK,
++                                      CS43130_DSD_SRC_ASP <<
++                                      CS43130_DSD_SRC_SHIFT);
++                      regmap_update_bits(cs43130->regmap,
++                                      CS43130_DSD_PATH_CTL_2,
++                                      CS43130_DSD_EN_MASK, 0x01 <<
++                                      CS43130_DSD_EN_SHIFT);
++              }
++              break;
++      default:
++              dev_err(component->dev, "Invalid DAI (%d)\n", dai->id);
++              return -EINVAL;
++      }
++
++      switch (dai->id) {
++      case CS43130_ASP_DOP_DAI:
++              regmap_update_bits(cs43130->regmap, CS43130_DSD_PATH_CTL_2,
++                              CS43130_DSD_SRC_MASK, CS43130_DSD_SRC_ASP <<
++                              CS43130_DSD_SRC_SHIFT);
++              regmap_update_bits(cs43130->regmap, CS43130_DSD_PATH_CTL_2,
++                              CS43130_DSD_EN_MASK, 0x01 <<
++                              CS43130_DSD_EN_SHIFT);
++              break;
++      case CS43130_XSP_DOP_DAI:
++              regmap_update_bits(cs43130->regmap, CS43130_DSD_PATH_CTL_2,
++                              CS43130_DSD_SRC_MASK, CS43130_DSD_SRC_XSP <<
++                              CS43130_DSD_SRC_SHIFT);
++              break;
++      }
++      if (!sclk && cs43130->dais[dai->id].dai_mode ==
++                                              SND_SOC_DAIFMT_CBM_CFM) {
++              /* Calculate SCLK in master mode if unassigned */
++              sclk = params_rate(params) * bitwidth_dai *
++                              params_channels(params);
++      }
++      if (!sclk) {
++              /* at this point, SCLK must be set */
++              dev_err(component->dev, "SCLK freq is not set\n");
++              return -EINVAL;
++      }
++
++      bitwidth_sclk = (sclk / params_rate(params)) / params_channels(params);
++      if (bitwidth_sclk < bitwidth_dai) {
++              dev_err(component->dev, "Format not supported: SCLK freq is too low\n");
++              return -EINVAL;
++      }
++
++      dev_dbg(component->dev,
++              "sclk = %u, fs = %d, bitwidth_dai = %u\n",
++              sclk, params_rate(params), bitwidth_dai);
++
++      dev_dbg(component->dev,
++              "bitwidth_sclk = %u, num_ch = %u\n",
++              bitwidth_sclk, params_channels(params));
++
++      cs43130_set_bitwidth(dai->id, bitwidth_dai, cs43130->regmap);
++      cs43130_set_sp_fmt(dai->id, bitwidth_sclk, params, cs43130);
++      ret = cs43130_pwr_up_asp_dac(component);
++      return 0;
++}
++
++static int cs43130_hw_free(struct snd_pcm_substream *substream,
++                                      struct snd_soc_dai *dai)
++{
++      struct snd_soc_component *component = dai->component;
++      struct cs43130_priv *cs43130 =
++                              snd_soc_component_get_drvdata(component);
++
++      mutex_lock(&cs43130->clk_mutex);
++      cs43130->clk_req--;
++      if (!cs43130->clk_req) {
++              /* no DAI is currently using clk */
++              cs43130_change_clksrc(component, CS43130_MCLK_SRC_RCO);
++              cs43130_pcm_pdn(component);
++      }
++      mutex_unlock(&cs43130->clk_mutex);
++
++      return 0;
++}
++
++static const unsigned int cs43130_asp_src_rates[] = {
++      32000, 44100, 48000, 88200, 96000, 176400, 192000
++};
++
++static const struct snd_pcm_hw_constraint_list cs43130_asp_constraints = {
++      .count  = ARRAY_SIZE(cs43130_asp_src_rates),
++      .list   = cs43130_asp_src_rates,
++};
++
++static int cs43130_pcm_startup(struct snd_pcm_substream *substream,
++                                      struct snd_soc_dai *dai)
++{
++      return snd_pcm_hw_constraint_list(substream->runtime, 0,
++                                      SNDRV_PCM_HW_PARAM_RATE,
++                                      &cs43130_asp_constraints);
++}
++
++static int cs43130_pcm_set_fmt(struct snd_soc_dai *codec_dai, unsigned int fmt)
++{
++      struct snd_soc_component *component = codec_dai->component;
++      struct cs43130_priv *cs43130 =
++                              snd_soc_component_get_drvdata(component);
++
++      switch (fmt & SND_SOC_DAIFMT_MASTER_MASK) {
++      case SND_SOC_DAIFMT_CBS_CFS:
++              cs43130->dais[codec_dai->id].dai_mode = SND_SOC_DAIFMT_CBS_CFS;
++              break;
++      case SND_SOC_DAIFMT_CBM_CFM:
++              cs43130->dais[codec_dai->id].dai_mode = SND_SOC_DAIFMT_CBM_CFM;
++              break;
++      default:
++              dev_err(component->dev, "unsupported mode\n");
++              return -EINVAL;
++      }
++
++      switch (fmt & SND_SOC_DAIFMT_FORMAT_MASK) {
++      case SND_SOC_DAIFMT_I2S:
++              cs43130->dais[codec_dai->id].dai_format = SND_SOC_DAIFMT_I2S;
++              break;
++      case SND_SOC_DAIFMT_LEFT_J:
++              cs43130->dais[codec_dai->id].dai_format = SND_SOC_DAIFMT_LEFT_J;
++              break;
++      default:
++              dev_err(component->dev,
++                      "unsupported audio format\n");
++              return -EINVAL;
++      }
++
++      dev_dbg(component->dev, "dai_id = %d,  dai_mode = %u, dai_format = %u\n",
++                      codec_dai->id,
++                      cs43130->dais[codec_dai->id].dai_mode,
++                      cs43130->dais[codec_dai->id].dai_format);
++
++      return 0;
++}
++
++static int cs43130_set_sysclk(struct snd_soc_dai *codec_dai,
++                                      int clk_id, unsigned int freq, int dir)
++{
++      struct snd_soc_component *component = codec_dai->component;
++      struct cs43130_priv *cs43130 =
++                              snd_soc_component_get_drvdata(component);
++
++      cs43130->dais[codec_dai->id].sclk = freq;
++      dev_dbg(component->dev, "dai_id = %d,  sclk = %u\n", codec_dai->id,
++                              cs43130->dais[codec_dai->id].sclk);
++
++      return 0;
++}
++
++static int cs43130_component_set_sysclk(struct snd_soc_component *component,
++                                      int clk_id, int source,
++                                      unsigned int freq, int dir)
++{
++      struct cs43130_priv *cs43130 =
++                              snd_soc_component_get_drvdata(component);
++
++      dev_dbg(component->dev, "clk_id = %d, source = %d, freq = %d, dir = %d\n",
++              clk_id, source, freq, dir);
++
++      switch (freq) {
++      case CS43130_MCLK_22M:
++      case CS43130_MCLK_24M:
++              cs43130->mclk = freq;
++              break;
++      default:
++              dev_err(component->dev, "Invalid MCLK INT freq: %u\n", freq);
++              return -EINVAL;
++      }
++
++      if (source == CS43130_MCLK_SRC_EXT) {
++              cs43130->pll_bypass = true;
++      } else {
++              dev_err(component->dev, "Invalid MCLK source\n");
++              return -EINVAL;
++      }
++
++      return 0;
++}
++static u16 const cs43130_ac_freq[CS43130_AC_FREQ] = {
++      24,
++      43,
++      93,
++      200,
++      431,
++      928,
++      2000,
++      4309,
++      9283,
++      20000,
++};
++static const struct snd_soc_dai_ops cs43130_dai_ops = {
++      .startup        = cs43130_pcm_startup,
++      .hw_params      = cs43130_hw_params,
++      .hw_free        = cs43130_hw_free,
++      .set_sysclk     = cs43130_set_sysclk,
++      .set_fmt        = cs43130_pcm_set_fmt,
++};
++
++static struct snd_soc_dai_driver cs43130_codec_dai = {
++      .name = "allo-cs43130",
++      .playback = {
++              .stream_name = "Playback",
++              .channels_min = 2,
++              .channels_max = 2,
++              .rates = SNDRV_PCM_RATE_CONTINUOUS,
++              .rate_min = 44100,
++              .rate_max = 192000,
++              .formats = SNDRV_PCM_FMTBIT_S16_LE |
++                      SNDRV_PCM_FMTBIT_S24_LE |
++                      SNDRV_PCM_FMTBIT_S32_LE
++
++      },
++      .ops = &cs43130_dai_ops,
++};
++
++static struct snd_soc_component_driver cs43130_component_driver = {
++      .idle_bias_on           = true,
++      .controls               = cs43130_controls,
++      .num_controls           = ARRAY_SIZE(cs43130_controls),
++      .set_sysclk             = cs43130_component_set_sysclk,
++      .idle_bias_on           = 1,
++      .use_pmdown_time        = 1,
++      .endianness             = 1,
++      .non_legacy_dai_naming  = 1,
++};
++
++static const struct regmap_config cs43130_regmap = {
++      .reg_bits               = 24,
++      .pad_bits               = 8,
++      .val_bits               = 8,
++
++      .max_register           = CS43130_LASTREG,
++      .reg_defaults           = cs43130_reg_defaults,
++      .num_reg_defaults       = ARRAY_SIZE(cs43130_reg_defaults),
++      .readable_reg           = cs43130_readable_register,
++      .precious_reg           = cs43130_precious_register,
++      .volatile_reg           = cs43130_volatile_register,
++      .cache_type             = REGCACHE_RBTREE,
++      /* needed for regcache_sync */
++      .use_single_read        = true,
++      .use_single_write       = true,
++};
++
++static u16 const cs43130_dc_threshold[CS43130_DC_THRESHOLD] = {
++      50,
++      120,
++};
++
++static int cs43130_handle_device_data(struct i2c_client *i2c_client,
++                                      struct cs43130_priv *cs43130)
++{
++      struct device_node *np = i2c_client->dev.of_node;
++      unsigned int val;
++      int i;
++
++      if (of_property_read_u32(np, "cirrus,xtal-ibias", &val) < 0) {
++      /* Crystal is unused. System clock is used for external MCLK */
++              cs43130->xtal_ibias = CS43130_XTAL_UNUSED;
++              return 0;
++      }
++
++      switch (val) {
++      case 1:
++              cs43130->xtal_ibias = CS43130_XTAL_IBIAS_7_5UA;
++              break;
++      case 2:
++              cs43130->xtal_ibias = CS43130_XTAL_IBIAS_12_5UA;
++              break;
++      case 3:
++              cs43130->xtal_ibias = CS43130_XTAL_IBIAS_15UA;
++              break;
++      default:
++              dev_err(&i2c_client->dev,
++                      "Invalid cirrus,xtal-ibias value: %d\n", val);
++              return -EINVAL;
++      }
++
++      cs43130->dc_meas = of_property_read_bool(np, "cirrus,dc-measure");
++      cs43130->ac_meas = of_property_read_bool(np, "cirrus,ac-measure");
++
++      if (of_property_read_u16_array(np, "cirrus,ac-freq", cs43130->ac_freq,
++                                      CS43130_AC_FREQ) < 0) {
++              for (i = 0; i < CS43130_AC_FREQ; i++)
++                      cs43130->ac_freq[i] = cs43130_ac_freq[i];
++      }
++
++      if (of_property_read_u16_array(np, "cirrus,dc-threshold",
++                                      cs43130->dc_threshold,
++                                      CS43130_DC_THRESHOLD) < 0) {
++              for (i = 0; i < CS43130_DC_THRESHOLD; i++)
++                      cs43130->dc_threshold[i] = cs43130_dc_threshold[i];
++      }
++
++      return 0;
++}
++
++
++static int allo_cs43130_component_probe(struct i2c_client *i2c,
++                           const struct i2c_device_id *id)
++{
++      struct regmap *regmap;
++      struct regmap_config config = cs43130_regmap;
++      struct device *dev = &i2c->dev;
++      struct cs43130_priv *cs43130;
++      unsigned int devid = 0;
++      unsigned int reg;
++      int ret;
++
++      regmap = devm_regmap_init_i2c(i2c, &config);
++      if (IS_ERR(regmap))
++              return PTR_ERR(regmap);
++
++      cs43130 = devm_kzalloc(dev, sizeof(struct cs43130_priv),
++                                      GFP_KERNEL);
++      if (!cs43130)
++              return -ENOMEM;
++
++      dev_set_drvdata(dev, cs43130);
++      cs43130->regmap = regmap;
++
++      if (i2c->dev.of_node) {
++              ret = cs43130_handle_device_data(i2c, cs43130);
++              if (ret != 0)
++                      return ret;
++      }
++      usleep_range(2000, 2050);
++
++      ret = regmap_read(cs43130->regmap, CS43130_DEVID_AB, &reg);
++      devid = (reg & 0xFF) << 12;
++      ret = regmap_read(cs43130->regmap, CS43130_DEVID_CD, &reg);
++      devid |= (reg & 0xFF) << 4;
++      ret = regmap_read(cs43130->regmap, CS43130_DEVID_E, &reg);
++      devid |= (reg & 0xF0) >> 4;
++      if (devid != CS43198_CHIP_ID) {
++              dev_err(dev, "Failed to read Chip or wrong Chip id: %d\n", ret);
++              return ret;
++      }
++
++      cs43130->mclk_int_src = CS43130_MCLK_SRC_RCO;
++      msleep(20);
++
++      ret = snd_soc_register_component(dev, &cs43130_component_driver,
++                                  &cs43130_codec_dai, 1);
++      if (ret != 0) {
++              dev_err(dev, "failed to register codec: %d\n", ret);
++              return ret;
++      }
++      regmap_update_bits(cs43130->regmap, CS43130_PAD_INT_CFG,
++                      CS43130_ASP_3ST_MASK, 0);
++      regmap_update_bits(cs43130->regmap, CS43130_PAD_INT_CFG,
++                      CS43130_XSP_3ST_MASK, 1);
++      regmap_update_bits(cs43130->regmap, CS43130_PWDN_CTL,
++                      CS43130_PDN_HP_MASK, 1 << CS43130_PDN_HP_SHIFT);
++      msleep(20);
++      regmap_write(cs43130->regmap, CS43130_CLASS_H_CTL, 0x06);
++      snd_allo_clk44gpio = devm_gpiod_get(dev, "clock44", GPIOD_OUT_HIGH);
++      if (IS_ERR(snd_allo_clk44gpio))
++              dev_err(dev, "devm_gpiod_get() failed\n");
++
++      snd_allo_clk48gpio = devm_gpiod_get(dev, "clock48", GPIOD_OUT_LOW);
++      if (IS_ERR(snd_allo_clk48gpio))
++              dev_err(dev, "devm_gpiod_get() failed\n");
++
++      return 0;
++}
++
++static int allo_cs43130_component_remove(struct i2c_client *i2c)
++{
++      snd_soc_unregister_component(&i2c->dev);
++      return 0;
++}
++
++static const struct i2c_device_id allo_cs43130_component_id[] = {
++      { "allo-cs43198", },
++      { }
++};
++MODULE_DEVICE_TABLE(i2c, allo_cs43130_component_id);
++
++static const struct of_device_id allo_cs43130_codec_of_match[] = {
++      { .compatible = "allo,allo-cs43198", },
++      { }
++};
++MODULE_DEVICE_TABLE(of, allo_cs43130_codec_of_match);
++
++static struct i2c_driver allo_cs43130_component_driver = {
++      .probe          = allo_cs43130_component_probe,
++      .remove         = allo_cs43130_component_remove,
++      .id_table       = allo_cs43130_component_id,
++      .driver         = {
++      .name           = "allo-cs43198",
++      .of_match_table = allo_cs43130_codec_of_match,
++      },
++};
++
++module_i2c_driver(allo_cs43130_component_driver);
++
++MODULE_DESCRIPTION("ASoC Allo Boss2 Codec Driver");
++MODULE_AUTHOR("Sudeepkumar <sudeepkumar@cem-solutions.net>");
++MODULE_LICENSE("GPL v2");
diff --git a/target/linux/bcm27xx/patches-5.4/950-1013-Add-allo-boss2-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-1013-Add-allo-boss2-overlay.patch
new file mode 100644 (file)
index 0000000..97bc4a5
--- /dev/null
@@ -0,0 +1,98 @@
+From b07f4bea8e3259c30fa905b047dce73449147f58 Mon Sep 17 00:00:00 2001
+From: Sudeep <sudeepkumar@cem-solutions.net>
+Date: Fri, 23 Oct 2020 15:51:15 +0530
+Subject: [PATCH] Add allo boss2 overlay
+
+Signed-off-by: Sudeep <sudeepkumar@cem-solutions.net>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  1 +
+ arch/arm/boot/dts/overlays/README             |  6 ++
+ .../overlays/allo-boss2-dac-audio-overlay.dts | 57 +++++++++++++++++++
+ 3 files changed, 64 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/allo-boss2-dac-audio-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -14,6 +14,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       adv728x-m.dtbo \
+       akkordion-iqdacplus.dtbo \
+       allo-boss-dac-pcm512x-audio.dtbo \
++      allo-boss2-dac-audio.dtbo \
+       allo-digione.dtbo \
+       allo-katana-dac-audio.dtbo \
+       allo-piano-dac-pcm512x-audio.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -420,6 +420,12 @@ Params: 24db_digital_gain       Allow ga
+                                 slave"
++Name:   allo-boss2-dac-audio
++Info:   Configures the Allo Boss2 DAC audio card
++Load:   dtoverlay=allo-boss2-dac-audio
++Params: <None>
++
++
+ Name:   allo-digione
+ Info:   Configures the Allo Digione audio card
+ Load:   dtoverlay=allo-digione
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/allo-boss2-dac-audio-overlay.dts
+@@ -0,0 +1,57 @@
++/* * Definitions for Allo Boss2 DAC boards
++ */
++
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&i2s>;
++              __overlay__ {
++                      #sound-dai-cells = <0>;
++                      status = "okay";
++                      cpu_port: port {
++                              cpu_endpoint: endpoint {
++                                      remote-endpoint = <&codec_endpoint>;
++                                      bitclock-master = <&codec_endpoint>;
++                                      frame-master = <&codec_endpoint>;
++                                      dai-format = "i2s";
++                              };
++                      };
++              };
++      };
++
++      fragment@1 {
++              target = <&i2c1>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++                      allo-cs43130@30 {
++                              #sound-dai-cells = <0>;
++                              compatible = "allo,allo-cs43198";
++                              clock44-gpio = <&gpio 5 0>;
++                              clock48-gpio = <&gpio 6 0>;
++                              reg = <0x30>;
++                              port {
++                                      codec_endpoint: endpoint {
++                                      remote-endpoint = <&cpu_endpoint>;
++                                      };
++                              };
++                      };
++              };
++      };
++
++      fragment@2 {
++              target = <&sound>;
++              boss2_dac: __overlay__ {
++                      compatible = "audio-graph-card";
++                      label = "Allo Boss2";
++                      dais = <&cpu_port>;
++                      status = "okay";
++              };
++      };
++};
++
diff --git a/target/linux/bcm27xx/patches-5.4/950-1014-Revert-mailbox-avoid-timer-start-from-callback.patch b/target/linux/bcm27xx/patches-5.4/950-1014-Revert-mailbox-avoid-timer-start-from-callback.patch
new file mode 100644 (file)
index 0000000..849bdd1
--- /dev/null
@@ -0,0 +1,60 @@
+From 2b7fcd18b15d9cc7b2e68deb77f4e0acfa904c41 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 3 Nov 2020 10:13:48 +0000
+Subject: [PATCH] Revert "mailbox: avoid timer start from callback"
+
+This reverts commit 6dc15642c8b830d384fd3e6c9ea63144202b8932.
+
+The Pi 400 shutdown/poweroff mechanism relies on being able to set
+a GPIO on the expander in the pm_power_off handler, something that
+requires two mailbox calls - GET_GPIO_STATE and SET_GPIO_STATE. A
+recent kernel change introduces a reasonable possibility that the
+GET call doesn't completes, and bisecting led to a commit from
+October that changes the timer usage of the mailbox.
+
+My theory is that there is a race condition in the new code that breaks
+the poll timer, but that it normally goes unnoticed because subsequent
+mailbox activity wakes it up again. The power-off mailbox calls happen
+at a time when other subsystems have been shut down, so if one of them
+fails then there is nothing to allow it to recover.
+
+Revert 6dc15642 as (at least) a workaround.
+
+See: https://github.com/raspberrypi/linux/issues/3941
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/mailbox/mailbox.c | 12 +++++-------
+ 1 file changed, 5 insertions(+), 7 deletions(-)
+
+--- a/drivers/mailbox/mailbox.c
++++ b/drivers/mailbox/mailbox.c
+@@ -82,12 +82,9 @@ static void msg_submit(struct mbox_chan
+ exit:
+       spin_unlock_irqrestore(&chan->lock, flags);
+-      /* kick start the timer immediately to avoid delays */
+-      if (!err && (chan->txdone_method & TXDONE_BY_POLL)) {
+-              /* but only if not already active */
+-              if (!hrtimer_active(&chan->mbox->poll_hrt))
+-                      hrtimer_start(&chan->mbox->poll_hrt, 0, HRTIMER_MODE_REL);
+-      }
++      if (!err && (chan->txdone_method & TXDONE_BY_POLL))
++              /* kick start the timer immediately to avoid delays */
++              hrtimer_start(&chan->mbox->poll_hrt, 0, HRTIMER_MODE_REL);
+ }
+ static void tx_tick(struct mbox_chan *chan, int r)
+@@ -125,10 +122,11 @@ static enum hrtimer_restart txdone_hrtim
+               struct mbox_chan *chan = &mbox->chans[i];
+               if (chan->active_req && chan->cl) {
+-                      resched = true;
+                       txdone = chan->mbox->ops->last_tx_done(chan);
+                       if (txdone)
+                               tx_tick(chan, 0);
++                      else
++                              resched = true;
+               }
+       }
diff --git a/target/linux/bcm27xx/patches-5.4/950-1015-ARM-dts-Add-bcm2711-rpi-400.dts.patch b/target/linux/bcm27xx/patches-5.4/950-1015-ARM-dts-Add-bcm2711-rpi-400.dts.patch
new file mode 100644 (file)
index 0000000..444c7ae
--- /dev/null
@@ -0,0 +1,627 @@
+From 37034aa6ba14c346f67e8b99a317c45e40f0a2eb Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 14 Jul 2020 14:21:33 +0100
+Subject: [PATCH] ARM: dts: Add bcm2711-rpi-400.dts
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/Makefile                    |   1 +
+ arch/arm/boot/dts/bcm2711-rpi-400.dts         | 585 ++++++++++++++++++
+ arch/arm64/boot/dts/broadcom/Makefile         |   1 +
+ .../boot/dts/broadcom/bcm2711-rpi-400.dts     |   1 +
+ 4 files changed, 588 insertions(+)
+ create mode 100644 arch/arm/boot/dts/bcm2711-rpi-400.dts
+ create mode 100644 arch/arm64/boot/dts/broadcom/bcm2711-rpi-400.dts
+
+--- a/arch/arm/boot/dts/Makefile
++++ b/arch/arm/boot/dts/Makefile
+@@ -12,6 +12,7 @@ dtb-$(CONFIG_ARCH_BCM2835) += \
+       bcm2710-rpi-3-b.dtb \
+       bcm2710-rpi-3-b-plus.dtb \
+       bcm2711-rpi-4-b.dtb \
++      bcm2711-rpi-400.dtb \
+       bcm2710-rpi-cm3.dtb \
+       bcm2711-rpi-cm4.dtb
+--- /dev/null
++++ b/arch/arm/boot/dts/bcm2711-rpi-400.dts
+@@ -0,0 +1,585 @@
++// SPDX-License-Identifier: GPL-2.0
++/dts-v1/;
++#include "bcm2711.dtsi"
++#include "bcm2835-rpi.dtsi"
++
++/ {
++      compatible = "raspberrypi,400", "brcm,bcm2711";
++      model = "Raspberry Pi 400";
++
++      chosen {
++              /* 8250 auxiliary UART instead of pl011 */
++              stdout-path = "serial1:115200n8";
++      };
++
++      /* Will be filled by the bootloader */
++      memory@0 {
++              device_type = "memory";
++              reg = <0 0 0>;
++      };
++
++      aliases {
++              emmc2bus = &emmc2bus;
++              ethernet0 = &genet;
++              pcie0 = &pcie0;
++      };
++
++      leds {
++              act {
++                      gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
++              };
++
++              pwr {
++                      label = "PWR";
++                      gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
++                      default-state = "keep";
++                      linux,default-trigger = "default-on";
++              };
++      };
++
++      wifi_pwrseq: wifi-pwrseq {
++              compatible = "mmc-pwrseq-simple";
++              reset-gpios = <&expgpio 1 GPIO_ACTIVE_LOW>;
++      };
++
++      sd_io_1v8_reg: sd_io_1v8_reg {
++              compatible = "regulator-gpio";
++              regulator-name = "vdd-sd-io";
++              regulator-min-microvolt = <1800000>;
++              regulator-max-microvolt = <3300000>;
++              regulator-boot-on;
++              regulator-always-on;
++              regulator-settling-time-us = <5000>;
++              gpios = <&expgpio 4 GPIO_ACTIVE_HIGH>;
++              states = <1800000 0x1
++                        3300000 0x0>;
++              status = "okay";
++      };
++};
++
++&firmware {
++      expgpio: gpio {
++              compatible = "raspberrypi,firmware-gpio";
++              gpio-controller;
++              #gpio-cells = <2>;
++              gpio-line-names = "BT_ON",
++                                "WL_ON",
++                                "PWR_LED_OFF",
++                                "GLOBAL_RESET",
++                                "VDD_SD_IO_SEL",
++                                "CAM_GPIO",
++                                "SD_PWR_ON",
++                                "SD_OC_N";
++              status = "okay";
++      };
++};
++
++&gpio {
++      /*
++       * Parts taken from rpi_SCH_4b_4p0_reduced.pdf and
++       * the official GPU firmware DT blob.
++       *
++       * Legend:
++       * "FOO" = GPIO line named "FOO" on the schematic
++       * "FOO_N" = GPIO line named "FOO" on schematic, active low
++       */
++      gpio-line-names = "ID_SDA",
++                        "ID_SCL",
++                        "SDA1",
++                        "SCL1",
++                        "GPIO_GCLK",
++                        "GPIO5",
++                        "GPIO6",
++                        "SPI_CE1_N",
++                        "SPI_CE0_N",
++                        "SPI_MISO",
++                        "SPI_MOSI",
++                        "SPI_SCLK",
++                        "GPIO12",
++                        "GPIO13",
++                        /* Serial port */
++                        "TXD1",
++                        "RXD1",
++                        "GPIO16",
++                        "GPIO17",
++                        "GPIO18",
++                        "GPIO19",
++                        "GPIO20",
++                        "GPIO21",
++                        "GPIO22",
++                        "GPIO23",
++                        "GPIO24",
++                        "GPIO25",
++                        "GPIO26",
++                        "GPIO27",
++                        "RGMII_MDIO",
++                        "RGMIO_MDC",
++                        /* Used by BT module */
++                        "CTS0",
++                        "RTS0",
++                        "TXD0",
++                        "RXD0",
++                        /* Used by Wifi */
++                        "SD1_CLK",
++                        "SD1_CMD",
++                        "SD1_DATA0",
++                        "SD1_DATA1",
++                        "SD1_DATA2",
++                        "SD1_DATA3",
++                        /* Shared with SPI flash */
++                        "PWM0_MISO",
++                        "PWM1_MOSI",
++                        "STATUS_LED_G_CLK",
++                        "SPIFLASH_CE_N",
++                        "SDA0",
++                        "SCL0",
++                        "RGMII_RXCLK",
++                        "RGMII_RXCTL",
++                        "RGMII_RXD0",
++                        "RGMII_RXD1",
++                        "RGMII_RXD2",
++                        "RGMII_RXD3",
++                        "RGMII_TXCLK",
++                        "RGMII_TXCTL",
++                        "RGMII_TXD0",
++                        "RGMII_TXD1",
++                        "RGMII_TXD2",
++                        "RGMII_TXD3";
++};
++
++&pwm1 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&pwm1_0_gpio40 &pwm1_1_gpio41>;
++      status = "okay";
++};
++
++/* SDHCI is used to control the SDIO for wireless */
++&sdhci {
++      #address-cells = <1>;
++      #size-cells = <0>;
++      pinctrl-names = "default";
++      pinctrl-0 = <&emmc_gpio34>;
++      bus-width = <4>;
++      non-removable;
++      mmc-pwrseq = <&wifi_pwrseq>;
++      status = "okay";
++
++      brcmf: wifi@1 {
++              reg = <1>;
++              compatible = "brcm,bcm4329-fmac";
++      };
++};
++
++/* EMMC2 is used to drive the SD card */
++&emmc2 {
++      vqmmc-supply = <&sd_io_1v8_reg>;
++      broken-cd;
++      status = "okay";
++};
++
++&genet {
++      phy-handle = <&phy1>;
++      phy-mode = "rgmii-rxid";
++      status = "okay";
++};
++
++&genet_mdio {
++      phy1: ethernet-phy@1 {
++              /* No PHY interrupt */
++              reg = <0x1>;
++      };
++};
++
++/* uart0 communicates with the BT module */
++&uart0 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&uart0_ctsrts_gpio30 &uart0_gpio32>;
++      uart-has-rtscts;
++      status = "okay";
++
++      bluetooth {
++              compatible = "brcm,bcm43438-bt";
++              max-speed = <2000000>;
++              shutdown-gpios = <&expgpio 0 GPIO_ACTIVE_HIGH>;
++      };
++};
++
++/* uart1 is mapped to the pin header */
++&uart1 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&uart1_gpio14>;
++      status = "okay";
++};
++
++&vchiq {
++      interrupts = <GIC_SPI 34 IRQ_TYPE_LEVEL_HIGH>;
++};
++
++// =============================================
++// Downstream rpi- changes
++
++#include "bcm270x.dtsi"
++#include "bcm271x-rpi-bt.dtsi"
++
++/ {
++      soc {
++              /delete-node/ pixelvalve@7e807000;
++              /delete-node/ hdmi@7e902000;
++      };
++};
++
++#include "bcm2711-rpi.dtsi"
++#include "bcm283x-rpi-csi1-2lane.dtsi"
++#include "bcm283x-rpi-i2c0mux_0_44.dtsi"
++
++/ {
++      chosen {
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
++      };
++
++      aliases {
++              serial0 = &uart1;
++              serial1 = &uart0;
++              mmc0 = &emmc2;
++              mmc1 = &mmcnr;
++              mmc2 = &sdhost;
++              /delete-property/ i2c2;
++              i2c3 = &i2c3;
++              i2c4 = &i2c4;
++              i2c5 = &i2c5;
++              i2c6 = &i2c6;
++              /delete-property/ intc;
++      };
++
++      /delete-node/ wifi-pwrseq;
++};
++
++&mmcnr {
++      pinctrl-names = "default";
++      pinctrl-0 = <&sdio_pins>;
++      bus-width = <4>;
++      status = "okay";
++};
++
++&uart0 {
++      pinctrl-0 = <&uart0_pins &bt_pins>;
++      status = "okay";
++};
++
++&uart1 {
++      pinctrl-0 = <&uart1_pins>;
++};
++
++&spi0 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&spi0_pins &spi0_cs_pins>;
++      cs-gpios = <&gpio 8 1>, <&gpio 7 1>;
++
++      spidev0: spidev@0{
++              compatible = "spidev";
++              reg = <0>;      /* CE0 */
++              #address-cells = <1>;
++              #size-cells = <0>;
++              spi-max-frequency = <125000000>;
++      };
++
++      spidev1: spidev@1{
++              compatible = "spidev";
++              reg = <1>;      /* CE1 */
++              #address-cells = <1>;
++              #size-cells = <0>;
++              spi-max-frequency = <125000000>;
++      };
++};
++
++&gpio {
++      spi0_pins: spi0_pins {
++              brcm,pins = <9 10 11>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++      };
++
++      spi0_cs_pins: spi0_cs_pins {
++              brcm,pins = <8 7>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      spi3_pins: spi3_pins {
++              brcm,pins = <1 2 3>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++      };
++
++      spi3_cs_pins: spi3_cs_pins {
++              brcm,pins = <0 24>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      spi4_pins: spi4_pins {
++              brcm,pins = <5 6 7>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++      };
++
++      spi4_cs_pins: spi4_cs_pins {
++              brcm,pins = <4 25>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      spi5_pins: spi5_pins {
++              brcm,pins = <13 14 15>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++      };
++
++      spi5_cs_pins: spi5_cs_pins {
++              brcm,pins = <12 26>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      spi6_pins: spi6_pins {
++              brcm,pins = <19 20 21>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++      };
++
++      spi6_cs_pins: spi6_cs_pins {
++              brcm,pins = <18 27>;
++              brcm,function = <BCM2835_FSEL_GPIO_OUT>;
++      };
++
++      i2c0_pins: i2c0 {
++              brcm,pins = <0 1>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c1_pins: i2c1 {
++              brcm,pins = <2 3>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c3_pins: i2c3 {
++              brcm,pins = <4 5>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c4_pins: i2c4 {
++              brcm,pins = <8 9>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c5_pins: i2c5 {
++              brcm,pins = <12 13>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2c6_pins: i2c6 {
++              brcm,pins = <22 23>;
++              brcm,function = <BCM2835_FSEL_ALT5>;
++              brcm,pull = <BCM2835_PUD_UP>;
++      };
++
++      i2s_pins: i2s {
++              brcm,pins = <18 19 20 21>;
++              brcm,function = <BCM2835_FSEL_ALT0>;
++      };
++
++      sdio_pins: sdio_pins {
++              brcm,pins =     <34 35 36 37 38 39>;
++              brcm,function = <BCM2835_FSEL_ALT3>; // alt3 = SD1
++              brcm,pull =     <0 2 2 2 2 2>;
++      };
++
++      bt_pins: bt_pins {
++              brcm,pins = "-"; // non-empty to keep btuart happy, //4 = 0
++                               // to fool pinctrl
++              brcm,function = <0>;
++              brcm,pull = <2>;
++      };
++
++      uart0_pins: uart0_pins {
++              brcm,pins = <32 33>;
++              brcm,function = <BCM2835_FSEL_ALT3>;
++              brcm,pull = <0 2>;
++      };
++
++      uart1_pins: uart1_pins {
++              brcm,pins;
++              brcm,function;
++              brcm,pull;
++      };
++
++      uart2_pins: uart2_pins {
++              brcm,pins = <0 1>;
++              brcm,function = <BCM2835_FSEL_ALT4>;
++              brcm,pull = <0 2>;
++      };
++
++      uart3_pins: uart3_pins {
++              brcm,pins = <4 5>;
++              brcm,function = <BCM2835_FSEL_ALT4>;
++              brcm,pull = <0 2>;
++      };
++
++      uart4_pins: uart4_pins {
++              brcm,pins = <8 9>;
++              brcm,function = <BCM2835_FSEL_ALT4>;
++              brcm,pull = <0 2>;
++      };
++
++      uart5_pins: uart5_pins {
++              brcm,pins = <12 13>;
++              brcm,function = <BCM2835_FSEL_ALT4>;
++              brcm,pull = <0 2>;
++      };
++};
++
++&i2c0if {
++      clock-frequency = <100000>;
++};
++
++&i2c1 {
++      pinctrl-names = "default";
++      pinctrl-0 = <&i2c1_pins>;
++      clock-frequency = <100000>;
++};
++
++&i2s {
++      pinctrl-names = "default";
++      pinctrl-0 = <&i2s_pins>;
++};
++
++/ {
++      __overrides__ {
++              /delete-property/ i2c2_baudrate;
++              /delete-property/ i2c2_iknowwhatimdoing;
++      };
++};
++
++&firmwarekms {
++      compatible = "raspberrypi,rpi-firmware-kms-2711";
++};
++
++// =============================================
++// Board specific stuff here
++
++/ {
++      sd_vcc_reg: sd_vcc_reg {
++              compatible = "regulator-fixed";
++              regulator-name = "vcc-sd";
++              regulator-min-microvolt = <3300000>;
++              regulator-max-microvolt = <3300000>;
++              regulator-boot-on;
++              enable-active-high;
++              gpio = <&expgpio 6 GPIO_ACTIVE_HIGH>;
++      };
++
++      power_ctrl: power_ctrl {
++              compatible = "gpio-poweroff";
++              gpios = <&expgpio 5 0>;
++              force;
++      };
++};
++
++&sdhost {
++      status = "disabled";
++};
++
++&emmc2 {
++      vmmc-supply = <&sd_vcc_reg>;
++};
++
++&phy1 {
++      led-modes = <0x00 0x08>; /* link/activity link */
++};
++
++&gpio {
++      audio_pins: audio_pins {
++              brcm,pins = <40 41>;
++              brcm,function = <4>;
++      };
++};
++
++&leds {
++      act_led: act {
++              label = "led0";
++              linux,default-trigger = "default-on";
++              default-state = "on";
++              gpios = <&gpio 42 GPIO_ACTIVE_HIGH>;
++      };
++
++      pwr_led: pwr {
++              label = "led1";
++              linux,default-trigger = "default-on";
++              gpios = <&expgpio 2 GPIO_ACTIVE_LOW>;
++      };
++};
++
++&pwm1 {
++      status = "disabled";
++};
++
++&audio {
++      pinctrl-names = "default";
++      pinctrl-0 = <&audio_pins>;
++      brcm,disable-headphones = <1>;
++};
++
++&vc4 {
++      status = "disabled";
++};
++
++&pixelvalve0 {
++      status = "disabled";
++};
++
++&pixelvalve1 {
++      status = "disabled";
++};
++
++&pixelvalve2 {
++      status = "disabled";
++};
++
++&pixelvalve3 {
++      status = "disabled";
++};
++
++&pixelvalve4 {
++      status = "disabled";
++};
++
++&hdmi0 {
++      status = "disabled";
++};
++
++&ddc0 {
++      status = "disabled";
++};
++
++&hdmi1 {
++      status = "disabled";
++};
++
++&ddc1 {
++      status = "disabled";
++};
++
++/ {
++      __overrides__ {
++              act_led_gpio = <&act_led>,"gpios:4";
++              act_led_activelow = <&act_led>,"gpios:8";
++              act_led_trigger = <&act_led>,"linux,default-trigger";
++
++              pwr_led_gpio = <&pwr_led>,"gpios:4";
++              pwr_led_activelow = <&pwr_led>,"gpios:8";
++              pwr_led_trigger = <&pwr_led>,"linux,default-trigger";
++
++              eth_led0 = <&phy1>,"led-modes:0";
++              eth_led1 = <&phy1>,"led-modes:4";
++
++              sd_poll_once = <&emmc2>, "non-removable?";
++              spi_dma4 = <&spi0>, "dmas:0=", <&dma40>,
++                         <&spi0>, "dmas:8=", <&dma40>;
++      };
++};
+--- a/arch/arm64/boot/dts/broadcom/Makefile
++++ b/arch/arm64/boot/dts/broadcom/Makefile
+@@ -7,6 +7,7 @@ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rp
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-3-b.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-3-b-plus.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2711-rpi-4-b.dtb
++dtb-$(CONFIG_ARCH_BCM2835) += bcm2711-rpi-400.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2710-rpi-cm3.dtb
+ dtb-$(CONFIG_ARCH_BCM2835) += bcm2711-rpi-cm4.dtb
+--- /dev/null
++++ b/arch/arm64/boot/dts/broadcom/bcm2711-rpi-400.dts
+@@ -0,0 +1 @@
++#include "../../../../arm/boot/dts/bcm2711-rpi-400.dts"
diff --git a/target/linux/bcm27xx/patches-5.4/950-1016-overlays-Deprecate-and-delete-the-sdtweak-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-1016-overlays-Deprecate-and-delete-the-sdtweak-overlay.patch
new file mode 100644 (file)
index 0000000..fb0107c
--- /dev/null
@@ -0,0 +1,64 @@
+From 88358069ed07f16a0aab0764ed1337e540006e29 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 4 Nov 2020 11:25:02 +0000
+Subject: [PATCH] overlays: Deprecate and delete the sdtweak overlay
+
+The sdtweak overlay has been superseded by the board-specific
+sd_* parameters such as sd_poll_once, sd_overclock etc.
+
+For example, replace:
+
+    dtoverlay=sdtweak,poll_once
+
+with:
+
+    dtparam=sd_poll_once
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/overlay_map.dts    |  4 +++
+ .../arm/boot/dts/overlays/sdtweak-overlay.dts | 25 -------------------
+ 2 files changed, 4 insertions(+), 25 deletions(-)
+ delete mode 100644 arch/arm/boot/dts/overlays/sdtweak-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/overlay_map.dts
++++ b/arch/arm/boot/dts/overlays/overlay_map.dts
+@@ -61,6 +61,10 @@
+               deprecated = "use sdio,bus_width=1,gpios_22_25";
+       };
++      sdtweak {
++              deprecated = "use 'dtparam=sd_poll_once' etc.";
++      };
++
+       spi0-cs {
+               renamed = "spi0-2cs";
+       };
+--- a/arch/arm/boot/dts/overlays/sdtweak-overlay.dts
++++ /dev/null
+@@ -1,25 +0,0 @@
+-/dts-v1/;
+-/plugin/;
+-
+-/* Provide backwards compatible aliases for the old sdhost dtparams. */
+-
+-/{
+-      compatible = "brcm,bcm2835";
+-
+-      fragment@0 {
+-              target = <&sdhost>;
+-              frag0: __overlay__ {
+-                      brcm,overclock-50 = <0>;
+-                      brcm,pio-limit = <1>;
+-              };
+-      };
+-
+-      __overrides__ {
+-              overclock_50     = <&frag0>,"brcm,overclock-50:0";
+-              force_pio        = <&frag0>,"brcm,force-pio?";
+-              pio_limit        = <&frag0>,"brcm,pio-limit:0";
+-              debug            = <&frag0>,"brcm,debug?";
+-              enable           = <&frag0>,"status";
+-              poll_once        = <&frag0>,"non-removable?";
+-      };
+-};
diff --git a/target/linux/bcm27xx/patches-5.4/950-1017-overlays-Complete-the-sdtweak-excision.patch b/target/linux/bcm27xx/patches-5.4/950-1017-overlays-Complete-the-sdtweak-excision.patch
new file mode 100644 (file)
index 0000000..dc63f39
--- /dev/null
@@ -0,0 +1,57 @@
+From 572fe7f4e008792e3f14822d5ddddb37c86329fe Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 5 Nov 2020 09:54:33 +0000
+Subject: [PATCH] overlays: Complete the sdtweak excision
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/Makefile |  1 -
+ arch/arm/boot/dts/overlays/README   | 27 ++++-----------------------
+ 2 files changed, 4 insertions(+), 24 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -159,7 +159,6 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       sc16is752-spi1.dtbo \
+       sdhost.dtbo \
+       sdio.dtbo \
+-      sdtweak.dtbo \
+       sh1106-spi.dtbo \
+       smi.dtbo \
+       smi-dev.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2407,29 +2407,10 @@ Load:   <Deprecated>
+ Name:   sdtweak
+-Info:   Tunes the bcm2835-sdhost SD/MMC driver
+-        N.B. This functionality is now available via the sd_* dtparams in the
+-        base DTB.
+-Load:   dtoverlay=sdtweak,<param>=<val>
+-Params: overclock_50            Clock (in MHz) to use when the MMC framework
+-                                requests 50MHz
+-
+-        force_pio               Disable DMA support (default off)
+-
+-        pio_limit               Number of blocks above which to use DMA
+-                                (default 1)
+-
+-        debug                   Enable debug output (default off)
+-
+-        poll_once               Looks for a card once after booting. Useful
+-                                for network booting scenarios to avoid the
+-                                overhead of continuous polling. N.B. Using
+-                                this option restricts the system to using a
+-                                single card per boot (or none at all).
+-                                (default off)
+-
+-        enable                  Set to off to completely disable the interface
+-                                (default on)
++Info:   This overlay is now deprecated. Use the sd_* dtparams in the
++        base DTB, e.g. "dtoverlay=sdtweak,poll_once" becomes
++        "dtparam=sd_poll_once".
++Load:   <Deprecated>
+ Name:   sh1106-spi
diff --git a/target/linux/bcm27xx/patches-5.4/950-1018-ARM-dts-bcm27xx-Remove-enable_headphones-setting.patch b/target/linux/bcm27xx/patches-5.4/950-1018-ARM-dts-bcm27xx-Remove-enable_headphones-setting.patch
new file mode 100644 (file)
index 0000000..f3db190
--- /dev/null
@@ -0,0 +1,110 @@
+From f1d85a62da9a69fba1438e64b4fcc785abb6c957 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Thu, 5 Nov 2020 11:39:35 +0000
+Subject: [PATCH] ARM: dts: bcm27xx: Remove enable_headphones setting
+
+The enable_headphones parameter of the snd_bcm2835 module is forced
+to 1 if enable_compat_alsa is 0, so setting them both on the kernel
+command line is pointless (and, in the case of Pi 400 and Pi Zeroes,
+confusing).
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2708-rpi-zero-w.dts   | 2 +-
+ arch/arm/boot/dts/bcm2708-rpi-zero.dts     | 2 +-
+ arch/arm/boot/dts/bcm270x.dtsi             | 2 +-
+ arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts | 2 +-
+ arch/arm/boot/dts/bcm2710-rpi-3-b.dts      | 2 +-
+ arch/arm/boot/dts/bcm2711-rpi-4-b.dts      | 2 +-
+ arch/arm/boot/dts/bcm2711-rpi-400.dts      | 2 +-
+ arch/arm/boot/dts/bcm2711-rpi-cm4.dts      | 2 +-
+ 8 files changed, 8 insertions(+), 8 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-zero-w.dts
+@@ -11,7 +11,7 @@
+       model = "Raspberry Pi Zero W";
+       chosen {
+-              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1";
+       };
+       aliases {
+--- a/arch/arm/boot/dts/bcm2708-rpi-zero.dts
++++ b/arch/arm/boot/dts/bcm2708-rpi-zero.dts
+@@ -10,7 +10,7 @@
+       model = "Raspberry Pi Zero";
+       chosen {
+-              bootargs = "coherent_pool=1M snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
++              bootargs = "coherent_pool=1M snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1";
+       };
+ };
+--- a/arch/arm/boot/dts/bcm270x.dtsi
++++ b/arch/arm/boot/dts/bcm270x.dtsi
+@@ -3,7 +3,7 @@
+ / {
+       chosen {
+-              bootargs = "coherent_pool=1M snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
++              bootargs = "coherent_pool=1M snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1";
+               /delete-property/ stdout-path;
+       };
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b-plus.dts
+@@ -12,7 +12,7 @@
+       model = "Raspberry Pi 3 Model B+";
+       chosen {
+-              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1";
+       };
+       aliases {
+--- a/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
++++ b/arch/arm/boot/dts/bcm2710-rpi-3-b.dts
+@@ -12,7 +12,7 @@
+       model = "Raspberry Pi 3 Model B";
+       chosen {
+-              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1";
+       };
+       aliases {
+--- a/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-4-b.dts
+@@ -234,7 +234,7 @@
+ / {
+       chosen {
+-              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1";
+       };
+       aliases {
+--- a/arch/arm/boot/dts/bcm2711-rpi-400.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-400.dts
+@@ -234,7 +234,7 @@
+ / {
+       chosen {
+-              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1";
+       };
+       aliases {
+--- a/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
+@@ -174,7 +174,7 @@
+ / {
+       chosen {
+-              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1";
++              bootargs = "coherent_pool=1M 8250.nr_uarts=1 snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1";
+       };
+       aliases {
diff --git a/target/linux/bcm27xx/patches-5.4/950-1019-staging-vcsm-cma-Fix-memory-leak-from-not-detaching-.patch b/target/linux/bcm27xx/patches-5.4/950-1019-staging-vcsm-cma-Fix-memory-leak-from-not-detaching-.patch
new file mode 100644 (file)
index 0000000..992adc6
--- /dev/null
@@ -0,0 +1,77 @@
+From 6ff310748f67d98d1c2c8ea75decd9dee13aa50c Mon Sep 17 00:00:00 2001
+From: Dave Stevenson <dave.stevenson@raspberrypi.com>
+Date: Wed, 4 Nov 2020 18:54:20 +0000
+Subject: [PATCH] staging: vcsm-cma: Fix memory leak from not
+ detaching dmabuf
+
+When importing there was a missing call to detach the buffer,
+so each import leaked the sg table entry.
+
+Actually the release process for both locally allocated and
+imported buffers is identical, so fix them to both use the same
+function.
+
+Signed-off-by: Dave Stevenson <dave.stevenson@raspberrypi.com>
+---
+ .../staging/vc04_services/vc-sm-cma/vc_sm.c   | 22 ++-----------------
+ 1 file changed, 2 insertions(+), 20 deletions(-)
+
+--- a/drivers/staging/vc04_services/vc-sm-cma/vc_sm.c
++++ b/drivers/staging/vc04_services/vc-sm-cma/vc_sm.c
+@@ -237,6 +237,7 @@ static void vc_sm_add_resource(struct vc
+ /*
+  * Cleans up imported dmabuf.
++ * Should be called with mutex held.
+  */
+ static void vc_sm_clean_up_dmabuf(struct vc_sm_buffer *buffer)
+ {
+@@ -244,7 +245,6 @@ static void vc_sm_clean_up_dmabuf(struct
+               return;
+       /* Handle cleaning up imported dmabufs */
+-      mutex_lock(&buffer->lock);
+       if (buffer->import.sgt) {
+               dma_buf_unmap_attachment(buffer->import.attach,
+                                        buffer->import.sgt,
+@@ -255,7 +255,6 @@ static void vc_sm_clean_up_dmabuf(struct
+               dma_buf_detach(buffer->dma_buf, buffer->import.attach);
+               buffer->import.attach = NULL;
+       }
+-      mutex_unlock(&buffer->lock);
+ }
+ /*
+@@ -687,23 +686,6 @@ int vc_sm_import_dmabuf_mmap(struct dma_
+ }
+ static
+-void vc_sm_import_dma_buf_release(struct dma_buf *dmabuf)
+-{
+-      struct vc_sm_buffer *buf = dmabuf->priv;
+-
+-      pr_debug("%s: Relasing dma_buf %p\n", __func__, dmabuf);
+-      mutex_lock(&buf->lock);
+-      if (!buf->imported)
+-              return;
+-
+-      buf->in_use = 0;
+-
+-      vc_sm_vpu_free(buf);
+-
+-      vc_sm_release_resource(buf);
+-}
+-
+-static
+ void *vc_sm_import_dma_buf_kmap(struct dma_buf *dmabuf,
+                               unsigned long offset)
+ {
+@@ -753,7 +735,7 @@ static const struct dma_buf_ops dma_buf_
+       .map_dma_buf = vc_sm_import_map_dma_buf,
+       .unmap_dma_buf = vc_sm_import_unmap_dma_buf,
+       .mmap = vc_sm_import_dmabuf_mmap,
+-      .release = vc_sm_import_dma_buf_release,
++      .release = vc_sm_dma_buf_release,
+       .attach = vc_sm_import_dma_buf_attach,
+       .detach = vc_sm_import_dma_buf_detatch,
+       .begin_cpu_access = vc_sm_import_dma_buf_begin_cpu_access,
diff --git a/target/linux/bcm27xx/patches-5.4/950-1020-Update-Allo-Piano-Dac-Driver-for-5.4.y-kernels.patch b/target/linux/bcm27xx/patches-5.4/950-1020-Update-Allo-Piano-Dac-Driver-for-5.4.y-kernels.patch
new file mode 100644 (file)
index 0000000..00d319e
--- /dev/null
@@ -0,0 +1,246 @@
+From 7f7ea09cdfa44c447c31db4884c1bb958d27f10a Mon Sep 17 00:00:00 2001
+From: paul-1 <6473457+paul-1@users.noreply.github.com>
+Date: Wed, 4 Nov 2020 19:11:37 -0500
+Subject: [PATCH] Update Allo Piano Dac Driver for 5.4.y kernels
+
+Add unique names to the individual dac coded drivers
+Remove some of the codec controls that are not used.
+
+Signed-off-by: Paul Hermann <paul@picoreplayer.org>
+---
+ sound/soc/bcm/allo-piano-dac-plus.c | 129 +++++++++++++++++++++-------
+ 1 file changed, 97 insertions(+), 32 deletions(-)
+
+--- a/sound/soc/bcm/allo-piano-dac-plus.c
++++ b/sound/soc/bcm/allo-piano-dac-plus.c
+@@ -2,7 +2,8 @@
+  * ALSA ASoC Machine Driver for Allo Piano DAC Plus Subwoofer
+  *
+  * Author:    Baswaraj K <jaikumar@cem-solutions.net>
+- *            Copyright 2016
++ *            Copyright 2020
++ *            based on code by David Knell <david.knell@gmail.com)
+  *            based on code by Daniel Matuschek <info@crazy-audio.com>
+  *            based on code by Florian Meier <florian.meier@koalo.de>
+  *
+@@ -276,8 +277,15 @@ static int snd_allo_piano_dual_mode_put(
+                               PCM512x_DIGITAL_VOLUME_2, 0xff);
+               list_for_each_entry(kctl, &snd_card_ptr->controls, list) {
+-                      if (!strncmp(kctl->id.name, "Digital Playback Volume",
+-                                      sizeof(kctl->id.name))) {
++                      if (!strncmp(kctl->id.name, "Main Digital Playback Volume",
++                              sizeof(kctl->id.name))) {
++                              mc = (struct soc_mixer_control *)
++                                      kctl->private_value;
++                              mc->rreg = mc->reg;
++                              break;
++                      }
++                      if (!strncmp(kctl->id.name, "Sub Digital Playback Volume",
++                              sizeof(kctl->id.name))) {
+                               mc = (struct soc_mixer_control *)
+                                       kctl->private_value;
+                               mc->rreg = mc->reg;
+@@ -291,13 +299,20 @@ static int snd_allo_piano_dual_mode_put(
+                                               PCM512x_DIGITAL_VOLUME_3, &right_val);
+               list_for_each_entry(kctl, &snd_card_ptr->controls, list) {
+-                      if (!strncmp(kctl->id.name, "Digital Playback Volume",
+-                                      sizeof(kctl->id.name))) {
++                      if (!strncmp(kctl->id.name, "Main Digital Playback Volume",
++                              sizeof(kctl->id.name))) {
+                               mc = (struct soc_mixer_control *)
+                                       kctl->private_value;
+                               mc->rreg = PCM512x_DIGITAL_VOLUME_3;
+                               break;
+                       }
++                      if (!strncmp(kctl->id.name, "Sub Digital Playback Volume",
++                              sizeof(kctl->id.name))) {
++                              mc = (struct soc_mixer_control *)
++                                      kctl->private_value;
++                              mc->rreg = PCM512x_DIGITAL_VOLUME_2;
++                              break;
++                      }
+               }
+               snd_soc_component_write(rtd->codec_dais[0]->component,
+@@ -344,13 +359,20 @@ static int snd_allo_piano_mode_put(struc
+                                               PCM512x_DIGITAL_VOLUME_2, &right_val);
+               list_for_each_entry(kctl, &snd_card_ptr->controls, list) {
+-                      if (!strncmp(kctl->id.name, "Digital Playback Volume",
+-                                      sizeof(kctl->id.name))) {
++                      if (!strncmp(kctl->id.name, "Main Digital Playback Volume",
++                              sizeof(kctl->id.name))) {
+                               mc = (struct soc_mixer_control *)
+                                       kctl->private_value;
+                               mc->rreg = PCM512x_DIGITAL_VOLUME_3;
+                               break;
+                       }
++                      if (!strncmp(kctl->id.name, "Sub Digital Playback Volume",
++                              sizeof(kctl->id.name))) {
++                              mc = (struct soc_mixer_control *)
++                                      kctl->private_value;
++                              mc->rreg = PCM512x_DIGITAL_VOLUME_2;
++                              break;
++                      }
+               }
+               snd_soc_component_write(rtd->codec_dais[0]->component,
+                               PCM512x_DIGITAL_VOLUME_3, left_val);
+@@ -434,12 +456,6 @@ static int pcm512x_set_reg_sub(struct sn
+       int ret = 0;
+       rtd = snd_soc_get_pcm_runtime(card, card->dai_link[0].name);
+-      if (glb_ptr->dual_mode != 1) {
+-              ret = snd_soc_component_write(rtd->codec_dais[1]->component,
+-                              PCM512x_DIGITAL_VOLUME_2, (~left_val));
+-              if (ret < 0)
+-                      return ret;
+-      }
+       if (digital_gain_0db_limit) {
+               ret = snd_soc_limit_volume(card, "Subwoofer Playback Volume",
+@@ -449,6 +465,13 @@ static int pcm512x_set_reg_sub(struct sn
+                               ret);
+       }
++      if (glb_ptr->dual_mode != 1) {
++              ret = snd_soc_component_write(rtd->codec_dais[1]->component,
++                              PCM512x_DIGITAL_VOLUME_2, (~left_val));
++              if (ret < 0)
++                      return ret;
++      }
++
+       ret = snd_soc_component_write(rtd->codec_dais[1]->component,
+                       PCM512x_DIGITAL_VOLUME_3, (~right_val));
+       if (ret < 0)
+@@ -674,7 +697,7 @@ static const struct snd_kcontrol_new all
+       SOC_DOUBLE_R_EXT_TLV("Subwoofer Playback Volume",
+                       PCM512x_DIGITAL_VOLUME_2,
+-                      PCM512x_DIGITAL_VOLUME_3, 0, 255, 1,
++                      PCM512x_DIGITAL_VOLUME_3, 0, 207, 1,
+                       pcm512x_get_reg_sub,
+                       pcm512x_set_reg_sub,
+                       digital_tlv_sub),
+@@ -688,7 +711,7 @@ static const struct snd_kcontrol_new all
+       SOC_DOUBLE_R_EXT_TLV("Master Playback Volume",
+                       PCM512x_DIGITAL_VOLUME_2,
+-                      PCM512x_DIGITAL_VOLUME_3, 0, 255, 1,
++                      PCM512x_DIGITAL_VOLUME_3, 0, 207, 1,
+                       pcm512x_get_reg_master,
+                       pcm512x_set_reg_master,
+                       digital_tlv_master),
+@@ -701,10 +724,28 @@ static const struct snd_kcontrol_new all
+                       pcm512x_set_reg_master_switch),
+ };
++static const char * const codec_ctl_pfx[] = { "Main", "Sub" };
++static const char * const codec_ctl_name[] = {
++      "Digital Playback Volume",
++      "Digital Playback Switch",
++      "Auto Mute Mono Switch",
++      "Auto Mute Switch",
++      "Auto Mute Time Left",
++      "Auto Mute Time Right",
++      "Clock Missing Period",
++      "Max Overclock DAC",
++      "Max Overclock DSP",
++      "Max Overclock PLL",
++      "Volume Ramp Down Emergency Rate",
++      "Volume Ramp Down Emergency Step"
++};
++
+ static int snd_allo_piano_dac_init(struct snd_soc_pcm_runtime *rtd)
+ {
+       struct snd_soc_card *card = rtd->card;
+       struct glb_pool *glb_ptr;
++      struct snd_kcontrol *kctl;
++      int i, j;
+       glb_ptr = kzalloc(sizeof(struct glb_pool), GFP_KERNEL);
+       if (!glb_ptr)
+@@ -719,12 +760,37 @@ static int snd_allo_piano_dac_init(struc
+       if (digital_gain_0db_limit) {
+               int ret;
+-              ret = snd_soc_limit_volume(card, "Digital Playback Volume",
+-                                      207);
+-              if (ret < 0)
+-                      dev_warn(card->dev, "Failed to set volume limit: %d\n",
+-                              ret);
++              //Set volume limit on both dacs
++              for (i = 0; i < ARRAY_SIZE(codec_ctl_pfx); i++) {
++                      char cname[256];
++
++                      sprintf(cname, "%s %s", codec_ctl_pfx[i], codec_ctl_name[0]);
++                      ret = snd_soc_limit_volume(card, cname, 207);
++                      if (ret < 0)
++                              dev_warn(card->dev, "Failed to set volume limit: %d\n",
++                                      ret);
++              }
++      }
++
++      // Remove codec controls
++      for (i = 0; i < ARRAY_SIZE(codec_ctl_pfx); i++) {
++              // Start at 1, leave the Digital Volume control.
++              for (j = 1; j < ARRAY_SIZE(codec_ctl_name); j++) {
++                      char cname[256];
++
++                      sprintf(cname, "%s %s", codec_ctl_pfx[i], codec_ctl_name[j]);
++                      kctl = snd_soc_card_get_kcontrol(card, cname);
++                      if (!kctl) {
++                              dev_err(rtd->card->dev, "Control %s not found\n",
++                                     cname);
++                      } else {
++                              kctl->vd[0].access =
++                                      SNDRV_CTL_ELEM_ACCESS_READWRITE;
++                              snd_ctl_remove(card->snd_card, kctl);
++                      }
++              }
+       }
++
+       return 0;
+ }
+@@ -868,10 +934,10 @@ static struct snd_soc_dai_link_component
+ };
+ SND_SOC_DAILINK_DEFS(allo_piano_dai_plus,
+-      DAILINK_COMP_ARRAY(COMP_CPU("bcm2708-i2s.0")),
+-      DAILINK_COMP_ARRAY(COMP_CODEC(NULL, "pcm512x-hifi"),
+-                         COMP_CODEC(NULL, "pcm512x-hifi")),
+-      DAILINK_COMP_ARRAY(COMP_PLATFORM("bcm2708-i2s.0")));
++      DAILINK_COMP_ARRAY(COMP_EMPTY()),
++      DAILINK_COMP_ARRAY(COMP_CODEC("pcm512x.1-004c", "pcm512x-hifi"),
++                         COMP_CODEC("pcm512x.1-004d", "pcm512x-hifi")),
++      DAILINK_COMP_ARRAY(COMP_EMPTY()));
+ static struct snd_soc_dai_link snd_allo_piano_dac_dai[] = {
+       {
+@@ -964,17 +1030,16 @@ static int snd_allo_piano_dac_probe(stru
+                       snd_allo_piano_dac.set_bias_level =
+                               snd_allo_piano_set_bias_level;
+-              ret = snd_soc_register_card(&snd_allo_piano_dac);
+-              if (ret < 0) {
+-                      dev_err(&pdev->dev,
+-                              "snd_soc_register_card() failed: %d\n", ret);
+-                      return ret;
+-              }
+-
+               if ((mute_gpio[0]) && (mute_gpio[1]))
+                       snd_allo_piano_gpio_mute(&snd_allo_piano_dac);
+-              return 0;
++              ret = devm_snd_soc_register_card(&pdev->dev, &snd_allo_piano_dac);
++
++              if (ret && ret != -EPROBE_DEFER)
++                      dev_err(&pdev->dev,
++                              "snd_soc_register_card() failed: %d\n", ret);
++              return ret;
++
+       }
+       return -EINVAL;
diff --git a/target/linux/bcm27xx/patches-5.4/950-1021-Overlay-Update-Allo-Piano-Plus-dac-driver-for-5.4.y-.patch b/target/linux/bcm27xx/patches-5.4/950-1021-Overlay-Update-Allo-Piano-Plus-dac-driver-for-5.4.y-.patch
new file mode 100644 (file)
index 0000000..68b713d
--- /dev/null
@@ -0,0 +1,30 @@
+From 244817626f3f3f5d0e5f6e2881f7046087a225ff Mon Sep 17 00:00:00 2001
+From: paul-1 <6473457+paul-1@users.noreply.github.com>
+Date: Wed, 4 Nov 2020 19:17:48 -0500
+Subject: [PATCH] Overlay: Update Allo Piano Plus dac driver for
+ 5.4.y kernels.
+
+Create unique names for the two instances of the codec driver.
+
+Signed-off-by: Paul Hermann <paul@picoreplayer.org>
+---
+ .../dts/overlays/allo-piano-dac-plus-pcm512x-audio-overlay.dts  | 2 ++
+ 1 file changed, 2 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/allo-piano-dac-plus-pcm512x-audio-overlay.dts
++++ b/arch/arm/boot/dts/overlays/allo-piano-dac-plus-pcm512x-audio-overlay.dts
+@@ -23,12 +23,14 @@
+                               #sound-dai-cells = <0>;
+                               compatible = "ti,pcm5122";
+                               reg = <0x4c>;
++                              sound-name-prefix = "Main";
+                               status = "okay";
+                       };
+                       allo_pcm5122_4d: pcm5122@4d {
+                               #sound-dai-cells = <0>;
+                               compatible = "ti,pcm5122";
+                               reg = <0x4d>;
++                              sound-name-prefix = "Sub";
+                               status = "okay";
+                       };
+               };
diff --git a/target/linux/bcm27xx/patches-5.4/950-1022-Update-volume-controls-in-Allo-Piano-Dac-Plus.patch b/target/linux/bcm27xx/patches-5.4/950-1022-Update-volume-controls-in-Allo-Piano-Dac-Plus.patch
new file mode 100644 (file)
index 0000000..ab5448a
--- /dev/null
@@ -0,0 +1,141 @@
+From cc1a511f1a582e2fbf9fa1e257f6b88cbcc2e0d9 Mon Sep 17 00:00:00 2001
+From: paul-1 <6473457+paul-1@users.noreply.github.com>
+Date: Sat, 7 Nov 2020 12:01:44 -0500
+Subject: [PATCH] Update volume controls in Allo Piano Dac Plus
+
+Put control scaling back to 255.
+Clean up what master/sub volume controls set in codec.
+Remove more unneeded mixer controls.
+
+Signed-off-by: Paul Hermann <paul@picoreplayer.org>
+---
+ sound/soc/bcm/allo-piano-dac-plus.c | 56 +++++++++++++++++------------
+ 1 file changed, 33 insertions(+), 23 deletions(-)
+
+--- a/sound/soc/bcm/allo-piano-dac-plus.c
++++ b/sound/soc/bcm/allo-piano-dac-plus.c
+@@ -420,6 +420,7 @@ static int pcm512x_get_reg_sub(struct sn
+       unsigned int right_val = 0;
+       int ret;
+       rtd = snd_soc_get_pcm_runtime(card, card->dai_link[0].name);
++
+       ret = snd_soc_component_read(rtd->codec_dais[1]->component,
+                       PCM512x_DIGITAL_VOLUME_3, &right_val);
+       if (ret < 0)
+@@ -465,17 +466,20 @@ static int pcm512x_set_reg_sub(struct sn
+                               ret);
+       }
+-      if (glb_ptr->dual_mode != 1) {
++      // When in Dual Mono, Sub vol control should not set anything.
++      if (glb_ptr->dual_mode != 1) { //Not in Dual Mono mode
++
+               ret = snd_soc_component_write(rtd->codec_dais[1]->component,
+                               PCM512x_DIGITAL_VOLUME_2, (~left_val));
+               if (ret < 0)
+                       return ret;
+-      }
+-      ret = snd_soc_component_write(rtd->codec_dais[1]->component,
+-                      PCM512x_DIGITAL_VOLUME_3, (~right_val));
+-      if (ret < 0)
+-              return ret;
++              ret = snd_soc_component_write(rtd->codec_dais[1]->component,
++                              PCM512x_DIGITAL_VOLUME_3, (~right_val));
++              if (ret < 0)
++                      return ret;
++
++      }
+       return 1;
+ }
+@@ -540,7 +544,7 @@ static int pcm512x_get_reg_master(struct
+       if ( ret < 0)
+               return ret;
+-      if (glb_ptr->dual_mode == 1) {
++      if (glb_ptr->dual_mode == 1) {  // in Dual Mono mode
+               ret = snd_soc_component_read(rtd->codec_dais[1]->component,
+                               PCM512x_DIGITAL_VOLUME_3, &right_val);
+               if (ret < 0)
+@@ -582,8 +586,21 @@ static int pcm512x_set_reg_master(struct
+                               ret);
+       }
+-      if (glb_ptr->dual_mode != 1) {
++      if (glb_ptr->dual_mode == 1) { //in Dual Mono Mode
++
++              ret = snd_soc_component_write(rtd->codec_dais[0]->component,
++                              PCM512x_DIGITAL_VOLUME_2, (~left_val));
++              if (ret < 0)
++                      return ret;
++
+               ret = snd_soc_component_write(rtd->codec_dais[1]->component,
++                              PCM512x_DIGITAL_VOLUME_3, (~right_val));
++              if (ret < 0)
++                      return ret;
++
++      } else {
++
++              ret = snd_soc_component_write(rtd->codec_dais[0]->component,
+                               PCM512x_DIGITAL_VOLUME_2, (~left_val));
+               if (ret < 0)
+                       return ret;
+@@ -594,16 +611,6 @@ static int pcm512x_set_reg_master(struct
+                       return ret;
+       }
+-
+-      ret = snd_soc_component_write(rtd->codec_dais[1]->component,
+-                      PCM512x_DIGITAL_VOLUME_3, (~right_val));
+-      if (ret < 0)
+-              return ret;
+-
+-      ret = snd_soc_component_write(rtd->codec_dais[0]->component,
+-                      PCM512x_DIGITAL_VOLUME_2, (~left_val));
+-      if (ret < 0)
+-              return ret;
+       return 1;
+ }
+@@ -697,7 +704,7 @@ static const struct snd_kcontrol_new all
+       SOC_DOUBLE_R_EXT_TLV("Subwoofer Playback Volume",
+                       PCM512x_DIGITAL_VOLUME_2,
+-                      PCM512x_DIGITAL_VOLUME_3, 0, 207, 1,
++                      PCM512x_DIGITAL_VOLUME_3, 0, 255, 1,
+                       pcm512x_get_reg_sub,
+                       pcm512x_set_reg_sub,
+                       digital_tlv_sub),
+@@ -711,7 +718,7 @@ static const struct snd_kcontrol_new all
+       SOC_DOUBLE_R_EXT_TLV("Master Playback Volume",
+                       PCM512x_DIGITAL_VOLUME_2,
+-                      PCM512x_DIGITAL_VOLUME_3, 0, 207, 1,
++                      PCM512x_DIGITAL_VOLUME_3, 0, 255, 1,
+                       pcm512x_get_reg_master,
+                       pcm512x_set_reg_master,
+                       digital_tlv_master),
+@@ -737,7 +744,11 @@ static const char * const codec_ctl_name
+       "Max Overclock DSP",
+       "Max Overclock PLL",
+       "Volume Ramp Down Emergency Rate",
+-      "Volume Ramp Down Emergency Step"
++      "Volume Ramp Down Emergency Step",
++      "Volume Ramp Up Rate",
++      "Volume Ramp Down Rate",
++      "Volume Ramp Up Step",
++      "Volume Ramp Down Step"
+ };
+ static int snd_allo_piano_dac_init(struct snd_soc_pcm_runtime *rtd)
+@@ -774,8 +785,7 @@ static int snd_allo_piano_dac_init(struc
+       // Remove codec controls
+       for (i = 0; i < ARRAY_SIZE(codec_ctl_pfx); i++) {
+-              // Start at 1, leave the Digital Volume control.
+-              for (j = 1; j < ARRAY_SIZE(codec_ctl_name); j++) {
++              for (j = 0; j < ARRAY_SIZE(codec_ctl_name); j++) {
+                       char cname[256];
+                       sprintf(cname, "%s %s", codec_ctl_pfx[i], codec_ctl_name[j]);
diff --git a/target/linux/bcm27xx/patches-5.4/950-1023-media-i2c-imx219-Selection-compliance-fixes.patch b/target/linux/bcm27xx/patches-5.4/950-1023-media-i2c-imx219-Selection-compliance-fixes.patch
new file mode 100644 (file)
index 0000000..600e25e
--- /dev/null
@@ -0,0 +1,80 @@
+From de47ea073936726d9a5ad843908fd3074c1fb8f0 Mon Sep 17 00:00:00 2001
+From: Hans Verkuil <hverkuil@xs4all.nl>
+Date: Wed, 5 Aug 2020 12:57:21 +0200
+Subject: [PATCH] media: i2c: imx219: Selection compliance fixes
+
+To comply with the intended usage of the V4L2 selection target when
+used to retrieve a sensor image properties, adjust the rectangles
+returned by the imx219 driver.
+
+The top/left crop coordinates of the TGT_CROP rectangle were set to
+(0, 0) instead of (8, 8) which is the offset from the larger physical
+pixel array rectangle. This was also a mismatch with the default values
+crop rectangle value, so this is corrected. Found with v4l2-compliance.
+
+While at it, add V4L2_SEL_TGT_CROP_BOUNDS support: CROP_DEFAULT and
+CROP_BOUNDS have the same size as the non-active pixels are not readable
+using the selection API. Found with v4l2-compliance.
+
+Fixes: e6d4ef7d58aa7 ("media: i2c: imx219: Implement get_selection")
+Signed-off-by: Hans Verkuil <hverkuil-cisco@xs4all.nl>
+[reword commit message, use macros for pixel offsets]
+Signed-off-by: Jacopo Mondi <jacopo@jmondi.org>
+---
+ drivers/media/i2c/imx219.c | 17 +++++++++--------
+ 1 file changed, 9 insertions(+), 8 deletions(-)
+
+--- a/drivers/media/i2c/imx219.c
++++ b/drivers/media/i2c/imx219.c
+@@ -485,8 +485,8 @@ static const struct imx219_mode supporte
+               .width = 3280,
+               .height = 2464,
+               .crop = {
+-                      .left = 0,
+-                      .top = 0,
++                      .left = IMX219_PIXEL_ARRAY_LEFT,
++                      .top = IMX219_PIXEL_ARRAY_TOP,
+                       .width = 3280,
+                       .height = 2464
+               },
+@@ -501,8 +501,8 @@ static const struct imx219_mode supporte
+               .width = 1920,
+               .height = 1080,
+               .crop = {
+-                      .left = 680,
+-                      .top = 692,
++                      .left = 688,
++                      .top = 700,
+                       .width = 1920,
+                       .height = 1080
+               },
+@@ -517,8 +517,8 @@ static const struct imx219_mode supporte
+               .width = 1640,
+               .height = 1232,
+               .crop = {
+-                      .left = 0,
+-                      .top = 0,
++                      .left = IMX219_PIXEL_ARRAY_LEFT,
++                      .top = IMX219_PIXEL_ARRAY_TOP,
+                       .width = 3280,
+                       .height = 2464
+               },
+@@ -533,8 +533,8 @@ static const struct imx219_mode supporte
+               .width = 640,
+               .height = 480,
+               .crop = {
+-                      .left = 1000,
+-                      .top = 752,
++                      .left = 1008,
++                      .top = 760,
+                       .width = 1280,
+                       .height = 960
+               },
+@@ -1093,6 +1093,7 @@ static int imx219_get_selection(struct v
+               return 0;
+       case V4L2_SEL_TGT_CROP_DEFAULT:
++      case V4L2_SEL_TGT_CROP_BOUNDS:
+               sel->r.top = IMX219_PIXEL_ARRAY_TOP;
+               sel->r.left = IMX219_PIXEL_ARRAY_LEFT;
+               sel->r.width = IMX219_PIXEL_ARRAY_WIDTH;
diff --git a/target/linux/bcm27xx/patches-5.4/950-1024-media-bcm2835-unicam-Correctly-handle-error-propagat.patch b/target/linux/bcm27xx/patches-5.4/950-1024-media-bcm2835-unicam-Correctly-handle-error-propagat.patch
new file mode 100644 (file)
index 0000000..906e45b
--- /dev/null
@@ -0,0 +1,27 @@
+From 85dae0158c3ba741e2cb815879b4d5d55b3254d7 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Wed, 2 Dec 2020 15:22:23 +0000
+Subject: [PATCH] media: bcm2835-unicam: Correctly handle error
+ propagation for stream on
+
+On a failure in start_streaming(), the error code would not propagate to
+the calling function on all conditions. This would cause the userland
+caller to not know of the failure.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 3 +--
+ 1 file changed, 1 insertion(+), 2 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -1730,8 +1730,7 @@ err_disable_unicam:
+       unicam_disable(dev);
+       clk_disable_unprepare(dev->clock);
+ err_vpu_clock:
+-      ret = clk_set_min_rate(dev->vpu_clock, 0);
+-      if (ret)
++      if (clk_set_min_rate(dev->vpu_clock, 0))
+               unicam_err(dev, "failed to reset the VPU clock\n");
+       clk_disable_unprepare(dev->vpu_clock);
+ err_pm_put:
diff --git a/target/linux/bcm27xx/patches-5.4/950-1025-media-bcm2835-unicam-Return-early-from-stop_streamin.patch b/target/linux/bcm27xx/patches-5.4/950-1025-media-bcm2835-unicam-Return-early-from-stop_streamin.patch
new file mode 100644 (file)
index 0000000..92c699a
--- /dev/null
@@ -0,0 +1,64 @@
+From 1e888ff376c880b2803aecea3f69d28dc2ae4349 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Wed, 2 Dec 2020 15:26:09 +0000
+Subject: [PATCH] media: bcm2835-unicam: Return early from
+ stop_streaming() if stopped
+
+clk_disable_unprepare() is called unconditionally in stop_streaming().
+This is incorrect in the cases where start_streaming() fails, and
+unprepares all clocks as part of the failure cleanup. To avoid this,
+ensure that clk_disable_unprepare() is only called in stop_streaming()
+if the clocks are in a prepared state.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 16 +++++++++-------
+ 1 file changed, 9 insertions(+), 7 deletions(-)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -426,6 +426,8 @@ struct unicam_device {
+       struct clk *clock;
+       /* vpu clock handle */
+       struct clk *vpu_clock;
++      /* clock status for error handling */
++      bool clocks_enabled;
+       /* V4l2 device */
+       struct v4l2_device v4l2_dev;
+       struct media_device mdev;
+@@ -1724,6 +1726,7 @@ static int unicam_start_streaming(struct
+               goto err_disable_unicam;
+       }
++      dev->clocks_enabled = true;
+       return 0;
+ err_disable_unicam:
+@@ -1750,8 +1753,6 @@ static void unicam_stop_streaming(struct
+       node->streaming = false;
+       if (node->pad_id == IMAGE_PAD) {
+-              int ret;
+-
+               /*
+                * Stop streaming the sensor and disable the peripheral.
+                * We cannot continue streaming embedded data with the
+@@ -1762,12 +1763,13 @@ static void unicam_stop_streaming(struct
+               unicam_disable(dev);
+-              ret = clk_set_min_rate(dev->vpu_clock, 0);
+-              if (ret)
+-                      unicam_err(dev, "failed to reset the min VPU clock\n");
++              if (dev->clocks_enabled) {
++                      if (clk_set_min_rate(dev->vpu_clock, 0))
++                              unicam_err(dev, "failed to reset the min VPU clock\n");
+-              clk_disable_unprepare(dev->vpu_clock);
+-              clk_disable_unprepare(dev->clock);
++                      clk_disable_unprepare(dev->vpu_clock);
++                      clk_disable_unprepare(dev->clock);
++              }
+               unicam_runtime_put(dev);
+       } else if (node->pad_id == METADATA_PAD) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-1026-media-bcm2835-unicam-Clear-clock-state-when-stopping.patch b/target/linux/bcm27xx/patches-5.4/950-1026-media-bcm2835-unicam-Clear-clock-state-when-stopping.patch
new file mode 100644 (file)
index 0000000..3163107
--- /dev/null
@@ -0,0 +1,25 @@
+From 210f956f55dcc457386d8a4c9b4b5803c671d28a Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Wed, 2 Dec 2020 16:48:41 +0000
+Subject: [PATCH] media: bcm2835-unicam: Clear clock state when
+ stopping streaming
+
+Commit 65e08c465020d4c5b51afb452efc2246d80fd66f failed to clear the
+clock state when the device stopped streaming. Fix this, as it might
+again cause the same problems when doing an unprepare.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/platform/bcm2835/bcm2835-unicam.c | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/drivers/media/platform/bcm2835/bcm2835-unicam.c
++++ b/drivers/media/platform/bcm2835/bcm2835-unicam.c
+@@ -1769,6 +1769,7 @@ static void unicam_stop_streaming(struct
+                       clk_disable_unprepare(dev->vpu_clock);
+                       clk_disable_unprepare(dev->clock);
++                      dev->clocks_enabled = false;
+               }
+               unicam_runtime_put(dev);
diff --git a/target/linux/bcm27xx/patches-5.4/950-1027-ARM-dts-CM4-audio-pins-are-not-connected.patch b/target/linux/bcm27xx/patches-5.4/950-1027-ARM-dts-CM4-audio-pins-are-not-connected.patch
new file mode 100644 (file)
index 0000000..8ac8243
--- /dev/null
@@ -0,0 +1,21 @@
+From a679b9471f0ed1496b7d853becf38001282a738f Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 7 Dec 2020 09:35:57 +0000
+Subject: [PATCH] ARM: dts: CM4 audio pins are not connected
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-cm4.dts | 2 --
+ 1 file changed, 2 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
+@@ -434,8 +434,6 @@
+ &gpio {
+       audio_pins: audio_pins {
+-              brcm,pins = <40 41>;
+-              brcm,function = <4>;
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-1028-overlays-Add-PCF85063-and-PCF85063A-to-i2c-rtc.patch b/target/linux/bcm27xx/patches-5.4/950-1028-overlays-Add-PCF85063-and-PCF85063A-to-i2c-rtc.patch
new file mode 100644 (file)
index 0000000..f55f9f6
--- /dev/null
@@ -0,0 +1,339 @@
+From ecfd415e5ae3fc05bf0c2b16a80f1d3e00447896 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 7 Dec 2020 08:49:53 +0000
+Subject: [PATCH] overlays: Add PCF85063 and PCF85063A to i2c-rtc
+
+Add support for the PCF85063 and PCF85063A RTC devices to the
+i2c-rtc overlay.
+
+Also enable the device to be used on i2c0 (i2c_vc) on GPIOs 0&1 (use
+parameter "i2c0") and GPIOs 44 & 45 (use parameter "i2c_csi_dsi").
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README             |  8 ++
+ .../arm/boot/dts/overlays/i2c-rtc-overlay.dts | 98 ++++++++++---------
+ 2 files changed, 61 insertions(+), 45 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1243,6 +1243,10 @@ Params: abx80x                  Select o
+         pcf2129                 Select the PCF2129 device
++        pcf85063                Select the PCF85363 device
++
++        pcf85063a               Select the PCF85363A device
++
+         pcf8523                 Select the PCF8523 device
+         pcf85363                Select the PCF85363 device
+@@ -1255,6 +1259,10 @@ Params: abx80x                  Select o
+         sd3078                  Select the ZXW Shenzhen whwave SD3078 device
++        i2c0                    Choose the I2C0 bus on GPIOs 0&1
++
++        i2c_csi_dsi             Choose the I2C0 bus on GPIOs 44&45
++
+         addr                    Sets the address for the RTC. Note that the
+                                 device must be configured to use the specified
+                                 address.
+--- a/arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts
++++ b/arch/arm/boot/dts/overlays/i2c-rtc-overlay.dts
+@@ -6,235 +6,238 @@
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       abx80x: abx80x@69 {
+                               compatible = "abracon,abx80x";
+                               reg = <0x69>;
+                               abracon,tc-diode = "standard";
+                               abracon,tc-resistor = <0>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@1 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       ds1307: ds1307@68 {
+                               compatible = "dallas,ds1307";
+                               reg = <0x68>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@2 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       ds1339: ds1339@68 {
+                               compatible = "dallas,ds1339";
+                               trickle-resistor-ohms = <0>;
+                               reg = <0x68>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@3 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       ds3231: ds3231@68 {
+                               compatible = "maxim,ds3231";
+                               reg = <0x68>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@4 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       mcp7940x: mcp7940x@6f {
+                               compatible = "microchip,mcp7940x";
+                               reg = <0x6f>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@5 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       mcp7941x: mcp7941x@6f {
+                               compatible = "microchip,mcp7941x";
+                               reg = <0x6f>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@6 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       pcf2127@51 {
+                               compatible = "nxp,pcf2127";
+                               reg = <0x51>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@7 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       pcf8523: pcf8523@68 {
+                               compatible = "nxp,pcf8523";
+                               reg = <0x68>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@8 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       pcf8563: pcf8563@51 {
+                               compatible = "nxp,pcf8563";
+                               reg = <0x51>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@9 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       m41t62: m41t62@68 {
+                               compatible = "st,m41t62";
+                               reg = <0x68>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@10 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       rv3028: rv3028@52 {
+                               compatible = "microcrystal,rv3028";
+                               reg = <0x52>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@11 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       pcf2129@51 {
+                               compatible = "nxp,pcf2129";
+                               reg = <0x51>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@12 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+              __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       pcf85363@51 {
+                               compatible = "nxp,pcf85363";
+                               reg = <0x51>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@13 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       rv1805: rv1805@69 {
+                               compatible = "microcrystal,rv1805";
+                               reg = <0x69>;
+                               abracon,tc-diode = "standard";
+                               abracon,tc-resistor = <0>;
+-                              status = "okay";
+                       };
+               };
+       };
+       fragment@14 {
+-              target = <&i2c_arm>;
++              target = <&i2cbus>;
+               __dormant__ {
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+-                      status = "okay";
+                       sd3078: sd3078@32 {
+                               compatible = "whwave,sd3078";
+                               reg = <0x32>;
+-                              status = "okay";
+                       };
+               };
+       };
++      fragment@15 {
++              target = <&i2cbus>;
++             __dormant__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      pcf85063@51 {
++                              compatible = "nxp,pcf85063";
++                              reg = <0x51>;
++                      };
++              };
++      };
++
++      fragment@16 {
++              target = <&i2cbus>;
++             __dormant__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++
++                      pcf85063a@51 {
++                              compatible = "nxp,pcf85063a";
++                              reg = <0x51>;
++                      };
++              };
++      };
++
++      frag100: fragment@100 {
++              target = <&i2c_arm>;
++              i2cbus: __overlay__ {
++                      status = "okay";
++              };
++      };
++
+       __overrides__ {
+               abx80x = <0>,"+0";
+               ds1307 = <0>,"+1";
+@@ -251,6 +254,11 @@
+               pcf85363 = <0>,"+12";
+               rv1805 = <0>,"+13";
+               sd3078 = <0>,"+14";
++              pcf85063 = <0>,"+15";
++              pcf85063a = <0>,"+16";
++
++              i2c0 = <&frag100>, "target:0=",<&i2c0>;
++              i2c_csi_dsi = <&frag100>, "target:0=",<&i2c_csi_dsi>;
+               addr = <&abx80x>, "reg:0",
+                      <&ds1307>, "reg:0",
diff --git a/target/linux/bcm27xx/patches-5.4/950-1029-overlays-Fix-cut-and-paste-error-in-README.patch b/target/linux/bcm27xx/patches-5.4/950-1029-overlays-Fix-cut-and-paste-error-in-README.patch
new file mode 100644 (file)
index 0000000..4741dae
--- /dev/null
@@ -0,0 +1,24 @@
+From 27f9953df117db0f38c5e597290435ecd6f3ed10 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Mon, 7 Dec 2020 17:18:39 +0000
+Subject: [PATCH] overlays: Fix cut-and-paste error in README
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1243,9 +1243,9 @@ Params: abx80x                  Select o
+         pcf2129                 Select the PCF2129 device
+-        pcf85063                Select the PCF85363 device
++        pcf85063                Select the PCF85063 device
+-        pcf85063a               Select the PCF85363A device
++        pcf85063a               Select the PCF85063A device
+         pcf8523                 Select the PCF8523 device
diff --git a/target/linux/bcm27xx/patches-5.4/950-1030-media-i2c-imx477-Selection-compliance-fixes.patch b/target/linux/bcm27xx/patches-5.4/950-1030-media-i2c-imx477-Selection-compliance-fixes.patch
new file mode 100644 (file)
index 0000000..e2ed71b
--- /dev/null
@@ -0,0 +1,80 @@
+From cf3885ed8bb4194c5653a9a933b4ea669cdb51c2 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Wed, 9 Dec 2020 11:30:12 +0000
+Subject: [PATCH] media: i2c: imx477: Selection compliance fixes
+
+To comply with the intended usage of the V4L2 selection target when
+used to retrieve a sensor image properties, adjust the rectangles
+returned by the imx477 driver.
+
+The top/left crop coordinates of the TGT_CROP rectangle were set to
+(0, 0) instead of (8, 16) which is the offset from the larger physical
+pixel array rectangle. This was also a mismatch with the default values
+crop rectangle value, so this is corrected. Found with v4l2-compliance.
+
+While at it, add V4L2_SEL_TGT_CROP_BOUNDS support: CROP_DEFAULT and
+CROP_BOUNDS have the same size as the non-active pixels are not readable
+using the selection API. Found with v4l2-compliance.
+
+This commit mirrors 543790f777ba1b3264c168c653db6d415e7c983f done for
+the imx219 sensor.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ drivers/media/i2c/imx477.c | 17 +++++++++--------
+ 1 file changed, 9 insertions(+), 8 deletions(-)
+
+--- a/drivers/media/i2c/imx477.c
++++ b/drivers/media/i2c/imx477.c
+@@ -900,8 +900,8 @@ static const struct imx477_mode supporte
+               .height = 3040,
+               .line_length_pix = 0x5dc0,
+               .crop = {
+-                      .left = 0,
+-                      .top = 0,
++                      .left = IMX477_PIXEL_ARRAY_LEFT,
++                      .top = IMX477_PIXEL_ARRAY_TOP,
+                       .width = 4056,
+                       .height = 3040,
+               },
+@@ -924,8 +924,8 @@ static const struct imx477_mode supporte
+               .height = 1520,
+               .line_length_pix = 0x31c4,
+               .crop = {
+-                      .left = 0,
+-                      .top = 0,
++                      .left = IMX477_PIXEL_ARRAY_LEFT,
++                      .top = IMX477_PIXEL_ARRAY_TOP,
+                       .width = 4056,
+                       .height = 3040,
+               },
+@@ -948,8 +948,8 @@ static const struct imx477_mode supporte
+               .height = 1080,
+               .line_length_pix = 0x31c4,
+               .crop = {
+-                      .left = 0,
+-                      .top = 440,
++                      .left = IMX477_PIXEL_ARRAY_LEFT,
++                      .top = IMX477_PIXEL_ARRAY_TOP + 440,
+                       .width = 4056,
+                       .height = 2600,
+               },
+@@ -983,8 +983,8 @@ static const struct imx477_mode supporte
+                        * rectangle once the driver is expanded to represent
+                        * its processing blocks with multiple subdevs.
+                        */
+-                      .left = 4,
+-                      .top = 0,
++                      .left = IMX477_PIXEL_ARRAY_LEFT + 4,
++                      .top = IMX477_PIXEL_ARRAY_TOP,
+                       .width = 4052,
+                       .height = 3040,
+               },
+@@ -1696,6 +1696,7 @@ static int imx477_get_selection(struct v
+               return 0;
+       case V4L2_SEL_TGT_CROP_DEFAULT:
++      case V4L2_SEL_TGT_CROP_BOUNDS:
+               sel->r.left = IMX477_PIXEL_ARRAY_LEFT;
+               sel->r.top = IMX477_PIXEL_ARRAY_TOP;
+               sel->r.width = IMX477_PIXEL_ARRAY_WIDTH;
diff --git a/target/linux/bcm27xx/patches-5.4/950-1031-net-lan78xx-Ack-pending-PHY-ints-when-resetting.patch b/target/linux/bcm27xx/patches-5.4/950-1031-net-lan78xx-Ack-pending-PHY-ints-when-resetting.patch
new file mode 100644 (file)
index 0000000..2dc2a13
--- /dev/null
@@ -0,0 +1,32 @@
+From 598d6389f41c1e01b70df7d8b1056c3839e0c1c2 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 15 Dec 2020 16:38:37 +0000
+Subject: [PATCH] net: lan78xx: Ack pending PHY ints when resetting
+
+lan78xx_link_reset explicitly clears the MAC's view of the PHY's IRQ
+status. In doing so it potentially leaves the PHY with a pending
+interrupt that will never be acknowledged, at which point no further
+interrupts will be generated.
+
+Avoid the problem by acknowledging any pending PHY interrupt after
+clearing the MAC's status bit.
+
+See: https://github.com/raspberrypi/linux/issues/2937
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ drivers/net/usb/lan78xx.c | 3 +++
+ 1 file changed, 3 insertions(+)
+
+--- a/drivers/net/usb/lan78xx.c
++++ b/drivers/net/usb/lan78xx.c
+@@ -1181,6 +1181,9 @@ static int lan78xx_link_reset(struct lan
+       if (unlikely(ret < 0))
+               return -EIO;
++      /* Acknowledge any pending PHY interrupt, lest it be the last */
++      phy_read(phydev, LAN88XX_INT_STS);
++
+       phy_read_status(phydev);
+       if (!phydev->link && dev->link_on) {
diff --git a/target/linux/bcm27xx/patches-5.4/950-1032-overlays-mpu6050-Add-addr-parameter.patch b/target/linux/bcm27xx/patches-5.4/950-1032-overlays-mpu6050-Add-addr-parameter.patch
new file mode 100644 (file)
index 0000000..543b07a
--- /dev/null
@@ -0,0 +1,36 @@
+From fcf08ed99d1421158ca913a7b6a4893c31ee1bd5 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Tue, 15 Dec 2020 17:02:17 +0000
+Subject: [PATCH] overlays: mpu6050: Add 'addr' parameter
+
+The mpu6050 starts up at address 0x68 by default, but can be set to
+0x69 if the ADO pin is pulled high. Give the overlay an addr parameter
+to allow devices at the alternate address to be used.
+
+See: https://github.com/Hexxeh/rpi-firmware/issues/252
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/README              | 1 +
+ arch/arm/boot/dts/overlays/mpu6050-overlay.dts | 1 +
+ 2 files changed, 2 insertions(+)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1876,6 +1876,7 @@ Name:   mpu6050
+ Info:   Overlay for i2c connected mpu6050 imu
+ Load:   dtoverlay=mpu6050,<param>=<val>
+ Params: interrupt               GPIO pin for interrupt (default 4)
++        addr                    I2C address of the device (default 0x68)
+ Name:   mz61581
+--- a/arch/arm/boot/dts/overlays/mpu6050-overlay.dts
++++ b/arch/arm/boot/dts/overlays/mpu6050-overlay.dts
+@@ -24,5 +24,6 @@
+         __overrides__ {
+                 interrupt = <&mpu6050>,"interrupts:0";
++                addr = <&mpu6050>,"reg:0";
+         };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-1033-overlays-Add-missing-addresses-to-ads1015-ads1115.patch b/target/linux/bcm27xx/patches-5.4/950-1033-overlays-Add-missing-addresses-to-ads1015-ads1115.patch
new file mode 100644 (file)
index 0000000..6db63af
--- /dev/null
@@ -0,0 +1,41 @@
+From 0a8f3e6fcdbad71c0c7e993f27117f3873438e5d Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 16 Dec 2020 09:28:17 +0000
+Subject: [PATCH] overlays: Add missing addresses to ads1015/ads1115
+
+The overlays for the ads1015 and ads1115 I2C ADCs omitted the addresses
+in the main device node names. As well as breaking the conventions for
+I2C devices, this prevents the firmware from renaming them when the
+"reg" property is modified, which in turn stops the overlays from being
+instantiated multiple times.
+
+See: https://www.raspberrypi.org/forums/viewtopic.php?f=107&t=294465
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/overlays/ads1015-overlay.dts | 2 +-
+ arch/arm/boot/dts/overlays/ads1115-overlay.dts | 2 +-
+ 2 files changed, 2 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/ads1015-overlay.dts
++++ b/arch/arm/boot/dts/overlays/ads1015-overlay.dts
+@@ -13,7 +13,7 @@
+             #address-cells = <1>;
+             #size-cells = <0>;
+             status = "okay";
+-            ads1015: ads1015 {
++            ads1015: ads1015@48 {
+                 compatible = "ti,ads1015";
+                 status = "okay";
+                 #address-cells = <1>;
+--- a/arch/arm/boot/dts/overlays/ads1115-overlay.dts
++++ b/arch/arm/boot/dts/overlays/ads1115-overlay.dts
+@@ -15,7 +15,7 @@
+                       #size-cells = <0>;
+                       status = "okay";
+-                      ads1115: ads1115 {
++                      ads1115: ads1115@48 {
+                               compatible = "ti,ads1115";
+                               status = "okay";
+                               #address-cells = <1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-1034-media-i2c-ov5647-Selection-compliance-fixes.patch b/target/linux/bcm27xx/patches-5.4/950-1034-media-i2c-ov5647-Selection-compliance-fixes.patch
new file mode 100644 (file)
index 0000000..58ba7e1
--- /dev/null
@@ -0,0 +1,88 @@
+From f05add64bf89b04310bc78606a5c70c0e97cb8b8 Mon Sep 17 00:00:00 2001
+From: Paul Elder <paul.elder@ideasonboard.com>
+Date: Tue, 22 Dec 2020 14:27:46 +0900
+Subject: [PATCH] media: i2c: ov5647: Selection compliance fixes
+
+To comply with the intended usage of the V4L2 selection target when
+used to retrieve a sensor image properties, adjust the rectangles
+returned by the ov5647 driver.
+
+The top/left crop coordinates of the TGT_CROP rectangle were set to
+(0, 0) instead of (16, 16) which is the offset from the larger physical
+pixel array rectangle. This was also a mismatch with the default values
+crop rectangle value, so this is corrected. Found with v4l2-compliance.
+
+While at it, add V4L2_SEL_TGT_CROP_BOUNDS support: CROP_DEFAULT and
+CROP_BOUNDS have the same size as the non-active pixels are not readable
+using the selection API. Found with v4l2-compliance.
+
+Signed-off-by: Paul Elder <paul.elder@ideasonboard.com>
+---
+ drivers/media/i2c/ov5647.c | 21 +++++++++++----------
+ 1 file changed, 11 insertions(+), 10 deletions(-)
+
+--- a/drivers/media/i2c/ov5647.c
++++ b/drivers/media/i2c/ov5647.c
+@@ -606,8 +606,8 @@ static struct ov5647_mode supported_mode
+                       .height = 480
+               },
+               .crop = {
+-                      .left = 0,
+-                      .top = 0,
++                      .left = OV5647_PIXEL_ARRAY_LEFT,
++                      .top = OV5647_PIXEL_ARRAY_TOP,
+                       .width = 1280,
+                       .height = 960,
+               },
+@@ -632,8 +632,8 @@ static struct ov5647_mode supported_mode
+                       .height = 1944
+               },
+               .crop = {
+-                      .left = 0,
+-                      .top = 0,
++                      .left = OV5647_PIXEL_ARRAY_LEFT,
++                      .top = OV5647_PIXEL_ARRAY_TOP,
+                       .width = 2592,
+                       .height = 1944
+               },
+@@ -656,8 +656,8 @@ static struct ov5647_mode supported_mode
+                       .height = 1080
+               },
+               .crop = {
+-                      .left = 348,
+-                      .top = 434,
++                      .left = 364,
++                      .top = 450,
+                       .width = 1928,
+                       .height = 1080,
+               },
+@@ -679,8 +679,8 @@ static struct ov5647_mode supported_mode
+                       .height = 972
+               },
+               .crop = {
+-                      .left = 0,
+-                      .top = 0,
++                      .left = OV5647_PIXEL_ARRAY_LEFT,
++                      .top = OV5647_PIXEL_ARRAY_TOP,
+                       .width = 2592,
+                       .height = 1944,
+               },
+@@ -703,8 +703,8 @@ static struct ov5647_mode supported_mode
+                       .height = 480
+               },
+               .crop = {
+-                      .left = 16,
+-                      .top = 0,
++                      .left = OV5647_PIXEL_ARRAY_LEFT,
++                      .top = OV5647_PIXEL_ARRAY_TOP,
+                       .width = 2560,
+                       .height = 1920,
+               },
+@@ -1080,6 +1080,7 @@ static int ov5647_get_selection(struct v
+               return 0;
+       case V4L2_SEL_TGT_CROP_DEFAULT:
++      case V4L2_SEL_TGT_CROP_BOUNDS:
+               sel->r.top = OV5647_PIXEL_ARRAY_TOP;
+               sel->r.left = OV5647_PIXEL_ARRAY_LEFT;
+               sel->r.width = OV5647_PIXEL_ARRAY_WIDTH;
diff --git a/target/linux/bcm27xx/patches-5.4/950-1035-Add-overlay-for-Seeed-Studio-CAN-BUS-FD-HAT-4034.patch b/target/linux/bcm27xx/patches-5.4/950-1035-Add-overlay-for-Seeed-Studio-CAN-BUS-FD-HAT-4034.patch
new file mode 100644 (file)
index 0000000..a5bbbdd
--- /dev/null
@@ -0,0 +1,217 @@
+From 4a314a9b9d91cacbf6be9dd521cf3abd3ae8bcef Mon Sep 17 00:00:00 2001
+From: menschel <menschel.p@posteo.de>
+Date: Wed, 30 Dec 2020 21:55:34 +0100
+Subject: [PATCH] Add overlay for Seeed Studio CAN BUS FD HAT (#4034)
+
+This patch adds the overlay for the Seeed Studio CAN BUS FD HAT
+with two CAN FD Channels and an RTC.
+https://www.seeedstudio.com/CAN-BUS-FD-HAT-for-Raspberry-Pi-p-4742.html
+
+The overlay was generated by
+ovmerge -c mcp251xfd-overlay.dts,spi0-0,interrupt=25 \
+           mcp251xfd-overlay.dts,spi0-1,interrupt=24 \
+           i2c-rtc-overlay.dts,pcf85063
+
+
+Also, add description on how to generate overlays
+
+Signed-off-by: Patrick Menschel <menschel.p@posteo.de>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |  46 +++++++
+ .../dts/overlays/seeed-can-fd-hat-overlay.dts | 117 ++++++++++++++++++
+ 3 files changed, 164 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/seeed-can-fd-hat-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -159,6 +159,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       sc16is752-spi1.dtbo \
+       sdhost.dtbo \
+       sdio.dtbo \
++      seeed-can-fd-hat.dtbo \
+       sh1106-spi.dtbo \
+       smi.dtbo \
+       smi-dev.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -81,6 +81,44 @@ Parameters usually have default values,
+ mandatory. See the list of overlays below for a description of the parameters
+ and their defaults.
++Making new Overlays based on existing Overlays
++==============================================
++
++Recent overlays have been designed in a more general way, so that they can be
++adapted to hardware by changing their parameters. When you have additional
++hardware with more than one device of a kind, you end up using the same overlay
++multiple times with other parameters, e.g.
++
++    # 2 CAN FD interfaces on spi but with different pins
++    dtoverlay=mcp251xfd,spi0-0,interrupt=25
++    dtoverlay=mcp251xfd,spi0-1,interrupt=24
++
++    # a realtime clock on i2c
++    dtoverlay=i2c-rtc,pcf85063
++
++While this approach does work, it requires knowledge about the hardware design.
++It is more feasible to simplify things for the end user by providing a single
++overlay as it is done the traditional way.
++
++A new overlay can be generated by using ovmerge utility.
++https://github.com/raspberrypi/utils/blob/master/ovmerge/ovmerge
++
++To generate an overlay for the above configuration we pass the configuration
++to ovmerge and add the -c flag.
++
++    ovmerge -c mcp251xfd-overlay.dts,spi0-0,interrupt=25 \
++               mcp251xfd-overlay.dts,spi0-1,interrupt=24 \
++               i2c-rtc-overlay.dts,pcf85063 \
++    >> merged-overlay.dts
++
++The -c option writes the command above as a comment into the overlay as
++a marker that this overlay is generated and how it was generated.
++After compiling the overlay it can be loaded in a single line.
++
++    dtoverlay=merged
++
++It does the same as the original configuration but without parameters.
++
+ The Overlay and Parameter Reference
+ ===================================
+@@ -2422,6 +2460,14 @@ Info:   This overlay is now deprecated.
+ Load:   <Deprecated>
++Name:   seeed-can-fd-hat
++Info:   Overlay for Seeed Studio CAN BUS FD HAT with two CAN FD channels and an
++        RTC.
++        https://www.seeedstudio.com/CAN-BUS-FD-HAT-for-Raspberry-Pi-p-4742.html
++Load:   dtoverlay=seeed-can-fd-hat
++Params: <None>
++
++
+ Name:   sh1106-spi
+ Info:   Overlay for SH1106 OLED via SPI using fbtft staging driver.
+ Load:   dtoverlay=sh1106-spi,<param>=<val>
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/seeed-can-fd-hat-overlay.dts
+@@ -0,0 +1,117 @@
++// redo: ovmerge -c mcp251xfd-overlay.dts,spi0-0,interrupt=25 mcp251xfd-overlay.dts,spi0-1,interrupt=24 i2c-rtc-overlay.dts,pcf85063
++
++// Device tree overlay for https://www.seeedstudio.com/CAN-BUS-FD-HAT-for-Raspberry-Pi-p-4742.html 
++
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/gpio/gpio.h>
++#include <dt-bindings/interrupt-controller/irq.h>
++#include <dt-bindings/pinctrl/bcm2835.h>
++
++/ {
++      compatible = "brcm,bcm2835";
++      fragment@0 {
++              target = <&spidev0>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++      fragment@1 {
++              target = <&gpio>;
++              __overlay__ {
++                      mcp251xfd_pins: mcp251xfd_spi0_0_pins {
++                              brcm,pins = <25>;
++                              brcm,function = <BCM2835_FSEL_GPIO_IN>;
++                      };
++              };
++      };
++      fragment@2 {
++              target-path = "/clocks";
++              __overlay__ {
++                      clk_mcp251xfd_osc: mcp251xfd-spi0-0-osc {
++                              #clock-cells = <0>;
++                              compatible = "fixed-clock";
++                              clock-frequency = <40000000>;
++                      };
++              };
++      };
++      fragment@3 {
++              target = <&spi0>;
++              __overlay__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      mcp251xfd@0 {
++                              compatible = "microchip,mcp251xfd";
++                              reg = <0>;
++                              pinctrl-names = "default";
++                              pinctrl-0 = <&mcp251xfd_pins>;
++                              spi-max-frequency = <20000000>;
++                              interrupt-parent = <&gpio>;
++                              interrupts = <25 IRQ_TYPE_LEVEL_LOW>;
++                              clocks = <&clk_mcp251xfd_osc>;
++                      };
++              };
++      };
++      fragment@4 {
++              target = <&spidev1>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++      fragment@5 {
++              target = <&gpio>;
++              __overlay__ {
++                      mcp251xfd_pins_1: mcp251xfd_spi0_1_pins {
++                              brcm,pins = <24>;
++                              brcm,function = <BCM2835_FSEL_GPIO_IN>;
++                      };
++              };
++      };
++      fragment@6 {
++              target-path = "/clocks";
++              __overlay__ {
++                      clk_mcp251xfd_osc_1: mcp251xfd-spi0-1-osc {
++                              #clock-cells = <0>;
++                              compatible = "fixed-clock";
++                              clock-frequency = <40000000>;
++                      };
++              };
++      };
++      fragment@7 {
++              target = <&spi0>;
++              __overlay__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      mcp251xfd@1 {
++                              compatible = "microchip,mcp251xfd";
++                              reg = <1>;
++                              pinctrl-names = "default";
++                              pinctrl-0 = <&mcp251xfd_pins_1>;
++                              spi-max-frequency = <20000000>;
++                              interrupt-parent = <&gpio>;
++                              interrupts = <24 IRQ_TYPE_LEVEL_LOW>;
++                              clocks = <&clk_mcp251xfd_osc_1>;
++                      };
++              };
++      };
++      fragment@8 {
++              target = <&i2cbus>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      pcf85063@51 {
++                              compatible = "nxp,pcf85063";
++                              reg = <0x51>;
++                      };
++              };
++      };
++      fragment@9 {
++              target = <&i2c_arm>;
++              i2cbus: __overlay__ {
++                      status = "okay";
++              };
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-1036-overlays-Rebuild-upstream-with-latest-ovmerge.patch b/target/linux/bcm27xx/patches-5.4/950-1036-overlays-Rebuild-upstream-with-latest-ovmerge.patch
new file mode 100644 (file)
index 0000000..b2e32cd
--- /dev/null
@@ -0,0 +1,173 @@
+From 6091a6c5536f422df652c4a14725de7dd1fc5e0f Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 30 Dec 2020 20:00:38 +0000
+Subject: [PATCH] overlays: Rebuild "upstream" with latest ovmerge
+
+The latest ovmerge drops disabled fragments, causing the "upstream"
+overlay to change.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ .../boot/dts/overlays/upstream-overlay.dts    | 38 +++++++------------
+ .../dts/overlays/upstream-pi4-overlay.dts     | 28 +-------------
+ 2 files changed, 15 insertions(+), 51 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/upstream-overlay.dts
++++ b/arch/arm/boot/dts/overlays/upstream-overlay.dts
+@@ -8,96 +8,84 @@
+ / {
+       compatible = "brcm,bcm2835";
+       fragment@0 {
+-              target = <&cma>;
+-              __dormant__ {
+-                      size = <0x10000000>;
+-              };
+-      };
+-      fragment@1 {
+               target = <&i2c2>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@2 {
++      fragment@1 {
+               target = <&fb>;
+               __overlay__ {
+                       status = "disabled";
+               };
+       };
+-      fragment@3 {
++      fragment@2 {
+               target = <&pixelvalve0>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@4 {
++      fragment@3 {
+               target = <&pixelvalve1>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@5 {
++      fragment@4 {
+               target = <&pixelvalve2>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@6 {
++      fragment@5 {
+               target = <&hvs>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@7 {
++      fragment@6 {
+               target = <&hdmi>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@8 {
++      fragment@7 {
+               target = <&v3d>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@9 {
++      fragment@8 {
+               target = <&vc4>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@10 {
++      fragment@9 {
+               target = <&clocks>;
+               __overlay__ {
+                       claim-clocks = <BCM2835_PLLD_DSI0 BCM2835_PLLD_DSI1 BCM2835_PLLH_AUX BCM2835_PLLH_PIX>;
+               };
+       };
+-      fragment@11 {
++      fragment@10 {
+               target = <&vec>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@12 {
++      fragment@11 {
+               target = <&txp>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@13 {
+-              target = <&hdmi>;
+-              __dormant__ {
+-                      dmas;
+-              };
+-      };
+-      fragment@14 {
++      fragment@12 {
+               target = <&audio>;
+               __overlay__ {
+                       brcm,disable-hdmi;
+               };
+       };
+-      fragment@15 {
++      fragment@13 {
+               target = <&usb>;
+               #address-cells = <1>;
+               #size-cells = <1>;
+--- a/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
++++ b/arch/arm/boot/dts/overlays/upstream-pi4-overlay.dts
+@@ -110,42 +110,18 @@
+               };
+       };
+       fragment@17 {
+-              target = <&hdmi0>;
+-              __dormant__ {
+-                      dmas;
+-              };
+-      };
+-      fragment@18 {
+-              target = <&hdmi1>;
+-              __dormant__ {
+-                      dmas;
+-              };
+-      };
+-      fragment@19 {
+               target = <&audio>;
+               __overlay__ {
+                       brcm,disable-hdmi;
+               };
+       };
+-      fragment@20 {
++      fragment@18 {
+               target = <&dvp>;
+               __overlay__ {
+                       status = "okay";
+               };
+       };
+-      fragment@21 {
+-              target = <&pixelvalve3>;
+-              __dormant__ {
+-                      status = "okay";
+-              };
+-      };
+-      fragment@22 {
+-              target = <&vec>;
+-              __dormant__ {
+-                      status = "okay";
+-              };
+-      };
+-      fragment@23 {
++      fragment@19 {
+               target = <&usb>;
+               #address-cells = <1>;
+               #size-cells = <1>;
diff --git a/target/linux/bcm27xx/patches-5.4/950-1037-overlays-give-Seeed-Studio-CAN-BUS-FD-HAT-a-v2-postf.patch b/target/linux/bcm27xx/patches-5.4/950-1037-overlays-give-Seeed-Studio-CAN-BUS-FD-HAT-a-v2-postf.patch
new file mode 100644 (file)
index 0000000..185c16c
--- /dev/null
@@ -0,0 +1,286 @@
+From 6b458078a50b9332e799e045f91c288a7ff8d8ca Mon Sep 17 00:00:00 2001
+From: Marc Kleine-Budde <mkl@pengutronix.de>
+Date: Sat, 2 Jan 2021 21:08:59 +0100
+Subject: [PATCH] overlays: give Seeed Studio CAN BUS FD HAT a -v2
+ postfix
+
+There are several versions of the Seeed Studio CAN BUS FD HAT. This is the
+second version, based on the mcp2518fd, so give it a -v2 postfix.
+
+Signed-off-by: Marc Kleine-Budde <mkl@pengutronix.de>
+---
+ arch/arm/boot/dts/overlays/Makefile                       | 2 +-
+ arch/arm/boot/dts/overlays/README                         | 8 ++++----
+ ...fd-hat-overlay.dts => seeed-can-fd-hat-v2-overlay.dts} | 0
+ 3 files changed, 5 insertions(+), 5 deletions(-)
+ rename arch/arm/boot/dts/overlays/{seeed-can-fd-hat-overlay.dts => seeed-can-fd-hat-v2-overlay.dts} (100%)
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -159,7 +159,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       sc16is752-spi1.dtbo \
+       sdhost.dtbo \
+       sdio.dtbo \
+-      seeed-can-fd-hat.dtbo \
++      seeed-can-fd-hat-v2.dtbo \
+       sh1106-spi.dtbo \
+       smi.dtbo \
+       smi-dev.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2460,11 +2460,11 @@ Info:   This overlay is now deprecated.
+ Load:   <Deprecated>
+-Name:   seeed-can-fd-hat
+-Info:   Overlay for Seeed Studio CAN BUS FD HAT with two CAN FD channels and an
+-        RTC.
++Name:   seeed-can-fd-hat-v2
++Info:   Overlay for Seeed Studio CAN BUS FD HAT with two CAN FD channels
++        (based on the mcp2518fd) and an RTC.
+         https://www.seeedstudio.com/CAN-BUS-FD-HAT-for-Raspberry-Pi-p-4742.html
+-Load:   dtoverlay=seeed-can-fd-hat
++Load:   dtoverlay=seeed-can-fd-hat-v2
+ Params: <None>
+--- a/arch/arm/boot/dts/overlays/seeed-can-fd-hat-overlay.dts
++++ /dev/null
+@@ -1,117 +0,0 @@
+-// redo: ovmerge -c mcp251xfd-overlay.dts,spi0-0,interrupt=25 mcp251xfd-overlay.dts,spi0-1,interrupt=24 i2c-rtc-overlay.dts,pcf85063
+-
+-// Device tree overlay for https://www.seeedstudio.com/CAN-BUS-FD-HAT-for-Raspberry-Pi-p-4742.html 
+-
+-/dts-v1/;
+-/plugin/;
+-
+-#include <dt-bindings/gpio/gpio.h>
+-#include <dt-bindings/interrupt-controller/irq.h>
+-#include <dt-bindings/pinctrl/bcm2835.h>
+-
+-/ {
+-      compatible = "brcm,bcm2835";
+-      fragment@0 {
+-              target = <&spidev0>;
+-              __overlay__ {
+-                      status = "disabled";
+-              };
+-      };
+-      fragment@1 {
+-              target = <&gpio>;
+-              __overlay__ {
+-                      mcp251xfd_pins: mcp251xfd_spi0_0_pins {
+-                              brcm,pins = <25>;
+-                              brcm,function = <BCM2835_FSEL_GPIO_IN>;
+-                      };
+-              };
+-      };
+-      fragment@2 {
+-              target-path = "/clocks";
+-              __overlay__ {
+-                      clk_mcp251xfd_osc: mcp251xfd-spi0-0-osc {
+-                              #clock-cells = <0>;
+-                              compatible = "fixed-clock";
+-                              clock-frequency = <40000000>;
+-                      };
+-              };
+-      };
+-      fragment@3 {
+-              target = <&spi0>;
+-              __overlay__ {
+-                      status = "okay";
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      mcp251xfd@0 {
+-                              compatible = "microchip,mcp251xfd";
+-                              reg = <0>;
+-                              pinctrl-names = "default";
+-                              pinctrl-0 = <&mcp251xfd_pins>;
+-                              spi-max-frequency = <20000000>;
+-                              interrupt-parent = <&gpio>;
+-                              interrupts = <25 IRQ_TYPE_LEVEL_LOW>;
+-                              clocks = <&clk_mcp251xfd_osc>;
+-                      };
+-              };
+-      };
+-      fragment@4 {
+-              target = <&spidev1>;
+-              __overlay__ {
+-                      status = "disabled";
+-              };
+-      };
+-      fragment@5 {
+-              target = <&gpio>;
+-              __overlay__ {
+-                      mcp251xfd_pins_1: mcp251xfd_spi0_1_pins {
+-                              brcm,pins = <24>;
+-                              brcm,function = <BCM2835_FSEL_GPIO_IN>;
+-                      };
+-              };
+-      };
+-      fragment@6 {
+-              target-path = "/clocks";
+-              __overlay__ {
+-                      clk_mcp251xfd_osc_1: mcp251xfd-spi0-1-osc {
+-                              #clock-cells = <0>;
+-                              compatible = "fixed-clock";
+-                              clock-frequency = <40000000>;
+-                      };
+-              };
+-      };
+-      fragment@7 {
+-              target = <&spi0>;
+-              __overlay__ {
+-                      status = "okay";
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      mcp251xfd@1 {
+-                              compatible = "microchip,mcp251xfd";
+-                              reg = <1>;
+-                              pinctrl-names = "default";
+-                              pinctrl-0 = <&mcp251xfd_pins_1>;
+-                              spi-max-frequency = <20000000>;
+-                              interrupt-parent = <&gpio>;
+-                              interrupts = <24 IRQ_TYPE_LEVEL_LOW>;
+-                              clocks = <&clk_mcp251xfd_osc_1>;
+-                      };
+-              };
+-      };
+-      fragment@8 {
+-              target = <&i2cbus>;
+-              __overlay__ {
+-                      #address-cells = <1>;
+-                      #size-cells = <0>;
+-                      pcf85063@51 {
+-                              compatible = "nxp,pcf85063";
+-                              reg = <0x51>;
+-                      };
+-              };
+-      };
+-      fragment@9 {
+-              target = <&i2c_arm>;
+-              i2cbus: __overlay__ {
+-                      status = "okay";
+-              };
+-      };
+-};
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/seeed-can-fd-hat-v2-overlay.dts
+@@ -0,0 +1,117 @@
++// redo: ovmerge -c mcp251xfd-overlay.dts,spi0-0,interrupt=25 mcp251xfd-overlay.dts,spi0-1,interrupt=24 i2c-rtc-overlay.dts,pcf85063
++
++// Device tree overlay for https://www.seeedstudio.com/CAN-BUS-FD-HAT-for-Raspberry-Pi-p-4742.html 
++
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/gpio/gpio.h>
++#include <dt-bindings/interrupt-controller/irq.h>
++#include <dt-bindings/pinctrl/bcm2835.h>
++
++/ {
++      compatible = "brcm,bcm2835";
++      fragment@0 {
++              target = <&spidev0>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++      fragment@1 {
++              target = <&gpio>;
++              __overlay__ {
++                      mcp251xfd_pins: mcp251xfd_spi0_0_pins {
++                              brcm,pins = <25>;
++                              brcm,function = <BCM2835_FSEL_GPIO_IN>;
++                      };
++              };
++      };
++      fragment@2 {
++              target-path = "/clocks";
++              __overlay__ {
++                      clk_mcp251xfd_osc: mcp251xfd-spi0-0-osc {
++                              #clock-cells = <0>;
++                              compatible = "fixed-clock";
++                              clock-frequency = <40000000>;
++                      };
++              };
++      };
++      fragment@3 {
++              target = <&spi0>;
++              __overlay__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      mcp251xfd@0 {
++                              compatible = "microchip,mcp251xfd";
++                              reg = <0>;
++                              pinctrl-names = "default";
++                              pinctrl-0 = <&mcp251xfd_pins>;
++                              spi-max-frequency = <20000000>;
++                              interrupt-parent = <&gpio>;
++                              interrupts = <25 IRQ_TYPE_LEVEL_LOW>;
++                              clocks = <&clk_mcp251xfd_osc>;
++                      };
++              };
++      };
++      fragment@4 {
++              target = <&spidev1>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++      fragment@5 {
++              target = <&gpio>;
++              __overlay__ {
++                      mcp251xfd_pins_1: mcp251xfd_spi0_1_pins {
++                              brcm,pins = <24>;
++                              brcm,function = <BCM2835_FSEL_GPIO_IN>;
++                      };
++              };
++      };
++      fragment@6 {
++              target-path = "/clocks";
++              __overlay__ {
++                      clk_mcp251xfd_osc_1: mcp251xfd-spi0-1-osc {
++                              #clock-cells = <0>;
++                              compatible = "fixed-clock";
++                              clock-frequency = <40000000>;
++                      };
++              };
++      };
++      fragment@7 {
++              target = <&spi0>;
++              __overlay__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      mcp251xfd@1 {
++                              compatible = "microchip,mcp251xfd";
++                              reg = <1>;
++                              pinctrl-names = "default";
++                              pinctrl-0 = <&mcp251xfd_pins_1>;
++                              spi-max-frequency = <20000000>;
++                              interrupt-parent = <&gpio>;
++                              interrupts = <24 IRQ_TYPE_LEVEL_LOW>;
++                              clocks = <&clk_mcp251xfd_osc_1>;
++                      };
++              };
++      };
++      fragment@8 {
++              target = <&i2cbus>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      pcf85063@51 {
++                              compatible = "nxp,pcf85063";
++                              reg = <0x51>;
++                      };
++              };
++      };
++      fragment@9 {
++              target = <&i2c_arm>;
++              i2cbus: __overlay__ {
++                      status = "okay";
++              };
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-1038-overlays-Add-overlay-for-Seeed-Studio-CAN-BUS-FD-HAT.patch b/target/linux/bcm27xx/patches-5.4/950-1038-overlays-Add-overlay-for-Seeed-Studio-CAN-BUS-FD-HAT.patch
new file mode 100644 (file)
index 0000000..6ae1680
--- /dev/null
@@ -0,0 +1,192 @@
+From 42c792400770ec57cafce87cb9594a948d6c0700 Mon Sep 17 00:00:00 2001
+From: Marc Kleine-Budde <mkl@pengutronix.de>
+Date: Sat, 2 Jan 2021 21:38:58 +0100
+Subject: [PATCH] overlays: Add overlay for Seeed Studio CAN BUS FD
+ HAT v1 (based on mcp2517fd)
+
+This patch adds the overlay for the Seeed Studio CAN BUS FD HAT v1 with two CAN
+FD Channels (based on mcp2517fd).
+
+https://www.seeedstudio.com/2-Channel-CAN-BUS-FD-Shield-for-Raspberry-Pi-p-4072.html
+
+The overlay was generated by:
+ovmerge -c spi1-1cs-overlay.dts,cs0_pin=18,cs0_spidev=false \
+           mcp251xfd-overlay.dts,spi0-0,interrupt=25 \
+           mcp251xfd-overlay.dts,spi1-0,interrupt=24
+
+Signed-off-by: Marc Kleine-Budde <mkl@pengutronix.de>
+---
+ arch/arm/boot/dts/overlays/Makefile           |   1 +
+ arch/arm/boot/dts/overlays/README             |   8 +
+ .../overlays/seeed-can-fd-hat-v1-overlay.dts  | 138 ++++++++++++++++++
+ 3 files changed, 147 insertions(+)
+ create mode 100644 arch/arm/boot/dts/overlays/seeed-can-fd-hat-v1-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -159,6 +159,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       sc16is752-spi1.dtbo \
+       sdhost.dtbo \
+       sdio.dtbo \
++      seeed-can-fd-hat-v1.dtbo \
+       seeed-can-fd-hat-v2.dtbo \
+       sh1106-spi.dtbo \
+       smi.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2460,6 +2460,14 @@ Info:   This overlay is now deprecated.
+ Load:   <Deprecated>
++Name:   seeed-can-fd-hat-v1
++Info:   Overlay for Seeed Studio CAN BUS FD HAT with two CAN FD channels
++        (based on the mcp2517fd).
++        https://www.seeedstudio.com/2-Channel-CAN-BUS-FD-Shield-for-Raspberry-Pi-p-4072.html
++Load:   dtoverlay=seeed-can-fd-hat-v1
++Params: <None>
++
++
+ Name:   seeed-can-fd-hat-v2
+ Info:   Overlay for Seeed Studio CAN BUS FD HAT with two CAN FD channels
+         (based on the mcp2518fd) and an RTC.
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/seeed-can-fd-hat-v1-overlay.dts
+@@ -0,0 +1,138 @@
++// redo: ovmerge -c spi1-1cs-overlay.dts,cs0_pin=18,cs0_spidev=false mcp251xfd-overlay.dts,spi0-0,interrupt=25 mcp251xfd-overlay.dts,spi1-0,interrupt=24
++
++// Device tree overlay for https://www.seeedstudio.com/2-Channel-CAN-BUS-FD-Shield-for-Raspberry-Pi-p-4072.html
++
++/dts-v1/;
++/plugin/;
++
++#include <dt-bindings/gpio/gpio.h>
++#include <dt-bindings/interrupt-controller/irq.h>
++#include <dt-bindings/pinctrl/bcm2835.h>
++
++/ {
++      compatible = "brcm,bcm2835";
++      fragment@0 {
++              target = <&gpio>;
++              __overlay__ {
++                      spi1_pins: spi1_pins {
++                              brcm,pins = <19 20 21>;
++                              brcm,function = <3>;
++                      };
++                      spi1_cs_pins: spi1_cs_pins {
++                              brcm,pins = <18>;
++                              brcm,function = <1>;
++                      };
++              };
++      };
++      fragment@1 {
++              target = <&spi1>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      pinctrl-names = "default";
++                      pinctrl-0 = <&spi1_pins &spi1_cs_pins>;
++                      cs-gpios = <&gpio 18 1>;
++                      status = "okay";
++                      spidev@0 {
++                              compatible = "spidev";
++                              reg = <0>;
++                              #address-cells = <1>;
++                              #size-cells = <0>;
++                              spi-max-frequency = <125000000>;
++                              status = "disabled";
++                      };
++              };
++      };
++      fragment@2 {
++              target = <&aux>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++      fragment@3 {
++              target = <&spidev0>;
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++      fragment@4 {
++              target = <&gpio>;
++              __overlay__ {
++                      mcp251xfd_pins: mcp251xfd_spi0_0_pins {
++                              brcm,pins = <25>;
++                              brcm,function = <BCM2835_FSEL_GPIO_IN>;
++                      };
++              };
++      };
++      fragment@5 {
++              target-path = "/clocks";
++              __overlay__ {
++                      clk_mcp251xfd_osc: mcp251xfd-spi0-0-osc {
++                              #clock-cells = <0>;
++                              compatible = "fixed-clock";
++                              clock-frequency = <40000000>;
++                      };
++              };
++      };
++      fragment@6 {
++              target = <&spi0>;
++              __overlay__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      mcp251xfd@0 {
++                              compatible = "microchip,mcp251xfd";
++                              reg = <0>;
++                              pinctrl-names = "default";
++                              pinctrl-0 = <&mcp251xfd_pins>;
++                              spi-max-frequency = <20000000>;
++                              interrupt-parent = <&gpio>;
++                              interrupts = <25 IRQ_TYPE_LEVEL_LOW>;
++                              clocks = <&clk_mcp251xfd_osc>;
++                      };
++              };
++      };
++      fragment@7 {
++              target-path = "spi1/spidev@0";
++              __overlay__ {
++                      status = "disabled";
++              };
++      };
++      fragment@8 {
++              target = <&gpio>;
++              __overlay__ {
++                      mcp251xfd_pins_1: mcp251xfd_spi1_0_pins {
++                              brcm,pins = <24>;
++                              brcm,function = <BCM2835_FSEL_GPIO_IN>;
++                      };
++              };
++      };
++      fragment@9 {
++              target-path = "/clocks";
++              __overlay__ {
++                      clk_mcp251xfd_osc_1: mcp251xfd-spi1-0-osc {
++                              #clock-cells = <0>;
++                              compatible = "fixed-clock";
++                              clock-frequency = <40000000>;
++                      };
++              };
++      };
++      fragment@10 {
++              target = <&spi1>;
++              __overlay__ {
++                      status = "okay";
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      mcp251xfd@0 {
++                              compatible = "microchip,mcp251xfd";
++                              reg = <0>;
++                              pinctrl-names = "default";
++                              pinctrl-0 = <&mcp251xfd_pins_1>;
++                              spi-max-frequency = <20000000>;
++                              interrupt-parent = <&gpio>;
++                              interrupts = <24 IRQ_TYPE_LEVEL_LOW>;
++                              clocks = <&clk_mcp251xfd_osc_1>;
++                      };
++              };
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-1039-overlays-add-wm8960-soundcard-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-1039-overlays-add-wm8960-soundcard-overlay.patch
new file mode 100644 (file)
index 0000000..fa2f54c
--- /dev/null
@@ -0,0 +1,129 @@
+From 4b26aad69c65e141e7ad5187c558d20304eb4bd8 Mon Sep 17 00:00:00 2001
+From: Aaron Shaw <shawaj@gmail.com>
+Date: Sat, 2 Jan 2021 02:34:03 +0000
+Subject: [PATCH] overlays: add wm8960-soundcard overlay
+
+add overlay for waveshare wm8960 simple-audio-card
+
+Change-type: patch
+Signed-off-by: Aaron Shaw <shawaj@gmail.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  3 +-
+ arch/arm/boot/dts/overlays/README             |  7 ++
+ .../dts/overlays/wm8960-soundcard-overlay.dts | 82 +++++++++++++++++++
+ 3 files changed, 91 insertions(+), 1 deletion(-)
+ create mode 100644 arch/arm/boot/dts/overlays/wm8960-soundcard-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -210,7 +210,8 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       w1-gpio.dtbo \
+       w1-gpio-pullup.dtbo \
+       w5500.dtbo \
+-      wittypi.dtbo
++      wittypi.dtbo \
++      wm8960-soundcard.dtbo
+ targets += dtbs dtbs_install
+ targets += $(dtbo-y)
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -3073,6 +3073,13 @@ Params: led_gpio                GPIO for
+                                 "default-on")
++Name:   wm8960-soundcard
++Info:   Overlay for the Waveshare wm8960 soundcard
++Load:   dtoverlay=wm8960-soundcard,<param>=<val>
++Params: alsaname                Changes the card name in ALSA
++        compatible              Changes the codec compatibility
++
++
+ Troubleshooting
+ ===============
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/wm8960-soundcard-overlay.dts
+@@ -0,0 +1,82 @@
++// Definitions for Waveshare WM8960 https://github.com/waveshare/WM8960-Audio-HAT
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target = <&i2s>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@1 {
++              target-path="/";
++              __overlay__ {
++                      wm8960_mclk: wm8960_mclk {
++                              compatible = "fixed-clock";
++                              #clock-cells = <0>;
++                              clock-frequency = <12288000>;
++                      };
++              };
++      };
++      fragment@2 {
++              target = <&i2c1>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      wm8960: wm8960 {
++                              compatible = "wlf,wm8960";
++                              reg = <0x1a>;
++                              #sound-dai-cells = <0>;
++                              AVDD-supply = <&vdd_5v0_reg>;
++                              DVDD-supply = <&vdd_3v3_reg>;
++                      };
++              };
++      };
++
++
++      fragment@3 {
++              target = <&sound>;
++              slave_overlay: __overlay__ {
++                      compatible = "simple-audio-card";
++                      simple-audio-card,format = "i2s";
++                      simple-audio-card,name = "wm8960-soundcard"; 
++                      status = "okay";
++
++                      simple-audio-card,widgets =
++                              "Microphone", "Mic Jack",
++                              "Line", "Line In",
++                              "Line", "Line Out",
++                              "Speaker", "Speaker",
++                              "Headphone", "Headphone Jack";
++                      simple-audio-card,routing =
++                              "Headphone Jack", "HP_L",
++                              "Headphone Jack", "HP_R",
++                              "Speaker", "SPK_LP",
++                              "Speaker", "SPK_LN",
++                              "LINPUT1", "Mic Jack",
++                              "LINPUT3", "Mic Jack",
++                              "RINPUT1", "Mic Jack",
++                              "RINPUT2", "Mic Jack";
++
++                      simple-audio-card,cpu {
++                              sound-dai = <&i2s>;
++                      };
++                      dailink0_slave: simple-audio-card,codec {
++                              sound-dai = <&wm8960>;
++                              clocks = <&wm8960_mclk>;
++                              clock-names = "mclk";
++                      };
++              };
++      };
++
++      __overrides__ {
++              alsaname = <&slave_overlay>,"simple-audio-card,name";
++              compatible = <&wm8960>,"compatible";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-1040-overlays-add-spi-override-to-merus-amp-overlay.patch b/target/linux/bcm27xx/patches-5.4/950-1040-overlays-add-spi-override-to-merus-amp-overlay.patch
new file mode 100644 (file)
index 0000000..213d293
--- /dev/null
@@ -0,0 +1,44 @@
+From 2b4ffcc3d1a589154928830e56d4b8efdf15e368 Mon Sep 17 00:00:00 2001
+From: Aaron Shaw <shawaj@gmail.com>
+Date: Sat, 26 Dec 2020 03:13:14 +0000
+Subject: [PATCH] overlays: add spi override to merus-amp overlay
+
+adds an override to the merus-amp overlay to turn the spi bus off
+
+Change-type: patch
+Signed-off-by: Aaron Shaw <shawaj@gmail.com>
+---
+ arch/arm/boot/dts/overlays/README                |  4 ++--
+ arch/arm/boot/dts/overlays/merus-amp-overlay.dts | 10 ++++++++++
+ 2 files changed, 12 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1870,8 +1870,8 @@ Params: speed                   Display
+ Name:   merus-amp
+ Info:   Configures the merus-amp audio card
+-Load:   dtoverlay=merus-amp
+-Params: <None>
++Load:   dtoverlay=merus-amp,<param>=<val>
++Params: spioff                  Turn SPI bus off
+ Name:   midi-uart0
+--- a/arch/arm/boot/dts/overlays/merus-amp-overlay.dts
++++ b/arch/arm/boot/dts/overlays/merus-amp-overlay.dts
+@@ -57,4 +57,14 @@
+                       status = "okay";
+               };
+       };
++
++      fragment@4 {
++              target = <&spi0>;
++              frag4: __overlay__ {
++              };
++      };
++
++      __overrides__ {
++              spioff = <&frag4>, "status=disabled";
++      };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-1041-overlays-seeed-can-fd-hat-clarify-how-to-identify-HA.patch b/target/linux/bcm27xx/patches-5.4/950-1041-overlays-seeed-can-fd-hat-clarify-how-to-identify-HA.patch
new file mode 100644 (file)
index 0000000..d622888
--- /dev/null
@@ -0,0 +1,45 @@
+From 426116286821ed9ce10e415ffdebb2c55e728050 Mon Sep 17 00:00:00 2001
+From: Marc Kleine-Budde <mkl@pengutronix.de>
+Date: Sat, 9 Jan 2021 17:03:32 +0100
+Subject: [PATCH] overlays: seeed-can-fd-hat: clarify how to identify
+ HAT version
+
+It turns out the used CAN SPI chip is not a good way to identify the version of
+the CAN HAT.
+
+There are two different board layouts of the Seeed Studio CAN BUS FD HAT. The
+v1 board doesn't have a battery holder, while the v2 board has. Update the
+overlay README accordinly.
+
+Link: https://github.com/Seeed-Studio/seeed-linux-dtoverlays/issues/13
+Cc: Patrick Menschel <menschel.p@posteo.de>
+Signed-off-by: Marc Kleine-Budde <mkl@pengutronix.de>
+---
+ arch/arm/boot/dts/overlays/README | 10 ++++++----
+ 1 file changed, 6 insertions(+), 4 deletions(-)
+
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -2461,16 +2461,18 @@ Load:   <Deprecated>
+ Name:   seeed-can-fd-hat-v1
+-Info:   Overlay for Seeed Studio CAN BUS FD HAT with two CAN FD channels
+-        (based on the mcp2517fd).
++Info:   Overlay for Seeed Studio CAN BUS FD HAT with two CAN FD
++        channels without RTC. Use this overlay if your HAT has no
++        battery holder.
+         https://www.seeedstudio.com/2-Channel-CAN-BUS-FD-Shield-for-Raspberry-Pi-p-4072.html
+ Load:   dtoverlay=seeed-can-fd-hat-v1
+ Params: <None>
+ Name:   seeed-can-fd-hat-v2
+-Info:   Overlay for Seeed Studio CAN BUS FD HAT with two CAN FD channels
+-        (based on the mcp2518fd) and an RTC.
++Info:   Overlay for Seeed Studio CAN BUS FD HAT with two CAN FD
++        channels and an RTC. Use this overlay if your HAT has a
++        battery holder.
+         https://www.seeedstudio.com/CAN-BUS-FD-HAT-for-Raspberry-Pi-p-4742.html
+ Load:   dtoverlay=seeed-can-fd-hat-v2
+ Params: <None>
diff --git a/target/linux/bcm27xx/patches-5.4/950-1042-uapi-bcm2835-isp-Add-colour-denoise-configuration.patch b/target/linux/bcm27xx/patches-5.4/950-1042-uapi-bcm2835-isp-Add-colour-denoise-configuration.patch
new file mode 100644 (file)
index 0000000..48ffc01
--- /dev/null
@@ -0,0 +1,56 @@
+From b920f23b301503d6127f3fcdc3c88e24aa470679 Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Thu, 14 Jan 2021 09:18:42 +0000
+Subject: [PATCH] uapi: bcm2835-isp: Add colour denoise configuration
+
+Add a configuration structure for colour denoise to the bcm2835_isp
+driver.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ include/uapi/linux/bcm2835-isp.h | 27 +++++++++++++++++++++++++++
+ 1 file changed, 27 insertions(+)
+
+--- a/include/uapi/linux/bcm2835-isp.h
++++ b/include/uapi/linux/bcm2835-isp.h
+@@ -31,6 +31,8 @@
+                               (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0007)
+ #define V4L2_CID_USER_BCM2835_ISP_DPC         \
+                               (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0008)
++#define V4L2_CID_USER_BCM2835_ISP_CDN \
++                              (V4L2_CID_USER_BCM2835_ISP_BASE + 0x0009)
+ /*
+  * All structs below are directly mapped onto the equivalent structs in
+@@ -176,6 +178,31 @@ struct bcm2835_isp_gamma {
+ };
+ /**
++ * enum bcm2835_isp_cdn_mode - Mode of operation for colour denoise.
++ *
++ * @CDN_MODE_FAST:            Fast (but lower quality) colour denoise
++ *                            algorithm, typically used for video recording.
++ * @CDN_HIGH_QUALITY:         High quality (but slower) colour denoise
++ *                            algorithm, typically used for stills capture.
++ */
++enum bcm2835_isp_cdn_mode {
++      CDN_MODE_FAST = 0,
++      CDN_MODE_HIGH_QUALITY = 1,
++};
++
++/**
++ * struct bcm2835_isp_cdn - Colour denoise parameters set with the
++ *                        V4L2_CID_USER_BCM2835_ISP_CDN ctrl.
++ *
++ * @enabled:  Enable colour denoise.
++ * @mode:     Colour denoise operating mode (see enum &bcm2835_isp_cdn_mode)
++ */
++struct bcm2835_isp_cdn {
++      __u32 enabled;
++      __u32 mode;
++};
++
++/**
+  * struct bcm2835_isp_denoise - Denoise parameters set with the
+  *                            V4L2_CID_USER_BCM2835_ISP_DENOISE ctrl.
+  *
diff --git a/target/linux/bcm27xx/patches-5.4/950-1043-staging-vc04_services-ISP-Add-colour-denoise-control.patch b/target/linux/bcm27xx/patches-5.4/950-1043-staging-vc04_services-ISP-Add-colour-denoise-control.patch
new file mode 100644 (file)
index 0000000..6df7a0c
--- /dev/null
@@ -0,0 +1,75 @@
+From 29ec709794ac2aae569c8d486dd19a7d731cfeeb Mon Sep 17 00:00:00 2001
+From: Naushir Patuck <naush@raspberrypi.com>
+Date: Thu, 14 Jan 2021 09:20:52 +0000
+Subject: [PATCH] staging: vc04_services: ISP: Add colour denoise
+ control
+
+Add colour denoise control to the bcm2835 driver through a new v4l2
+control: V4L2_CID_USER_BCM2835_ISP_CDN.
+
+Add the accompanying MMAL configuration structure definitions as well.
+
+Signed-off-by: Naushir Patuck <naush@raspberrypi.com>
+---
+ .../vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c    |  5 +++++
+ .../vc04_services/bcm2835-isp/bcm2835_isp_ctrls.h   |  5 +++++
+ .../vc04_services/vchiq-mmal/mmal-parameters.h      | 13 +++++++++++++
+ 3 files changed, 23 insertions(+)
+
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835-v4l2-isp.c
+@@ -776,6 +776,11 @@ static int bcm2835_isp_s_ctrl(struct v4l
+                                   ctrl->p_new.p_u8,
+                                   sizeof(struct bcm2835_isp_denoise));
+               break;
++      case V4L2_CID_USER_BCM2835_ISP_CDN:
++              ret = set_isp_param(node, MMAL_PARAMETER_CDN,
++                                  ctrl->p_new.p_u8,
++                                  sizeof(struct bcm2835_isp_cdn));
++              break;
+       case V4L2_CID_USER_BCM2835_ISP_SHARPEN:
+               ret = set_isp_param(node, MMAL_PARAMETER_SHARPEN,
+                                   ctrl->p_new.p_u8,
+--- a/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_ctrls.h
++++ b/drivers/staging/vc04_services/bcm2835-isp/bcm2835_isp_ctrls.h
+@@ -57,6 +57,11 @@ static const struct bcm2835_isp_custom_c
+               .size   = sizeof(struct bcm2835_isp_denoise),
+               .flags  = 0
+       }, {
++              .name   = "Colour Denoise",
++              .id     = V4L2_CID_USER_BCM2835_ISP_CDN,
++              .size   = sizeof(struct bcm2835_isp_cdn),
++              .flags  = 0
++      }, {
+               .name   = "Defective Pixel Correction",
+               .id     = V4L2_CID_USER_BCM2835_ISP_DPC,
+               .size   = sizeof(struct bcm2835_isp_dpc),
+--- a/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
++++ b/drivers/staging/vc04_services/vchiq-mmal/mmal-parameters.h
+@@ -277,6 +277,8 @@ enum mmal_parameter_camera_type {
+       MMAL_PARAMETER_DPC,
+               /**< Tales a @ref MMAP_PARAMETER_GAMMA_T */
+       MMAL_PARAMETER_GAMMA,
++              /**< Takes a @ref MMAL_PARAMETER_CDN_T */
++      MMAL_PARAMETER_CDN,
+ };
+ struct mmal_parameter_rational {
+@@ -910,6 +912,17 @@ struct mmal_parameter_gamma {
+       u16 y[MMAL_NUM_GAMMA_PTS];
+ };
++enum mmal_parameter_cdn_mode {
++      MMAL_PARAM_CDN_FAST = 0,
++      MMAL_PARAM_CDN_HIGH_QUALITY = 1,
++      MMAL_PARAM_CDN_DUMMY  = 0x7FFFFFFF
++};
++
++struct mmal_parameter_colour_denoise {
++      u32 enabled;
++      enum mmal_parameter_cdn_mode mode;
++};
++
+ struct mmal_parameter_denoise {
+       u32 enabled;
+       u32 constant;
diff --git a/target/linux/bcm27xx/patches-5.4/950-1044-kbuild-Silence-unavoidable-dtc-overlay-warnings.patch b/target/linux/bcm27xx/patches-5.4/950-1044-kbuild-Silence-unavoidable-dtc-overlay-warnings.patch
new file mode 100644 (file)
index 0000000..24292fe
--- /dev/null
@@ -0,0 +1,31 @@
+From 6f36a21e2a77b33eed45159a1556a4dd77422976 Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Fri, 29 Jan 2021 10:34:11 +0000
+Subject: [PATCH] kbuild: Silence unavoidable dtc overlay warnings
+
+Much effort has been put into finding ways to avoid warnings from dtc
+about overlays, usually to do with the presence of #address-cells and
+size-cells, but not exclusively so. Since the issues being warned about
+are harmless, suppress the warnings to declutter the build output and
+to avoid alarming users.
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ scripts/Makefile.lib | 6 ++++++
+ 1 file changed, 6 insertions(+)
+
+--- a/scripts/Makefile.lib
++++ b/scripts/Makefile.lib
+@@ -306,6 +306,12 @@ cmd_dtco = mkdir -p $(dir ${dtc-tmp}) ;
+       $(DTC) -@ -H epapr -O dtb -o $@ -b 0 \
+               -i $(dir $<) $(DTC_FLAGS) \
+               -Wno-interrupts_property \
++              -Wno-label_is_string \
++              -Wno-reg_format \
++              -Wno-pci_device_bus_num \
++              -Wno-i2c_bus_reg \
++              -Wno-spi_bus_reg \
++              -Wno-avoid_default_addr_size \
+               -d $(depfile).dtc.tmp $(dtc-tmp) ; \
+       cat $(depfile).pre.tmp $(depfile).dtc.tmp > $(depfile)
diff --git a/target/linux/bcm27xx/patches-5.4/950-1045-Adds-the-DT-overlays-to-support-Hifiberry-AMP100.patch b/target/linux/bcm27xx/patches-5.4/950-1045-Adds-the-DT-overlays-to-support-Hifiberry-AMP100.patch
new file mode 100644 (file)
index 0000000..24ff1b3
--- /dev/null
@@ -0,0 +1,132 @@
+From d689ccf52fb81664b7eccb91dc05a93d64ba793c Mon Sep 17 00:00:00 2001
+From: Joerg Schambacher <joerg@i2audio.com>
+Date: Fri, 29 Jan 2021 08:26:44 +0100
+Subject: [PATCH] Adds the DT-overlays to support Hifiberry AMP100
+
+Adds new DT-overlay to control AMP100.
+
+Signed-off-by: Joerg Schambacher <joerg@hifiberry.com>
+---
+ arch/arm/boot/dts/overlays/Makefile           |  1 +
+ arch/arm/boot/dts/overlays/README             | 30 ++++++++-
+ .../dts/overlays/hifiberry-amp100-overlay.dts | 64 +++++++++++++++++++
+ 3 files changed, 94 insertions(+), 1 deletion(-)
+ create mode 100644 arch/arm/boot/dts/overlays/hifiberry-amp100-overlay.dts
+
+--- a/arch/arm/boot/dts/overlays/Makefile
++++ b/arch/arm/boot/dts/overlays/Makefile
+@@ -60,6 +60,7 @@ dtbo-$(CONFIG_ARCH_BCM2835) += \
+       hd44780-lcd.dtbo \
+       hdmi-backlight-hwhack-gpio.dtbo \
+       hifiberry-amp.dtbo \
++      hifiberry-amp100.dtbo \
+       hifiberry-dac.dtbo \
+       hifiberry-dacplus.dtbo \
+       hifiberry-dacplusadc.dtbo \
+--- a/arch/arm/boot/dts/overlays/README
++++ b/arch/arm/boot/dts/overlays/README
+@@ -1045,8 +1045,36 @@ Load:   dtoverlay=hifiberry-amp
+ Params: <None>
++Name:   hifiberry-amp100
++Info:   Configures the HifiBerry AMP100 audio card
++Load:   dtoverlay=hifiberry-amp100,<param>=<val>
++Params: 24db_digital_gain       Allow gain to be applied via the PCM512x codec
++                                Digital volume control. Enable with
++                                "dtoverlay=hifiberry-amp100,24db_digital_gain"
++                                (The default behaviour is that the Digital
++                                volume control is limited to a maximum of
++                                0dB. ie. it can attenuate but not provide
++                                gain. For most users, this will be desired
++                                as it will prevent clipping. By appending
++                                the 24dB_digital_gain parameter, the Digital
++                                volume control will allow up to 24dB of
++                                gain. If this parameter is enabled, it is the
++                                responsibility of the user to ensure that
++                                the Digital volume control is set to a value
++                                that does not result in clipping/distortion!)
++        slave                   Force DAC+ Pro into slave mode, using Pi as
++                                master for bit clock and frame clock.
++        leds_off                If set to 'true' the onboard indicator LEDs
++                                are switched off at all times.
++        auto_mute               If set to 'true' the amplifier is automatically
++                                muted when the DAC is not playing.
++        mute_ext_ctl            The amplifier's HW mute control is enabled
++                                in ALSA mixer and set to <val>.
++                                Will be overwritten by ALSA user settings.
++
++
+ Name:   hifiberry-dac
+-Info:   Configures the HifiBerry DAC audio card
++Info:   Configures the HifiBerry DAC audio cards
+ Load:   dtoverlay=hifiberry-dac
+ Params: <None>
+--- /dev/null
++++ b/arch/arm/boot/dts/overlays/hifiberry-amp100-overlay.dts
+@@ -0,0 +1,64 @@
++// Definitions for HiFiBerry AMP100
++/dts-v1/;
++/plugin/;
++
++/ {
++      compatible = "brcm,bcm2835";
++
++      fragment@0 {
++              target-path = "/";
++              __overlay__ {
++                      dacpro_osc: dacpro_osc {
++                              compatible = "hifiberry,dacpro-clk";
++                              #clock-cells = <0>;
++                      };
++              };
++      };
++
++      fragment@1 {
++              target = <&i2s>;
++              __overlay__ {
++                      status = "okay";
++              };
++      };
++
++      fragment@2 {
++              target = <&i2c1>;
++              __overlay__ {
++                      #address-cells = <1>;
++                      #size-cells = <0>;
++                      status = "okay";
++
++                      pcm5122@4d {
++                              #sound-dai-cells = <0>;
++                              compatible = "ti,pcm5122";
++                              reg = <0x4d>;
++                              clocks = <&dacpro_osc>;
++                              AVDD-supply = <&vdd_3v3_reg>;
++                              DVDD-supply = <&vdd_3v3_reg>;
++                              CPVDD-supply = <&vdd_3v3_reg>;
++                              status = "okay";
++                      };
++              };
++      };
++
++      fragment@3 {
++              target = <&sound>;
++              hifiberry_dacplus: __overlay__ {
++                      compatible = "hifiberry,hifiberry-dacplus";
++                      i2s-controller = <&i2s>;
++                      status = "okay";
++                      mute-gpio = <&gpio 4 0>;
++                      reset-gpio = <&gpio 17 0x11>;
++              };
++      };
++
++      __overrides__ {
++              24db_digital_gain =
++                      <&hifiberry_dacplus>,"hifiberry,24db_digital_gain?";
++              slave = <&hifiberry_dacplus>,"hifiberry-dacplus,slave?";
++              leds_off = <&hifiberry_dacplus>,"hifiberry-dacplus,leds_off?";
++              mute_ext_ctl = <&hifiberry_dacplus>,"hifiberry-dacplus,mute_ext_ctl:0";
++              auto_mute = <&hifiberry_dacplus>,"hifiberry-dacplus,auto_mute?";
++      };
++};
diff --git a/target/linux/bcm27xx/patches-5.4/950-1046-Enhances-the-Hifiberry-DAC-driver-for-Hifiberry-AMP1.patch b/target/linux/bcm27xx/patches-5.4/950-1046-Enhances-the-Hifiberry-DAC-driver-for-Hifiberry-AMP1.patch
new file mode 100644 (file)
index 0000000..4e15285
--- /dev/null
@@ -0,0 +1,238 @@
+From 0b584f3c2808dba8dc9e74a628b65008302804a5 Mon Sep 17 00:00:00 2001
+From: Joerg Schambacher <joerg@i2audio.com>
+Date: Fri, 29 Jan 2021 16:16:39 +0100
+Subject: [PATCH] Enhances the Hifiberry DAC+ driver for Hifiberry
+ AMP100 support
+
+Adds the necessary GPIO handling and ALSA mixer extensions.
+Also fixes a problem with the PLL/CLK control when switching sample rates.
+Thanks to Clive Messer for the support!
+
+Signed-off-by: Joerg Schambacher <joerg@hifiberry.com>
+---
+ sound/soc/bcm/hifiberry_dacplus.c | 124 ++++++++++++++++++++++++++----
+ 1 file changed, 111 insertions(+), 13 deletions(-)
+
+--- a/sound/soc/bcm/hifiberry_dacplus.c
++++ b/sound/soc/bcm/hifiberry_dacplus.c
+@@ -1,10 +1,10 @@
+ /*
+- * ASoC Driver for HiFiBerry DAC+ / DAC Pro
++ * ASoC Driver for HiFiBerry DAC+ / DAC Pro / AMP100
+  *
+  * Author:    Daniel Matuschek, Stuart MacLean <stuart@hifiberry.com>
+  *            Copyright 2014-2015
+  *            based on code by Florian Meier <florian.meier@koalo.de>
+- *            Headphone added by Joerg Schambacher, joerg@i2audio.com
++ *            Headphone/AMP100 Joerg Schambacher <joerg@hifiberry.com>
+  *
+  * This program is free software; you can redistribute it and/or
+  * modify it under the terms of the GNU General Public License
+@@ -17,6 +17,8 @@
+  */
+ #include <linux/module.h>
++#include <linux/gpio/consumer.h>
++#include <../drivers/gpio/gpiolib.h>
+ #include <linux/platform_device.h>
+ #include <linux/kernel.h>
+ #include <linux/clk.h>
+@@ -53,6 +55,47 @@ static bool slave;
+ static bool snd_rpi_hifiberry_is_dacpro;
+ static bool digital_gain_0db_limit = true;
+ static bool leds_off;
++static bool auto_mute;
++static int mute_ext_ctl;
++static int mute_ext;
++static struct gpio_desc *snd_mute_gpio;
++static struct gpio_desc *snd_reset_gpio;
++static struct snd_soc_card snd_rpi_hifiberry_dacplus;
++
++static int snd_rpi_hifiberry_dacplus_mute_set(int mute)
++{
++      gpiod_set_value_cansleep(snd_mute_gpio, mute);
++      return 1;
++}
++
++static int snd_rpi_hifiberry_dacplus_mute_get(struct snd_kcontrol *kcontrol,
++                              struct snd_ctl_elem_value *ucontrol)
++{
++      ucontrol->value.integer.value[0] = mute_ext;
++
++      return 0;
++}
++
++static int snd_rpi_hifiberry_dacplus_mute_put(struct snd_kcontrol *kcontrol,
++                              struct snd_ctl_elem_value *ucontrol)
++{
++      if (mute_ext == ucontrol->value.integer.value[0])
++              return 0;
++
++      mute_ext = ucontrol->value.integer.value[0];
++
++      return snd_rpi_hifiberry_dacplus_mute_set(mute_ext);
++}
++
++static const char * const mute_text[] = {"Play", "Mute"};
++static const struct soc_enum hb_dacplus_opt_mute_enum =
++      SOC_ENUM_SINGLE_EXT(2, mute_text);
++
++static const struct snd_kcontrol_new hb_dacplus_opt_mute_controls[] = {
++      SOC_ENUM_EXT("Mute(ext)", hb_dacplus_opt_mute_enum,
++                            snd_rpi_hifiberry_dacplus_mute_get,
++                            snd_rpi_hifiberry_dacplus_mute_put),
++};
+ static void snd_rpi_hifiberry_dacplus_select_clk(struct snd_soc_component *component,
+       int clk_id)
+@@ -68,6 +111,7 @@ static void snd_rpi_hifiberry_dacplus_se
+               snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x24, 0x04);
+               break;
+       }
++      usleep_range(2000, 2100);
+ }
+ static void snd_rpi_hifiberry_dacplus_clk_gpio(struct snd_soc_component *component)
+@@ -85,13 +129,6 @@ static bool snd_rpi_hifiberry_dacplus_is
+       return (!(sck & 0x40));
+ }
+-static bool snd_rpi_hifiberry_dacplus_is_sclk_sleep(
+-      struct snd_soc_component *component)
+-{
+-      msleep(2);
+-      return snd_rpi_hifiberry_dacplus_is_sclk(component);
+-}
+-
+ static bool snd_rpi_hifiberry_dacplus_is_pro_card(struct snd_soc_component *component)
+ {
+       bool isClk44EN, isClk48En, isNoClk;
+@@ -99,13 +136,13 @@ static bool snd_rpi_hifiberry_dacplus_is
+       snd_rpi_hifiberry_dacplus_clk_gpio(component);
+       snd_rpi_hifiberry_dacplus_select_clk(component, HIFIBERRY_DACPRO_CLK44EN);
+-      isClk44EN = snd_rpi_hifiberry_dacplus_is_sclk_sleep(component);
++      isClk44EN = snd_rpi_hifiberry_dacplus_is_sclk(component);
+       snd_rpi_hifiberry_dacplus_select_clk(component, HIFIBERRY_DACPRO_NOCLOCK);
+-      isNoClk = snd_rpi_hifiberry_dacplus_is_sclk_sleep(component);
++      isNoClk = snd_rpi_hifiberry_dacplus_is_sclk(component);
+       snd_rpi_hifiberry_dacplus_select_clk(component, HIFIBERRY_DACPRO_CLK48EN);
+-      isClk48En = snd_rpi_hifiberry_dacplus_is_sclk_sleep(component);
++      isClk48En = snd_rpi_hifiberry_dacplus_is_sclk(component);
+       return (isClk44EN && isClk48En && !isNoClk);
+ }
+@@ -149,6 +186,7 @@ static int snd_rpi_hifiberry_dacplus_ini
+ {
+       struct snd_soc_component *component = rtd->codec_dai->component;
+       struct pcm512x_priv *priv;
++      struct snd_soc_card *card = &snd_rpi_hifiberry_dacplus;
+       if (slave)
+               snd_rpi_hifiberry_is_dacpro = false;
+@@ -187,6 +225,20 @@ static int snd_rpi_hifiberry_dacplus_ini
+               if (ret < 0)
+                       dev_warn(card->dev, "Failed to set volume limit: %d\n", ret);
+       }
++      if (snd_reset_gpio) {
++              gpiod_set_value_cansleep(snd_reset_gpio, 0);
++              msleep(1);
++              gpiod_set_value_cansleep(snd_reset_gpio, 1);
++              msleep(1);
++              gpiod_set_value_cansleep(snd_reset_gpio, 0);
++      }
++
++      if (mute_ext_ctl)
++              snd_soc_add_card_controls(card, hb_dacplus_opt_mute_controls,
++                              ARRAY_SIZE(hb_dacplus_opt_mute_controls));
++
++      if (snd_mute_gpio)
++              gpiod_set_value_cansleep(snd_mute_gpio, mute_ext);
+       return 0;
+ }
+@@ -254,6 +306,8 @@ static int snd_rpi_hifiberry_dacplus_sta
+       struct snd_soc_pcm_runtime *rtd = substream->private_data;
+       struct snd_soc_component *component = rtd->codec_dai->component;
++      if (auto_mute)
++              gpiod_set_value_cansleep(snd_mute_gpio, 0);
+       if (leds_off)
+               return 0;
+       snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x08);
+@@ -267,6 +321,8 @@ static void snd_rpi_hifiberry_dacplus_sh
+       struct snd_soc_component *component = rtd->codec_dai->component;
+       snd_soc_component_update_bits(component, PCM512x_GPIO_CONTROL_1, 0x08, 0x00);
++      if (auto_mute)
++              gpiod_set_value_cansleep(snd_mute_gpio, 1);
+ }
+ /* machine stream operations */
+@@ -342,6 +398,8 @@ static int snd_rpi_hifiberry_dacplus_pro
+       struct device_node *tpa_node;
+       struct property *tpa_prop;
+       struct of_changeset ocs;
++      struct property *pp;
++      int tmp;
+       /* probe for head phone amp */
+       ret = hb_hp_detect();
+@@ -396,6 +454,39 @@ static int snd_rpi_hifiberry_dacplus_pro
+                                               "hifiberry-dacplus,slave");
+               leds_off = of_property_read_bool(pdev->dev.of_node,
+                                               "hifiberry-dacplus,leds_off");
++              auto_mute = of_property_read_bool(pdev->dev.of_node,
++                                              "hifiberry-dacplus,auto_mute");
++
++              /*
++               * check for HW MUTE as defined in DT-overlay
++               * active high, therefore default to HIGH to MUTE
++               */
++              snd_mute_gpio = devm_gpiod_get_optional(&pdev->dev,
++                                               "mute", GPIOD_OUT_HIGH);
++              if (IS_ERR(snd_mute_gpio)) {
++                      dev_err(&pdev->dev, "Can't allocate GPIO (HW-MUTE)");
++                      return PTR_ERR(snd_mute_gpio);
++              }
++
++              /* add ALSA control if requested in DT-overlay (AMP100) */
++              pp = of_find_property(pdev->dev.of_node,
++                              "hifiberry-dacplus,mute_ext_ctl", &tmp);
++              if (pp) {
++                      if (!of_property_read_u32(pdev->dev.of_node,
++                              "hifiberry-dacplus,mute_ext_ctl", &mute_ext)) {
++                              /* ALSA control will be used */
++                              mute_ext_ctl = 1;
++                      }
++              }
++
++              /* check for HW RESET (AMP100) */
++              snd_reset_gpio = devm_gpiod_get_optional(&pdev->dev,
++                                              "reset", GPIOD_OUT_HIGH);
++              if (IS_ERR(snd_reset_gpio)) {
++                      dev_err(&pdev->dev, "Can't allocate GPIO (HW-RESET)");
++                      return PTR_ERR(snd_reset_gpio);
++              }
++
+       }
+       ret = devm_snd_soc_register_card(&pdev->dev,
+@@ -403,7 +494,14 @@ static int snd_rpi_hifiberry_dacplus_pro
+       if (ret && ret != -EPROBE_DEFER)
+               dev_err(&pdev->dev,
+                       "snd_soc_register_card() failed: %d\n", ret);
+-
++      if (!ret) {
++              if (snd_mute_gpio)
++                      dev_info(&pdev->dev, "GPIO%i for HW-MUTE selected",
++                                      gpio_chip_hwgpio(snd_mute_gpio));
++              if (snd_reset_gpio)
++                      dev_info(&pdev->dev, "GPIO%i for HW-RESET selected",
++                                      gpio_chip_hwgpio(snd_reset_gpio));
++      }
+       return ret;
+ }
diff --git a/target/linux/bcm27xx/patches-5.4/950-1047-ARM-dts-Declare-Pi400-and-CM4-have-no-audio-pins.patch b/target/linux/bcm27xx/patches-5.4/950-1047-ARM-dts-Declare-Pi400-and-CM4-have-no-audio-pins.patch
new file mode 100644 (file)
index 0000000..c7d7c66
--- /dev/null
@@ -0,0 +1,41 @@
+From 747df57eabbe2e3b5bd47e268aa42658a57749ca Mon Sep 17 00:00:00 2001
+From: Phil Elwell <phil@raspberrypi.com>
+Date: Wed, 3 Feb 2021 16:23:43 +0000
+Subject: [PATCH] ARM: dts: Declare Pi400 and CM4 have no audio pins
+
+The audio_pins node is left as a placeholder for the audremap overlay,
+and it must have (empty) brcm,function and brcm,pins properties
+otherwise it will be rejected by the pinctrl driver.
+
+See: https://www.raspberrypi.org/forums/viewtopic.php?f=98&t=301891
+
+Signed-off-by: Phil Elwell <phil@raspberrypi.com>
+---
+ arch/arm/boot/dts/bcm2711-rpi-400.dts | 4 ++--
+ arch/arm/boot/dts/bcm2711-rpi-cm4.dts | 2 ++
+ 2 files changed, 4 insertions(+), 2 deletions(-)
+
+--- a/arch/arm/boot/dts/bcm2711-rpi-400.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-400.dts
+@@ -495,8 +495,8 @@
+ &gpio {
+       audio_pins: audio_pins {
+-              brcm,pins = <40 41>;
+-              brcm,function = <4>;
++              brcm,pins = <>;
++              brcm,function = <>;
+       };
+ };
+--- a/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
++++ b/arch/arm/boot/dts/bcm2711-rpi-cm4.dts
+@@ -434,6 +434,8 @@
+ &gpio {
+       audio_pins: audio_pins {
++              brcm,pins = <>;
++              brcm,function = <>;
+       };
+ };
diff --git a/target/linux/bcm27xx/patches-5.4/950-1048-Hifiberry-DAC-ADC-Pro-fix-for-the-PLL-when-changing-.patch b/target/linux/bcm27xx/patches-5.4/950-1048-Hifiberry-DAC-ADC-Pro-fix-for-the-PLL-when-changing-.patch
new file mode 100644 (file)
index 0000000..47ecb8b
--- /dev/null
@@ -0,0 +1,56 @@
+From c70ae3d1923339746d156bd1d723d141113183aa Mon Sep 17 00:00:00 2001
+From: Joerg Schambacher <joerg@i2audio.com>
+Date: Mon, 1 Feb 2021 16:53:46 +0100
+Subject: [PATCH] Hifiberry DAC+ADC Pro fix for the PLL when changing
+ sample rates
+
+Adds 2 msecs delay when switching between oscillators to allow
+correct PLL settling.
+Thanks to Clive Messer for the support!
+
+Signed-off-by: Joerg Schambacher <joerg@hifiberry.com>
+---
+ sound/soc/bcm/hifiberry_dacplusadcpro.c | 14 ++++----------
+ 1 file changed, 4 insertions(+), 10 deletions(-)
+
+--- a/sound/soc/bcm/hifiberry_dacplusadcpro.c
++++ b/sound/soc/bcm/hifiberry_dacplusadcpro.c
+@@ -190,6 +190,7 @@ static void snd_rpi_hifiberry_dacplusadc
+                               PCM512x_GPIO_CONTROL_1, 0x24, 0x04);
+               break;
+       }
++      usleep_range(2000, 2100);
+ }
+ static void snd_rpi_hifiberry_dacplusadcpro_clk_gpio(struct snd_soc_component *component)
+@@ -207,13 +208,6 @@ static bool snd_rpi_hifiberry_dacplusadc
+       return (!(sck & 0x40));
+ }
+-static bool snd_rpi_hifiberry_dacplusadcpro_is_sclk_sleep(
+-      struct snd_soc_component *component)
+-{
+-      msleep(2);
+-      return snd_rpi_hifiberry_dacplusadcpro_is_sclk(component);
+-}
+-
+ static bool snd_rpi_hifiberry_dacplusadcpro_is_pro_card(struct snd_soc_component *component)
+ {
+       bool isClk44EN, isClk48En, isNoClk;
+@@ -221,13 +215,13 @@ static bool snd_rpi_hifiberry_dacplusadc
+       snd_rpi_hifiberry_dacplusadcpro_clk_gpio(component);
+       snd_rpi_hifiberry_dacplusadcpro_select_clk(component, HIFIBERRY_DACPRO_CLK44EN);
+-      isClk44EN = snd_rpi_hifiberry_dacplusadcpro_is_sclk_sleep(component);
++      isClk44EN = snd_rpi_hifiberry_dacplusadcpro_is_sclk(component);
+       snd_rpi_hifiberry_dacplusadcpro_select_clk(component, HIFIBERRY_DACPRO_NOCLOCK);
+-      isNoClk = snd_rpi_hifiberry_dacplusadcpro_is_sclk_sleep(component);
++      isNoClk = snd_rpi_hifiberry_dacplusadcpro_is_sclk(component);
+       snd_rpi_hifiberry_dacplusadcpro_select_clk(component, HIFIBERRY_DACPRO_CLK48EN);
+-      isClk48En = snd_rpi_hifiberry_dacplusadcpro_is_sclk_sleep(component);
++      isClk48En = snd_rpi_hifiberry_dacplusadcpro_is_sclk(component);
+       return (isClk44EN && isClk48En && !isNoClk);
+ }